v1.13.0 #285

Merged
muellerr merged 30 commits from develop into main 2022-08-24 16:36:50 +02:00
4 changed files with 8 additions and 6 deletions
Showing only changes of commit b8b6a14e3b - Show all commits

2
fsfw

@ -1 +1 @@
Subproject commit f4c4f9946c7fb9fd050178baa4865da654366b70
Subproject commit d975958120bd151dabdc450a104e9cc8069ff509

View File

@ -29,9 +29,11 @@ void AcsController::performControlOperation() {
break;
}
if (mgmData.read() == RETURN_OK) {
copyMgmData();
mgmData.commit();
{
PoolReadGuard pg(&mgmData);
if (pg.getReadResult() == RETURN_OK) {
copyMgmData();
}
}
}

View File

@ -43,7 +43,7 @@ class AcsController : public ExtendedControllerBase {
PoolEntry<float> mgm1PoolVec = PoolEntry<float>(3);
PoolEntry<float> mgm2PoolVec = PoolEntry<float>(3);
PoolEntry<float> mgm3PoolVec = PoolEntry<float>(3);
PoolEntry<float> imtqMgmPoolVec = PoolEntry<float>(3);
PoolEntry<int32_t> imtqMgmPoolVec = PoolEntry<int32_t>(3);
PoolEntry<uint8_t> imtqCalActStatus = PoolEntry<uint8_t>();
void copyMgmData();

View File

@ -21,7 +21,7 @@ enum PoolIds : lp_id_t {
};
static constexpr uint8_t MGM_SET_ENTRIES = 5;
static constexpr uint8_t MGM_SET_ENTRIES = 10;
/**
* @brief This dataset can be used to store the collected temperatures of all temperature sensors