From 8d27bdf3bfdcc82782c1b95987579d59931e4c54 Mon Sep 17 00:00:00 2001 From: Robin Mueller Date: Sat, 25 May 2024 12:32:46 +0200 Subject: [PATCH 1/2] Update embedded examples --- Cargo.toml | 3 +- README.md | 11 +- .../.cargo/def_config.toml | 0 .../stm32f3-disco-rtic}/.gitignore | 0 .../stm32f3-disco-rtic}/Cargo.lock | 157 +- .../stm32f3-disco-rtic}/Cargo.toml | 7 +- .../stm32f3-disco-rtic}/LICENSE-APACHE | 0 .../stm32f3-disco-rtic}/NOTICE | 0 .../stm32f3-disco-rtic}/README.md | 4 +- .../stm32f3-disco-rtic}/STM32F303.svd | 0 .../stm32f3-disco-rtic}/legacy/jlink.gdb | 0 .../stm32f3-disco-rtic}/legacy/openocd.cfg | 0 .../stm32f3-disco-rtic}/legacy/openocd.gdb | 0 .../stm32f3-disco-rtic}/memory.x | 0 .../stm32f3-disco-rtic}/pyclient/.gitignore | 0 .../pyclient/def_tmtc_conf.json | 0 .../stm32f3-disco-rtic}/pyclient/main.py | 29 +- .../pyclient/requirements.txt | 2 +- .../stm32f3-disco-rtic}/src/bin/blinky.rs | 2 +- .../stm32f3-disco-rtic}/src/lib.rs | 0 .../stm32f3-disco-rtic}/src/main.rs | 2 +- .../stm32f3-disco-rtic}/vscode/.gitignore | 0 .../vscode/extensions.json | 0 .../stm32f3-disco-rtic}/vscode/launch.json | 4 +- .../vscode/openocd-helpers.tcl | 0 .../stm32f3-disco-rtic}/vscode/tasks.json | 0 .../stm32h7-rtic/.cargo/def_config.toml | 29 + embedded-examples/stm32h7-rtic/.gitignore | 4 + embedded-examples/stm32h7-rtic/Cargo.lock | 881 + embedded-examples/stm32h7-rtic/Cargo.toml | 85 + embedded-examples/stm32h7-rtic/LICENSE-APACHE | 201 + embedded-examples/stm32h7-rtic/README.md | 118 + embedded-examples/stm32h7-rtic/STM32H743.svd | 107782 +++++++++++++++ .../stm32h7-rtic/docs/stm32h743bi.pdf | Bin 0 -> 7342897 bytes ...eo144-boards-mb1137-stmicroelectronics.pdf | Bin 0 -> 2348772 bytes embedded-examples/stm32h7-rtic/memory.x | 119 + .../stm32h7-rtic/pyclient/.gitignore | 8 + .../stm32h7-rtic/pyclient/def_tmtc_conf.json | 4 + .../stm32h7-rtic/pyclient/main.py | 305 + .../stm32h7-rtic/pyclient/requirements.txt | 2 + .../stm32h7-rtic/src/bin/blinky.rs | 55 + .../stm32h7-rtic/src/bin/hello.rs | 11 + embedded-examples/stm32h7-rtic/src/lib.rs | 52 + embedded-examples/stm32h7-rtic/src/main.rs | 528 + .../stm32h7-rtic/tests/integration.rs | 16 + .../stm32h7-rtic/vscode/.gitignore | 2 + .../stm32h7-rtic/vscode/extensions.json | 12 + .../stm32h7-rtic/vscode/launch.json | 22 + .../stm32h7-rtic/vscode/tasks.json | 20 + satrs/src/pus/scheduler.rs | 3 + 50 files changed, 110385 insertions(+), 95 deletions(-) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/.cargo/def_config.toml (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/.gitignore (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/Cargo.lock (87%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/Cargo.toml (94%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/LICENSE-APACHE (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/NOTICE (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/README.md (95%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/STM32F303.svd (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/legacy/jlink.gdb (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/legacy/openocd.cfg (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/legacy/openocd.gdb (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/memory.x (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/pyclient/.gitignore (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/pyclient/def_tmtc_conf.json (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/pyclient/main.py (92%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/pyclient/requirements.txt (76%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/src/bin/blinky.rs (98%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/src/lib.rs (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/src/main.rs (99%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/vscode/.gitignore (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/vscode/extensions.json (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/vscode/launch.json (90%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/vscode/openocd-helpers.tcl (100%) rename {satrs-example-stm32f3-disco => embedded-examples/stm32f3-disco-rtic}/vscode/tasks.json (100%) create mode 100644 embedded-examples/stm32h7-rtic/.cargo/def_config.toml create mode 100644 embedded-examples/stm32h7-rtic/.gitignore create mode 100644 embedded-examples/stm32h7-rtic/Cargo.lock create mode 100644 embedded-examples/stm32h7-rtic/Cargo.toml create mode 100644 embedded-examples/stm32h7-rtic/LICENSE-APACHE create mode 100644 embedded-examples/stm32h7-rtic/README.md create mode 100644 embedded-examples/stm32h7-rtic/STM32H743.svd create mode 100644 embedded-examples/stm32h7-rtic/docs/stm32h743bi.pdf create mode 100644 embedded-examples/stm32h7-rtic/docs/um1974-stm32-nucleo144-boards-mb1137-stmicroelectronics.pdf create mode 100644 embedded-examples/stm32h7-rtic/memory.x create mode 100644 embedded-examples/stm32h7-rtic/pyclient/.gitignore create mode 100644 embedded-examples/stm32h7-rtic/pyclient/def_tmtc_conf.json create mode 100755 embedded-examples/stm32h7-rtic/pyclient/main.py create mode 100644 embedded-examples/stm32h7-rtic/pyclient/requirements.txt create mode 100644 embedded-examples/stm32h7-rtic/src/bin/blinky.rs create mode 100644 embedded-examples/stm32h7-rtic/src/bin/hello.rs create mode 100644 embedded-examples/stm32h7-rtic/src/lib.rs create mode 100644 embedded-examples/stm32h7-rtic/src/main.rs create mode 100644 embedded-examples/stm32h7-rtic/tests/integration.rs create mode 100644 embedded-examples/stm32h7-rtic/vscode/.gitignore create mode 100644 embedded-examples/stm32h7-rtic/vscode/extensions.json create mode 100644 embedded-examples/stm32h7-rtic/vscode/launch.json create mode 100644 embedded-examples/stm32h7-rtic/vscode/tasks.json diff --git a/Cargo.toml b/Cargo.toml index 10ec0a1..0db7b8e 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -9,6 +9,7 @@ members = [ ] exclude = [ - "satrs-example-stm32f3-disco", + "embedded-examples/stm32f3-disco-rtic", + "embedded-examples/stm32h7-rtic", ] diff --git a/README.md b/README.md index d8b7a85..779cf7a 100644 --- a/README.md +++ b/README.md @@ -39,14 +39,19 @@ This project currently contains following crates: on a host computer or on any system with a standard runtime like a Raspberry Pi. * [`satrs-mib`](https://egit.irs.uni-stuttgart.de/rust/sat-rs/src/branch/main/satrs-mib): Components to build a mission information base from the on-board software directly. -* [`satrs-example-stm32f3-disco`](https://egit.irs.uni-stuttgart.de/rust/sat-rs/src/branch/main/satrs-example-stm32f3-disco): +* [`satrs-stm32f3-disco-rtic`](https://egit.irs.uni-stuttgart.de/rust/sat-rs/src/branch/main/embedded-examples/satrs-stm32f3-disco-rtic): Example of a simple example using low-level sat-rs components on a bare-metal system - with constrained resources. + with constrained resources. This example uses the [RTIC](https://github.com/rtic-rs/rtic) + framework on the STM32F3-Discovery device. +* [`satrs-stm32h743zit-rtic`](https://egit.irs.uni-stuttgart.de/rust/sat-rs/src/branch/main/embedded-examples/satrs-stm32h743zit-rtic): + Example of a simple example using sat-rs components on a bare-metal system + with constrained resources. This example uses the [RTIC](https://github.com/rtic-rs/rtic) + framework on the STM32H743ZIT device. Each project has its own `CHANGELOG.md`. # Related projects - + In addition to the crates in this repository, the sat-rs project also maintains other libraries. * [`spacepackets`](https://egit.irs.uni-stuttgart.de/rust/spacepackets): Basic ECSS and CCSDS diff --git a/satrs-example-stm32f3-disco/.cargo/def_config.toml b/embedded-examples/stm32f3-disco-rtic/.cargo/def_config.toml similarity index 100% rename from satrs-example-stm32f3-disco/.cargo/def_config.toml rename to embedded-examples/stm32f3-disco-rtic/.cargo/def_config.toml diff --git a/satrs-example-stm32f3-disco/.gitignore b/embedded-examples/stm32f3-disco-rtic/.gitignore similarity index 100% rename from satrs-example-stm32f3-disco/.gitignore rename to embedded-examples/stm32f3-disco-rtic/.gitignore diff --git a/satrs-example-stm32f3-disco/Cargo.lock b/embedded-examples/stm32f3-disco-rtic/Cargo.lock similarity index 87% rename from satrs-example-stm32f3-disco/Cargo.lock rename to embedded-examples/stm32f3-disco-rtic/Cargo.lock index fffb020..f6a922b 100644 --- a/satrs-example-stm32f3-disco/Cargo.lock +++ b/embedded-examples/stm32f3-disco-rtic/Cargo.lock @@ -22,9 +22,9 @@ dependencies = [ [[package]] name = "autocfg" -version = "1.2.0" +version = "1.3.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f1fdabc7756949593fe60f30ec81974b613357de856987752631dea1e3394c80" +checksum = "0c4b4d0bd25bd0b74681c0ad21497610ce1b7c91b1022cd21c80c6fbdd9476b0" [[package]] name = "bare-metal" @@ -88,13 +88,19 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd" [[package]] name = "chrono" -version = "0.4.37" +version = "0.4.38" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "8a0d04d43504c61aa6c7531f1871dd0d418d91130162063b789da00fd7057a5e" +checksum = "a21f936df1771bf62b77f047b726c4625ff2e8aa607c01ec06e5a05bd8463401" dependencies = [ "num-traits", ] +[[package]] +name = "cobs" +version = "0.2.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "67ba02a97a2bd10f4b59b25c7973101c79642302776489e030cd13cdab09ed15" + [[package]] name = "cobs" version = "0.2.3" @@ -115,9 +121,9 @@ dependencies = [ [[package]] name = "cortex-m-rt" -version = "0.7.3" +version = "0.7.4" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ee84e813d593101b1723e13ec38b6ab6abbdbaaa4546553f5395ed274079ddb1" +checksum = "2722f5b7d6ea8583cffa4d247044e280ccbb9fe501bed56552e2ba48b02d5f3d" dependencies = [ "cortex-m-rt-macros", ] @@ -144,9 +150,9 @@ dependencies = [ [[package]] name = "crc" -version = "3.0.1" +version = "3.2.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "86ec7a15cbe22e59248fc7eadb1907dab5ba09372595da4d73dd805ed4417dfe" +checksum = "69e6e4d7b33a94f0991c26729976b10ebde1d34c3ee82408fb536164fa10d636" dependencies = [ "crc-catalog", ] @@ -165,9 +171,9 @@ checksum = "7059fff8937831a9ae6f0fe4d658ffabf58f2ca96aa9dec1c889f936f705f216" [[package]] name = "darling" -version = "0.20.8" +version = "0.20.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "54e36fcd13ed84ffdfda6f5be89b31287cbb80c439841fe69e04841435464391" +checksum = "83b2eb4d90d12bdda5ed17de686c2acb4c57914f8f921b8da7e112b5a36f3fe1" dependencies = [ "darling_core", "darling_macro", @@ -175,33 +181,33 @@ dependencies = [ [[package]] name = "darling_core" -version = "0.20.8" +version = "0.20.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9c2cf1c23a687a1feeb728783b993c4e1ad83d99f351801977dd809b48d0a70f" +checksum = "622687fe0bac72a04e5599029151f5796111b90f1baaa9b544d807a5e31cd120" dependencies = [ "fnv", "ident_case", "proc-macro2", "quote", - "syn 2.0.58", + "syn 2.0.65", ] [[package]] name = "darling_macro" -version = "0.20.8" +version = "0.20.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a668eda54683121533a393014d8692171709ff57a7d61f187b6e782719f8933f" +checksum = "733cabb43482b1a1b53eee8583c2b9e8684d592215ea83efd305dd31bc2f0178" dependencies = [ "darling_core", "quote", - "syn 2.0.58", + "syn 2.0.65", ] [[package]] name = "defmt" -version = "0.3.6" +version = "0.3.8" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "3939552907426de152b3c2c6f51ed53f98f448babd26f28694c95f5906194595" +checksum = "a99dd22262668b887121d4672af5a64b238f026099f1a2a1b322066c9ecfe9e0" dependencies = [ "bitflags", "defmt-macros", @@ -219,15 +225,15 @@ dependencies = [ [[package]] name = "defmt-macros" -version = "0.3.7" +version = "0.3.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "18bdc7a7b92ac413e19e95240e75d3a73a8d8e78aa24a594c22cbb4d44b4bbda" +checksum = "e3a9f309eff1f79b3ebdf252954d90ae440599c26c2c553fe87a2d17195f2dcb" dependencies = [ "defmt-parser", "proc-macro-error", "proc-macro2", "quote", - "syn 2.0.58", + "syn 2.0.65", ] [[package]] @@ -259,7 +265,7 @@ checksum = "984bc6eca246389726ac2826acc2488ca0fe5fcd6b8d9b48797021951d76a125" dependencies = [ "proc-macro2", "quote", - "syn 2.0.58", + "syn 2.0.65", ] [[package]] @@ -273,6 +279,17 @@ dependencies = [ "syn 1.0.109", ] +[[package]] +name = "derive-new" +version = "0.6.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "d150dea618e920167e5973d70ae6ece4385b7164e0d799fe7c122dd0a5d912ad" +dependencies = [ + "proc-macro2", + "quote", + "syn 2.0.65", +] + [[package]] name = "embedded-dma" version = "0.2.0" @@ -325,7 +342,7 @@ dependencies = [ "darling", "proc-macro2", "quote", - "syn 2.0.58", + "syn 2.0.65", ] [[package]] @@ -409,9 +426,9 @@ dependencies = [ [[package]] name = "hashbrown" -version = "0.14.3" +version = "0.14.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604" +checksum = "e5274423e17b7c9fc20b6e7e208532f9b19825d82dfd615708b70edd83df41f1" [[package]] name = "heapless" @@ -507,9 +524,9 @@ dependencies = [ [[package]] name = "num-iter" -version = "0.1.44" +version = "0.1.45" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9" +checksum = "1429034a0490724d0075ebb2bc9e875d6503c3cf69e235a8941aa757d83ef5bf" dependencies = [ "autocfg", "num-integer", @@ -529,9 +546,9 @@ dependencies = [ [[package]] name = "num-traits" -version = "0.2.18" +version = "0.2.19" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a" +checksum = "071dfc062690e90b734c0b2273ce72ad0ffa95f0c74596bc250dcfd960262841" dependencies = [ "autocfg", ] @@ -553,14 +570,14 @@ checksum = "681030a937600a36906c185595136d26abfebb4aa9c65701cefcaf8578bb982b" dependencies = [ "proc-macro2", "quote", - "syn 2.0.58", + "syn 2.0.65", ] [[package]] name = "panic-probe" -version = "0.3.1" +version = "0.3.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "aa6fa5645ef5a760cd340eaa92af9c1ce131c8c09e7f8926d8a24b59d26652b9" +checksum = "4047d9235d1423d66cc97da7d07eddb54d4f154d6c13805c6d0793956f4f25b0" dependencies = [ "cortex-m", "defmt", @@ -568,9 +585,9 @@ dependencies = [ [[package]] name = "paste" -version = "1.0.14" +version = "1.0.15" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c" +checksum = "57c0d7b74b563b49d38dae00a0c37d4d6de9b432382b2892f0574ddcae73fd0a" [[package]] name = "pin-project-lite" @@ -610,18 +627,18 @@ dependencies = [ [[package]] name = "proc-macro2" -version = "1.0.79" +version = "1.0.83" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e835ff2298f5721608eb1a980ecaee1aef2c132bf95ecc026a11b7bf3c01c02e" +checksum = "0b33eb56c327dec362a9e55b3ad14f9d2f0904fb5a5b03b513ab5465399e9f43" dependencies = [ "unicode-ident", ] [[package]] name = "quote" -version = "1.0.35" +version = "1.0.36" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef" +checksum = "0fa76aaf39101c457836aec0ce2316dbdc3ab723cdda1c6bd4e6ad4208acaca7" dependencies = [ "proc-macro2", ] @@ -674,7 +691,7 @@ dependencies = [ "proc-macro-error", "proc-macro2", "quote", - "syn 2.0.58", + "syn 2.0.65", ] [[package]] @@ -717,16 +734,20 @@ version = "0.4.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366" dependencies = [ - "semver 1.0.22", + "semver 1.0.23", ] [[package]] name = "satrs" -version = "0.2.0-rc.0" +version = "0.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "866fcae3b683ccc37b5ad77982483a0ee01d5dc408dea5aad2117ad404b60fe1" dependencies = [ - "cobs", + "cobs 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)", "crc", + "defmt", "delegate", + "derive-new", "num-traits", "num_enum", "paste", @@ -736,10 +757,19 @@ dependencies = [ ] [[package]] -name = "satrs-example-stm32f3-disco" +name = "satrs-shared" +version = "0.1.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "6042477018c2d43fffccaaa5099bc299a58485139b4d31c5b276889311e474f1" +dependencies = [ + "spacepackets", +] + +[[package]] +name = "satrs-stm32f3-disco-rtic" version = "0.1.0" dependencies = [ - "cobs", + "cobs 0.2.3 (git+https://github.com/robamu/cobs.rs.git?branch=all_features)", "cortex-m", "cortex-m-rt", "cortex-m-semihosting", @@ -757,13 +787,6 @@ dependencies = [ "stm32f3xx-hal", ] -[[package]] -name = "satrs-shared" -version = "0.1.3" -dependencies = [ - "spacepackets", -] - [[package]] name = "semver" version = "0.9.0" @@ -775,9 +798,9 @@ dependencies = [ [[package]] name = "semver" -version = "1.0.22" +version = "1.0.23" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca" +checksum = "61697e0a1c7e512e84a621326239844a24d8207b4669b41bc18b32ea5cbf988b" [[package]] name = "semver-parser" @@ -799,9 +822,9 @@ checksum = "3c5e1a9a646d36c3599cd173a41282daf47c44583ad367b8e6837255952e5c67" [[package]] name = "spacepackets" -version = "0.11.0-rc.2" +version = "0.11.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c2cfd5f9a4c7f10714d21f9bc61f2d176cb7ae092cdd687e7ade2d4e6f7d7125" +checksum = "e85574d113a06312010c0ba51aadccd4ba2806231ebe9a49fc6473d0534d8696" dependencies = [ "crc", "defmt", @@ -899,9 +922,9 @@ dependencies = [ [[package]] name = "syn" -version = "2.0.58" +version = "2.0.65" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "44cfb93f38070beee36b3fef7d4f5a16f27751d94b187b666a5cc5e9b0d30687" +checksum = "d2863d96a84c6439701d7a38f9de935ec562c8832cc55d1dde0f513b52fad106" dependencies = [ "proc-macro2", "quote", @@ -910,22 +933,22 @@ dependencies = [ [[package]] name = "thiserror" -version = "1.0.58" +version = "1.0.61" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "03468839009160513471e86a034bb2c5c0e4baae3b43f79ffc55c4a5427b3297" +checksum = "c546c80d6be4bc6a00c0f01730c08df82eaa7a7a61f11d656526506112cc1709" dependencies = [ "thiserror-impl", ] [[package]] name = "thiserror-impl" -version = "1.0.58" +version = "1.0.61" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c61f3ba182994efc43764a46c018c347bc492c79f024e705f46567b418f6d4f7" +checksum = "46c3384250002a6d5af4d114f2845d37b57521033f30d5c3f46c4d70e1197533" dependencies = [ "proc-macro2", "quote", - "syn 2.0.58", + "syn 2.0.65", ] [[package]] @@ -975,9 +998,9 @@ dependencies = [ [[package]] name = "zerocopy" -version = "0.7.32" +version = "0.7.34" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be" +checksum = "ae87e3fcd617500e5d106f0380cf7b77f3c6092aae37191433159dda23cfb087" dependencies = [ "byteorder", "zerocopy-derive", @@ -985,11 +1008,11 @@ dependencies = [ [[package]] name = "zerocopy-derive" -version = "0.7.32" +version = "0.7.34" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6" +checksum = "15e934569e47891f7d9411f1a451d947a60e000ab3bd24fbb970f000387d1b3b" dependencies = [ "proc-macro2", "quote", - "syn 2.0.58", + "syn 2.0.65", ] diff --git a/satrs-example-stm32f3-disco/Cargo.toml b/embedded-examples/stm32f3-disco-rtic/Cargo.toml similarity index 94% rename from satrs-example-stm32f3-disco/Cargo.toml rename to embedded-examples/stm32f3-disco-rtic/Cargo.toml index c149dd4..c40ab65 100644 --- a/satrs-example-stm32f3-disco/Cargo.toml +++ b/embedded-examples/stm32f3-disco-rtic/Cargo.toml @@ -1,8 +1,8 @@ [package] -name = "satrs-example-stm32f3-disco" +name = "satrs-stm32f3-disco-rtic" version = "0.1.0" edition = "2021" -default-run = "satrs-example-stm32f3-disco" +default-run = "satrs-stm32f3-disco-rtic" # See more keys and their definitions at https://doc.rust-lang.org/cargo/reference/manifest.html @@ -46,7 +46,8 @@ branch = "complete-dma-update-hal" # path = "../stm32f3-discovery" [dependencies.satrs] -path = "../satrs" +# path = "satrs" +version = "0.2" default-features = false features = ["defmt"] diff --git a/satrs-example-stm32f3-disco/LICENSE-APACHE b/embedded-examples/stm32f3-disco-rtic/LICENSE-APACHE similarity index 100% rename from satrs-example-stm32f3-disco/LICENSE-APACHE rename to embedded-examples/stm32f3-disco-rtic/LICENSE-APACHE diff --git a/satrs-example-stm32f3-disco/NOTICE b/embedded-examples/stm32f3-disco-rtic/NOTICE similarity index 100% rename from satrs-example-stm32f3-disco/NOTICE rename to embedded-examples/stm32f3-disco-rtic/NOTICE diff --git a/satrs-example-stm32f3-disco/README.md b/embedded-examples/stm32f3-disco-rtic/README.md similarity index 95% rename from satrs-example-stm32f3-disco/README.md rename to embedded-examples/stm32f3-disco-rtic/README.md index 090faea..57ed964 100644 --- a/satrs-example-stm32f3-disco/README.md +++ b/embedded-examples/stm32f3-disco-rtic/README.md @@ -1,10 +1,10 @@ sat-rs example for the STM32F3-Discovery board ======= -This example application shows how the [sat-rs framework](https://egit.irs.uni-stuttgart.de/rust/satrs-launchpad) +This example application shows how the [sat-rs library](https://egit.irs.uni-stuttgart.de/rust/sat-rs) can be used on an embedded target. It also shows how a relatively simple OBSW could be built when no standard runtime is available. -It uses [RTIC](https://rtic.rs/1/book/en/) as the concurrency framework and the +It uses [RTIC](https://rtic.rs/2/book/en/) as the concurrency framework and the [defmt](https://defmt.ferrous-systems.com/) framework for logging. The STM32F3-Discovery device was picked because it is a cheap Cortex-M4 based device which is also diff --git a/satrs-example-stm32f3-disco/STM32F303.svd b/embedded-examples/stm32f3-disco-rtic/STM32F303.svd similarity index 100% rename from satrs-example-stm32f3-disco/STM32F303.svd rename to embedded-examples/stm32f3-disco-rtic/STM32F303.svd diff --git a/satrs-example-stm32f3-disco/legacy/jlink.gdb b/embedded-examples/stm32f3-disco-rtic/legacy/jlink.gdb similarity index 100% rename from satrs-example-stm32f3-disco/legacy/jlink.gdb rename to embedded-examples/stm32f3-disco-rtic/legacy/jlink.gdb diff --git a/satrs-example-stm32f3-disco/legacy/openocd.cfg b/embedded-examples/stm32f3-disco-rtic/legacy/openocd.cfg similarity index 100% rename from satrs-example-stm32f3-disco/legacy/openocd.cfg rename to embedded-examples/stm32f3-disco-rtic/legacy/openocd.cfg diff --git a/satrs-example-stm32f3-disco/legacy/openocd.gdb b/embedded-examples/stm32f3-disco-rtic/legacy/openocd.gdb similarity index 100% rename from satrs-example-stm32f3-disco/legacy/openocd.gdb rename to embedded-examples/stm32f3-disco-rtic/legacy/openocd.gdb diff --git a/satrs-example-stm32f3-disco/memory.x b/embedded-examples/stm32f3-disco-rtic/memory.x similarity index 100% rename from satrs-example-stm32f3-disco/memory.x rename to embedded-examples/stm32f3-disco-rtic/memory.x diff --git a/satrs-example-stm32f3-disco/pyclient/.gitignore b/embedded-examples/stm32f3-disco-rtic/pyclient/.gitignore similarity index 100% rename from satrs-example-stm32f3-disco/pyclient/.gitignore rename to embedded-examples/stm32f3-disco-rtic/pyclient/.gitignore diff --git a/satrs-example-stm32f3-disco/pyclient/def_tmtc_conf.json b/embedded-examples/stm32f3-disco-rtic/pyclient/def_tmtc_conf.json similarity index 100% rename from satrs-example-stm32f3-disco/pyclient/def_tmtc_conf.json rename to embedded-examples/stm32f3-disco-rtic/pyclient/def_tmtc_conf.json diff --git a/satrs-example-stm32f3-disco/pyclient/main.py b/embedded-examples/stm32f3-disco-rtic/pyclient/main.py similarity index 92% rename from satrs-example-stm32f3-disco/pyclient/main.py rename to embedded-examples/stm32f3-disco-rtic/pyclient/main.py index ae3099b..f6f3972 100755 --- a/satrs-example-stm32f3-disco/pyclient/main.py +++ b/embedded-examples/stm32f3-disco-rtic/pyclient/main.py @@ -9,7 +9,7 @@ from prompt_toolkit.history import FileHistory, History from spacepackets.ecss.tm import CdsShortTimestamp import tmtccmd -from spacepackets.ecss import PusTelemetry, PusTelecommand, PusVerificator +from spacepackets.ecss import PusTelemetry, PusTelecommand, PusTm, PusVerificator from spacepackets.ecss.pus_17_test import Service17Tm from spacepackets.ecss.pus_1_verification import UnpackParams, Service1Tm @@ -43,7 +43,7 @@ from tmtccmd.tmtc import ( DefaultPusQueueHelper, ) from tmtccmd.pus.s5_fsfw_event import Service5Tm -from tmtccmd.util import FileSeqCountProvider, PusFileSeqCountProvider +from spacepackets.seqcount import FileSeqCountProvider, PusFileSeqCountProvider from tmtccmd.util.obj_id import ObjectIdDictT _LOGGER = logging.getLogger() @@ -53,7 +53,7 @@ EXAMPLE_PUS_APID = 0x02 class SatRsConfigHook(HookBase): def __init__(self, json_cfg_path: str): - super().__init__(json_cfg_path=json_cfg_path) + super().__init__(json_cfg_path) def get_communication_interface(self, com_if_key: str) -> Optional[ComInterface]: from tmtccmd.config.com import ( @@ -111,9 +111,10 @@ class PusHandler(SpecificApidHandlerBase): self.verif_wrapper = verif_wrapper def handle_tm(self, packet: bytes, _user_args: Any): - time_reader = CdsShortTimestamp.empty() try: - pus_tm = PusTelemetry.unpack(packet, time_reader=CdsShortTimestamp.empty()) + pus_tm = PusTm.unpack( + packet, timestamp_len=CdsShortTimestamp.TIMESTAMP_SIZE + ) except ValueError as e: _LOGGER.warning("Could not generate PUS TM object from raw data") _LOGGER.warning(f"Raw Packet: [{packet.hex(sep=',')}], REPR: {packet!r}") @@ -122,7 +123,7 @@ class PusHandler(SpecificApidHandlerBase): tm_packet = None if service == 1: tm_packet = Service1Tm.unpack( - data=packet, params=UnpackParams(time_reader, 1, 2) + data=packet, params=UnpackParams(CdsShortTimestamp.TIMESTAMP_SIZE, 1, 2) ) res = self.verif_wrapper.add_tm(tm_packet) if res is None: @@ -139,16 +140,16 @@ class PusHandler(SpecificApidHandlerBase): if service == 3: _LOGGER.info("No handling for HK packets implemented") _LOGGER.info(f"Raw packet: 0x[{packet.hex(sep=',')}]") - pus_tm = PusTelemetry.unpack(packet, CdsShortTimestamp.empty()) + pus_tm = PusTelemetry.unpack(packet, CdsShortTimestamp.TIMESTAMP_SIZE) if pus_tm.subservice == 25: if len(pus_tm.source_data) < 8: raise ValueError("No addressable ID in HK packet") json_str = pus_tm.source_data[8:] _LOGGER.info("received JSON string: " + json_str.decode("utf-8")) if service == 5: - tm_packet = Service5Tm.unpack(packet, time_reader) + tm_packet = Service5Tm.unpack(packet, CdsShortTimestamp.TIMESTAMP_SIZE) if service == 17: - tm_packet = Service17Tm.unpack(packet, time_reader) + tm_packet = Service17Tm.unpack(packet, CdsShortTimestamp.TIMESTAMP_SIZE) if tm_packet.subservice == 2: _LOGGER.info("Received Ping Reply TM[17,2]") else: @@ -159,7 +160,7 @@ class PusHandler(SpecificApidHandlerBase): _LOGGER.info( f"The service {service} is not implemented in Telemetry Factory" ) - tm_packet = PusTelemetry.unpack(packet, time_reader) + tm_packet = PusTelemetry.unpack(packet, CdsShortTimestamp.TIMESTAMP_SIZE) self.raw_logger.log_tm(pus_tm) @@ -203,15 +204,15 @@ class TcHandler(TcHandlerBase): _LOGGER.info(log_entry.log_str) def queue_finished_cb(self, info: ProcedureWrapper): - if info.proc_type == TcProcedureType.DEFAULT: - def_proc = info.to_def_procedure() + if info.proc_type == TcProcedureType.TREE_COMMANDING: + def_proc = info.to_tree_commanding_procedure() _LOGGER.info(f"Queue handling finished for command {def_proc.cmd_path}") def feed_cb(self, info: ProcedureWrapper, wrapper: FeedWrapper): q = self.queue_helper q.queue_wrapper = wrapper.queue_wrapper - if info.proc_type == TcProcedureType.DEFAULT: - def_proc = info.to_def_procedure() + if info.proc_type == TcProcedureType.TREE_COMMANDING: + def_proc = info.to_tree_commanding_procedure() cmd_path = def_proc.cmd_path if cmd_path == "/ping": q.add_log_cmd("Sending PUS ping telecommand") diff --git a/satrs-example-stm32f3-disco/pyclient/requirements.txt b/embedded-examples/stm32f3-disco-rtic/pyclient/requirements.txt similarity index 76% rename from satrs-example-stm32f3-disco/pyclient/requirements.txt rename to embedded-examples/stm32f3-disco-rtic/pyclient/requirements.txt index e934469..637dc98 100644 --- a/satrs-example-stm32f3-disco/pyclient/requirements.txt +++ b/embedded-examples/stm32f3-disco-rtic/pyclient/requirements.txt @@ -1,2 +1,2 @@ -tmtccmd == 8.0.0rc.0 +tmtccmd == 8.0.1 # -e git+https://github.com/robamu-org/tmtccmd.git@main#egg=tmtccmd diff --git a/satrs-example-stm32f3-disco/src/bin/blinky.rs b/embedded-examples/stm32f3-disco-rtic/src/bin/blinky.rs similarity index 98% rename from satrs-example-stm32f3-disco/src/bin/blinky.rs rename to embedded-examples/stm32f3-disco-rtic/src/bin/blinky.rs index 0f96176..ea09455 100644 --- a/satrs-example-stm32f3-disco/src/bin/blinky.rs +++ b/embedded-examples/stm32f3-disco-rtic/src/bin/blinky.rs @@ -1,6 +1,6 @@ #![no_std] #![no_main] -use satrs_example_stm32f3_disco as _; +use satrs_stm32f3_disco_rtic as _; use stm32f3_discovery::leds::Leds; use stm32f3_discovery::stm32f3xx_hal::delay::Delay; diff --git a/satrs-example-stm32f3-disco/src/lib.rs b/embedded-examples/stm32f3-disco-rtic/src/lib.rs similarity index 100% rename from satrs-example-stm32f3-disco/src/lib.rs rename to embedded-examples/stm32f3-disco-rtic/src/lib.rs diff --git a/satrs-example-stm32f3-disco/src/main.rs b/embedded-examples/stm32f3-disco-rtic/src/main.rs similarity index 99% rename from satrs-example-stm32f3-disco/src/main.rs rename to embedded-examples/stm32f3-disco-rtic/src/main.rs index 623ef5f..a8a10bc 100644 --- a/satrs-example-stm32f3-disco/src/main.rs +++ b/embedded-examples/stm32f3-disco-rtic/src/main.rs @@ -9,7 +9,7 @@ use satrs::spacepackets::ecss::EcssEnumU16; use satrs::spacepackets::CcsdsPacket; use satrs::spacepackets::{ByteConversionError, SpHeader}; // global logger + panicking-behavior + memory layout -use satrs_example_stm32f3_disco as _; +use satrs_stm32f3_disco_rtic as _; use rtic::app; diff --git a/satrs-example-stm32f3-disco/vscode/.gitignore b/embedded-examples/stm32f3-disco-rtic/vscode/.gitignore similarity index 100% rename from satrs-example-stm32f3-disco/vscode/.gitignore rename to embedded-examples/stm32f3-disco-rtic/vscode/.gitignore diff --git a/satrs-example-stm32f3-disco/vscode/extensions.json b/embedded-examples/stm32f3-disco-rtic/vscode/extensions.json similarity index 100% rename from satrs-example-stm32f3-disco/vscode/extensions.json rename to embedded-examples/stm32f3-disco-rtic/vscode/extensions.json diff --git a/satrs-example-stm32f3-disco/vscode/launch.json b/embedded-examples/stm32f3-disco-rtic/vscode/launch.json similarity index 90% rename from satrs-example-stm32f3-disco/vscode/launch.json rename to embedded-examples/stm32f3-disco-rtic/vscode/launch.json index f982d67..53278b3 100644 --- a/satrs-example-stm32f3-disco/vscode/launch.json +++ b/embedded-examples/stm32f3-disco-rtic/vscode/launch.json @@ -12,11 +12,11 @@ "chip": "STM32F303VCTx", "coreConfigs": [ { - "programBinary": "${workspaceFolder}/target/thumbv7em-none-eabihf/debug/satrs-example-stm32f3-disco", + "programBinary": "${workspaceFolder}/target/thumbv7em-none-eabihf/debug/satrs-stm32f3-disco-rtic", "rttEnabled": true, "svdFile": "STM32F303.svd" } ] } ] -} +} \ No newline at end of file diff --git a/satrs-example-stm32f3-disco/vscode/openocd-helpers.tcl b/embedded-examples/stm32f3-disco-rtic/vscode/openocd-helpers.tcl similarity index 100% rename from satrs-example-stm32f3-disco/vscode/openocd-helpers.tcl rename to embedded-examples/stm32f3-disco-rtic/vscode/openocd-helpers.tcl diff --git a/satrs-example-stm32f3-disco/vscode/tasks.json b/embedded-examples/stm32f3-disco-rtic/vscode/tasks.json similarity index 100% rename from satrs-example-stm32f3-disco/vscode/tasks.json rename to embedded-examples/stm32f3-disco-rtic/vscode/tasks.json diff --git a/embedded-examples/stm32h7-rtic/.cargo/def_config.toml b/embedded-examples/stm32h7-rtic/.cargo/def_config.toml new file mode 100644 index 0000000..1788b96 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/.cargo/def_config.toml @@ -0,0 +1,29 @@ +[target.'cfg(all(target_arch = "arm", target_os = "none"))'] +runner = "probe-rs run --chip STM32H743ZITx" +# runner = ["probe-rs", "run", "--chip", "$CHIP", "--log-format", "{L} {s}"] + +rustflags = [ + "-C", "linker=flip-link", + "-C", "link-arg=-Tlink.x", + "-C", "link-arg=-Tdefmt.x", + # This is needed if your flash or ram addresses are not aligned to 0x10000 in memory.x + # See https://github.com/rust-embedded/cortex-m-quickstart/pull/95 + "-C", "link-arg=--nmagic", + # Can be useful for debugging. + # "-Clink-args=-Map=app.map" +] + +[build] +# (`thumbv6m-*` is compatible with all ARM Cortex-M chips but using the right +# target improves performance) +# target = "thumbv6m-none-eabi" # Cortex-M0 and Cortex-M0+ +# target = "thumbv7m-none-eabi" # Cortex-M3 +# target = "thumbv7em-none-eabi" # Cortex-M4 and Cortex-M7 (no FPU) +target = "thumbv7em-none-eabihf" # Cortex-M4F and Cortex-M7F (with FPU) + +[alias] +rb = "run --bin" +rrb = "run --release --bin" + +[env] +DEFMT_LOG = "info" diff --git a/embedded-examples/stm32h7-rtic/.gitignore b/embedded-examples/stm32h7-rtic/.gitignore new file mode 100644 index 0000000..c2bb1e7 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/.gitignore @@ -0,0 +1,4 @@ +/target +/.cargo/config* +/.vscode +/app.map diff --git a/embedded-examples/stm32h7-rtic/Cargo.lock b/embedded-examples/stm32h7-rtic/Cargo.lock new file mode 100644 index 0000000..0331856 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/Cargo.lock @@ -0,0 +1,881 @@ +# This file is automatically @generated by Cargo. +# It is not intended for manual editing. +version = 3 + +[[package]] +name = "atomic-polyfill" +version = "1.0.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "8cf2bce30dfe09ef0bfaef228b9d414faaf7e563035494d7fe092dba54b300f4" +dependencies = [ + "critical-section", +] + +[[package]] +name = "autocfg" +version = "1.3.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "0c4b4d0bd25bd0b74681c0ad21497610ce1b7c91b1022cd21c80c6fbdd9476b0" + +[[package]] +name = "bare-metal" +version = "0.2.5" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "5deb64efa5bd81e31fcd1938615a6d98c82eafcbcd787162b6f63b91d6bac5b3" +dependencies = [ + "rustc_version 0.2.3", +] + +[[package]] +name = "bare-metal" +version = "1.0.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "f8fe8f5a8a398345e52358e18ff07cc17a568fbca5c6f73873d3a62056309603" + +[[package]] +name = "bitfield" +version = "0.13.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "46afbd2983a5d5a7bd740ccb198caf5b82f45c40c09c0eed36052d91cb92e719" + +[[package]] +name = "bitflags" +version = "1.3.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a" + +[[package]] +name = "byteorder" +version = "1.5.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b" + +[[package]] +name = "cast" +version = "0.3.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "37b2a672a2cb129a2e41c10b1224bb368f9f37a2b16b612598138befd7b37eb5" + +[[package]] +name = "cfg-if" +version = "1.0.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd" + +[[package]] +name = "cobs" +version = "0.2.3" +source = "git+https://github.com/robamu/cobs.rs.git?branch=all_features#c70a7f30fd00a7cbdb7666dec12b437977385d40" + +[[package]] +name = "cortex-m" +version = "0.7.7" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "8ec610d8f49840a5b376c69663b6369e71f4b34484b9b2eb29fb918d92516cb9" +dependencies = [ + "bare-metal 0.2.5", + "bitfield", + "critical-section", + "embedded-hal 0.2.7", + "volatile-register", +] + +[[package]] +name = "cortex-m-rt" +version = "0.7.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "2722f5b7d6ea8583cffa4d247044e280ccbb9fe501bed56552e2ba48b02d5f3d" +dependencies = [ + "cortex-m-rt-macros", +] + +[[package]] +name = "cortex-m-rt-macros" +version = "0.7.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "f0f6f3e36f203cfedbc78b357fb28730aa2c6dc1ab060ee5c2405e843988d3c7" +dependencies = [ + "proc-macro2", + "quote", + "syn 1.0.109", +] + +[[package]] +name = "cortex-m-semihosting" +version = "0.5.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "c23234600452033cc77e4b761e740e02d2c4168e11dbf36ab14a0f58973592b0" +dependencies = [ + "cortex-m", +] + +[[package]] +name = "crc" +version = "3.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "69e6e4d7b33a94f0991c26729976b10ebde1d34c3ee82408fb536164fa10d636" +dependencies = [ + "crc-catalog", +] + +[[package]] +name = "crc-catalog" +version = "2.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "19d374276b40fb8bbdee95aef7c7fa6b5316ec764510eb64b8dd0e2ed0d7e7f5" + +[[package]] +name = "critical-section" +version = "1.1.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "7059fff8937831a9ae6f0fe4d658ffabf58f2ca96aa9dec1c889f936f705f216" + +[[package]] +name = "defmt" +version = "0.3.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "a99dd22262668b887121d4672af5a64b238f026099f1a2a1b322066c9ecfe9e0" +dependencies = [ + "bitflags", + "defmt-macros", +] + +[[package]] +name = "defmt-brtt" +version = "0.1.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "c2f0ac3635d0c89d12b8101fcb44a7625f5f030a1c0491124b74467eb5a58a78" +dependencies = [ + "critical-section", + "defmt", +] + +[[package]] +name = "defmt-macros" +version = "0.3.9" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "e3a9f309eff1f79b3ebdf252954d90ae440599c26c2c553fe87a2d17195f2dcb" +dependencies = [ + "defmt-parser", + "proc-macro-error", + "proc-macro2", + "quote", + "syn 2.0.64", +] + +[[package]] +name = "defmt-parser" +version = "0.3.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "ff4a5fefe330e8d7f31b16a318f9ce81000d8e35e69b93eae154d16d2278f70f" +dependencies = [ + "thiserror", +] + +[[package]] +name = "defmt-test" +version = "0.3.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "290966e8c38f94b11884877242de876280d0eab934900e9642d58868e77c5df1" +dependencies = [ + "cortex-m-rt", + "cortex-m-semihosting", + "defmt", + "defmt-test-macros", +] + +[[package]] +name = "defmt-test-macros" +version = "0.3.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "984bc6eca246389726ac2826acc2488ca0fe5fcd6b8d9b48797021951d76a125" +dependencies = [ + "proc-macro2", + "quote", + "syn 2.0.64", +] + +[[package]] +name = "delegate" +version = "0.10.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "0ee5df75c70b95bd3aacc8e2fd098797692fb1d54121019c4de481e42f04c8a1" +dependencies = [ + "proc-macro2", + "quote", + "syn 1.0.109", +] + +[[package]] +name = "derive-new" +version = "0.6.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "d150dea618e920167e5973d70ae6ece4385b7164e0d799fe7c122dd0a5d912ad" +dependencies = [ + "proc-macro2", + "quote", + "syn 2.0.64", +] + +[[package]] +name = "embedded-alloc" +version = "0.5.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "ddae17915accbac2cfbc64ea0ae6e3b330e6ea124ba108dada63646fd3c6f815" +dependencies = [ + "critical-section", + "linked_list_allocator", +] + +[[package]] +name = "embedded-dma" +version = "0.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "994f7e5b5cb23521c22304927195f236813053eb9c065dd2226a32ba64695446" +dependencies = [ + "stable_deref_trait", +] + +[[package]] +name = "embedded-hal" +version = "0.2.7" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "35949884794ad573cf46071e41c9b60efb0cb311e3ca01f7af807af1debc66ff" +dependencies = [ + "nb 0.1.3", + "void", +] + +[[package]] +name = "embedded-hal" +version = "1.0.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "361a90feb7004eca4019fb28352a9465666b24f840f5c3cddf0ff13920590b89" +dependencies = [ + "defmt", +] + +[[package]] +name = "embedded-hal-async" +version = "1.0.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "0c4c685bbef7fe13c3c6dd4da26841ed3980ef33e841cddfa15ce8a8fb3f1884" +dependencies = [ + "defmt", + "embedded-hal 1.0.0", +] + +[[package]] +name = "embedded-hal-bus" +version = "0.1.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "57b4e6ede84339ebdb418cd986e6320a34b017cdf99b5cc3efceec6450b06886" +dependencies = [ + "critical-section", + "defmt", + "embedded-hal 1.0.0", + "embedded-hal-async", +] + +[[package]] +name = "embedded-storage" +version = "0.3.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "a21dea9854beb860f3062d10228ce9b976da520a73474aed3171ec276bc0c032" + +[[package]] +name = "equivalent" +version = "1.0.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5" + +[[package]] +name = "fugit" +version = "0.3.7" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "17186ad64927d5ac8f02c1e77ccefa08ccd9eaa314d5a4772278aa204a22f7e7" +dependencies = [ + "gcd", +] + +[[package]] +name = "futures-core" +version = "0.3.30" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d" + +[[package]] +name = "futures-task" +version = "0.3.30" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004" + +[[package]] +name = "futures-util" +version = "0.3.30" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48" +dependencies = [ + "futures-core", + "futures-task", + "pin-project-lite", + "pin-utils", +] + +[[package]] +name = "gcd" +version = "2.3.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "1d758ba1b47b00caf47f24925c0074ecb20d6dfcffe7f6d53395c0465674841a" + +[[package]] +name = "hash32" +version = "0.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "b0c35f58762feb77d74ebe43bdbc3210f09be9fe6742234d573bacc26ed92b67" +dependencies = [ + "byteorder", +] + +[[package]] +name = "hash32" +version = "0.3.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "47d60b12902ba28e2730cd37e95b8c9223af2808df9e902d4df49588d1470606" +dependencies = [ + "byteorder", +] + +[[package]] +name = "hashbrown" +version = "0.14.5" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "e5274423e17b7c9fc20b6e7e208532f9b19825d82dfd615708b70edd83df41f1" + +[[package]] +name = "heapless" +version = "0.7.17" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "cdc6457c0eb62c71aac4bc17216026d8410337c4126773b9c5daba343f17964f" +dependencies = [ + "atomic-polyfill", + "hash32 0.2.1", + "rustc_version 0.4.0", + "spin", + "stable_deref_trait", +] + +[[package]] +name = "heapless" +version = "0.8.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "0bfb9eb618601c89945a70e254898da93b13be0388091d42117462b265bb3fad" +dependencies = [ + "defmt", + "hash32 0.3.1", + "stable_deref_trait", +] + +[[package]] +name = "indexmap" +version = "2.2.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "168fb715dda47215e360912c096649d23d58bf392ac62f73919e831745e40f26" +dependencies = [ + "equivalent", + "hashbrown", +] + +[[package]] +name = "linked_list_allocator" +version = "0.10.5" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "9afa463f5405ee81cdb9cc2baf37e08ec7e4c8209442b5d72c04cfb2cd6e6286" + +[[package]] +name = "lock_api" +version = "0.4.12" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "07af8b9cdd281b7915f413fa73f29ebd5d55d0d3f0155584dade1ff18cea1b17" +dependencies = [ + "autocfg", + "scopeguard", +] + +[[package]] +name = "managed" +version = "0.8.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "0ca88d725a0a943b096803bd34e73a4437208b6077654cc4ecb2947a5f91618d" + +[[package]] +name = "nb" +version = "0.1.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "801d31da0513b6ec5214e9bf433a77966320625a37860f910be265be6e18d06f" +dependencies = [ + "nb 1.1.0", +] + +[[package]] +name = "nb" +version = "1.1.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "8d5439c4ad607c3c23abf66de8c8bf57ba8adcd1f129e699851a6e43935d339d" + +[[package]] +name = "num-traits" +version = "0.2.19" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "071dfc062690e90b734c0b2273ce72ad0ffa95f0c74596bc250dcfd960262841" +dependencies = [ + "autocfg", +] + +[[package]] +name = "num_enum" +version = "0.7.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "02339744ee7253741199f897151b38e72257d13802d4ee837285cc2990a90845" +dependencies = [ + "num_enum_derive", +] + +[[package]] +name = "num_enum_derive" +version = "0.7.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "681030a937600a36906c185595136d26abfebb4aa9c65701cefcaf8578bb982b" +dependencies = [ + "proc-macro2", + "quote", + "syn 2.0.64", +] + +[[package]] +name = "panic-probe" +version = "0.3.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "4047d9235d1423d66cc97da7d07eddb54d4f154d6c13805c6d0793956f4f25b0" +dependencies = [ + "cortex-m", + "defmt", +] + +[[package]] +name = "paste" +version = "1.0.15" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "57c0d7b74b563b49d38dae00a0c37d4d6de9b432382b2892f0574ddcae73fd0a" + +[[package]] +name = "pin-project-lite" +version = "0.2.14" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "bda66fc9667c18cb2758a2ac84d1167245054bcf85d5d1aaa6923f45801bdd02" + +[[package]] +name = "pin-utils" +version = "0.1.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184" + +[[package]] +name = "portable-atomic" +version = "1.6.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "7170ef9988bc169ba16dd36a7fa041e5c4cbeb6a35b76d4c03daded371eae7c0" + +[[package]] +name = "proc-macro-error" +version = "1.0.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c" +dependencies = [ + "proc-macro-error-attr", + "proc-macro2", + "quote", + "syn 1.0.109", + "version_check", +] + +[[package]] +name = "proc-macro-error-attr" +version = "1.0.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869" +dependencies = [ + "proc-macro2", + "quote", + "version_check", +] + +[[package]] +name = "proc-macro2" +version = "1.0.82" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "8ad3d49ab951a01fbaafe34f2ec74122942fe18a3f9814c3268f1bb72042131b" +dependencies = [ + "unicode-ident", +] + +[[package]] +name = "quote" +version = "1.0.36" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "0fa76aaf39101c457836aec0ce2316dbdc3ab723cdda1c6bd4e6ad4208acaca7" +dependencies = [ + "proc-macro2", +] + +[[package]] +name = "rtic" +version = "2.1.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "c443db16326376bdd64377da268f6616d5f804aba8ce799bac7d1f7f244e9d51" +dependencies = [ + "atomic-polyfill", + "bare-metal 1.0.0", + "cortex-m", + "critical-section", + "rtic-core", + "rtic-macros", +] + +[[package]] +name = "rtic-common" +version = "1.0.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "0786b50b81ef9d2a944a000f60405bb28bf30cd45da2d182f3fe636b2321f35c" +dependencies = [ + "critical-section", +] + +[[package]] +name = "rtic-core" +version = "1.0.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "d9369355b04d06a3780ec0f51ea2d225624db777acbc60abd8ca4832da5c1a42" + +[[package]] +name = "rtic-macros" +version = "2.1.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "54053598ea24b1b74937724e366558412a1777eb2680b91ef646db540982789a" +dependencies = [ + "indexmap", + "proc-macro-error", + "proc-macro2", + "quote", + "syn 2.0.64", +] + +[[package]] +name = "rtic-monotonics" +version = "1.5.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "058c2397dbd5bb4c5650a0e368c3920953e458805ff5097a0511b8147b3619d7" +dependencies = [ + "atomic-polyfill", + "cfg-if", + "cortex-m", + "embedded-hal 1.0.0", + "fugit", + "rtic-time", +] + +[[package]] +name = "rtic-sync" +version = "1.3.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "49b1200137ccb2bf272a1801fa6e27264535facd356cb2c1d5bc8e12aa211bad" +dependencies = [ + "critical-section", + "defmt", + "embedded-hal 1.0.0", + "embedded-hal-async", + "embedded-hal-bus", + "heapless 0.8.0", + "portable-atomic", + "rtic-common", +] + +[[package]] +name = "rtic-time" +version = "1.3.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "75b232e7aebc045cfea81cdd164bc2727a10aca9a4568d406d0a5661cdfd0f19" +dependencies = [ + "critical-section", + "futures-util", + "rtic-common", +] + +[[package]] +name = "rustc_version" +version = "0.2.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a" +dependencies = [ + "semver 0.9.0", +] + +[[package]] +name = "rustc_version" +version = "0.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366" +dependencies = [ + "semver 1.0.23", +] + +[[package]] +name = "satrs" +version = "0.2.1" +dependencies = [ + "cobs", + "crc", + "defmt", + "delegate", + "derive-new", + "heapless 0.7.17", + "num-traits", + "num_enum", + "paste", + "satrs-shared", + "smallvec", + "spacepackets", +] + +[[package]] +name = "satrs-shared" +version = "0.1.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "6042477018c2d43fffccaaa5099bc299a58485139b4d31c5b276889311e474f1" +dependencies = [ + "spacepackets", +] + +[[package]] +name = "satrs-stm32h7-nucleo-rtic" +version = "0.1.0" +dependencies = [ + "cortex-m", + "cortex-m-rt", + "cortex-m-semihosting", + "defmt", + "defmt-brtt", + "defmt-test", + "embedded-alloc", + "panic-probe", + "rtic", + "rtic-monotonics", + "rtic-sync", + "satrs", + "smoltcp", + "stm32h7xx-hal", +] + +[[package]] +name = "scopeguard" +version = "1.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49" + +[[package]] +name = "semver" +version = "0.9.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403" +dependencies = [ + "semver-parser", +] + +[[package]] +name = "semver" +version = "1.0.23" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "61697e0a1c7e512e84a621326239844a24d8207b4669b41bc18b32ea5cbf988b" + +[[package]] +name = "semver-parser" +version = "0.7.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3" + +[[package]] +name = "smallvec" +version = "1.13.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "3c5e1a9a646d36c3599cd173a41282daf47c44583ad367b8e6837255952e5c67" + +[[package]] +name = "smoltcp" +version = "0.11.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "5a1a996951e50b5971a2c8c0fa05a381480d70a933064245c4a223ddc87ccc97" +dependencies = [ + "bitflags", + "byteorder", + "cfg-if", + "defmt", + "heapless 0.8.0", + "managed", +] + +[[package]] +name = "spacepackets" +version = "0.11.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "e85574d113a06312010c0ba51aadccd4ba2806231ebe9a49fc6473d0534d8696" +dependencies = [ + "crc", + "defmt", + "delegate", + "num-traits", + "num_enum", + "zerocopy", +] + +[[package]] +name = "spin" +version = "0.9.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67" +dependencies = [ + "lock_api", +] + +[[package]] +name = "stable_deref_trait" +version = "1.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "a8f112729512f8e442d81f95a8a7ddf2b7c6b8a1a6f509a95864142b30cab2d3" + +[[package]] +name = "stm32h7" +version = "0.15.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "362f288cd8341e9209587b889c385f323e82fc237b60c272868965bb879bb9b1" +dependencies = [ + "bare-metal 1.0.0", + "cortex-m", + "cortex-m-rt", + "vcell", +] + +[[package]] +name = "stm32h7xx-hal" +version = "0.16.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "3bd869329be25440b24e2b3583a1c016151b4a54bc36d96d82af7fcd9d010b98" +dependencies = [ + "bare-metal 1.0.0", + "cast", + "cortex-m", + "embedded-dma", + "embedded-hal 0.2.7", + "embedded-storage", + "fugit", + "nb 1.1.0", + "paste", + "smoltcp", + "stm32h7", + "void", +] + +[[package]] +name = "syn" +version = "1.0.109" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237" +dependencies = [ + "proc-macro2", + "quote", + "unicode-ident", +] + +[[package]] +name = "syn" +version = "2.0.64" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "7ad3dee41f36859875573074334c200d1add8e4a87bb37113ebd31d926b7b11f" +dependencies = [ + "proc-macro2", + "quote", + "unicode-ident", +] + +[[package]] +name = "thiserror" +version = "1.0.61" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "c546c80d6be4bc6a00c0f01730c08df82eaa7a7a61f11d656526506112cc1709" +dependencies = [ + "thiserror-impl", +] + +[[package]] +name = "thiserror-impl" +version = "1.0.61" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "46c3384250002a6d5af4d114f2845d37b57521033f30d5c3f46c4d70e1197533" +dependencies = [ + "proc-macro2", + "quote", + "syn 2.0.64", +] + +[[package]] +name = "unicode-ident" +version = "1.0.12" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b" + +[[package]] +name = "vcell" +version = "0.1.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "77439c1b53d2303b20d9459b1ade71a83c716e3f9c34f3228c00e6f185d6c002" + +[[package]] +name = "version_check" +version = "0.9.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f" + +[[package]] +name = "void" +version = "1.0.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "6a02e4885ed3bc0f2de90ea6dd45ebcbb66dacffe03547fadbb0eeae2770887d" + +[[package]] +name = "volatile-register" +version = "0.2.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "de437e2a6208b014ab52972a27e59b33fa2920d3e00fe05026167a1c509d19cc" +dependencies = [ + "vcell", +] + +[[package]] +name = "zerocopy" +version = "0.7.34" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "ae87e3fcd617500e5d106f0380cf7b77f3c6092aae37191433159dda23cfb087" +dependencies = [ + "byteorder", + "zerocopy-derive", +] + +[[package]] +name = "zerocopy-derive" +version = "0.7.34" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "15e934569e47891f7d9411f1a451d947a60e000ab3bd24fbb970f000387d1b3b" +dependencies = [ + "proc-macro2", + "quote", + "syn 2.0.64", +] diff --git a/embedded-examples/stm32h7-rtic/Cargo.toml b/embedded-examples/stm32h7-rtic/Cargo.toml new file mode 100644 index 0000000..3a54947 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/Cargo.toml @@ -0,0 +1,85 @@ +[package] +authors = ["Robin Mueller "] +name = "satrs-stm32h7-nucleo-rtic" +edition = "2021" +version = "0.1.0" +default-run = "satrs-stm32h7-nucleo-rtic" + +[lib] +harness = false + +# needed for each integration test +[[test]] +name = "integration" +harness = false + +[dependencies] +cortex-m = { version = "0.7", features = ["critical-section-single-core"] } +cortex-m-rt = "0.7" +defmt = "0.3" +defmt-brtt = { version = "0.1", default-features = false, features = ["rtt"] } +panic-probe = { version = "0.3", features = ["print-defmt"] } +cortex-m-semihosting = "0.5.0" +stm32h7xx-hal = { version="0.16", features= ["stm32h743v", "ethernet"] } +embedded-alloc = "0.5" +rtic-sync = { version = "1", features = ["defmt-03"] } + +[dependencies.smoltcp] +version = "0.11.0" +default-features = false +features = ["medium-ethernet", "proto-ipv4", "socket-raw", "socket-dhcpv4", "socket-udp", "defmt"] + +[dependencies.rtic] +version = "2" +features = ["thumbv7-backend"] + +[dependencies.rtic-monotonics] +version = "1" +features = ["cortex-m-systick"] + +[dependencies.satrs] +path = "../../satrs" +version = "0.2" +default-features = false +features = ["defmt", "heapless"] + +[dev-dependencies] +defmt-test = "0.3" + +# cargo build/run +[profile.dev] +codegen-units = 1 +debug = 2 +debug-assertions = true # <- +incremental = false +opt-level = 's' # <- +overflow-checks = true # <- + +# cargo test +[profile.test] +codegen-units = 1 +debug = 2 +debug-assertions = true # <- +incremental = false +opt-level = 3 # <- +overflow-checks = true # <- + +# cargo build/run --release +[profile.release] +codegen-units = 1 +debug = 2 +debug-assertions = false # <- +incremental = false +lto = 'fat' +opt-level = 3 # <- +overflow-checks = false # <- + +# cargo test --release +[profile.bench] +codegen-units = 1 +debug = 2 +debug-assertions = false # <- +incremental = false +lto = 'fat' +opt-level = 3 # <- +overflow-checks = false # <- diff --git a/embedded-examples/stm32h7-rtic/LICENSE-APACHE b/embedded-examples/stm32h7-rtic/LICENSE-APACHE new file mode 100644 index 0000000..16fe87b --- /dev/null +++ b/embedded-examples/stm32h7-rtic/LICENSE-APACHE @@ -0,0 +1,201 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + +TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + +1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + +2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + +3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + +4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + +5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + +6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + +7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + +8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + +9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + +END OF TERMS AND CONDITIONS + +APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + +Copyright [yyyy] [name of copyright owner] + +Licensed under the Apache License, Version 2.0 (the "License"); +you may not use this file except in compliance with the License. +You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + +Unless required by applicable law or agreed to in writing, software +distributed under the License is distributed on an "AS IS" BASIS, +WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +See the License for the specific language governing permissions and +limitations under the License. diff --git a/embedded-examples/stm32h7-rtic/README.md b/embedded-examples/stm32h7-rtic/README.md new file mode 100644 index 0000000..d97769e --- /dev/null +++ b/embedded-examples/stm32h7-rtic/README.md @@ -0,0 +1,118 @@ +sat-rs example for the STM32F3-Discovery board +======= + +This example application shows how the [sat-rs library](https://egit.irs.uni-stuttgart.de/rust/sat-rs) +can be used on an embedded target. +It also shows how a relatively simple OBSW could be built when no standard runtime is available. +It uses [RTIC](https://rtic.rs/2/book/en/) as the concurrency framework and the +[defmt](https://defmt.ferrous-systems.com/) framework for logging. + +The STM32H743ZIT device was picked because it is one of the more powerful Cortex-M based devices +available for STM with which also has a little bit more RAM available and also allows commanding +via TCP/IP. + +## Pre-Requisites + +Make sure the following tools are installed: + +1. [`probe-rs`](https://probe.rs/): Application used to flash and debug the MCU. +2. Optional and recommended: [VS Code](https://code.visualstudio.com/) with + [probe-rs plugin](https://marketplace.visualstudio.com/items?itemName=probe-rs.probe-rs-debugger) + for debugging. + +## Preparing Rust and the repository + +Building an application requires the `thumbv7em-none-eabihf` cross-compiler toolchain. +If you have not installed it yet, you can do so with + +```sh +rustup target add thumbv7em-none-eabihf +``` + +A default `.cargo` config file is provided for this project, but needs to be copied to have +the correct name. This is so that the config file can be updated or edited for custom needs +without being tracked by git. + +```sh +cp def_config.toml config.toml +``` + +The configuration file will also set the target so it does not always have to be specified with +the `--target` argument. + +## Building + +After that, assuming that you have a `.cargo/config.toml` setting the correct build target, +you can simply build the application with + +```sh +cargo build +``` + +## Flashing from the command line + +You can flash the application from the command line using `probe-rs`: + +```sh +probe-rs run --chip STM32H743ZITx +``` + +## Debugging with VS Code + +The STM32F3-Discovery comes with an on-board ST-Link so all that is required to flash and debug +the board is a Mini-USB cable. The code in this repository was debugged using [`probe-rs`](https://probe.rs/docs/tools/debuggerA) +and the VS Code [`probe-rs` plugin](https://marketplace.visualstudio.com/items?itemName=probe-rs.probe-rs-debugger). +Make sure to install this plugin first. + +Sample configuration files are provided inside the `vscode` folder. +Use `cp vscode .vscode -r` to use them for your project. + +Some sample configuration files for VS Code were provided as well. You can simply use `Run` and `Debug` +to automatically rebuild and flash your application. + +The `tasks.json` and `launch.json` files are generic and you can use them immediately by opening +the folder in VS code or adding it to a workspace. + +## Commanding with Python + +When the SW is running on the Discovery board, you can command the MCU via a serial interface, +using COBS encoded PUS packets. + +It is recommended to use a virtual environment to do this. To set up one in the command line, +you can use `python3 -m venv venv` on Unix systems or `py -m venv venv` on Windows systems. +After doing this, you can check the [venv tutorial](https://docs.python.org/3/tutorial/venv.html) +on how to activate the environment and then use the following command to install the required +dependency: + +```sh +pip install -r requirements.txt +``` + +The packets are exchanged using a dedicated serial interface. You can use any generic USB-to-UART +converter device with the TX pin connected to the PA3 pin and the RX pin connected to the PA2 pin. + +A default configuration file for the python application is provided and can be used by running + +```sh +cp def_tmtc_conf.json tmtc_conf.json +``` + +After that, you can for example send a ping to the MCU using the following command + +```sh +./main.py -p /ping +``` + +You can configure the blinky frequency using + +```sh +./main.py -p /change_blink_freq +``` + +All these commands will package a PUS telecommand which will be sent to the MCU using the COBS +format as the packet framing format. + +## Resources + +- [STM32H743ZI Ethernet link checker example](https://github.com/stm32-rs/stm32h7xx-hal/blob/master/examples/ethernet-nucleo-h743zi2.rs) +- [smoltcp DHCP client](https://github.com/smoltcp-rs/smoltcp/blob/main/examples/dhcp_client.rs) diff --git a/embedded-examples/stm32h7-rtic/STM32H743.svd b/embedded-examples/stm32h7-rtic/STM32H743.svd new file mode 100644 index 0000000..db3dab6 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/STM32H743.svd @@ -0,0 +1,107782 @@ + + + + STM32H743 + 1.8 + STM32H743 + + CM7 + r0p1 + little + true + true + 4 + false + + 8 + 32 + 0x20 + 0x0 + 0xFFFFFFFF + + + COMP1 + COMP1 + COMP1 + 0x58003800 + + 0x0 + 0x400 + registers + + + COMP + COMP1 and COMP2 + 137 + + + + SR + SR + Comparator status register + 0x0 + 0x20 + read-only + 0x00000000 + + + C1VAL + COMP channel 1 output status + bit + 0 + 1 + + + C2VAL + COMP channel 2 output status + bit + 1 + 1 + + + C1IF + COMP channel 1 Interrupt + Flag + 16 + 1 + + + C2IF + COMP channel 2 Interrupt + Flag + 17 + 1 + + + + + ICFR + ICFR + Comparator interrupt clear flag + register + 0x4 + 0x20 + write-only + 0x00000000 + + + CC1IF + Clear COMP channel 1 Interrupt + Flag + 16 + 1 + + + CC2IF + Clear COMP channel 2 Interrupt + Flag + 17 + 1 + + + + + OR + OR + Comparator option register + 0x8 + 0x20 + read-write + 0x00000000 + + + AFOP + Selection of source for alternate + function of output ports + 0 + 11 + + + OR + Option Register + 11 + 21 + + + + + CFGR1 + CFGR1 + Comparator configuration register + 1 + 0xC + 0x20 + read-write + 0x00000000 + + + EN + COMP channel 1 enable bit + 0 + 1 + + + BRGEN + Scaler bridge enable + 1 + 1 + + + SCALEN + Voltage scaler enable bit + 2 + 1 + + + POLARITY + COMP channel 1 polarity selection + bit + 3 + 1 + + + ITEN + COMP channel 1 interrupt + enable + 6 + 1 + + + HYST + COMP channel 1 hysteresis selection + bits + 8 + 2 + + + PWRMODE + Power Mode of the COMP channel + 1 + 12 + 2 + + + INMSEL + COMP channel 1 inverting input selection + field + 16 + 3 + + + INPSEL + COMP channel 1 non-inverting input + selection bit + 20 + 1 + + + BLANKING + COMP channel 1 blanking source selection + bits + 24 + 4 + + + LOCK + Lock bit + 31 + 1 + + + + + CFGR2 + CFGR2 + Comparator configuration register + 2 + 0x10 + 0x20 + read-write + 0x00000000 + + + EN + COMP channel 1 enable bit + 0 + 1 + + + BRGEN + Scaler bridge enable + 1 + 1 + + + SCALEN + Voltage scaler enable bit + 2 + 1 + + + POLARITY + COMP channel 1 polarity selection + bit + 3 + 1 + + + WINMODE + Window comparator mode selection + bit + 4 + 1 + + + ITEN + COMP channel 1 interrupt + enable + 6 + 1 + + + HYST + COMP channel 1 hysteresis selection + bits + 8 + 2 + + + PWRMODE + Power Mode of the COMP channel + 1 + 12 + 2 + + + INMSEL + COMP channel 1 inverting input selection + field + 16 + 3 + + + INPSEL + COMP channel 1 non-inverting input + selection bit + 20 + 1 + + + BLANKING + COMP channel 1 blanking source selection + bits + 24 + 4 + + + LOCK + Lock bit + 31 + 1 + + + + + + + CRS + CRS + CRS + 0x40008400 + + 0x0 + 0x400 + registers + + + CRS + Clock Recovery System globa + 144 + + + + CR + CR + CRS control register + 0x0 + 0x20 + 0x00002000 + + + SYNCOKIE + SYNC event OK interrupt + enable + 0 + 1 + read-write + + + SYNCWARNIE + SYNC warning interrupt + enable + 1 + 1 + read-write + + + ERRIE + Synchronization or trimming error + interrupt enable + 2 + 1 + read-write + + + ESYNCIE + Expected SYNC interrupt + enable + 3 + 1 + read-write + + + CEN + Frequency error counter enable This bit + enables the oscillator clock for the frequency error + counter. When this bit is set, the CRS_CFGR register + is write-protected and cannot be + modified. + 5 + 1 + read-write + + + AUTOTRIMEN + Automatic trimming enable This bit + enables the automatic hardware adjustment of TRIM + bits according to the measured frequency error + between two SYNC events. If this bit is set, the TRIM + bits are read-only. The TRIM value can be adjusted by + hardware by one or two steps at a time, depending on + the measured frequency error value. Refer to + Section7.3.4: Frequency error evaluation and + automatic trimming for more details. + 6 + 1 + read-write + + + SWSYNC + Generate software SYNC event This bit is + set by software in order to generate a software SYNC + event. It is automatically cleared by + hardware. + 7 + 1 + read-only + + + TRIM + HSI48 oscillator smooth trimming These + bits provide a user-programmable trimming value to + the HSI48 oscillator. They can be programmed to + adjust to variations in voltage and temperature that + influence the frequency of the HSI48. The default + value is 32, which corresponds to the middle of the + trimming interval. The trimming step is around 67 kHz + between two consecutive TRIM steps. A higher TRIM + value corresponds to a higher output frequency. When + the AUTOTRIMEN bit is set, this field is controlled + by hardware and is read-only. + 8 + 6 + read-write + + + + + CFGR + CFGR + This register can be written only when the + frequency error counter is disabled (CEN bit is cleared + in CRS_CR). When the counter is enabled, this register is + write-protected. + 0x4 + 0x20 + read-write + 0x2022BB7F + + + RELOAD + Counter reload value RELOAD is the value + to be loaded in the frequency error counter with each + SYNC event. Refer to Section7.3.3: Frequency error + measurement for more details about counter + behavior. + 0 + 16 + + + FELIM + Frequency error limit FELIM contains the + value to be used to evaluate the captured frequency + error value latched in the FECAP[15:0] bits of the + CRS_ISR register. Refer to Section7.3.4: Frequency + error evaluation and automatic trimming for more + details about FECAP evaluation. + 16 + 8 + + + SYNCDIV + SYNC divider These bits are set and + cleared by software to control the division factor of + the SYNC signal. + 24 + 3 + + + SYNCSRC + SYNC signal source selection These bits + are set and cleared by software to select the SYNC + signal source. Note: When using USB LPM (Link Power + Management) and the device is in Sleep mode, the + periodic USB SOF will not be generated by the host. + No SYNC signal will therefore be provided to the CRS + to calibrate the HSI48 on the run. To guarantee the + required clock precision after waking up from Sleep + mode, the LSE or reference clock on the GPIOs should + be used as SYNC signal. + 28 + 2 + + + SYNCPOL + SYNC polarity selection This bit is set + and cleared by software to select the input polarity + for the SYNC signal source. + 31 + 1 + + + + + ISR + ISR + CRS interrupt and status + register + 0x8 + 0x20 + read-only + 0x00000000 + + + SYNCOKF + SYNC event OK flag This flag is set by + hardware when the measured frequency error is smaller + than FELIM * 3. This means that either no adjustment + of the TRIM value is needed or that an adjustment by + one trimming step is enough to compensate the + frequency error. An interrupt is generated if the + SYNCOKIE bit is set in the CRS_CR register. It is + cleared by software by setting the SYNCOKC bit in the + CRS_ICR register. + 0 + 1 + + + SYNCWARNF + SYNC warning flag This flag is set by + hardware when the measured frequency error is greater + than or equal to FELIM * 3, but smaller than FELIM * + 128. This means that to compensate the frequency + error, the TRIM value must be adjusted by two steps + or more. An interrupt is generated if the SYNCWARNIE + bit is set in the CRS_CR register. It is cleared by + software by setting the SYNCWARNC bit in the CRS_ICR + register. + 1 + 1 + + + ERRF + Error flag This flag is set by hardware + in case of any synchronization or trimming error. It + is the logical OR of the TRIMOVF, SYNCMISS and + SYNCERR bits. An interrupt is generated if the ERRIE + bit is set in the CRS_CR register. It is cleared by + software in reaction to setting the ERRC bit in the + CRS_ICR register, which clears the TRIMOVF, SYNCMISS + and SYNCERR bits. + 2 + 1 + + + ESYNCF + Expected SYNC flag This flag is set by + hardware when the frequency error counter reached a + zero value. An interrupt is generated if the ESYNCIE + bit is set in the CRS_CR register. It is cleared by + software by setting the ESYNCC bit in the CRS_ICR + register. + 3 + 1 + + + SYNCERR + SYNC error This flag is set by hardware + when the SYNC pulse arrives before the ESYNC event + and the measured frequency error is greater than or + equal to FELIM * 128. This means that the frequency + error is too big (internal frequency too low) to be + compensated by adjusting the TRIM value, and that + some other action should be taken. An interrupt is + generated if the ERRIE bit is set in the CRS_CR + register. It is cleared by software by setting the + ERRC bit in the CRS_ICR register. + 8 + 1 + + + SYNCMISS + SYNC missed This flag is set by hardware + when the frequency error counter reached value FELIM + * 128 and no SYNC was detected, meaning either that a + SYNC pulse was missed or that the frequency error is + too big (internal frequency too high) to be + compensated by adjusting the TRIM value, and that + some other action should be taken. At this point, the + frequency error counter is stopped (waiting for a + next SYNC) and an interrupt is generated if the ERRIE + bit is set in the CRS_CR register. It is cleared by + software by setting the ERRC bit in the CRS_ICR + register. + 9 + 1 + + + TRIMOVF + Trimming overflow or underflow This flag + is set by hardware when the automatic trimming tries + to over- or under-flow the TRIM value. An interrupt + is generated if the ERRIE bit is set in the CRS_CR + register. It is cleared by software by setting the + ERRC bit in the CRS_ICR register. + 10 + 1 + + + FEDIR + Frequency error direction FEDIR is the + counting direction of the frequency error counter + latched in the time of the last SYNC event. It shows + whether the actual frequency is below or above the + target. + 15 + 1 + + + FECAP + Frequency error capture FECAP is the + frequency error counter value latched in the time of + the last SYNC event. Refer to Section7.3.4: Frequency + error evaluation and automatic trimming for more + details about FECAP usage. + 16 + 16 + + + + + ICR + ICR + CRS interrupt flag clear + register + 0xC + 0x20 + read-write + 0x00000000 + + + SYNCOKC + SYNC event OK clear flag Writing 1 to + this bit clears the SYNCOKF flag in the CRS_ISR + register. + 0 + 1 + + + SYNCWARNC + SYNC warning clear flag Writing 1 to + this bit clears the SYNCWARNF flag in the CRS_ISR + register. + 1 + 1 + + + ERRC + Error clear flag Writing 1 to this bit + clears TRIMOVF, SYNCMISS and SYNCERR bits and + consequently also the ERRF flag in the CRS_ISR + register. + 2 + 1 + + + ESYNCC + Expected SYNC clear flag Writing 1 to + this bit clears the ESYNCF flag in the CRS_ISR + register. + 3 + 1 + + + + + + + DAC + DAC + DAC + 0x40007400 + + 0x0 + 0x400 + registers + + + + CR + CR + DAC control register + 0x0 + 0x20 + read-write + 0x00000000 + + + EN1 + DAC channel1 enable This bit is set and + cleared by software to enable/disable DAC + channel1. + 0 + 1 + + + TEN1 + DAC channel1 trigger + enable + 1 + 1 + + + TSEL1 + DAC channel1 trigger selection These + bits select the external event used to trigger DAC + channel1. Note: Only used if bit TEN1 = 1 (DAC + channel1 trigger enabled). + 2 + 3 + + + WAVE1 + DAC channel1 noise/triangle wave + generation enable These bits are set and cleared by + software. Note: Only used if bit TEN1 = 1 (DAC + channel1 trigger enabled). + 6 + 2 + + + MAMP1 + DAC channel1 mask/amplitude selector + These bits are written by software to select mask in + wave generation mode or amplitude in triangle + generation mode. = 1011: Unmask bits[11:0] of LFSR/ + triangle amplitude equal to 4095 + 8 + 4 + + + DMAEN1 + DAC channel1 DMA enable This bit is set + and cleared by software. + 12 + 1 + + + DMAUDRIE1 + DAC channel1 DMA Underrun Interrupt + enable This bit is set and cleared by + software. + 13 + 1 + + + CEN1 + DAC Channel 1 calibration enable This + bit is set and cleared by software to enable/disable + DAC channel 1 calibration, it can be written only if + bit EN1=0 into DAC_CR (the calibration mode can be + entered/exit only when the DAC channel is disabled) + Otherwise, the write operation is + ignored. + 14 + 1 + + + EN2 + DAC channel2 enable This bit is set and + cleared by software to enable/disable DAC + channel2. + 16 + 1 + + + TEN2 + DAC channel2 trigger + enable + 17 + 1 + + + TSEL2 + DAC channel2 trigger selection These + bits select the external event used to trigger DAC + channel2 Note: Only used if bit TEN2 = 1 (DAC + channel2 trigger enabled). + 18 + 3 + + + WAVE2 + DAC channel2 noise/triangle wave + generation enable These bits are set/reset by + software. 1x: Triangle wave generation enabled Note: + Only used if bit TEN2 = 1 (DAC channel2 trigger + enabled) + 22 + 2 + + + MAMP2 + DAC channel2 mask/amplitude selector + These bits are written by software to select mask in + wave generation mode or amplitude in triangle + generation mode. = 1011: Unmask bits[11:0] of LFSR/ + triangle amplitude equal to 4095 + 24 + 4 + + + DMAEN2 + DAC channel2 DMA enable This bit is set + and cleared by software. + 28 + 1 + + + DMAUDRIE2 + DAC channel2 DMA underrun interrupt + enable This bit is set and cleared by + software. + 29 + 1 + + + CEN2 + DAC Channel 2 calibration enable This + bit is set and cleared by software to enable/disable + DAC channel 2 calibration, it can be written only if + bit EN2=0 into DAC_CR (the calibration mode can be + entered/exit only when the DAC channel is disabled) + Otherwise, the write operation is + ignored. + 30 + 1 + + + + + SWTRGR + SWTRGR + DAC software trigger register + 0x4 + 0x20 + write-only + 0x00000000 + + + SWTRIG1 + DAC channel1 software trigger This bit + is set by software to trigger the DAC in software + trigger mode. Note: This bit is cleared by hardware + (one APB1 clock cycle later) once the DAC_DHR1 + register value has been loaded into the DAC_DOR1 + register. + 0 + 1 + + + SWTRIG2 + DAC channel2 software trigger This bit + is set by software to trigger the DAC in software + trigger mode. Note: This bit is cleared by hardware + (one APB1 clock cycle later) once the DAC_DHR2 + register value has been loaded into the DAC_DOR2 + register. + 1 + 1 + + + + + DHR12R1 + DHR12R1 + DAC channel1 12-bit right-aligned data + holding register + 0x8 + 0x20 + read-write + 0x00000000 + + + DACC1DHR + DAC channel1 12-bit right-aligned data + These bits are written by software which specifies + 12-bit data for DAC channel1. + 0 + 12 + + + + + DHR12L1 + DHR12L1 + DAC channel1 12-bit left aligned data + holding register + 0xC + 0x20 + read-write + 0x00000000 + + + DACC1DHR + DAC channel1 12-bit left-aligned data + These bits are written by software which specifies + 12-bit data for DAC channel1. + 4 + 12 + + + + + DHR8R1 + DHR8R1 + DAC channel1 8-bit right aligned data + holding register + 0x10 + 0x20 + read-write + 0x00000000 + + + DACC1DHR + DAC channel1 8-bit right-aligned data + These bits are written by software which specifies + 8-bit data for DAC channel1. + 0 + 8 + + + + + DHR12R2 + DHR12R2 + DAC channel2 12-bit right aligned data + holding register + 0x14 + 0x20 + read-write + 0x00000000 + + + DACC2DHR + DAC channel2 12-bit right-aligned data + These bits are written by software which specifies + 12-bit data for DAC channel2. + 0 + 12 + + + + + DHR12L2 + DHR12L2 + DAC channel2 12-bit left aligned data + holding register + 0x18 + 0x20 + read-write + 0x00000000 + + + DACC2DHR + DAC channel2 12-bit left-aligned data + These bits are written by software which specify + 12-bit data for DAC channel2. + 4 + 12 + + + + + DHR8R2 + DHR8R2 + DAC channel2 8-bit right-aligned data + holding register + 0x1C + 0x20 + read-write + 0x00000000 + + + DACC2DHR + DAC channel2 8-bit right-aligned data + These bits are written by software which specifies + 8-bit data for DAC channel2. + 0 + 8 + + + + + DHR12RD + DHR12RD + Dual DAC 12-bit right-aligned data holding + register + 0x20 + 0x20 + read-write + 0x00000000 + + + DACC1DHR + DAC channel1 12-bit right-aligned data + These bits are written by software which specifies + 12-bit data for DAC channel1. + 0 + 12 + + + DACC2DHR + DAC channel2 12-bit right-aligned data + These bits are written by software which specifies + 12-bit data for DAC channel2. + 16 + 12 + + + + + DHR12LD + DHR12LD + DUAL DAC 12-bit left aligned data holding + register + 0x24 + 0x20 + read-write + 0x00000000 + + + DACC1DHR + DAC channel1 12-bit left-aligned data + These bits are written by software which specifies + 12-bit data for DAC channel1. + 4 + 12 + + + DACC2DHR + DAC channel2 12-bit left-aligned data + These bits are written by software which specifies + 12-bit data for DAC channel2. + 20 + 12 + + + + + DHR8RD + DHR8RD + DUAL DAC 8-bit right aligned data holding + register + 0x28 + 0x20 + read-write + 0x00000000 + + + DACC1DHR + DAC channel1 8-bit right-aligned data + These bits are written by software which specifies + 8-bit data for DAC channel1. + 0 + 8 + + + DACC2DHR + DAC channel2 8-bit right-aligned data + These bits are written by software which specifies + 8-bit data for DAC channel2. + 8 + 8 + + + + + DOR1 + DOR1 + DAC channel1 data output + register + 0x2C + 0x20 + read-only + 0x00000000 + + + DACC1DOR + DAC channel1 data output These bits are + read-only, they contain data output for DAC + channel1. + 0 + 12 + + + + + DOR2 + DOR2 + DAC channel2 data output + register + 0x30 + 0x20 + read-only + 0x00000000 + + + DACC2DOR + DAC channel2 data output These bits are + read-only, they contain data output for DAC + channel2. + 0 + 12 + + + + + SR + SR + DAC status register + 0x34 + 0x20 + 0x00000000 + + + DMAUDR1 + DAC channel1 DMA underrun flag This bit + is set by hardware and cleared by software (by + writing it to 1). + 13 + 1 + read-write + + + CAL_FLAG1 + DAC Channel 1 calibration offset status + This bit is set and cleared by hardware + 14 + 1 + read-only + + + BWST1 + DAC Channel 1 busy writing sample time + flag This bit is systematically set just after Sample + & Hold mode enable and is set each time the + software writes the register DAC_SHSR1, It is cleared + by hardware when the write operation of DAC_SHSR1 is + complete. (It takes about 3LSI periods of + synchronization). + 15 + 1 + read-only + + + DMAUDR2 + DAC channel2 DMA underrun flag This bit + is set by hardware and cleared by software (by + writing it to 1). + 29 + 1 + read-write + + + CAL_FLAG2 + DAC Channel 2 calibration offset status + This bit is set and cleared by hardware + 30 + 1 + read-only + + + BWST2 + DAC Channel 2 busy writing sample time + flag This bit is systematically set just after Sample + & Hold mode enable and is set each time the + software writes the register DAC_SHSR2, It is cleared + by hardware when the write operation of DAC_SHSR2 is + complete. (It takes about 3 LSI periods of + synchronization). + 31 + 1 + read-only + + + + + CCR + CCR + DAC calibration control + register + 0x38 + 0x20 + read-write + 0x00000000 + + + OTRIM1 + DAC Channel 1 offset trimming + value + 0 + 5 + + + OTRIM2 + DAC Channel 2 offset trimming + value + 16 + 5 + + + + + MCR + MCR + DAC mode control register + 0x3C + 0x20 + read-write + 0x00000000 + + + MODE1 + DAC Channel 1 mode These bits can be + written only when the DAC is disabled and not in the + calibration mode (when bit EN1=0 and bit CEN1 =0 in + the DAC_CR register). If EN1=1 or CEN1 =1 the write + operation is ignored. They can be set and cleared by + software to select the DAC Channel 1 mode: DAC + Channel 1 in normal Mode DAC Channel 1 in sample + &amp; hold mode + 0 + 3 + + + MODE2 + DAC Channel 2 mode These bits can be + written only when the DAC is disabled and not in the + calibration mode (when bit EN2=0 and bit CEN2 =0 in + the DAC_CR register). If EN2=1 or CEN2 =1 the write + operation is ignored. They can be set and cleared by + software to select the DAC Channel 2 mode: DAC + Channel 2 in normal Mode DAC Channel 2 in sample + &amp; hold mode + 16 + 3 + + + + + SHSR1 + SHSR1 + DAC Sample and Hold sample time register + 1 + 0x40 + 0x20 + read-write + 0x00000000 + + + TSAMPLE1 + DAC Channel 1 sample Time (only valid in + sample &amp; hold mode) These bits can be written + when the DAC channel1 is disabled or also during + normal operation. in the latter case, the write can + be done only when BWSTx of DAC_SR register is low, If + BWSTx=1, the write operation is + ignored. + 0 + 10 + + + + + SHSR2 + SHSR2 + DAC Sample and Hold sample time register + 2 + 0x44 + 0x20 + read-write + 0x00000000 + + + TSAMPLE2 + DAC Channel 2 sample Time (only valid in + sample &amp; hold mode) These bits can be written + when the DAC channel2 is disabled or also during + normal operation. in the latter case, the write can + be done only when BWSTx of DAC_SR register is low, if + BWSTx=1, the write operation is + ignored. + 0 + 10 + + + + + SHHR + SHHR + DAC Sample and Hold hold time + register + 0x48 + 0x20 + read-write + 0x00010001 + + + THOLD1 + DAC Channel 1 hold Time (only valid in + sample &amp; hold mode) Hold time= (THOLD[9:0]) x + T LSI + 0 + 10 + + + THOLD2 + DAC Channel 2 hold time (only valid in + sample &amp; hold mode). Hold time= (THOLD[9:0]) + x T LSI + 16 + 10 + + + + + SHRR + SHRR + DAC Sample and Hold refresh time + register + 0x4C + 0x20 + read-write + 0x00010001 + + + TREFRESH1 + DAC Channel 1 refresh Time (only valid + in sample &amp; hold mode) Refresh time= + (TREFRESH[7:0]) x T LSI + 0 + 8 + + + TREFRESH2 + DAC Channel 2 refresh Time (only valid + in sample &amp; hold mode) Refresh time= + (TREFRESH[7:0]) x T LSI + 16 + 8 + + + + + + + BDMA + BDMA + BDMA + 0x58025400 + + 0x0 + 0x400 + registers + + + BDMA_CH0 + BDMA channel 0 interrupt + 129 + + + BDMA_CH1 + BDMA channel 1 interrupt + 130 + + + BDMA_CH2 + BDMA channel 2 interrupt + 131 + + + BDMA_CH3 + BDMA channel 3 interrupt + 132 + + + BDMA_CH4 + BDMA channel 4 interrupt + 133 + + + BDMA_CH5 + BDMA channel 5 interrupt + 134 + + + BDMA_CH6 + BDMA channel 6 interrupt + 135 + + + BDMA_CH7 + BDMA channel 7 interrupt + 136 + + + + BDMA_ISR + BDMA_ISR + BDMA interrupt status register + 0x0 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + GIF0 + global interrupt flag for channel 0 + 0 + 1 + read-only + + + B_0x0 + no TE, HT or TC event + 0x0 + + + B_0x1 + a TE, HT or TC event occurred + 0x1 + + + + + TCIF0 + transfer complete (TC) flag for channel 0 + 1 + 1 + read-only + + + B_0x0 + no TC event + 0x0 + + + B_0x1 + a TC event occurred + 0x1 + + + + + HTIF0 + half transfer (HT) flag for channel 0 + 2 + 1 + read-only + + + B_0x0 + no HT event + 0x0 + + + B_0x1 + a HT event occurred + 0x1 + + + + + TEIF0 + transfer error (TE) flag for channel 0 + 3 + 1 + read-only + + + B_0x0 + no TE event + 0x0 + + + B_0x1 + a TE event occurred + 0x1 + + + + + GIF1 + global interrupt flag for channel 1 + 4 + 1 + read-only + + + B_0x0 + no TE, HT or TC event + 0x0 + + + B_0x1 + a TE, HT or TC event occurred + 0x1 + + + + + TCIF1 + transfer complete (TC) flag for channel 1 + 5 + 1 + read-only + + + B_0x0 + no TC event + 0x0 + + + B_0x1 + a TC event occurred + 0x1 + + + + + HTIF1 + half transfer (HT) flag for channel 1 + 6 + 1 + read-only + + + B_0x0 + no HT event + 0x0 + + + B_0x1 + a HT event occurred + 0x1 + + + + + TEIF1 + transfer error (TE) flag for channel 1 + 7 + 1 + read-only + + + B_0x0 + no TE event + 0x0 + + + B_0x1 + a TE event occurred + 0x1 + + + + + GIF2 + global interrupt flag for channel 2 + 8 + 1 + read-only + + + B_0x0 + no TE, HT or TC event + 0x0 + + + B_0x1 + a TE, HT or TC event occurred + 0x1 + + + + + TCIF2 + transfer complete (TC) flag for channel 2 + 9 + 1 + read-only + + + B_0x0 + no TC event + 0x0 + + + B_0x1 + a TC event occurred + 0x1 + + + + + HTIF2 + half transfer (HT) flag for channel 2 + 10 + 1 + read-only + + + B_0x0 + no HT event + 0x0 + + + B_0x1 + a HT event occurred + 0x1 + + + + + TEIF2 + transfer error (TE) flag for channel 2 + 11 + 1 + read-only + + + B_0x0 + no TE event + 0x0 + + + B_0x1 + a TE event occurred + 0x1 + + + + + GIF3 + global interrupt flag for channel 3 + 12 + 1 + read-only + + + B_0x0 + no TE, HT or TC event + 0x0 + + + B_0x1 + a TE, HT or TC event occurred + 0x1 + + + + + TCIF3 + transfer complete (TC) flag for channel 3 + 13 + 1 + read-only + + + B_0x0 + no TC event + 0x0 + + + B_0x1 + a TC event occurred + 0x1 + + + + + HTIF3 + half transfer (HT) flag for channel 3 + 14 + 1 + read-only + + + B_0x0 + no HT event + 0x0 + + + B_0x1 + a HT event occurred + 0x1 + + + + + TEIF3 + transfer error (TE) flag for channel 3 + 15 + 1 + read-only + + + B_0x0 + no TE event + 0x0 + + + B_0x1 + a TE event occurred + 0x1 + + + + + GIF4 + global interrupt flag for channel 4 + 16 + 1 + read-only + + + B_0x0 + no TE, HT or TC event + 0x0 + + + B_0x1 + a TE, HT or TC event occurred + 0x1 + + + + + TCIF4 + transfer complete (TC) flag for channel 4 + 17 + 1 + read-only + + + B_0x0 + no TC event + 0x0 + + + B_0x1 + a TC event occurred + 0x1 + + + + + HTIF4 + half transfer (HT) flag for channel 4 + 18 + 1 + read-only + + + B_0x0 + no HT event + 0x0 + + + B_0x1 + a HT event occurred + 0x1 + + + + + TEIF4 + transfer error (TE) flag for channel 4 + 19 + 1 + read-only + + + B_0x0 + no TE event + 0x0 + + + B_0x1 + a TE event occurred + 0x1 + + + + + GIF5 + global interrupt flag for channel 5 + 20 + 1 + read-only + + + B_0x0 + no TE, HT or TC event + 0x0 + + + B_0x1 + a TE, HT or TC event occurred + 0x1 + + + + + TCIF5 + transfer complete (TC) flag for channel 5 + 21 + 1 + read-only + + + B_0x0 + no TC event + 0x0 + + + B_0x1 + a TC event occurred + 0x1 + + + + + HTIF5 + half transfer (HT) flag for channel 5 + 22 + 1 + read-only + + + B_0x0 + no HT event + 0x0 + + + B_0x1 + a HT event occurred + 0x1 + + + + + TEIF5 + transfer error (TE) flag for channel 5 + 23 + 1 + read-only + + + B_0x0 + no TE event + 0x0 + + + B_0x1 + a TE event occurred + 0x1 + + + + + GIF6 + global interrupt flag for channel 6 + 24 + 1 + read-only + + + B_0x0 + no TE, HT or TC event + 0x0 + + + B_0x1 + a TE, HT or TC event occurred + 0x1 + + + + + TCIF6 + transfer complete (TC) flag for channel 6 + 25 + 1 + read-only + + + B_0x0 + no TC event + 0x0 + + + B_0x1 + a TC event occurred + 0x1 + + + + + HTIF6 + half transfer (HT) flag for channel 6 + 26 + 1 + read-only + + + B_0x0 + no HT event + 0x0 + + + B_0x1 + a HT event occurred + 0x1 + + + + + TEIF6 + transfer error (TE) flag for channel 6 + 27 + 1 + read-only + + + B_0x0 + no TE event + 0x0 + + + B_0x1 + a TE event occurred + 0x1 + + + + + GIF7 + global interrupt flag for channel 7 + 28 + 1 + read-only + + + B_0x0 + no TE, HT or TC event + 0x0 + + + B_0x1 + a TE, HT or TC event occurred + 0x1 + + + + + TCIF7 + transfer complete (TC) flag for channel 7 + 29 + 1 + read-only + + + B_0x0 + no TC event + 0x0 + + + B_0x1 + a TC event occurred + 0x1 + + + + + HTIF7 + half transfer (HT) flag for channel 7 + 30 + 1 + read-only + + + B_0x0 + no HT event + 0x0 + + + B_0x1 + a HT event occurred + 0x1 + + + + + TEIF7 + transfer error (TE) flag for channel 7 + 31 + 1 + read-only + + + B_0x0 + no TE event + 0x0 + + + B_0x1 + a TE event occurred + 0x1 + + + + + + + BDMA_IFCR + BDMA_IFCR + BDMA interrupt flag clear register + 0x4 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + CGIF0 + global interrupt flag clear for channel 0 + 0 + 1 + write-only + + + CTCIF0 + transfer complete flag clear for channel 0 + 1 + 1 + write-only + + + CHTIF0 + half transfer flag clear for channel 0 + 2 + 1 + write-only + + + CTEIF0 + transfer error flag clear for channel 0 + 3 + 1 + write-only + + + CGIF1 + global interrupt flag clear for channel 0 + 4 + 1 + write-only + + + CTCIF1 + transfer complete flag clear for channel 1 + 5 + 1 + write-only + + + CHTIF1 + half transfer flag clear for channel 1 + 6 + 1 + write-only + + + CTEIF1 + transfer error flag clear for channel 1 + 7 + 1 + write-only + + + CGIF2 + global interrupt flag clear for channel 2 + 8 + 1 + write-only + + + CTCIF2 + transfer complete flag clear for channel 2 + 9 + 1 + write-only + + + CHTIF2 + half transfer flag clear for channe2 + 10 + 1 + write-only + + + CTEIF2 + transfer error flag clear for channel 2 + 11 + 1 + write-only + + + CGIF3 + global interrupt flag clear for channel 3 + 12 + 1 + write-only + + + CTCIF3 + transfer complete flag clear for channel 3 + 13 + 1 + write-only + + + CHTIF3 + half transfer flag clear for channel 3 + 14 + 1 + write-only + + + CTEIF3 + transfer error flag clear for channel 3 + 15 + 1 + write-only + + + CGIF4 + global interrupt flag clear for channel 4 + 16 + 1 + write-only + + + CTCIF4 + transfer complete flag clear for channel 4 + 17 + 1 + write-only + + + CHTIF4 + half transfer flag clear for channel 4 + 18 + 1 + write-only + + + CTEIF4 + transfer error flag clear for channel 4 + 19 + 1 + write-only + + + CGIF5 + global interrupt flag clear for channel 5 + 20 + 1 + write-only + + + CTCIF5 + transfer complete flag clear for channel 5 + 21 + 1 + write-only + + + CHTIF5 + half transfer flag clear for channel 5 + 22 + 1 + write-only + + + CTEIF5 + transfer error flag clear for channel 5 + 23 + 1 + write-only + + + CGIF6 + global interrupt flag clear for channel 6 + 24 + 1 + write-only + + + CTCIF6 + transfer complete flag clear for channel 6 + 25 + 1 + write-only + + + CHTIF6 + half transfer flag clear for channel 6 + 26 + 1 + write-only + + + CTEIF6 + transfer error flag clear for channel 6 + 27 + 1 + write-only + + + CGIF7 + global interrupt flag clear for channel 7 + 28 + 1 + write-only + + + CTCIF7 + transfer complete flag clear for channel 7 + 29 + 1 + write-only + + + CHTIF7 + half transfer flag clear for channel 7 + 30 + 1 + write-only + + + CTEIF7 + transfer error flag clear for channel 7 + 31 + 1 + write-only + + + + + BDMA_CCR0 + BDMA_CCR0 + BDMA channel 0 configuration register + 0x8 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + EN + channel enable +When a channel transfer error occurs, this bit is cleared by hardware. It can not be set again by software (channel x re-activated) until the TEIFx bit of the BDMA_ISR register is cleared (by setting the CTEIFx bit of the BDMA_IFCR register). +Note: this bit is set and cleared by software. + 0 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TCIE + transfer complete interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 1 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + HTIE + half transfer interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 2 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TEIE + transfer error interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 3 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DIR + data transfer direction +This bit must be set only in memory-to-peripheral and peripheral-to-memory modes. +Source attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Destination attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Destination attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Source attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 4 + 1 + read-write + + + B_0x0 + read from peripheral + 0x0 + + + B_0x1 + read from memory + 0x1 + + + + + CIRC + circular mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 5 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PINC + peripheral increment mode +Defines the increment mode for each DMA transfer to the identified peripheral. +n memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 6 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + MINC + memory increment mode +Defines the increment mode for each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 7 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PSIZE + peripheral size +Defines the data size of each DMA transfer to the identified peripheral. +In memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 8 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + MSIZE + memory size +Defines the data size of each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 10 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + PL + priority level +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 12 + 2 + read-write + + + B_0x0 + low + 0x0 + + + B_0x1 + medium + 0x1 + + + B_0x2 + high + 0x2 + + + B_0x3 + very high + 0x3 + + + + + MEM2MEM + memory-to-memory mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 14 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DBM + double-buffer mode +This bit must be set only in memory-to-peripheral and peripheral-to-memory transfers (MEM2MEM=0). The CIRC bit must also be set in double buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 15 + 1 + read-write + + + B_0x0 + disabled (no memory address switch at the end of the BDMA transfer) + 0x0 + + + B_0x1 + enabled (memory address switched at the end of the BDMA transfer) + 0x1 + + + + + CT + current target memory of DMA transfer in double-buffer mode +This bit is toggled by hardware at the end of each channel transfer in double-buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 16 + 1 + read-write + + + B_0x0 + memory 0 (addressed by the BDMA_CM0AR pointer) + 0x0 + + + B_0x1 + memory 1 (addressed by the BDMA_CM1AR pointer) + 0x1 + + + + + + + BDMA_CNDTR0 + BDMA_CNDTR0 + 0xc + 0x20 + 0x00000000 + 0xFFFFFFFF + + + NDT + number of data to transfer (0 to 216 - 1) +This field is updated by hardware when the channel is enabled: +It is decremented after each single BDMA ‘read followed by write’ transfer, indicating the remaining amount of data items to transfer. +It is kept at zero when the programmed amount of data to transfer is reached, if the channel is not in circular mode (CIRC = 0 in the BDMA_CCRx register). +It is reloaded automatically by the previously programmed value, when the transfer is complete, if the channel is in circular mode (CIRC = 1). +If this field is zero, no transfer can be served whatever the channel status (enabled or not). +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 0 + 16 + read-write + + + + + BDMA_CPAR0 + BDMA_CPAR0 + 0x10 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + PA + peripheral address +It contains the base address of the peripheral data register from/to which the data is read/written. +When PSIZE[1:0] = 01 (16 bits), bit 0 of PA[31:0] is ignored. Access is automatically aligned to a half-word address. +When PSIZE = 10 (32 bits), bits 1 and 0 of PA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory destination address if DIR = 1 and the memory source address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral destination address DIR = 1 and the peripheral source address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM0AR0 + BDMA_CM0AR0 + 0x14 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM1AR0 + BDMA_CM1AR0 + 0x18 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CCR1 + BDMA_CCR1 + BDMA channel 1 configuration register + 0x1c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + EN + channel enable +When a channel transfer error occurs, this bit is cleared by hardware. It can not be set again by software (channel x re-activated) until the TEIFx bit of the BDMA_ISR register is cleared (by setting the CTEIFx bit of the BDMA_IFCR register). +Note: this bit is set and cleared by software. + 0 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TCIE + transfer complete interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 1 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + HTIE + half transfer interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 2 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TEIE + transfer error interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 3 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DIR + data transfer direction +This bit must be set only in memory-to-peripheral and peripheral-to-memory modes. +Source attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Destination attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Destination attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Source attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 4 + 1 + read-write + + + B_0x0 + read from peripheral + 0x0 + + + B_0x1 + read from memory + 0x1 + + + + + CIRC + circular mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 5 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PINC + peripheral increment mode +Defines the increment mode for each DMA transfer to the identified peripheral. +n memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 6 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + MINC + memory increment mode +Defines the increment mode for each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 7 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PSIZE + peripheral size +Defines the data size of each DMA transfer to the identified peripheral. +In memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 8 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + MSIZE + memory size +Defines the data size of each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 10 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + PL + priority level +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 12 + 2 + read-write + + + B_0x0 + low + 0x0 + + + B_0x1 + medium + 0x1 + + + B_0x2 + high + 0x2 + + + B_0x3 + very high + 0x3 + + + + + MEM2MEM + memory-to-memory mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 14 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DBM + double-buffer mode +This bit must be set only in memory-to-peripheral and peripheral-to-memory transfers (MEM2MEM=0). The CIRC bit must also be set in double buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 15 + 1 + read-write + + + B_0x0 + disabled (no memory address switch at the end of the BDMA transfer) + 0x0 + + + B_0x1 + enabled (memory address switched at the end of the BDMA transfer) + 0x1 + + + + + CT + current target memory of DMA transfer in double-buffer mode +This bit is toggled by hardware at the end of each channel transfer in double-buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 16 + 1 + read-write + + + B_0x0 + memory 0 (addressed by the BDMA_CM0AR pointer) + 0x0 + + + B_0x1 + memory 1 (addressed by the BDMA_CM1AR pointer) + 0x1 + + + + + + + BDMA_CNDTR1 + BDMA_CNDTR1 + 0x20 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + NDT + number of data to transfer (0 to 216 - 1) +This field is updated by hardware when the channel is enabled: +It is decremented after each single BDMA ‘read followed by write’ transfer, indicating the remaining amount of data items to transfer. +It is kept at zero when the programmed amount of data to transfer is reached, if the channel is not in circular mode (CIRC = 0 in the BDMA_CCRx register). +It is reloaded automatically by the previously programmed value, when the transfer is complete, if the channel is in circular mode (CIRC = 1). +If this field is zero, no transfer can be served whatever the channel status (enabled or not). +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 0 + 16 + read-write + + + + + BDMA_CPAR1 + BDMA_CPAR1 + 0x24 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + PA + peripheral address +It contains the base address of the peripheral data register from/to which the data is read/written. +When PSIZE[1:0] = 01 (16 bits), bit 0 of PA[31:0] is ignored. Access is automatically aligned to a half-word address. +When PSIZE = 10 (32 bits), bits 1 and 0 of PA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory destination address if DIR = 1 and the memory source address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral destination address DIR = 1 and the peripheral source address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM0AR1 + BDMA_CM0AR1 + 0x28 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM1AR1 + BDMA_CM1AR1 + 0x2c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CCR2 + BDMA_CCR2 + BDMA channel 2 configuration register + 0x30 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + EN + channel enable +When a channel transfer error occurs, this bit is cleared by hardware. It can not be set again by software (channel x re-activated) until the TEIFx bit of the BDMA_ISR register is cleared (by setting the CTEIFx bit of the BDMA_IFCR register). +Note: this bit is set and cleared by software. + 0 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TCIE + transfer complete interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 1 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + HTIE + half transfer interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 2 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TEIE + transfer error interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 3 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DIR + data transfer direction +This bit must be set only in memory-to-peripheral and peripheral-to-memory modes. +Source attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Destination attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Destination attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Source attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 4 + 1 + read-write + + + B_0x0 + read from peripheral + 0x0 + + + B_0x1 + read from memory + 0x1 + + + + + CIRC + circular mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 5 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PINC + peripheral increment mode +Defines the increment mode for each DMA transfer to the identified peripheral. +n memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 6 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + MINC + memory increment mode +Defines the increment mode for each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 7 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PSIZE + peripheral size +Defines the data size of each DMA transfer to the identified peripheral. +In memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 8 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + MSIZE + memory size +Defines the data size of each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 10 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + PL + priority level +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 12 + 2 + read-write + + + B_0x0 + low + 0x0 + + + B_0x1 + medium + 0x1 + + + B_0x2 + high + 0x2 + + + B_0x3 + very high + 0x3 + + + + + MEM2MEM + memory-to-memory mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 14 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DBM + double-buffer mode +This bit must be set only in memory-to-peripheral and peripheral-to-memory transfers (MEM2MEM=0). The CIRC bit must also be set in double buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 15 + 1 + read-write + + + B_0x0 + disabled (no memory address switch at the end of the BDMA transfer) + 0x0 + + + B_0x1 + enabled (memory address switched at the end of the BDMA transfer) + 0x1 + + + + + CT + current target memory of DMA transfer in double-buffer mode +This bit is toggled by hardware at the end of each channel transfer in double-buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 16 + 1 + read-write + + + B_0x0 + memory 0 (addressed by the BDMA_CM0AR pointer) + 0x0 + + + B_0x1 + memory 1 (addressed by the BDMA_CM1AR pointer) + 0x1 + + + + + + + BDMA_CNDTR2 + BDMA_CNDTR2 + 0x34 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + NDT + number of data to transfer (0 to 216 - 1) +This field is updated by hardware when the channel is enabled: +It is decremented after each single BDMA ‘read followed by write’ transfer, indicating the remaining amount of data items to transfer. +It is kept at zero when the programmed amount of data to transfer is reached, if the channel is not in circular mode (CIRC = 0 in the BDMA_CCRx register). +It is reloaded automatically by the previously programmed value, when the transfer is complete, if the channel is in circular mode (CIRC = 1). +If this field is zero, no transfer can be served whatever the channel status (enabled or not). +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 0 + 16 + read-write + + + + + BDMA_CPAR2 + BDMA_CPAR2 + 0x38 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + PA + peripheral address +It contains the base address of the peripheral data register from/to which the data is read/written. +When PSIZE[1:0] = 01 (16 bits), bit 0 of PA[31:0] is ignored. Access is automatically aligned to a half-word address. +When PSIZE = 10 (32 bits), bits 1 and 0 of PA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory destination address if DIR = 1 and the memory source address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral destination address DIR = 1 and the peripheral source address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM0AR2 + BDMA_CM0AR2 + 0x3c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM1AR2 + BDMA_CM1AR2 + 0x40 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CCR3 + BDMA_CCR3 + BDMA channel 3 configuration register + 0x44 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + EN + channel enable +When a channel transfer error occurs, this bit is cleared by hardware. It can not be set again by software (channel x re-activated) until the TEIFx bit of the BDMA_ISR register is cleared (by setting the CTEIFx bit of the BDMA_IFCR register). +Note: this bit is set and cleared by software. + 0 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TCIE + transfer complete interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 1 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + HTIE + half transfer interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 2 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TEIE + transfer error interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 3 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DIR + data transfer direction +This bit must be set only in memory-to-peripheral and peripheral-to-memory modes. +Source attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Destination attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Destination attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Source attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 4 + 1 + read-write + + + B_0x0 + read from peripheral + 0x0 + + + B_0x1 + read from memory + 0x1 + + + + + CIRC + circular mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 5 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PINC + peripheral increment mode +Defines the increment mode for each DMA transfer to the identified peripheral. +n memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 6 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + MINC + memory increment mode +Defines the increment mode for each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 7 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PSIZE + peripheral size +Defines the data size of each DMA transfer to the identified peripheral. +In memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 8 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + MSIZE + memory size +Defines the data size of each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 10 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + PL + priority level +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 12 + 2 + read-write + + + B_0x0 + low + 0x0 + + + B_0x1 + medium + 0x1 + + + B_0x2 + high + 0x2 + + + B_0x3 + very high + 0x3 + + + + + MEM2MEM + memory-to-memory mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 14 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DBM + double-buffer mode +This bit must be set only in memory-to-peripheral and peripheral-to-memory transfers (MEM2MEM=0). The CIRC bit must also be set in double buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 15 + 1 + read-write + + + B_0x0 + disabled (no memory address switch at the end of the BDMA transfer) + 0x0 + + + B_0x1 + enabled (memory address switched at the end of the BDMA transfer) + 0x1 + + + + + CT + current target memory of DMA transfer in double-buffer mode +This bit is toggled by hardware at the end of each channel transfer in double-buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 16 + 1 + read-write + + + B_0x0 + memory 0 (addressed by the BDMA_CM0AR pointer) + 0x0 + + + B_0x1 + memory 1 (addressed by the BDMA_CM1AR pointer) + 0x1 + + + + + + + BDMA_CNDTR3 + BDMA_CNDTR3 + 0x48 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + NDT + number of data to transfer (0 to 216 - 1) +This field is updated by hardware when the channel is enabled: +It is decremented after each single BDMA ‘read followed by write’ transfer, indicating the remaining amount of data items to transfer. +It is kept at zero when the programmed amount of data to transfer is reached, if the channel is not in circular mode (CIRC = 0 in the BDMA_CCRx register). +It is reloaded automatically by the previously programmed value, when the transfer is complete, if the channel is in circular mode (CIRC = 1). +If this field is zero, no transfer can be served whatever the channel status (enabled or not). +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 0 + 16 + read-write + + + + + BDMA_CPAR3 + BDMA_CPAR3 + 0x4c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + PA + peripheral address +It contains the base address of the peripheral data register from/to which the data is read/written. +When PSIZE[1:0] = 01 (16 bits), bit 0 of PA[31:0] is ignored. Access is automatically aligned to a half-word address. +When PSIZE = 10 (32 bits), bits 1 and 0 of PA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory destination address if DIR = 1 and the memory source address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral destination address DIR = 1 and the peripheral source address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM0AR3 + BDMA_CM0AR3 + 0x50 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM1AR3 + BDMA_CM1AR3 + 0x54 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CCR4 + BDMA_CCR4 + BDMA channel 4 configuration register + 0x58 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + EN + channel enable +When a channel transfer error occurs, this bit is cleared by hardware. It can not be set again by software (channel x re-activated) until the TEIFx bit of the BDMA_ISR register is cleared (by setting the CTEIFx bit of the BDMA_IFCR register). +Note: this bit is set and cleared by software. + 0 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TCIE + transfer complete interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 1 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + HTIE + half transfer interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 2 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TEIE + transfer error interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 3 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DIR + data transfer direction +This bit must be set only in memory-to-peripheral and peripheral-to-memory modes. +Source attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Destination attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Destination attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Source attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 4 + 1 + read-write + + + B_0x0 + read from peripheral + 0x0 + + + B_0x1 + read from memory + 0x1 + + + + + CIRC + circular mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 5 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PINC + peripheral increment mode +Defines the increment mode for each DMA transfer to the identified peripheral. +n memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 6 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + MINC + memory increment mode +Defines the increment mode for each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 7 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PSIZE + peripheral size +Defines the data size of each DMA transfer to the identified peripheral. +In memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 8 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + MSIZE + memory size +Defines the data size of each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 10 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + PL + priority level +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 12 + 2 + read-write + + + B_0x0 + low + 0x0 + + + B_0x1 + medium + 0x1 + + + B_0x2 + high + 0x2 + + + B_0x3 + very high + 0x3 + + + + + MEM2MEM + memory-to-memory mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 14 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DBM + double-buffer mode +This bit must be set only in memory-to-peripheral and peripheral-to-memory transfers (MEM2MEM=0). The CIRC bit must also be set in double buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 15 + 1 + read-write + + + B_0x0 + disabled (no memory address switch at the end of the BDMA transfer) + 0x0 + + + B_0x1 + enabled (memory address switched at the end of the BDMA transfer) + 0x1 + + + + + CT + current target memory of DMA transfer in double-buffer mode +This bit is toggled by hardware at the end of each channel transfer in double-buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 16 + 1 + read-write + + + B_0x0 + memory 0 (addressed by the BDMA_CM0AR pointer) + 0x0 + + + B_0x1 + memory 1 (addressed by the BDMA_CM1AR pointer) + 0x1 + + + + + + + BDMA_CNDTR4 + BDMA_CNDTR4 + 0x5c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + NDT + number of data to transfer (0 to 216 - 1) +This field is updated by hardware when the channel is enabled: +It is decremented after each single BDMA ‘read followed by write’ transfer, indicating the remaining amount of data items to transfer. +It is kept at zero when the programmed amount of data to transfer is reached, if the channel is not in circular mode (CIRC = 0 in the BDMA_CCRx register). +It is reloaded automatically by the previously programmed value, when the transfer is complete, if the channel is in circular mode (CIRC = 1). +If this field is zero, no transfer can be served whatever the channel status (enabled or not). +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 0 + 16 + read-write + + + + + BDMA_CPAR4 + BDMA_CPAR4 + 0x60 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + PA + peripheral address +It contains the base address of the peripheral data register from/to which the data is read/written. +When PSIZE[1:0] = 01 (16 bits), bit 0 of PA[31:0] is ignored. Access is automatically aligned to a half-word address. +When PSIZE = 10 (32 bits), bits 1 and 0 of PA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory destination address if DIR = 1 and the memory source address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral destination address DIR = 1 and the peripheral source address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM0AR4 + BDMA_CM0AR4 + 0x64 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM1AR4 + BDMA_CM1AR4 + 0x68 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CCR5 + BDMA_CCR5 + BDMA channel 5 configuration register + 0x6c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + EN + channel enable +When a channel transfer error occurs, this bit is cleared by hardware. It can not be set again by software (channel x re-activated) until the TEIFx bit of the BDMA_ISR register is cleared (by setting the CTEIFx bit of the BDMA_IFCR register). +Note: this bit is set and cleared by software. + 0 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TCIE + transfer complete interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 1 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + HTIE + half transfer interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 2 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TEIE + transfer error interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 3 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DIR + data transfer direction +This bit must be set only in memory-to-peripheral and peripheral-to-memory modes. +Source attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Destination attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Destination attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Source attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 4 + 1 + read-write + + + B_0x0 + read from peripheral + 0x0 + + + B_0x1 + read from memory + 0x1 + + + + + CIRC + circular mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 5 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PINC + peripheral increment mode +Defines the increment mode for each DMA transfer to the identified peripheral. +n memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 6 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + MINC + memory increment mode +Defines the increment mode for each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 7 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PSIZE + peripheral size +Defines the data size of each DMA transfer to the identified peripheral. +In memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 8 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + MSIZE + memory size +Defines the data size of each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 10 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + PL + priority level +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 12 + 2 + read-write + + + B_0x0 + low + 0x0 + + + B_0x1 + medium + 0x1 + + + B_0x2 + high + 0x2 + + + B_0x3 + very high + 0x3 + + + + + MEM2MEM + memory-to-memory mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 14 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DBM + double-buffer mode +This bit must be set only in memory-to-peripheral and peripheral-to-memory transfers (MEM2MEM=0). The CIRC bit must also be set in double buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 15 + 1 + read-write + + + B_0x0 + disabled (no memory address switch at the end of the BDMA transfer) + 0x0 + + + B_0x1 + enabled (memory address switched at the end of the BDMA transfer) + 0x1 + + + + + CT + current target memory of DMA transfer in double-buffer mode +This bit is toggled by hardware at the end of each channel transfer in double-buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 16 + 1 + read-write + + + B_0x0 + memory 0 (addressed by the BDMA_CM0AR pointer) + 0x0 + + + B_0x1 + memory 1 (addressed by the BDMA_CM1AR pointer) + 0x1 + + + + + + + BDMA_CNDTR5 + BDMA_CNDTR5 + 0x70 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + NDT + number of data to transfer (0 to 216 - 1) +This field is updated by hardware when the channel is enabled: +It is decremented after each single BDMA ‘read followed by write’ transfer, indicating the remaining amount of data items to transfer. +It is kept at zero when the programmed amount of data to transfer is reached, if the channel is not in circular mode (CIRC = 0 in the BDMA_CCRx register). +It is reloaded automatically by the previously programmed value, when the transfer is complete, if the channel is in circular mode (CIRC = 1). +If this field is zero, no transfer can be served whatever the channel status (enabled or not). +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 0 + 16 + read-write + + + + + BDMA_CPAR5 + BDMA_CPAR5 + 0x74 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + PA + peripheral address +It contains the base address of the peripheral data register from/to which the data is read/written. +When PSIZE[1:0] = 01 (16 bits), bit 0 of PA[31:0] is ignored. Access is automatically aligned to a half-word address. +When PSIZE = 10 (32 bits), bits 1 and 0 of PA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory destination address if DIR = 1 and the memory source address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral destination address DIR = 1 and the peripheral source address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM0AR5 + BDMA_CM0AR5 + 0x78 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM1AR5 + BDMA_CM1AR5 + 0x7c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CCR6 + BDMA_CCR6 + BDMA channel 6 configuration register + 0x80 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + EN + channel enable +When a channel transfer error occurs, this bit is cleared by hardware. It can not be set again by software (channel x re-activated) until the TEIFx bit of the BDMA_ISR register is cleared (by setting the CTEIFx bit of the BDMA_IFCR register). +Note: this bit is set and cleared by software. + 0 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TCIE + transfer complete interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 1 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + HTIE + half transfer interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 2 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TEIE + transfer error interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 3 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DIR + data transfer direction +This bit must be set only in memory-to-peripheral and peripheral-to-memory modes. +Source attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Destination attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Destination attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Source attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 4 + 1 + read-write + + + B_0x0 + read from peripheral + 0x0 + + + B_0x1 + read from memory + 0x1 + + + + + CIRC + circular mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 5 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PINC + peripheral increment mode +Defines the increment mode for each DMA transfer to the identified peripheral. +n memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 6 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + MINC + memory increment mode +Defines the increment mode for each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 7 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PSIZE + peripheral size +Defines the data size of each DMA transfer to the identified peripheral. +In memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 8 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + MSIZE + memory size +Defines the data size of each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 10 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + PL + priority level +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 12 + 2 + read-write + + + B_0x0 + low + 0x0 + + + B_0x1 + medium + 0x1 + + + B_0x2 + high + 0x2 + + + B_0x3 + very high + 0x3 + + + + + MEM2MEM + memory-to-memory mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 14 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DBM + double-buffer mode +This bit must be set only in memory-to-peripheral and peripheral-to-memory transfers (MEM2MEM=0). The CIRC bit must also be set in double buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 15 + 1 + read-write + + + B_0x0 + disabled (no memory address switch at the end of the BDMA transfer) + 0x0 + + + B_0x1 + enabled (memory address switched at the end of the BDMA transfer) + 0x1 + + + + + CT + current target memory of DMA transfer in double-buffer mode +This bit is toggled by hardware at the end of each channel transfer in double-buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 16 + 1 + read-write + + + B_0x0 + memory 0 (addressed by the BDMA_CM0AR pointer) + 0x0 + + + B_0x1 + memory 1 (addressed by the BDMA_CM1AR pointer) + 0x1 + + + + + + + BDMA_CNDTR6 + BDMA_CNDTR6 + 0x84 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + NDT + number of data to transfer (0 to 216 - 1) +This field is updated by hardware when the channel is enabled: +It is decremented after each single BDMA ‘read followed by write’ transfer, indicating the remaining amount of data items to transfer. +It is kept at zero when the programmed amount of data to transfer is reached, if the channel is not in circular mode (CIRC = 0 in the BDMA_CCRx register). +It is reloaded automatically by the previously programmed value, when the transfer is complete, if the channel is in circular mode (CIRC = 1). +If this field is zero, no transfer can be served whatever the channel status (enabled or not). +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 0 + 16 + read-write + + + + + BDMA_CPAR6 + BDMA_CPAR6 + 0x88 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + PA + peripheral address +It contains the base address of the peripheral data register from/to which the data is read/written. +When PSIZE[1:0] = 01 (16 bits), bit 0 of PA[31:0] is ignored. Access is automatically aligned to a half-word address. +When PSIZE = 10 (32 bits), bits 1 and 0 of PA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory destination address if DIR = 1 and the memory source address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral destination address DIR = 1 and the peripheral source address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM0AR6 + BDMA_CM0AR6 + 0x8c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM1AR6 + BDMA_CM1AR6 + 0x90 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CCR7 + BDMA_CCR7 + BDMA channel 7 configuration register + 0x94 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + EN + channel enable +When a channel transfer error occurs, this bit is cleared by hardware. It can not be set again by software (channel x re-activated) until the TEIFx bit of the BDMA_ISR register is cleared (by setting the CTEIFx bit of the BDMA_IFCR register). +Note: this bit is set and cleared by software. + 0 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TCIE + transfer complete interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 1 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + HTIE + half transfer interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 2 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + TEIE + transfer error interrupt enable +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 3 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DIR + data transfer direction +This bit must be set only in memory-to-peripheral and peripheral-to-memory modes. +Source attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Destination attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Destination attributes are defined by PSIZE and PINC, plus the BDMA_CPARx register. This is still valid in a memory-to-memory mode. +Source attributes are defined by MSIZE and MINC, plus the BDMA_CM0/1ARx register. This is still valid in a peripheral-to-peripheral mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 4 + 1 + read-write + + + B_0x0 + read from peripheral + 0x0 + + + B_0x1 + read from memory + 0x1 + + + + + CIRC + circular mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 5 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PINC + peripheral increment mode +Defines the increment mode for each DMA transfer to the identified peripheral. +n memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 6 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + MINC + memory increment mode +Defines the increment mode for each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 7 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + PSIZE + peripheral size +Defines the data size of each DMA transfer to the identified peripheral. +In memory-to-memory mode, this field identifies the memory destination if DIR = 1 and the memory source if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral destination if DIR = 1 and the peripheral source if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 8 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + MSIZE + memory size +Defines the data size of each DMA transfer to the identified memory. +In memory-to-memory mode, this field identifies the memory source if DIR = 1 and the memory destination if DIR = 0. +In peripheral-to-peripheral mode, this field identifies the peripheral source if DIR = 1 and the peripheral destination if DIR = 0. +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 10 + 2 + read-write + + + B_0x0 + 8 bits + 0x0 + + + B_0x1 + 16 bits + 0x1 + + + B_0x2 + 32 bits + 0x2 + + + + + PL + priority level +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 12 + 2 + read-write + + + B_0x0 + low + 0x0 + + + B_0x1 + medium + 0x1 + + + B_0x2 + high + 0x2 + + + B_0x3 + very high + 0x3 + + + + + MEM2MEM + memory-to-memory mode +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 14 + 1 + read-write + + + B_0x0 + disabled + 0x0 + + + B_0x1 + enabled + 0x1 + + + + + DBM + double-buffer mode +This bit must be set only in memory-to-peripheral and peripheral-to-memory transfers (MEM2MEM=0). The CIRC bit must also be set in double buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 15 + 1 + read-write + + + B_0x0 + disabled (no memory address switch at the end of the BDMA transfer) + 0x0 + + + B_0x1 + enabled (memory address switched at the end of the BDMA transfer) + 0x1 + + + + + CT + current target memory of DMA transfer in double-buffer mode +This bit is toggled by hardware at the end of each channel transfer in double-buffer mode. +Note: this bit is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 16 + 1 + read-write + + + B_0x0 + memory 0 (addressed by the BDMA_CM0AR pointer) + 0x0 + + + B_0x1 + memory 1 (addressed by the BDMA_CM1AR pointer) + 0x1 + + + + + + + BDMA_CNDTR7 + BDMA_CNDTR7 + 0x98 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + NDT + number of data to transfer (0 to 216 - 1) +This field is updated by hardware when the channel is enabled: +It is decremented after each single BDMA ‘read followed by write’ transfer, indicating the remaining amount of data items to transfer. +It is kept at zero when the programmed amount of data to transfer is reached, if the channel is not in circular mode (CIRC = 0 in the BDMA_CCRx register). +It is reloaded automatically by the previously programmed value, when the transfer is complete, if the channel is in circular mode (CIRC = 1). +If this field is zero, no transfer can be served whatever the channel status (enabled or not). +Note: this field is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is read-only when the channel is enabled (EN = 1). + 0 + 16 + read-write + + + + + BDMA_CPAR7 + BDMA_CPAR7 + 0x9c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + PA + peripheral address +It contains the base address of the peripheral data register from/to which the data is read/written. +When PSIZE[1:0] = 01 (16 bits), bit 0 of PA[31:0] is ignored. Access is automatically aligned to a half-word address. +When PSIZE = 10 (32 bits), bits 1 and 0 of PA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory destination address if DIR = 1 and the memory source address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral destination address DIR = 1 and the peripheral source address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM0AR7 + BDMA_CM0AR7 + 0xa0 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + BDMA_CM1AR7 + BDMA_CM1AR7 + 0xa4 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + MA + peripheral address +It contains the base address of the memory from/to which the data is read/written. +When MSIZE[1:0] = 01 (16 bits), bit 0 of MA[31:0] is ignored. Access is automatically aligned to a half-word address. +When MSIZE = 10 (32 bits), bits 1 and 0 of MA[31:0] are ignored. Access is automatically aligned to a word address. +In memory-to-memory mode, this register identifies the memory source address if DIR = 1 and the memory destination address if DIR = 0. +In peripheral-to-peripheral mode, this register identifies the peripheral source address DIR = 1 and the peripheral destination address if DIR = 0. +Note: this register is set and cleared by software. +It must not be written when the channel is enabled (EN = 1). +It is not read-only when the channel is enabled (EN = 1). + 0 + 32 + read-write + + + + + + + DMA2D + DMA2D + DMA2D + 0x52001000 + + 0x0 + 0x400 + registers + + + DMA2D + DMA2D global interrupt + 90 + + + + CR + CR + DMA2D control register + 0x0 + 0x20 + read-write + 0x00000000 + + + START + Start This bit can be used to launch the + DMA2D according to the parameters loaded in the + various configuration registers + 0 + 1 + + + SUSP + Suspend This bit can be used to suspend + the current transfer. This bit is set and reset by + software. It is automatically reset by hardware when + the START bit is reset. + 1 + 1 + + + ABORT + Abort This bit can be used to abort the + current transfer. This bit is set by software and is + automatically reset by hardware when the START bit is + reset. + 2 + 1 + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 8 + 1 + + + TCIE + Transfer complete interrupt enable This + bit is set and cleared by software. + 9 + 1 + + + TWIE + Transfer watermark interrupt enable This + bit is set and cleared by software. + 10 + 1 + + + CAEIE + CLUT access error interrupt enable This + bit is set and cleared by software. + 11 + 1 + + + CTCIE + CLUT transfer complete interrupt enable + This bit is set and cleared by + software. + 12 + 1 + + + CEIE + Configuration Error Interrupt Enable + This bit is set and cleared by + software. + 13 + 1 + + + MODE + DMA2D mode This bit is set and cleared + by software. It cannot be modified while a transfer + is ongoing. + 16 + 2 + + + + + ISR + ISR + DMA2D Interrupt Status + Register + 0x4 + 0x20 + read-only + 0x00000000 + + + TEIF + Transfer error interrupt flag This bit + is set when an error occurs during a DMA transfer + (data transfer or automatic CLUT + loading). + 0 + 1 + + + TCIF + Transfer complete interrupt flag This + bit is set when a DMA2D transfer operation is + complete (data transfer only). + 1 + 1 + + + TWIF + Transfer watermark interrupt flag This + bit is set when the last pixel of the watermarked + line has been transferred. + 2 + 1 + + + CAEIF + CLUT access error interrupt flag This + bit is set when the CPU accesses the CLUT while the + CLUT is being automatically copied from a system + memory to the internal DMA2D. + 3 + 1 + + + CTCIF + CLUT transfer complete interrupt flag + This bit is set when the CLUT copy from a system + memory area to the internal DMA2D memory is + complete. + 4 + 1 + + + CEIF + Configuration error interrupt flag This + bit is set when the START bit of DMA2D_CR, + DMA2DFGPFCCR or DMA2D_BGPFCCR is set and a wrong + configuration has been programmed. + 5 + 1 + + + + + IFCR + IFCR + DMA2D interrupt flag clear + register + 0x8 + 0x20 + read-write + 0x00000000 + + + CTEIF + Clear Transfer error interrupt flag + Programming this bit to 1 clears the TEIF flag in the + DMA2D_ISR register + 0 + 1 + + + CTCIF + Clear transfer complete interrupt flag + Programming this bit to 1 clears the TCIF flag in the + DMA2D_ISR register + 1 + 1 + + + CTWIF + Clear transfer watermark interrupt flag + Programming this bit to 1 clears the TWIF flag in the + DMA2D_ISR register + 2 + 1 + + + CAECIF + Clear CLUT access error interrupt flag + Programming this bit to 1 clears the CAEIF flag in + the DMA2D_ISR register + 3 + 1 + + + CCTCIF + Clear CLUT transfer complete interrupt + flag Programming this bit to 1 clears the CTCIF flag + in the DMA2D_ISR register + 4 + 1 + + + CCEIF + Clear configuration error interrupt flag + Programming this bit to 1 clears the CEIF flag in the + DMA2D_ISR register + 5 + 1 + + + + + FGMAR + FGMAR + DMA2D foreground memory address + register + 0xC + 0x20 + read-write + 0x00000000 + + + MA + Memory address Address of the data used + for the foreground image. This register can only be + written when data transfers are disabled. Once the + data transfer has started, this register is + read-only. The address alignment must match the image + format selected e.g. a 32-bit per pixel format must + be 32-bit aligned, a 16-bit per pixel format must be + 16-bit aligned and a 4-bit per pixel format must be + 8-bit aligned. + 0 + 32 + + + + + FGOR + FGOR + DMA2D foreground offset + register + 0x10 + 0x20 + read-write + 0x00000000 + + + LO + Line offset Line offset used for the + foreground expressed in pixel. This value is used to + generate the address. It is added at the end of each + line to determine the starting address of the next + line. These bits can only be written when data + transfers are disabled. Once a data transfer has + started, they become read-only. If the image format + is 4-bit per pixel, the line offset must be + even. + 0 + 14 + + + + + BGMAR + BGMAR + DMA2D background memory address + register + 0x14 + 0x20 + read-write + 0x00000000 + + + MA + Memory address Address of the data used + for the background image. This register can only be + written when data transfers are disabled. Once a data + transfer has started, this register is read-only. The + address alignment must match the image format + selected e.g. a 32-bit per pixel format must be + 32-bit aligned, a 16-bit per pixel format must be + 16-bit aligned and a 4-bit per pixel format must be + 8-bit aligned. + 0 + 32 + + + + + BGOR + BGOR + DMA2D background offset + register + 0x18 + 0x20 + read-write + 0x00000000 + + + LO + Line offset Line offset used for the + background image (expressed in pixel). This value is + used for the address generation. It is added at the + end of each line to determine the starting address of + the next line. These bits can only be written when + data transfers are disabled. Once data transfer has + started, they become read-only. If the image format + is 4-bit per pixel, the line offset must be + even. + 0 + 14 + + + + + FGPFCCR + FGPFCCR + DMA2D foreground PFC control + register + 0x1C + 0x20 + read-write + 0x00000000 + + + CM + Color mode These bits defines the color + format of the foreground image. They can only be + written when data transfers are disabled. Once the + transfer has started, they are read-only. others: + meaningless + 0 + 4 + + + CCM + CLUT color mode This bit defines the + color format of the CLUT. It can only be written when + the transfer is disabled. Once the CLUT transfer has + started, this bit is read-only. + 4 + 1 + + + START + Start This bit can be set to start the + automatic loading of the CLUT. It is automatically + reset: ** at the end of the transfer ** when the + transfer is aborted by the user application by + setting the ABORT bit in DMA2D_CR ** when a transfer + error occurs ** when the transfer has not started due + to a configuration error or another transfer + operation already ongoing (data transfer or automatic + background CLUT transfer). + 5 + 1 + + + CS + CLUT size These bits define the size of + the CLUT used for the foreground image. Once the CLUT + transfer has started, this field is read-only. The + number of CLUT entries is equal to CS[7:0] + + 1. + 8 + 8 + + + AM + Alpha mode These bits select the alpha + channel value to be used for the foreground image. + They can only be written data the transfer are + disabled. Once the transfer has started, they become + read-only. other configurations are + meaningless + 16 + 2 + + + CSS + Chroma Sub-Sampling These bits define + the chroma sub-sampling mode for YCbCr color mode. + Once the transfer has started, these bits are + read-only. others: meaningless + 18 + 2 + + + AI + Alpha Inverted This bit inverts the + alpha value. Once the transfer has started, this bit + is read-only. + 20 + 1 + + + RBS + Red Blue Swap This bit allows to swap + the R &amp; B to support BGR or ABGR color + formats. Once the transfer has started, this bit is + read-only. + 21 + 1 + + + ALPHA + Alpha value These bits define a fixed + alpha channel value which can replace the original + alpha value or be multiplied by the original alpha + value according to the alpha mode selected through + the AM[1:0] bits. These bits can only be written when + data transfers are disabled. Once a transfer has + started, they become read-only. + 24 + 8 + + + + + FGCOLR + FGCOLR + DMA2D foreground color + register + 0x20 + 0x20 + read-write + 0x00000000 + + + BLUE + Blue Value These bits defines the blue + value for the A4 or A8 mode of the foreground image. + They can only be written when data transfers are + disabled. Once the transfer has started, They are + read-only. + 0 + 8 + + + GREEN + Green Value These bits defines the green + value for the A4 or A8 mode of the foreground image. + They can only be written when data transfers are + disabled. Once the transfer has started, They are + read-only. + 8 + 8 + + + RED + Red Value These bits defines the red + value for the A4 or A8 mode of the foreground image. + They can only be written when data transfers are + disabled. Once the transfer has started, they are + read-only. + 16 + 8 + + + + + BGPFCCR + BGPFCCR + DMA2D background PFC control + register + 0x24 + 0x20 + read-write + 0x00000000 + + + CM + Color mode These bits define the color + format of the foreground image. These bits can only + be written when data transfers are disabled. Once the + transfer has started, they are read-only. others: + meaningless + 0 + 4 + + + CCM + CLUT Color mode These bits define the + color format of the CLUT. This register can only be + written when the transfer is disabled. Once the CLUT + transfer has started, this bit is + read-only. + 4 + 1 + + + START + Start This bit is set to start the + automatic loading of the CLUT. This bit is + automatically reset: ** at the end of the transfer ** + when the transfer is aborted by the user application + by setting the ABORT bit in the DMA2D_CR ** when a + transfer error occurs ** when the transfer has not + started due to a configuration error or another + transfer operation already on going (data transfer or + automatic BackGround CLUT transfer). + 5 + 1 + + + CS + CLUT size These bits define the size of + the CLUT used for the BG. Once the CLUT transfer has + started, this field is read-only. The number of CLUT + entries is equal to CS[7:0] + 1. + 8 + 8 + + + AM + Alpha mode These bits define which alpha + channel value to be used for the background image. + These bits can only be written when data transfers + are disabled. Once the transfer has started, they are + read-only. others: meaningless + 16 + 2 + + + AI + Alpha Inverted This bit inverts the + alpha value. Once the transfer has started, this bit + is read-only. + 20 + 1 + + + RBS + Red Blue Swap This bit allows to swap + the R &amp; B to support BGR or ABGR color + formats. Once the transfer has started, this bit is + read-only. + 21 + 1 + + + ALPHA + Alpha value These bits define a fixed + alpha channel value which can replace the original + alpha value or be multiplied with the original alpha + value according to the alpha mode selected with bits + AM[1: 0]. These bits can only be written when data + transfers are disabled. Once the transfer has + started, they are read-only. + 24 + 8 + + + + + BGCOLR + BGCOLR + DMA2D background color + register + 0x28 + 0x20 + read-write + 0x00000000 + + + BLUE + Blue Value These bits define the blue + value for the A4 or A8 mode of the background. These + bits can only be written when data transfers are + disabled. Once the transfer has started, they are + read-only. + 0 + 8 + + + GREEN + Green Value These bits define the green + value for the A4 or A8 mode of the background. These + bits can only be written when data transfers are + disabled. Once the transfer has started, they are + read-only. + 8 + 8 + + + RED + Red Value These bits define the red + value for the A4 or A8 mode of the background. These + bits can only be written when data transfers are + disabled. Once the transfer has started, they are + read-only. + 16 + 8 + + + + + FGCMAR + FGCMAR + DMA2D foreground CLUT memory address + register + 0x2C + 0x20 + read-write + 0x00000000 + + + MA + Memory Address Address of the data used + for the CLUT address dedicated to the foreground + image. This register can only be written when no + transfer is ongoing. Once the CLUT transfer has + started, this register is read-only. If the + foreground CLUT format is 32-bit, the address must be + 32-bit aligned. + 0 + 32 + + + + + BGCMAR + BGCMAR + DMA2D background CLUT memory address + register + 0x30 + 0x20 + read-write + 0x00000000 + + + MA + Memory address Address of the data used + for the CLUT address dedicated to the background + image. This register can only be written when no + transfer is on going. Once the CLUT transfer has + started, this register is read-only. If the + background CLUT format is 32-bit, the address must be + 32-bit aligned. + 0 + 32 + + + + + OPFCCR + OPFCCR + DMA2D output PFC control + register + 0x34 + 0x20 + read-write + 0x00000000 + + + CM + Color mode These bits define the color + format of the output image. These bits can only be + written when data transfers are disabled. Once the + transfer has started, they are read-only. others: + meaningless + 0 + 3 + + + AI + Alpha Inverted This bit inverts the + alpha value. Once the transfer has started, this bit + is read-only. + 20 + 1 + + + RBS + Red Blue Swap This bit allows to swap + the R &amp; B to support BGR or ABGR color + formats. Once the transfer has started, this bit is + read-only. + 21 + 1 + + + + + OCOLR + OCOLR + DMA2D output color register + 0x38 + 0x20 + read-write + 0x00000000 + + + BLUE + Blue Value These bits define the blue + value of the output image. These bits can only be + written when data transfers are disabled. Once the + transfer has started, they are + read-only. + 0 + 8 + + + GREEN + Green Value These bits define the green + value of the output image. These bits can only be + written when data transfers are disabled. Once the + transfer has started, they are + read-only. + 8 + 8 + + + RED + Red Value These bits define the red + value of the output image. These bits can only be + written when data transfers are disabled. Once the + transfer has started, they are + read-only. + 16 + 8 + + + ALPHA + Alpha Channel Value These bits define + the alpha channel of the output color. These bits can + only be written when data transfers are disabled. + Once the transfer has started, they are + read-only. + 24 + 8 + + + + + OMAR + OMAR + DMA2D output memory address + register + 0x3C + 0x20 + read-write + 0x00000000 + + + MA + Memory Address Address of the data used + for the output FIFO. These bits can only be written + when data transfers are disabled. Once the transfer + has started, they are read-only. The address + alignment must match the image format selected e.g. a + 32-bit per pixel format must be 32-bit aligned and a + 16-bit per pixel format must be 16-bit + aligned. + 0 + 32 + + + + + OOR + OOR + DMA2D output offset register + 0x40 + 0x20 + read-write + 0x00000000 + + + LO + Line Offset Line offset used for the + output (expressed in pixels). This value is used for + the address generation. It is added at the end of + each line to determine the starting address of the + next line. These bits can only be written when data + transfers are disabled. Once the transfer has + started, they are read-only. + 0 + 14 + + + + + NLR + NLR + DMA2D number of line register + 0x44 + 0x20 + read-write + 0x00000000 + + + NL + Number of lines Number of lines of the + area to be transferred. These bits can only be + written when data transfers are disabled. Once the + transfer has started, they are + read-only. + 0 + 16 + + + PL + Pixel per lines Number of pixels per + lines of the area to be transferred. These bits can + only be written when data transfers are disabled. + Once the transfer has started, they are read-only. If + any of the input image format is 4-bit per pixel, + pixel per lines must be even. + 16 + 14 + + + + + LWR + LWR + DMA2D line watermark register + 0x48 + 0x20 + read-write + 0x00000000 + + + LW + Line watermark These bits allow to + configure the line watermark for interrupt + generation. An interrupt is raised when the last + pixel of the watermarked line has been transferred. + These bits can only be written when data transfers + are disabled. Once the transfer has started, they are + read-only. + 0 + 16 + + + + + AMTCR + AMTCR + DMA2D AXI master timer configuration + register + 0x4C + 0x20 + read-write + 0x00000000 + + + EN + Enable Enables the dead time + functionality. + 0 + 1 + + + DT + Dead Time Dead time value in the AXI + clock cycle inserted between two consecutive accesses + on the AXI master port. These bits represent the + minimum guaranteed number of cycles between two + consecutive AXI accesses. + 8 + 8 + + + + + + + DMAMUX1 + DMAMUX + DMAMUX + 0x40020800 + + 0x0 + 0x400 + registers + + + DMAMUX1_OV + DMAMUX1 overrun interrupt + 102 + + + + DMAMUX_C0CR + DMAMUX_C0CR + 0x0 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C1CR + DMAMUX_C1CR + 0x4 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C2CR + DMAMUX_C2CR + 0x8 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C3CR + DMAMUX_C3CR + 0xc + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C4CR + DMAMUX_C4CR + 0x10 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C5CR + DMAMUX_C5CR + 0x14 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C6CR + DMAMUX_C6CR + 0x18 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C7CR + DMAMUX_C7CR + 0x1c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C8CR + DMAMUX_C8CR + 0x20 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C9CR + DMAMUX_C9CR + 0x24 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C10CR + DMAMUX_C10CR + 0x28 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C11CR + DMAMUX_C11CR + 0x2c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C12CR + DMAMUX_C12CR + 0x30 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C13CR + DMAMUX_C13CR + 0x34 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C14CR + DMAMUX_C14CR + 0x38 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_C15CR + DMAMUX_C15CR + 0x3c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + DMAREQ_ID + DMA request identification +Selects the input DMA request. See the DMAMUX table about assignments of multiplexer inputs to resources. + 0 + 7 + read-write + + + SOIE + Synchronization overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt disabled + 0x0 + + + B_0x1 + Interrupt enabled + 0x1 + + + + + EGE + Event generation enable + 9 + 1 + read-write + + + B_0x0 + Event generation disabled + 0x0 + + + B_0x1 + Event generation enabled + 0x1 + + + + + SE + Synchronization enable + 16 + 1 + read-write + + + B_0x0 + Synchronization disabled + 0x0 + + + B_0x1 + Synchronization enabled + 0x1 + + + + + SPOL + Synchronization polarity +Defines the edge polarity of the selected synchronization input: + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no synchronization nor detection. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + NBREQ + Number of DMA requests minus 1 to forward +Defines the number of DMA requests to forward to the DMA controller after a synchronization event, and/or the number of DMA requests before an output event is generated. +This field shall only be written when both SE and EGE bits are low. + 19 + 5 + read-write + + + SYNC_ID + Synchronization identification +Selects the synchronization input (see inputs to resources). + 24 + 3 + read-write + + + + + DMAMUX_CSR + DMAMUX_CSR + 0x80 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + SOF0 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 0 + 1 + read-only + + + SOF1 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 1 + 1 + read-only + + + SOF2 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 2 + 1 + read-only + + + SOF3 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 3 + 1 + read-only + + + SOF4 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 4 + 1 + read-only + + + SOF5 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 5 + 1 + read-only + + + SOF6 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 6 + 1 + read-only + + + SOF7 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 7 + 1 + read-only + + + SOF8 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 8 + 1 + read-only + + + SOF9 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 9 + 1 + read-only + + + SOF10 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 10 + 1 + read-only + + + SOF11 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 11 + 1 + read-only + + + SOF12 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 12 + 1 + read-only + + + SOF13 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 13 + 1 + read-only + + + SOF14 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 14 + 1 + read-only + + + SOF15 + Synchronization overrun event flag +The flag is set when a synchronization event occurs on a DMA request line multiplexer channel x, while the DMA request counter value is lower than NBREQ. +The flag is cleared by writing 1 to the corresponding CSOFx bit in DMAMUX_CFR register. For DMAMUX2 bits 15:8 are reserved, keep them at reset value. + 15 + 1 + read-only + + + + + DMAMUX_CFR + DMAMUX_CFR + 0x84 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + CSOF0 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 0 + 1 + write-only + + + CSOF1 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 1 + 1 + write-only + + + CSOF2 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 2 + 1 + write-only + + + CSOF3 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 3 + 1 + write-only + + + CSOF4 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 4 + 1 + write-only + + + CSOF5 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 5 + 1 + write-only + + + CSOF6 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 6 + 1 + write-only + + + CSOF7 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 7 + 1 + write-only + + + CSOF8 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 8 + 1 + write-only + + + CSOF9 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 9 + 1 + write-only + + + CSOF10 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 10 + 1 + write-only + + + CSOF11 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 11 + 1 + write-only + + + CSOF12 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 12 + 1 + write-only + + + CSOF13 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 13 + 1 + write-only + + + CSOF14 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 14 + 1 + write-only + + + CSOF15 + Clear synchronization overrun event flag +Writing 1 in each bit clears the corresponding overrun flag SOFx in the DMAMUX_CSR register. + 15 + 1 + write-only + + + + + DMAMUX_RG0CR + DMAMUX_RG0CR + 0x100 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + SIG_ID + Signal identification +Selects the DMA request trigger input used for the channel x of the DMA request generator + 0 + 3 + read-write + + + OIE + Trigger overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt on a trigger overrun event occurrence is disabled + 0x0 + + + B_0x1 + Interrupt on a trigger overrun event occurrence is enabled + 0x1 + + + + + GE + DMA request generator channel x enable + 16 + 1 + read-write + + + B_0x0 + DMA request generator channel x disabled + 0x0 + + + B_0x1 + DMA request generator channel x enabled + 0x1 + + + + + GPOL + DMA request generator trigger polarity +Defines the edge polarity of the selected trigger input + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no trigger detection nor generation. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + GNBREQ + Number of DMA requests to be generated (minus 1) +Defines the number of DMA requests to be generated after a trigger event. The actual number of generated DMA requests is GNBREQ +1. +Note: This field must be written only when GE bit is disabled. + 19 + 5 + read-write + + + + + DMAMUX_RG1CR + DMAMUX_RG1CR + 0x104 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + SIG_ID + Signal identification +Selects the DMA request trigger input used for the channel x of the DMA request generator + 0 + 3 + read-write + + + OIE + Trigger overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt on a trigger overrun event occurrence is disabled + 0x0 + + + B_0x1 + Interrupt on a trigger overrun event occurrence is enabled + 0x1 + + + + + GE + DMA request generator channel x enable + 16 + 1 + read-write + + + B_0x0 + DMA request generator channel x disabled + 0x0 + + + B_0x1 + DMA request generator channel x enabled + 0x1 + + + + + GPOL + DMA request generator trigger polarity +Defines the edge polarity of the selected trigger input + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no trigger detection nor generation. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + GNBREQ + Number of DMA requests to be generated (minus 1) +Defines the number of DMA requests to be generated after a trigger event. The actual number of generated DMA requests is GNBREQ +1. +Note: This field must be written only when GE bit is disabled. + 19 + 5 + read-write + + + + + DMAMUX_RG2CR + DMAMUX_RG2CR + 0x108 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + SIG_ID + Signal identification +Selects the DMA request trigger input used for the channel x of the DMA request generator + 0 + 3 + read-write + + + OIE + Trigger overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt on a trigger overrun event occurrence is disabled + 0x0 + + + B_0x1 + Interrupt on a trigger overrun event occurrence is enabled + 0x1 + + + + + GE + DMA request generator channel x enable + 16 + 1 + read-write + + + B_0x0 + DMA request generator channel x disabled + 0x0 + + + B_0x1 + DMA request generator channel x enabled + 0x1 + + + + + GPOL + DMA request generator trigger polarity +Defines the edge polarity of the selected trigger input + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no trigger detection nor generation. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + GNBREQ + Number of DMA requests to be generated (minus 1) +Defines the number of DMA requests to be generated after a trigger event. The actual number of generated DMA requests is GNBREQ +1. +Note: This field must be written only when GE bit is disabled. + 19 + 5 + read-write + + + + + DMAMUX_RG3CR + DMAMUX_RG3CR + 0x10c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + SIG_ID + Signal identification +Selects the DMA request trigger input used for the channel x of the DMA request generator + 0 + 3 + read-write + + + OIE + Trigger overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt on a trigger overrun event occurrence is disabled + 0x0 + + + B_0x1 + Interrupt on a trigger overrun event occurrence is enabled + 0x1 + + + + + GE + DMA request generator channel x enable + 16 + 1 + read-write + + + B_0x0 + DMA request generator channel x disabled + 0x0 + + + B_0x1 + DMA request generator channel x enabled + 0x1 + + + + + GPOL + DMA request generator trigger polarity +Defines the edge polarity of the selected trigger input + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no trigger detection nor generation. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + GNBREQ + Number of DMA requests to be generated (minus 1) +Defines the number of DMA requests to be generated after a trigger event. The actual number of generated DMA requests is GNBREQ +1. +Note: This field must be written only when GE bit is disabled. + 19 + 5 + read-write + + + + + DMAMUX_RG4CR + DMAMUX_RG4CR + 0x110 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + SIG_ID + Signal identification +Selects the DMA request trigger input used for the channel x of the DMA request generator + 0 + 3 + read-write + + + OIE + Trigger overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt on a trigger overrun event occurrence is disabled + 0x0 + + + B_0x1 + Interrupt on a trigger overrun event occurrence is enabled + 0x1 + + + + + GE + DMA request generator channel x enable + 16 + 1 + read-write + + + B_0x0 + DMA request generator channel x disabled + 0x0 + + + B_0x1 + DMA request generator channel x enabled + 0x1 + + + + + GPOL + DMA request generator trigger polarity +Defines the edge polarity of the selected trigger input + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no trigger detection nor generation. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + GNBREQ + Number of DMA requests to be generated (minus 1) +Defines the number of DMA requests to be generated after a trigger event. The actual number of generated DMA requests is GNBREQ +1. +Note: This field must be written only when GE bit is disabled. + 19 + 5 + read-write + + + + + DMAMUX_RG5CR + DMAMUX_RG5CR + 0x114 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + SIG_ID + Signal identification +Selects the DMA request trigger input used for the channel x of the DMA request generator + 0 + 3 + read-write + + + OIE + Trigger overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt on a trigger overrun event occurrence is disabled + 0x0 + + + B_0x1 + Interrupt on a trigger overrun event occurrence is enabled + 0x1 + + + + + GE + DMA request generator channel x enable + 16 + 1 + read-write + + + B_0x0 + DMA request generator channel x disabled + 0x0 + + + B_0x1 + DMA request generator channel x enabled + 0x1 + + + + + GPOL + DMA request generator trigger polarity +Defines the edge polarity of the selected trigger input + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no trigger detection nor generation. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + GNBREQ + Number of DMA requests to be generated (minus 1) +Defines the number of DMA requests to be generated after a trigger event. The actual number of generated DMA requests is GNBREQ +1. +Note: This field must be written only when GE bit is disabled. + 19 + 5 + read-write + + + + + DMAMUX_RG6CR + DMAMUX_RG6CR + 0x118 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + SIG_ID + Signal identification +Selects the DMA request trigger input used for the channel x of the DMA request generator + 0 + 3 + read-write + + + OIE + Trigger overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt on a trigger overrun event occurrence is disabled + 0x0 + + + B_0x1 + Interrupt on a trigger overrun event occurrence is enabled + 0x1 + + + + + GE + DMA request generator channel x enable + 16 + 1 + read-write + + + B_0x0 + DMA request generator channel x disabled + 0x0 + + + B_0x1 + DMA request generator channel x enabled + 0x1 + + + + + GPOL + DMA request generator trigger polarity +Defines the edge polarity of the selected trigger input + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no trigger detection nor generation. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + GNBREQ + Number of DMA requests to be generated (minus 1) +Defines the number of DMA requests to be generated after a trigger event. The actual number of generated DMA requests is GNBREQ +1. +Note: This field must be written only when GE bit is disabled. + 19 + 5 + read-write + + + + + DMAMUX_RG7CR + DMAMUX_RG7CR + 0x11c + 0x20 + 0x00000000 + 0xFFFFFFFF + + + SIG_ID + Signal identification +Selects the DMA request trigger input used for the channel x of the DMA request generator + 0 + 3 + read-write + + + OIE + Trigger overrun interrupt enable + 8 + 1 + read-write + + + B_0x0 + Interrupt on a trigger overrun event occurrence is disabled + 0x0 + + + B_0x1 + Interrupt on a trigger overrun event occurrence is enabled + 0x1 + + + + + GE + DMA request generator channel x enable + 16 + 1 + read-write + + + B_0x0 + DMA request generator channel x disabled + 0x0 + + + B_0x1 + DMA request generator channel x enabled + 0x1 + + + + + GPOL + DMA request generator trigger polarity +Defines the edge polarity of the selected trigger input + 17 + 2 + read-write + + + B_0x0 + No event, i.e. no trigger detection nor generation. + 0x0 + + + B_0x1 + Rising edge + 0x1 + + + B_0x2 + Falling edge + 0x2 + + + B_0x3 + Rising and falling edges + 0x3 + + + + + GNBREQ + Number of DMA requests to be generated (minus 1) +Defines the number of DMA requests to be generated after a trigger event. The actual number of generated DMA requests is GNBREQ +1. +Note: This field must be written only when GE bit is disabled. + 19 + 5 + read-write + + + + + DMAMUX_RGSR + DMAMUX_RGSR + 0x140 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + OF0 + [:0]: Trigger overrun event flag +The flag is set when a new trigger event occurs on DMA request generator channel x, before the request counter underrun (the internal request counter programmed via the GNBREQ field of the DMAMUX_RGxCR register). +The flag is cleared by writing 1 to the corresponding COFx bit in the DMAMUX_RGCFR register. + 0 + 1 + read-only + + + OF1 + [:0]: Trigger overrun event flag +The flag is set when a new trigger event occurs on DMA request generator channel x, before the request counter underrun (the internal request counter programmed via the GNBREQ field of the DMAMUX_RGxCR register). +The flag is cleared by writing 1 to the corresponding COFx bit in the DMAMUX_RGCFR register. + 1 + 1 + read-only + + + OF2 + [:0]: Trigger overrun event flag +The flag is set when a new trigger event occurs on DMA request generator channel x, before the request counter underrun (the internal request counter programmed via the GNBREQ field of the DMAMUX_RGxCR register). +The flag is cleared by writing 1 to the corresponding COFx bit in the DMAMUX_RGCFR register. + 2 + 1 + read-only + + + OF3 + [:0]: Trigger overrun event flag +The flag is set when a new trigger event occurs on DMA request generator channel x, before the request counter underrun (the internal request counter programmed via the GNBREQ field of the DMAMUX_RGxCR register). +The flag is cleared by writing 1 to the corresponding COFx bit in the DMAMUX_RGCFR register. + 3 + 1 + read-only + + + OF4 + [:0]: Trigger overrun event flag +The flag is set when a new trigger event occurs on DMA request generator channel x, before the request counter underrun (the internal request counter programmed via the GNBREQ field of the DMAMUX_RGxCR register). +The flag is cleared by writing 1 to the corresponding COFx bit in the DMAMUX_RGCFR register. + 4 + 1 + read-only + + + OF5 + [:0]: Trigger overrun event flag +The flag is set when a new trigger event occurs on DMA request generator channel x, before the request counter underrun (the internal request counter programmed via the GNBREQ field of the DMAMUX_RGxCR register). +The flag is cleared by writing 1 to the corresponding COFx bit in the DMAMUX_RGCFR register. + 5 + 1 + read-only + + + OF6 + [:0]: Trigger overrun event flag +The flag is set when a new trigger event occurs on DMA request generator channel x, before the request counter underrun (the internal request counter programmed via the GNBREQ field of the DMAMUX_RGxCR register). +The flag is cleared by writing 1 to the corresponding COFx bit in the DMAMUX_RGCFR register. + 6 + 1 + read-only + + + OF7 + [:0]: Trigger overrun event flag +The flag is set when a new trigger event occurs on DMA request generator channel x, before the request counter underrun (the internal request counter programmed via the GNBREQ field of the DMAMUX_RGxCR register). +The flag is cleared by writing 1 to the corresponding COFx bit in the DMAMUX_RGCFR register. + 7 + 1 + read-only + + + + + DMAMUX_RGCFR + DMAMUX_RGCFR + 0x144 + 0x20 + 0x00000000 + 0xFFFFFFFF + + + COF0 + Clear trigger overrun event flag +Writing 1 in each bit clears the corresponding overrun flag OFx in the DMAMUX_RGSR register. + 0 + 1 + write-only + + + COF1 + Clear trigger overrun event flag +Writing 1 in each bit clears the corresponding overrun flag OFx in the DMAMUX_RGSR register. + 1 + 1 + write-only + + + COF2 + Clear trigger overrun event flag +Writing 1 in each bit clears the corresponding overrun flag OFx in the DMAMUX_RGSR register. + 2 + 1 + write-only + + + COF3 + Clear trigger overrun event flag +Writing 1 in each bit clears the corresponding overrun flag OFx in the DMAMUX_RGSR register. + 3 + 1 + write-only + + + COF4 + Clear trigger overrun event flag +Writing 1 in each bit clears the corresponding overrun flag OFx in the DMAMUX_RGSR register. + 4 + 1 + write-only + + + COF5 + Clear trigger overrun event flag +Writing 1 in each bit clears the corresponding overrun flag OFx in the DMAMUX_RGSR register. + 5 + 1 + write-only + + + COF6 + Clear trigger overrun event flag +Writing 1 in each bit clears the corresponding overrun flag OFx in the DMAMUX_RGSR register. + 6 + 1 + write-only + + + COF7 + Clear trigger overrun event flag +Writing 1 in each bit clears the corresponding overrun flag OFx in the DMAMUX_RGSR register. + 7 + 1 + write-only + + + + + + + DMAMUX2 + DMAMUX + DMAMUX + 0x58025800 + + 0x0 + 0x400 + registers + + + DMAMUX2_OVR + DMAMUX2 overrun interrupt + 128 + + + + C0CR + C0CR + DMAMux - DMA request line multiplexer + channel x control register + 0x0 + 0x20 + read-write + 0x00000000 + + + DMAREQ_ID + Input DMA request line + selected + 0 + 8 + + + SOIE + Interrupt enable at synchronization + event overrun + 8 + 1 + + + EGE + Event generation + enable/disable + 9 + 1 + + + SE + Synchronous operating mode + enable/disable + 16 + 1 + + + SPOL + Synchronization event type selector + Defines the synchronization event on the selected + synchronization input: + 17 + 2 + + + NBREQ + Number of DMA requests to forward + Defines the number of DMA requests forwarded before + output event is generated. In synchronous mode, it + also defines the number of DMA requests to forward + after a synchronization event, then stop forwarding. + The actual number of DMA requests forwarded is + NBREQ+1. Note: This field can only be written when + both SE and EGE bits are reset. + 19 + 5 + + + SYNC_ID + Synchronization input + selected + 24 + 5 + + + + + C1CR + C1CR + DMAMux - DMA request line multiplexer + channel x control register + 0x4 + 0x20 + read-write + 0x00000000 + + + DMAREQ_ID + Input DMA request line + selected + 0 + 8 + + + SOIE + Interrupt enable at synchronization + event overrun + 8 + 1 + + + EGE + Event generation + enable/disable + 9 + 1 + + + SE + Synchronous operating mode + enable/disable + 16 + 1 + + + SPOL + Synchronization event type selector + Defines the synchronization event on the selected + synchronization input: + 17 + 2 + + + NBREQ + Number of DMA requests to forward + Defines the number of DMA requests forwarded before + output event is generated. In synchronous mode, it + also defines the number of DMA requests to forward + after a synchronization event, then stop forwarding. + The actual number of DMA requests forwarded is + NBREQ+1. Note: This field can only be written when + both SE and EGE bits are reset. + 19 + 5 + + + SYNC_ID + Synchronization input + selected + 24 + 5 + + + + + C2CR + C2CR + DMAMux - DMA request line multiplexer + channel x control register + 0x8 + 0x20 + read-write + 0x00000000 + + + DMAREQ_ID + Input DMA request line + selected + 0 + 8 + + + SOIE + Interrupt enable at synchronization + event overrun + 8 + 1 + + + EGE + Event generation + enable/disable + 9 + 1 + + + SE + Synchronous operating mode + enable/disable + 16 + 1 + + + SPOL + Synchronization event type selector + Defines the synchronization event on the selected + synchronization input: + 17 + 2 + + + NBREQ + Number of DMA requests to forward + Defines the number of DMA requests forwarded before + output event is generated. In synchronous mode, it + also defines the number of DMA requests to forward + after a synchronization event, then stop forwarding. + The actual number of DMA requests forwarded is + NBREQ+1. Note: This field can only be written when + both SE and EGE bits are reset. + 19 + 5 + + + SYNC_ID + Synchronization input + selected + 24 + 5 + + + + + C3CR + C3CR + DMAMux - DMA request line multiplexer + channel x control register + 0xC + 0x20 + read-write + 0x00000000 + + + DMAREQ_ID + Input DMA request line + selected + 0 + 8 + + + SOIE + Interrupt enable at synchronization + event overrun + 8 + 1 + + + EGE + Event generation + enable/disable + 9 + 1 + + + SE + Synchronous operating mode + enable/disable + 16 + 1 + + + SPOL + Synchronization event type selector + Defines the synchronization event on the selected + synchronization input: + 17 + 2 + + + NBREQ + Number of DMA requests to forward + Defines the number of DMA requests forwarded before + output event is generated. In synchronous mode, it + also defines the number of DMA requests to forward + after a synchronization event, then stop forwarding. + The actual number of DMA requests forwarded is + NBREQ+1. Note: This field can only be written when + both SE and EGE bits are reset. + 19 + 5 + + + SYNC_ID + Synchronization input + selected + 24 + 5 + + + + + C4CR + C4CR + DMAMux - DMA request line multiplexer + channel x control register + 0x10 + 0x20 + read-write + 0x00000000 + + + DMAREQ_ID + Input DMA request line + selected + 0 + 8 + + + SOIE + Interrupt enable at synchronization + event overrun + 8 + 1 + + + EGE + Event generation + enable/disable + 9 + 1 + + + SE + Synchronous operating mode + enable/disable + 16 + 1 + + + SPOL + Synchronization event type selector + Defines the synchronization event on the selected + synchronization input: + 17 + 2 + + + NBREQ + Number of DMA requests to forward + Defines the number of DMA requests forwarded before + output event is generated. In synchronous mode, it + also defines the number of DMA requests to forward + after a synchronization event, then stop forwarding. + The actual number of DMA requests forwarded is + NBREQ+1. Note: This field can only be written when + both SE and EGE bits are reset. + 19 + 5 + + + SYNC_ID + Synchronization input + selected + 24 + 5 + + + + + C5CR + C5CR + DMAMux - DMA request line multiplexer + channel x control register + 0x14 + 0x20 + read-write + 0x00000000 + + + DMAREQ_ID + Input DMA request line + selected + 0 + 8 + + + SOIE + Interrupt enable at synchronization + event overrun + 8 + 1 + + + EGE + Event generation + enable/disable + 9 + 1 + + + SE + Synchronous operating mode + enable/disable + 16 + 1 + + + SPOL + Synchronization event type selector + Defines the synchronization event on the selected + synchronization input: + 17 + 2 + + + NBREQ + Number of DMA requests to forward + Defines the number of DMA requests forwarded before + output event is generated. In synchronous mode, it + also defines the number of DMA requests to forward + after a synchronization event, then stop forwarding. + The actual number of DMA requests forwarded is + NBREQ+1. Note: This field can only be written when + both SE and EGE bits are reset. + 19 + 5 + + + SYNC_ID + Synchronization input + selected + 24 + 5 + + + + + C6CR + C6CR + DMAMux - DMA request line multiplexer + channel x control register + 0x18 + 0x20 + read-write + 0x00000000 + + + DMAREQ_ID + Input DMA request line + selected + 0 + 8 + + + SOIE + Interrupt enable at synchronization + event overrun + 8 + 1 + + + EGE + Event generation + enable/disable + 9 + 1 + + + SE + Synchronous operating mode + enable/disable + 16 + 1 + + + SPOL + Synchronization event type selector + Defines the synchronization event on the selected + synchronization input: + 17 + 2 + + + NBREQ + Number of DMA requests to forward + Defines the number of DMA requests forwarded before + output event is generated. In synchronous mode, it + also defines the number of DMA requests to forward + after a synchronization event, then stop forwarding. + The actual number of DMA requests forwarded is + NBREQ+1. Note: This field can only be written when + both SE and EGE bits are reset. + 19 + 5 + + + SYNC_ID + Synchronization input + selected + 24 + 5 + + + + + C7CR + C7CR + DMAMux - DMA request line multiplexer + channel x control register + 0x1C + 0x20 + read-write + 0x00000000 + + + DMAREQ_ID + Input DMA request line + selected + 0 + 8 + + + SOIE + Interrupt enable at synchronization + event overrun + 8 + 1 + + + EGE + Event generation + enable/disable + 9 + 1 + + + SE + Synchronous operating mode + enable/disable + 16 + 1 + + + SPOL + Synchronization event type selector + Defines the synchronization event on the selected + synchronization input: + 17 + 2 + + + NBREQ + Number of DMA requests to forward + Defines the number of DMA requests forwarded before + output event is generated. In synchronous mode, it + also defines the number of DMA requests to forward + after a synchronization event, then stop forwarding. + The actual number of DMA requests forwarded is + NBREQ+1. Note: This field can only be written when + both SE and EGE bits are reset. + 19 + 5 + + + SYNC_ID + Synchronization input + selected + 24 + 5 + + + + + RG0CR + RG0CR + DMAMux - DMA request generator channel x + control register + 0x100 + 0x20 + read-write + 0x00000000 + + + SIG_ID + DMA request trigger input + selected + 0 + 5 + + + OIE + Interrupt enable at trigger event + overrun + 8 + 1 + + + GE + DMA request generator channel + enable/disable + 16 + 1 + + + GPOL + DMA request generator trigger event type + selection Defines the trigger event on the selected + DMA request trigger input + 17 + 2 + + + GNBREQ + Number of DMA requests to generate + Defines the number of DMA requests generated after a + trigger event, then stop generating. The actual + number of generated DMA requests is GNBREQ+1. Note: + This field can only be written when GE bit is + reset. + 19 + 5 + + + + + RG1CR + RG1CR + DMAMux - DMA request generator channel x + control register + 0x104 + 0x20 + read-write + 0x00000000 + + + SIG_ID + DMA request trigger input + selected + 0 + 5 + + + OIE + Interrupt enable at trigger event + overrun + 8 + 1 + + + GE + DMA request generator channel + enable/disable + 16 + 1 + + + GPOL + DMA request generator trigger event type + selection Defines the trigger event on the selected + DMA request trigger input + 17 + 2 + + + GNBREQ + Number of DMA requests to generate + Defines the number of DMA requests generated after a + trigger event, then stop generating. The actual + number of generated DMA requests is GNBREQ+1. Note: + This field can only be written when GE bit is + reset. + 19 + 5 + + + + + RG2CR + RG2CR + DMAMux - DMA request generator channel x + control register + 0x108 + 0x20 + read-write + 0x00000000 + + + SIG_ID + DMA request trigger input + selected + 0 + 5 + + + OIE + Interrupt enable at trigger event + overrun + 8 + 1 + + + GE + DMA request generator channel + enable/disable + 16 + 1 + + + GPOL + DMA request generator trigger event type + selection Defines the trigger event on the selected + DMA request trigger input + 17 + 2 + + + GNBREQ + Number of DMA requests to generate + Defines the number of DMA requests generated after a + trigger event, then stop generating. The actual + number of generated DMA requests is GNBREQ+1. Note: + This field can only be written when GE bit is + reset. + 19 + 5 + + + + + RG3CR + RG3CR + DMAMux - DMA request generator channel x + control register + 0x10C + 0x20 + read-write + 0x00000000 + + + SIG_ID + DMA request trigger input + selected + 0 + 5 + + + OIE + Interrupt enable at trigger event + overrun + 8 + 1 + + + GE + DMA request generator channel + enable/disable + 16 + 1 + + + GPOL + DMA request generator trigger event type + selection Defines the trigger event on the selected + DMA request trigger input + 17 + 2 + + + GNBREQ + Number of DMA requests to generate + Defines the number of DMA requests generated after a + trigger event, then stop generating. The actual + number of generated DMA requests is GNBREQ+1. Note: + This field can only be written when GE bit is + reset. + 19 + 5 + + + + + RG4CR + RG4CR + DMAMux - DMA request generator channel x + control register + 0x110 + 0x20 + read-write + 0x00000000 + + + SIG_ID + DMA request trigger input + selected + 0 + 5 + + + OIE + Interrupt enable at trigger event + overrun + 8 + 1 + + + GE + DMA request generator channel + enable/disable + 16 + 1 + + + GPOL + DMA request generator trigger event type + selection Defines the trigger event on the selected + DMA request trigger input + 17 + 2 + + + GNBREQ + Number of DMA requests to generate + Defines the number of DMA requests generated after a + trigger event, then stop generating. The actual + number of generated DMA requests is GNBREQ+1. Note: + This field can only be written when GE bit is + reset. + 19 + 5 + + + + + RG5CR + RG5CR + DMAMux - DMA request generator channel x + control register + 0x114 + 0x20 + read-write + 0x00000000 + + + SIG_ID + DMA request trigger input + selected + 0 + 5 + + + OIE + Interrupt enable at trigger event + overrun + 8 + 1 + + + GE + DMA request generator channel + enable/disable + 16 + 1 + + + GPOL + DMA request generator trigger event type + selection Defines the trigger event on the selected + DMA request trigger input + 17 + 2 + + + GNBREQ + Number of DMA requests to generate + Defines the number of DMA requests generated after a + trigger event, then stop generating. The actual + number of generated DMA requests is GNBREQ+1. Note: + This field can only be written when GE bit is + reset. + 19 + 5 + + + + + RG6CR + RG6CR + DMAMux - DMA request generator channel x + control register + 0x118 + 0x20 + read-write + 0x00000000 + + + SIG_ID + DMA request trigger input + selected + 0 + 5 + + + OIE + Interrupt enable at trigger event + overrun + 8 + 1 + + + GE + DMA request generator channel + enable/disable + 16 + 1 + + + GPOL + DMA request generator trigger event type + selection Defines the trigger event on the selected + DMA request trigger input + 17 + 2 + + + GNBREQ + Number of DMA requests to generate + Defines the number of DMA requests generated after a + trigger event, then stop generating. The actual + number of generated DMA requests is GNBREQ+1. Note: + This field can only be written when GE bit is + reset. + 19 + 5 + + + + + RG7CR + RG7CR + DMAMux - DMA request generator channel x + control register + 0x11C + 0x20 + read-write + 0x00000000 + + + SIG_ID + DMA request trigger input + selected + 0 + 5 + + + OIE + Interrupt enable at trigger event + overrun + 8 + 1 + + + GE + DMA request generator channel + enable/disable + 16 + 1 + + + GPOL + DMA request generator trigger event type + selection Defines the trigger event on the selected + DMA request trigger input + 17 + 2 + + + GNBREQ + Number of DMA requests to generate + Defines the number of DMA requests generated after a + trigger event, then stop generating. The actual + number of generated DMA requests is GNBREQ+1. Note: + This field can only be written when GE bit is + reset. + 19 + 5 + + + + + RGSR + RGSR + DMAMux - DMA request generator status + register + 0x140 + 0x20 + read-only + 0x00000000 + + + OF + Trigger event overrun flag The flag is + set when a trigger event occurs on DMA request + generator channel x, while the DMA request generator + counter value is lower than GNBREQ. The flag is + cleared by writing 1 to the corresponding COFx bit in + DMAMUX_RGCFR register. + 0 + 8 + + + + + RGCFR + RGCFR + DMAMux - DMA request generator clear flag + register + 0x144 + 0x20 + write-only + 0x00000000 + + + COF + Clear trigger event overrun flag Upon + setting, this bit clears the corresponding overrun + flag OFx in the DMAMUX_RGCSR register. + 0 + 8 + + + + + CSR + CSR + DMAMUX request line multiplexer interrupt + channel status register + 0x80 + 0x20 + read-only + 0x00000000 + + + SOF + Synchronization overrun event + flag + 0 + 16 + + + + + CFR + CFR + DMAMUX request line multiplexer interrupt + clear flag register + 0x84 + 0x20 + write-only + 0x00000000 + + + CSOF + Clear synchronization overrun event + flag + 0 + 16 + + + + + + + FMC + FMC + FMC + 0x52004000 + + 0x0 + 0x400 + registers + + + FMC + FMC global interrupt + 48 + + + + BCR1 + BCR1 + This register contains the control + information of each memory bank, used for SRAMs, PSRAM + and NOR Flash memories. + 0x0 + 0x20 + read-write + 0x000030DB + + + MBKEN + Memory bank enable bit This bit enables + the memory bank. After reset Bank1 is enabled, all + others are disabled. Accessing a disabled bank causes + an ERROR on AXI bus. + 0 + 1 + + + MUXEN + Address/data multiplexing enable bit + When this bit is set, the address and data values are + multiplexed on the data bus, valid only with NOR and + PSRAM memories: + 1 + 1 + + + MTYP + Memory type These bits define the type + of external memory attached to the corresponding + memory bank: + 2 + 2 + + + MWID + Memory data bus width Defines the + external memory device width, valid for all type of + memories. + 4 + 2 + + + FACCEN + Flash access enable This bit enables NOR + Flash memory access operations. + 6 + 1 + + + BURSTEN + Burst enable bit This bit + enables/disables synchronous accesses during read + operations. It is valid only for synchronous memories + operating in Burst mode: + 8 + 1 + + + WAITPOL + Wait signal polarity bit This bit + defines the polarity of the wait signal from memory + used for either in synchronous or asynchronous + mode: + 9 + 1 + + + WAITCFG + Wait timing configuration The NWAIT + signal indicates whether the data from the memory are + valid or if a wait state must be inserted when + accessing the memory in synchronous mode. This + configuration bit determines if NWAIT is asserted by + the memory one clock cycle before the wait state or + during the wait state: + 11 + 1 + + + WREN + Write enable bit This bit indicates + whether write operations are enabled/disabled in the + bank by the FMC: + 12 + 1 + + + WAITEN + Wait enable bit This bit + enables/disables wait-state insertion via the NWAIT + signal when accessing the memory in synchronous + mode. + 13 + 1 + + + EXTMOD + Extended mode enable. This bit enables + the FMC to program the write timings for asynchronous + accesses inside the FMC_BWTR register, thus resulting + in different timings for read and write operations. + Note: When the extended mode is disabled, the FMC can + operate in Mode1 or Mode2 as follows: ** Mode 1 is + the default mode when the SRAM/PSRAM memory type is + selected (MTYP =0x0 or 0x01) ** Mode 2 is the default + mode when the NOR memory type is selected (MTYP = + 0x10). + 14 + 1 + + + ASYNCWAIT + Wait signal during asynchronous + transfers This bit enables/disables the FMC to use + the wait signal even during an asynchronous + protocol. + 15 + 1 + + + CPSIZE + CRAM Page Size These are used for + Cellular RAM 1.5 which does not allow burst access to + cross the address boundaries between pages. When + these bits are configured, the FMC controller splits + automatically the burst access when the memory page + size is reached (refer to memory datasheet for page + size). Other configuration: reserved. + 16 + 3 + + + CBURSTRW + Write burst enable For PSRAM (CRAM) + operating in Burst mode, the bit enables synchronous + accesses during write operations. The enable bit for + synchronous read accesses is the BURSTEN bit in the + FMC_BCRx register. + 19 + 1 + + + CCLKEN + Continuous Clock Enable This bit enables + the FMC_CLK clock output to external memory devices. + Note: The CCLKEN bit of the FMC_BCR2..4 registers is + dont care. It is only enabled through the FMC_BCR1 + register. Bank 1 must be configured in synchronous + mode to generate the FMC_CLK continuous clock. If + CCLKEN bit is set, the FMC_CLK clock ratio is + specified by CLKDIV value in the FMC_BTR1 register. + CLKDIV in FMC_BWTR1 is dont care. If the synchronous + mode is used and CCLKEN bit is set, the synchronous + memories connected to other banks than Bank 1 are + clocked by the same clock (the CLKDIV value in the + FMC_BTR2..4 and FMC_BWTR2..4 registers for other + banks has no effect.) + 20 + 1 + + + WFDIS + Write FIFO Disable This bit disables the + Write FIFO used by the FMC controller. Note: The + WFDIS bit of the FMC_BCR2..4 registers is dont care. + It is only enabled through the FMC_BCR1 + register. + 21 + 1 + + + BMAP + FMC bank mapping These bits allows + different to remap SDRAM bank2 or swap the FMC + NOR/PSRAM and SDRAM banks.Refer to Table 10 for Note: + The BMAP bits of the FMC_BCR2..4 registers are dont + care. It is only enabled through the FMC_BCR1 + register. + 24 + 2 + + + FMCEN + FMC controller Enable This bit + enables/disables the FMC controller. Note: The FMCEN + bit of the FMC_BCR2..4 registers is dont care. It is + only enabled through the FMC_BCR1 + register. + 31 + 1 + + + + + BTR1 + BTR1 + This register contains the control + information of each memory bank, used for SRAMs, PSRAM + and NOR Flash memories.If the EXTMOD bit is set in the + FMC_BCRx register, then this register is partitioned for + write and read access, that is, 2 registers are + available: one to configure read accesses (this register) + and one to configure write accesses (FMC_BWTRx + registers). + 0x4 + 0x20 + read-write + 0x0FFFFFFF + + + ADDSET + Address setup phase duration These bits + are written by software to define the duration of the + address setup phase (refer to Figure81 to Figure93), + used in SRAMs, ROMs and asynchronous NOR Flash: For + each access mode address setup phase duration, please + refer to the respective figure (refer to Figure81 to + Figure93). Note: In synchronous accesses, this value + is dont care. In Muxed mode or Mode D, the minimum + value for ADDSET is 1. + 0 + 4 + + + ADDHLD + Address-hold phase duration These bits + are written by software to define the duration of the + address hold phase (refer to Figure81 to Figure93), + used in mode D or multiplexed accesses: For each + access mode address-hold phase duration, please refer + to the respective figure (Figure81 to Figure93). + Note: In synchronous accesses, this value is not + used, the address hold phase is always 1 memory clock + period duration. + 4 + 4 + + + DATAST + Data-phase duration These bits are + written by software to define the duration of the + data phase (refer to Figure81 to Figure93), used in + asynchronous accesses: For each memory type and + access mode data-phase duration, please refer to the + respective figure (Figure81 to Figure93). Example: + Mode1, write access, DATAST=1: Data-phase duration= + DATAST+1 = 2 KCK_FMC clock cycles. Note: In + synchronous accesses, this value is dont + care. + 8 + 8 + + + BUSTURN + Bus turnaround phase duration These bits + are written by software to add a delay at the end of + a write-to-read or read-to write transaction. The + programmed bus turnaround delay is inserted between + an asynchronous read (in muxed or mode D) or write + transaction and any other asynchronous /synchronous + read/write from/to a static bank. If a read operation + is performed, the bank can be the same or a different + one, whereas it must be different in case of write + operation to the bank, except in muxed mode or mode + D. In some cases, whatever the programmed BUSTRUN + values, the bus turnaround delay is fixed as follows: + The bus turnaround delay is not inserted between two + consecutive asynchronous write transfers to the same + static memory bank except in muxed mode and mode D. + There is a bus turnaround delay of 1 FMC clock cycle + between: Two consecutive asynchronous read transfers + to the same static memory bank except for modes muxed + and D. An asynchronous read to an asynchronous or + synchronous write to any static bank or dynamic bank + except in modes muxed and D mode. There is a bus + turnaround delay of 2 FMC clock cycle between: Two + consecutive synchronous write operations (in Burst or + Single mode) to the same bank. A synchronous write + (burst or single) access and an asynchronous write or + read transfer to or from static memory bank (the bank + can be the same or a different one in case of a read + operation. Two consecutive synchronous read + operations (in Burst or Single mode) followed by any + synchronous/asynchronous read or write from/to + another static memory bank. There is a bus turnaround + delay of 3 FMC clock cycle between: Two consecutive + synchronous write operations (in Burst or Single + mode) to different static banks. A synchronous write + access (in Burst or Single mode) and a synchronous + read from the same or a different bank. The bus + turnaround delay allows to match the minimum time + between consecutive transactions (tEHEL from NEx high + to NEx low) and the maximum time required by the + memory to free the data bus after a read access + (tEHQZ): (BUSTRUN + 1) KCK_FMC period &#8805; + tEHELmin and (BUSTRUN + 2)KCK_FMC period &#8805; + tEHQZmax if EXTMOD = 0 (BUSTRUN + 2)KCK_FMC period + &#8805; max (tEHELmin, tEHQZmax) if EXTMOD = 126. + ... + 16 + 4 + + + CLKDIV + Clock divide ratio (for FMC_CLK signal) + These bits define the period of FMC_CLK clock output + signal, expressed in number of KCK_FMC cycles: In + asynchronous NOR Flash, SRAM or PSRAM accesses, this + value is dont care. Note: Refer to Section20.6.5: + Synchronous transactions for FMC_CLK divider ratio + formula) + 20 + 4 + + + DATLAT + Data latency for synchronous memory For + synchronous access with read write burst mode enabled + these bits define the number of memory clock + cycles + 24 + 4 + + + ACCMOD + Access mode These bits specify the + asynchronous access modes as shown in the timing + diagrams. They are taken into account only when the + EXTMOD bit in the FMC_BCRx register is + 1. + 28 + 2 + + + + + BCR2 + BCR2 + This register contains the control + information of each memory bank, used for SRAMs, PSRAM + and NOR Flash memories. + 0x8 + 0x20 + read-write + 0x000030D2 + + + MBKEN + Memory bank enable bit This bit enables + the memory bank. After reset Bank1 is enabled, all + others are disabled. Accessing a disabled bank causes + an ERROR on AXI bus. + 0 + 1 + + + MUXEN + Address/data multiplexing enable bit + When this bit is set, the address and data values are + multiplexed on the data bus, valid only with NOR and + PSRAM memories: + 1 + 1 + + + MTYP + Memory type These bits define the type + of external memory attached to the corresponding + memory bank: + 2 + 2 + + + MWID + Memory data bus width Defines the + external memory device width, valid for all type of + memories. + 4 + 2 + + + FACCEN + Flash access enable This bit enables NOR + Flash memory access operations. + 6 + 1 + + + BURSTEN + Burst enable bit This bit + enables/disables synchronous accesses during read + operations. It is valid only for synchronous memories + operating in Burst mode: + 8 + 1 + + + WAITPOL + Wait signal polarity bit This bit + defines the polarity of the wait signal from memory + used for either in synchronous or asynchronous + mode: + 9 + 1 + + + WAITCFG + Wait timing configuration The NWAIT + signal indicates whether the data from the memory are + valid or if a wait state must be inserted when + accessing the memory in synchronous mode. This + configuration bit determines if NWAIT is asserted by + the memory one clock cycle before the wait state or + during the wait state: + 11 + 1 + + + WREN + Write enable bit This bit indicates + whether write operations are enabled/disabled in the + bank by the FMC: + 12 + 1 + + + WAITEN + Wait enable bit This bit + enables/disables wait-state insertion via the NWAIT + signal when accessing the memory in synchronous + mode. + 13 + 1 + + + EXTMOD + Extended mode enable. This bit enables + the FMC to program the write timings for asynchronous + accesses inside the FMC_BWTR register, thus resulting + in different timings for read and write operations. + Note: When the extended mode is disabled, the FMC can + operate in Mode1 or Mode2 as follows: ** Mode 1 is + the default mode when the SRAM/PSRAM memory type is + selected (MTYP =0x0 or 0x01) ** Mode 2 is the default + mode when the NOR memory type is selected (MTYP = + 0x10). + 14 + 1 + + + ASYNCWAIT + Wait signal during asynchronous + transfers This bit enables/disables the FMC to use + the wait signal even during an asynchronous + protocol. + 15 + 1 + + + CPSIZE + CRAM Page Size These are used for + Cellular RAM 1.5 which does not allow burst access to + cross the address boundaries between pages. When + these bits are configured, the FMC controller splits + automatically the burst access when the memory page + size is reached (refer to memory datasheet for page + size). Other configuration: reserved. + 16 + 3 + + + CBURSTRW + Write burst enable For PSRAM (CRAM) + operating in Burst mode, the bit enables synchronous + accesses during write operations. The enable bit for + synchronous read accesses is the BURSTEN bit in the + FMC_BCRx register. + 19 + 1 + + + CCLKEN + Continuous Clock Enable This bit enables + the FMC_CLK clock output to external memory devices. + Note: The CCLKEN bit of the FMC_BCR2..4 registers is + dont care. It is only enabled through the FMC_BCR1 + register. Bank 1 must be configured in synchronous + mode to generate the FMC_CLK continuous clock. If + CCLKEN bit is set, the FMC_CLK clock ratio is + specified by CLKDIV value in the FMC_BTR1 register. + CLKDIV in FMC_BWTR1 is dont care. If the synchronous + mode is used and CCLKEN bit is set, the synchronous + memories connected to other banks than Bank 1 are + clocked by the same clock (the CLKDIV value in the + FMC_BTR2..4 and FMC_BWTR2..4 registers for other + banks has no effect.) + 20 + 1 + + + WFDIS + Write FIFO Disable This bit disables the + Write FIFO used by the FMC controller. Note: The + WFDIS bit of the FMC_BCR2..4 registers is dont care. + It is only enabled through the FMC_BCR1 + register. + 21 + 1 + + + BMAP + FMC bank mapping These bits allows + different to remap SDRAM bank2 or swap the FMC + NOR/PSRAM and SDRAM banks.Refer to Table 10 for Note: + The BMAP bits of the FMC_BCR2..4 registers are dont + care. It is only enabled through the FMC_BCR1 + register. + 24 + 2 + + + FMCEN + FMC controller Enable This bit + enables/disables the FMC controller. Note: The FMCEN + bit of the FMC_BCR2..4 registers is dont care. It is + only enabled through the FMC_BCR1 + register. + 31 + 1 + + + + + BTR2 + BTR2 + This register contains the control + information of each memory bank, used for SRAMs, PSRAM + and NOR Flash memories.If the EXTMOD bit is set in the + FMC_BCRx register, then this register is partitioned for + write and read access, that is, 2 registers are + available: one to configure read accesses (this register) + and one to configure write accesses (FMC_BWTRx + registers). + 0xC + 0x20 + read-write + 0x0FFFFFFF + + + ADDSET + Address setup phase duration These bits + are written by software to define the duration of the + address setup phase (refer to Figure81 to Figure93), + used in SRAMs, ROMs and asynchronous NOR Flash: For + each access mode address setup phase duration, please + refer to the respective figure (refer to Figure81 to + Figure93). Note: In synchronous accesses, this value + is dont care. In Muxed mode or Mode D, the minimum + value for ADDSET is 1. + 0 + 4 + + + ADDHLD + Address-hold phase duration These bits + are written by software to define the duration of the + address hold phase (refer to Figure81 to Figure93), + used in mode D or multiplexed accesses: For each + access mode address-hold phase duration, please refer + to the respective figure (Figure81 to Figure93). + Note: In synchronous accesses, this value is not + used, the address hold phase is always 1 memory clock + period duration. + 4 + 4 + + + DATAST + Data-phase duration These bits are + written by software to define the duration of the + data phase (refer to Figure81 to Figure93), used in + asynchronous accesses: For each memory type and + access mode data-phase duration, please refer to the + respective figure (Figure81 to Figure93). Example: + Mode1, write access, DATAST=1: Data-phase duration= + DATAST+1 = 2 KCK_FMC clock cycles. Note: In + synchronous accesses, this value is dont + care. + 8 + 8 + + + BUSTURN + Bus turnaround phase duration These bits + are written by software to add a delay at the end of + a write-to-read or read-to write transaction. The + programmed bus turnaround delay is inserted between + an asynchronous read (in muxed or mode D) or write + transaction and any other asynchronous /synchronous + read/write from/to a static bank. If a read operation + is performed, the bank can be the same or a different + one, whereas it must be different in case of write + operation to the bank, except in muxed mode or mode + D. In some cases, whatever the programmed BUSTRUN + values, the bus turnaround delay is fixed as follows: + The bus turnaround delay is not inserted between two + consecutive asynchronous write transfers to the same + static memory bank except in muxed mode and mode D. + There is a bus turnaround delay of 1 FMC clock cycle + between: Two consecutive asynchronous read transfers + to the same static memory bank except for modes muxed + and D. An asynchronous read to an asynchronous or + synchronous write to any static bank or dynamic bank + except in modes muxed and D mode. There is a bus + turnaround delay of 2 FMC clock cycle between: Two + consecutive synchronous write operations (in Burst or + Single mode) to the same bank. A synchronous write + (burst or single) access and an asynchronous write or + read transfer to or from static memory bank (the bank + can be the same or a different one in case of a read + operation. Two consecutive synchronous read + operations (in Burst or Single mode) followed by any + synchronous/asynchronous read or write from/to + another static memory bank. There is a bus turnaround + delay of 3 FMC clock cycle between: Two consecutive + synchronous write operations (in Burst or Single + mode) to different static banks. A synchronous write + access (in Burst or Single mode) and a synchronous + read from the same or a different bank. The bus + turnaround delay allows to match the minimum time + between consecutive transactions (tEHEL from NEx high + to NEx low) and the maximum time required by the + memory to free the data bus after a read access + (tEHQZ): (BUSTRUN + 1) KCK_FMC period &#8805; + tEHELmin and (BUSTRUN + 2)KCK_FMC period &#8805; + tEHQZmax if EXTMOD = 0 (BUSTRUN + 2)KCK_FMC period + &#8805; max (tEHELmin, tEHQZmax) if EXTMOD = 1. + ... + 16 + 4 + + + CLKDIV + Clock divide ratio (for FMC_CLK signal) + These bits define the period of FMC_CLK clock output + signal, expressed in number of KCK_FMC cycles: In + asynchronous NOR Flash, SRAM or PSRAM accesses, this + value is dont care. Note: Refer to Section20.6.5: + Synchronous transactions for FMC_CLK divider ratio + formula) + 20 + 4 + + + DATLAT + Data latency for synchronous memory For + synchronous access with read write burst mode enabled + these bits define the number of memory clock + cycles + 24 + 4 + + + ACCMOD + Access mode These bits specify the + asynchronous access modes as shown in the timing + diagrams. They are taken into account only when the + EXTMOD bit in the FMC_BCRx register is + 1. + 28 + 2 + + + + + BCR3 + BCR3 + This register contains the control + information of each memory bank, used for SRAMs, PSRAM + and NOR Flash memories. + 0x10 + 0x20 + read-write + 0x000030D2 + + + MBKEN + Memory bank enable bit This bit enables + the memory bank. After reset Bank1 is enabled, all + others are disabled. Accessing a disabled bank causes + an ERROR on AXI bus. + 0 + 1 + + + MUXEN + Address/data multiplexing enable bit + When this bit is set, the address and data values are + multiplexed on the data bus, valid only with NOR and + PSRAM memories: + 1 + 1 + + + MTYP + Memory type These bits define the type + of external memory attached to the corresponding + memory bank: + 2 + 2 + + + MWID + Memory data bus width Defines the + external memory device width, valid for all type of + memories. + 4 + 2 + + + FACCEN + Flash access enable This bit enables NOR + Flash memory access operations. + 6 + 1 + + + BURSTEN + Burst enable bit This bit + enables/disables synchronous accesses during read + operations. It is valid only for synchronous memories + operating in Burst mode: + 8 + 1 + + + WAITPOL + Wait signal polarity bit This bit + defines the polarity of the wait signal from memory + used for either in synchronous or asynchronous + mode: + 9 + 1 + + + WAITCFG + Wait timing configuration The NWAIT + signal indicates whether the data from the memory are + valid or if a wait state must be inserted when + accessing the memory in synchronous mode. This + configuration bit determines if NWAIT is asserted by + the memory one clock cycle before the wait state or + during the wait state: + 11 + 1 + + + WREN + Write enable bit This bit indicates + whether write operations are enabled/disabled in the + bank by the FMC: + 12 + 1 + + + WAITEN + Wait enable bit This bit + enables/disables wait-state insertion via the NWAIT + signal when accessing the memory in synchronous + mode. + 13 + 1 + + + EXTMOD + Extended mode enable. This bit enables + the FMC to program the write timings for asynchronous + accesses inside the FMC_BWTR register, thus resulting + in different timings for read and write operations. + Note: When the extended mode is disabled, the FMC can + operate in Mode1 or Mode2 as follows: ** Mode 1 is + the default mode when the SRAM/PSRAM memory type is + selected (MTYP =0x0 or 0x01) ** Mode 2 is the default + mode when the NOR memory type is selected (MTYP = + 0x10). + 14 + 1 + + + ASYNCWAIT + Wait signal during asynchronous + transfers This bit enables/disables the FMC to use + the wait signal even during an asynchronous + protocol. + 15 + 1 + + + CPSIZE + CRAM Page Size These are used for + Cellular RAM 1.5 which does not allow burst access to + cross the address boundaries between pages. When + these bits are configured, the FMC controller splits + automatically the burst access when the memory page + size is reached (refer to memory datasheet for page + size). Other configuration: reserved. + 16 + 3 + + + CBURSTRW + Write burst enable For PSRAM (CRAM) + operating in Burst mode, the bit enables synchronous + accesses during write operations. The enable bit for + synchronous read accesses is the BURSTEN bit in the + FMC_BCRx register. + 19 + 1 + + + CCLKEN + Continuous Clock Enable This bit enables + the FMC_CLK clock output to external memory devices. + Note: The CCLKEN bit of the FMC_BCR2..4 registers is + dont care. It is only enabled through the FMC_BCR1 + register. Bank 1 must be configured in synchronous + mode to generate the FMC_CLK continuous clock. If + CCLKEN bit is set, the FMC_CLK clock ratio is + specified by CLKDIV value in the FMC_BTR1 register. + CLKDIV in FMC_BWTR1 is dont care. If the synchronous + mode is used and CCLKEN bit is set, the synchronous + memories connected to other banks than Bank 1 are + clocked by the same clock (the CLKDIV value in the + FMC_BTR2..4 and FMC_BWTR2..4 registers for other + banks has no effect.) + 20 + 1 + + + WFDIS + Write FIFO Disable This bit disables the + Write FIFO used by the FMC controller. Note: The + WFDIS bit of the FMC_BCR2..4 registers is dont care. + It is only enabled through the FMC_BCR1 + register. + 21 + 1 + + + BMAP + FMC bank mapping These bits allows + different to remap SDRAM bank2 or swap the FMC + NOR/PSRAM and SDRAM banks.Refer to Table 10 for Note: + The BMAP bits of the FMC_BCR2..4 registers are dont + care. It is only enabled through the FMC_BCR1 + register. + 24 + 2 + + + FMCEN + FMC controller Enable This bit + enables/disables the FMC controller. Note: The FMCEN + bit of the FMC_BCR2..4 registers is dont care. It is + only enabled through the FMC_BCR1 + register. + 31 + 1 + + + + + BTR3 + BTR3 + This register contains the control + information of each memory bank, used for SRAMs, PSRAM + and NOR Flash memories.If the EXTMOD bit is set in the + FMC_BCRx register, then this register is partitioned for + write and read access, that is, 2 registers are + available: one to configure read accesses (this register) + and one to configure write accesses (FMC_BWTRx + registers). + 0x14 + 0x20 + read-write + 0x0FFFFFFF + + + ADDSET + Address setup phase duration These bits + are written by software to define the duration of the + address setup phase (refer to Figure81 to Figure93), + used in SRAMs, ROMs and asynchronous NOR Flash: For + each access mode address setup phase duration, please + refer to the respective figure (refer to Figure81 to + Figure93). Note: In synchronous accesses, this value + is dont care. In Muxed mode or Mode D, the minimum + value for ADDSET is 1. + 0 + 4 + + + ADDHLD + Address-hold phase duration These bits + are written by software to define the duration of the + address hold phase (refer to Figure81 to Figure93), + used in mode D or multiplexed accesses: For each + access mode address-hold phase duration, please refer + to the respective figure (Figure81 to Figure93). + Note: In synchronous accesses, this value is not + used, the address hold phase is always 1 memory clock + period duration. + 4 + 4 + + + DATAST + Data-phase duration These bits are + written by software to define the duration of the + data phase (refer to Figure81 to Figure93), used in + asynchronous accesses: For each memory type and + access mode data-phase duration, please refer to the + respective figure (Figure81 to Figure93). Example: + Mode1, write access, DATAST=1: Data-phase duration= + DATAST+1 = 2 KCK_FMC clock cycles. Note: In + synchronous accesses, this value is dont + care. + 8 + 8 + + + BUSTURN + Bus turnaround phase duration These bits + are written by software to add a delay at the end of + a write-to-read or read-to write transaction. The + programmed bus turnaround delay is inserted between + an asynchronous read (in muxed or mode D) or write + transaction and any other asynchronous /synchronous + read/write from/to a static bank. If a read operation + is performed, the bank can be the same or a different + one, whereas it must be different in case of write + operation to the bank, except in muxed mode or mode + D. In some cases, whatever the programmed BUSTRUN + values, the bus turnaround delay is fixed as follows: + The bus turnaround delay is not inserted between two + consecutive asynchronous write transfers to the same + static memory bank except in muxed mode and mode D. + There is a bus turnaround delay of 1 FMC clock cycle + between: Two consecutive asynchronous read transfers + to the same static memory bank except for modes muxed + and D. An asynchronous read to an asynchronous or + synchronous write to any static bank or dynamic bank + except in modes muxed and D mode. There is a bus + turnaround delay of 2 FMC clock cycle between: Two + consecutive synchronous write operations (in Burst or + Single mode) to the same bank. A synchronous write + (burst or single) access and an asynchronous write or + read transfer to or from static memory bank (the bank + can be the same or a different one in case of a read + operation. Two consecutive synchronous read + operations (in Burst or Single mode) followed by any + synchronous/asynchronous read or write from/to + another static memory bank. There is a bus turnaround + delay of 3 FMC clock cycle between: Two consecutive + synchronous write operations (in Burst or Single + mode) to different static banks. A synchronous write + access (in Burst or Single mode) and a synchronous + read from the same or a different bank. The bus + turnaround delay allows to match the minimum time + between consecutive transactions (tEHEL from NEx high + to NEx low) and the maximum time required by the + memory to free the data bus after a read access + (tEHQZ): (BUSTRUN + 1) KCK_FMC period &#8805; + tEHELmin and (BUSTRUN + 2)KCK_FMC period &#8805; + tEHQZmax if EXTMOD = 0 (BUSTRUN + 2)KCK_FMC period + &#8805; max (tEHELmin, tEHQZmax) if EXTMOD =1. + ... + 16 + 4 + + + CLKDIV + Clock divide ratio (for FMC_CLK signal) + These bits define the period of FMC_CLK clock output + signal, expressed in number of KCK_FMC cycles: In + asynchronous NOR Flash, SRAM or PSRAM accesses, this + value is dont care. Note: Refer to Section20.6.5: + Synchronous transactions for FMC_CLK divider ratio + formula) + 20 + 4 + + + DATLAT + Data latency for synchronous memory For + synchronous access with read write burst mode enabled + these bits define the number of memory clock + cycles + 24 + 4 + + + ACCMOD + Access mode These bits specify the + asynchronous access modes as shown in the timing + diagrams. They are taken into account only when the + EXTMOD bit in the FMC_BCRx register is + 1. + 28 + 2 + + + + + BCR4 + BCR4 + This register contains the control + information of each memory bank, used for SRAMs, PSRAM + and NOR Flash memories. + 0x18 + 0x20 + read-write + 0x000030D2 + + + MBKEN + Memory bank enable bit This bit enables + the memory bank. After reset Bank1 is enabled, all + others are disabled. Accessing a disabled bank causes + an ERROR on AXI bus. + 0 + 1 + + + MUXEN + Address/data multiplexing enable bit + When this bit is set, the address and data values are + multiplexed on the data bus, valid only with NOR and + PSRAM memories: + 1 + 1 + + + MTYP + Memory type These bits define the type + of external memory attached to the corresponding + memory bank: + 2 + 2 + + + MWID + Memory data bus width Defines the + external memory device width, valid for all type of + memories. + 4 + 2 + + + FACCEN + Flash access enable This bit enables NOR + Flash memory access operations. + 6 + 1 + + + BURSTEN + Burst enable bit This bit + enables/disables synchronous accesses during read + operations. It is valid only for synchronous memories + operating in Burst mode: + 8 + 1 + + + WAITPOL + Wait signal polarity bit This bit + defines the polarity of the wait signal from memory + used for either in synchronous or asynchronous + mode: + 9 + 1 + + + WAITCFG + Wait timing configuration The NWAIT + signal indicates whether the data from the memory are + valid or if a wait state must be inserted when + accessing the memory in synchronous mode. This + configuration bit determines if NWAIT is asserted by + the memory one clock cycle before the wait state or + during the wait state: + 11 + 1 + + + WREN + Write enable bit This bit indicates + whether write operations are enabled/disabled in the + bank by the FMC: + 12 + 1 + + + WAITEN + Wait enable bit This bit + enables/disables wait-state insertion via the NWAIT + signal when accessing the memory in synchronous + mode. + 13 + 1 + + + EXTMOD + Extended mode enable. This bit enables + the FMC to program the write timings for asynchronous + accesses inside the FMC_BWTR register, thus resulting + in different timings for read and write operations. + Note: When the extended mode is disabled, the FMC can + operate in Mode1 or Mode2 as follows: ** Mode 1 is + the default mode when the SRAM/PSRAM memory type is + selected (MTYP =0x0 or 0x01) ** Mode 2 is the default + mode when the NOR memory type is selected (MTYP = + 0x10). + 14 + 1 + + + ASYNCWAIT + Wait signal during asynchronous + transfers This bit enables/disables the FMC to use + the wait signal even during an asynchronous + protocol. + 15 + 1 + + + CPSIZE + CRAM Page Size These are used for + Cellular RAM 1.5 which does not allow burst access to + cross the address boundaries between pages. When + these bits are configured, the FMC controller splits + automatically the burst access when the memory page + size is reached (refer to memory datasheet for page + size). Other configuration: reserved. + 16 + 3 + + + CBURSTRW + Write burst enable For PSRAM (CRAM) + operating in Burst mode, the bit enables synchronous + accesses during write operations. The enable bit for + synchronous read accesses is the BURSTEN bit in the + FMC_BCRx register. + 19 + 1 + + + CCLKEN + Continuous Clock Enable This bit enables + the FMC_CLK clock output to external memory devices. + Note: The CCLKEN bit of the FMC_BCR2..4 registers is + dont care. It is only enabled through the FMC_BCR1 + register. Bank 1 must be configured in synchronous + mode to generate the FMC_CLK continuous clock. If + CCLKEN bit is set, the FMC_CLK clock ratio is + specified by CLKDIV value in the FMC_BTR1 register. + CLKDIV in FMC_BWTR1 is dont care. If the synchronous + mode is used and CCLKEN bit is set, the synchronous + memories connected to other banks than Bank 1 are + clocked by the same clock (the CLKDIV value in the + FMC_BTR2..4 and FMC_BWTR2..4 registers for other + banks has no effect.) + 20 + 1 + + + WFDIS + Write FIFO Disable This bit disables the + Write FIFO used by the FMC controller. Note: The + WFDIS bit of the FMC_BCR2..4 registers is dont care. + It is only enabled through the FMC_BCR1 + register. + 21 + 1 + + + BMAP + FMC bank mapping These bits allows + different to remap SDRAM bank2 or swap the FMC + NOR/PSRAM and SDRAM banks.Refer to Table 10 for Note: + The BMAP bits of the FMC_BCR2..4 registers are dont + care. It is only enabled through the FMC_BCR1 + register. + 24 + 2 + + + FMCEN + FMC controller Enable This bit + enables/disables the FMC controller. Note: The FMCEN + bit of the FMC_BCR2..4 registers is dont care. It is + only enabled through the FMC_BCR1 + register. + 31 + 1 + + + + + BTR4 + BTR4 + This register contains the control + information of each memory bank, used for SRAMs, PSRAM + and NOR Flash memories.If the EXTMOD bit is set in the + FMC_BCRx register, then this register is partitioned for + write and read access, that is, 2 registers are + available: one to configure read accesses (this register) + and one to configure write accesses (FMC_BWTRx + registers). + 0x1C + 0x20 + read-write + 0x0FFFFFFF + + + ADDSET + Address setup phase duration These bits + are written by software to define the duration of the + address setup phase (refer to Figure81 to Figure93), + used in SRAMs, ROMs and asynchronous NOR Flash: For + each access mode address setup phase duration, please + refer to the respective figure (refer to Figure81 to + Figure93). Note: In synchronous accesses, this value + is dont care. In Muxed mode or Mode D, the minimum + value for ADDSET is 1. + 0 + 4 + + + ADDHLD + Address-hold phase duration These bits + are written by software to define the duration of the + address hold phase (refer to Figure81 to Figure93), + used in mode D or multiplexed accesses: For each + access mode address-hold phase duration, please refer + to the respective figure (Figure81 to Figure93). + Note: In synchronous accesses, this value is not + used, the address hold phase is always 1 memory clock + period duration. + 4 + 4 + + + DATAST + Data-phase duration These bits are + written by software to define the duration of the + data phase (refer to Figure81 to Figure93), used in + asynchronous accesses: For each memory type and + access mode data-phase duration, please refer to the + respective figure (Figure81 to Figure93). Example: + Mode1, write access, DATAST=1: Data-phase duration= + DATAST+1 = 2 KCK_FMC clock cycles. Note: In + synchronous accesses, this value is dont + care. + 8 + 8 + + + BUSTURN + Bus turnaround phase duration These bits + are written by software to add a delay at the end of + a write-to-read or read-to write transaction. The + programmed bus turnaround delay is inserted between + an asynchronous read (in muxed or mode D) or write + transaction and any other asynchronous /synchronous + read/write from/to a static bank. If a read operation + is performed, the bank can be the same or a different + one, whereas it must be different in case of write + operation to the bank, except in muxed mode or mode + D. In some cases, whatever the programmed BUSTRUN + values, the bus turnaround delay is fixed as follows: + The bus turnaround delay is not inserted between two + consecutive asynchronous write transfers to the same + static memory bank except in muxed mode and mode D. + There is a bus turnaround delay of 1 FMC clock cycle + between: Two consecutive asynchronous read transfers + to the same static memory bank except for modes muxed + and D. An asynchronous read to an asynchronous or + synchronous write to any static bank or dynamic bank + except in modes muxed and D mode. There is a bus + turnaround delay of 2 FMC clock cycle between: Two + consecutive synchronous write operations (in Burst or + Single mode) to the same bank. A synchronous write + (burst or single) access and an asynchronous write or + read transfer to or from static memory bank (the bank + can be the same or a different one in case of a read + operation. Two consecutive synchronous read + operations (in Burst or Single mode) followed by any + synchronous/asynchronous read or write from/to + another static memory bank. There is a bus turnaround + delay of 3 FMC clock cycle between: Two consecutive + synchronous write operations (in Burst or Single + mode) to different static banks. A synchronous write + access (in Burst or Single mode) and a synchronous + read from the same or a different bank. The bus + turnaround delay allows to match the minimum time + between consecutive transactions (tEHEL from NEx high + to NEx low) and the maximum time required by the + memory to free the data bus after a read access + (tEHQZ): (BUSTRUN + 1) KCK_FMC period &#8805; + tEHELmin and (BUSTRUN + 2)KCK_FMC period &#8805; + tEHQZmax if EXTMOD = 0 (BUSTRUN + 2)KCK_FMC period + &#8805; max (tEHELmin, tEHQZmax) if EXTMOD =1. + ... + 16 + 4 + + + CLKDIV + Clock divide ratio (for FMC_CLK signal) + These bits define the period of FMC_CLK clock output + signal, expressed in number of KCK_FMC cycles: In + asynchronous NOR Flash, SRAM or PSRAM accesses, this + value is dont care. Note: Refer to Section20.6.5: + Synchronous transactions for FMC_CLK divider ratio + formula) + 20 + 4 + + + DATLAT + Data latency for synchronous memory For + synchronous access with read write burst mode enabled + these bits define the number of memory clock + cycles + 24 + 4 + + + ACCMOD + Access mode These bits specify the + asynchronous access modes as shown in the timing + diagrams. They are taken into account only when the + EXTMOD bit in the FMC_BCRx register is + 1. + 28 + 2 + + + + + PCR + PCR + NAND Flash control registers + 0x80 + 0x20 + read-write + 0x00000018 + + + PWAITEN + Wait feature enable bit. This bit + enables the Wait feature for the NAND Flash memory + bank: + 1 + 1 + + + PBKEN + NAND Flash memory bank enable bit. This + bit enables the memory bank. Accessing a disabled + memory bank causes an ERROR on AXI bus + 2 + 1 + + + PWID + Data bus width. These bits define the + external memory device width. + 4 + 2 + + + ECCEN + ECC computation logic enable + bit + 6 + 1 + + + TCLR + CLE to RE delay. These bits set time + from CLE low to RE low in number of KCK_FMC clock + cycles. The time is give by the following formula: + t_clr = (TCLR + SET + 2) TKCK_FMC where TKCK_FMC is + the KCK_FMC clock period Note: Set is MEMSET or + ATTSET according to the addressed + space. + 9 + 4 + + + TAR + ALE to RE delay. These bits set time + from ALE low to RE low in number of KCK_FMC clock + cycles. Time is: t_ar = (TAR + SET + 2) TKCK_FMC + where TKCK_FMC is the FMC clock period Note: Set is + MEMSET or ATTSET according to the addressed + space. + 13 + 4 + + + ECCPS + ECC page size. These bits define the + page size for the extended ECC: + 17 + 3 + + + + + SR + SR + This register contains information about the + FIFO status and interrupt. The FMC features a FIFO that + is used when writing to memories to transfer up to 16 + words of data.This is used to quickly write to the FIFO + and free the AXI bus for transactions to peripherals + other than the FMC, while the FMC is draining its FIFO + into the memory. One of these register bits indicates the + status of the FIFO, for ECC purposes.The ECC is + calculated while the data are written to the memory. To + read the correct ECC, the software must consequently wait + until the FIFO is empty. + 0x84 + 0x20 + 0x00000040 + + + IRS + Interrupt rising edge status The flag is + set by hardware and reset by software. Note: If this + bit is written by software to 1 it will be + set. + 0 + 1 + read-write + + + ILS + Interrupt high-level status The flag is + set by hardware and reset by software. + 1 + 1 + read-write + + + IFS + Interrupt falling edge status The flag + is set by hardware and reset by software. Note: If + this bit is written by software to 1 it will be + set. + 2 + 1 + read-write + + + IREN + Interrupt rising edge detection enable + bit + 3 + 1 + read-write + + + ILEN + Interrupt high-level detection enable + bit + 4 + 1 + read-write + + + IFEN + Interrupt falling edge detection enable + bit + 5 + 1 + read-write + + + FEMPT + FIFO empty. Read-only bit that provides + the status of the FIFO + 6 + 1 + read-only + + + + + PMEM + PMEM + The FMC_PMEM read/write register contains + the timing information for NAND Flash memory bank. This + information is used to access either the common memory + space of the NAND Flash for command, address write access + and data read/write access. + 0x88 + 0x20 + read-write + 0xFCFCFCFC + + + MEMSET + Common memory x setup time These bits + define the number of KCK_FMC (+1) clock cycles to set + up the address before the command assertion (NWE, + NOE), for NAND Flash read or write access to common + memory space: + 0 + 8 + + + MEMWAIT + Common memory wait time These bits + define the minimum number of KCK_FMC (+1) clock + cycles to assert the command (NWE, NOE), for NAND + Flash read or write access to common memory space. + The duration of command assertion is extended if the + wait signal (NWAIT) is active (low) at the end of the + programmed value of KCK_FMC: + 8 + 8 + + + MEMHOLD + Common memory hold time These bits + define the number of KCK_FMC clock cycles for write + accesses and KCK_FMC+1 clock cycles for read accesses + during which the address is held (and data for write + accesses) after the command is de-asserted (NWE, + NOE), for NAND Flash read or write access to common + memory space: + 16 + 8 + + + MEMHIZ + Common memory x data bus Hi-Z time These + bits define the number of KCK_FMC clock cycles during + which the data bus is kept Hi-Z after the start of a + NAND Flash write access to common memory space. This + is only valid for write transactions: + 24 + 8 + + + + + PATT + PATT + The FMC_PATT read/write register contains + the timing information for NAND Flash memory bank. It is + used for 8-bit accesses to the attribute memory space of + the NAND Flash for the last address write access if the + timing must differ from that of previous accesses (for + Ready/Busy management, refer to Section20.8.5: NAND Flash + prewait feature). + 0x8C + 0x20 + read-write + 0xFCFCFCFC + + + ATTSET + Attribute memory setup time These bits + define the number of KCK_FMC (+1) clock cycles to set + up address before the command assertion (NWE, NOE), + for NAND Flash read or write access to attribute + memory space: + 0 + 8 + + + ATTWAIT + Attribute memory wait time These bits + define the minimum number of x KCK_FMC (+1) clock + cycles to assert the command (NWE, NOE), for NAND + Flash read or write access to attribute memory space. + The duration for command assertion is extended if the + wait signal (NWAIT) is active (low) at the end of the + programmed value of KCK_FMC: + 8 + 8 + + + ATTHOLD + Attribute memory hold time These bits + define the number of KCK_FMC clock cycles during + which the address is held (and data for write access) + after the command de-assertion (NWE, NOE), for NAND + Flash read or write access to attribute memory + space: + 16 + 8 + + + ATTHIZ + Attribute memory data bus Hi-Z time + These bits define the number of KCK_FMC clock cycles + during which the data bus is kept in Hi-Z after the + start of a NAND Flash write access to attribute + memory space on socket. Only valid for writ + transaction: + 24 + 8 + + + + + ECCR + ECCR + This register contain the current error + correction code value computed by the ECC computation + modules of the FMC NAND controller. When the CPU + reads/writes the data from a NAND Flash memory page at + the correct address (refer to Section20.8.6: Computation + of the error correction code (ECC) in NAND Flash memory), + the data read/written from/to the NAND Flash memory are + processed automatically by the ECC computation module. + When X bytes have been read (according to the ECCPS field + in the FMC_PCR registers), the CPU must read the computed + ECC value from the FMC_ECC registers. It then verifies if + these computed parity data are the same as the parity + value recorded in the spare area, to determine whether a + page is valid, and, to correct it otherwise. The FMC_ECCR + register should be cleared after being read by setting + the ECCEN bit to 0. To compute a new data block, the + ECCEN bit must be set to 1. + 0x94 + 0x20 + read-only + 0x00000000 + + + ECC + ECC result This field contains the value + computed by the ECC computation logic. Table167 + describes the contents of these bit + fields. + 0 + 32 + + + + + BWTR1 + BWTR1 + This register contains the control + information of each memory bank. It is used for SRAMs, + PSRAMs and NOR Flash memories. When the EXTMOD bit is set + in the FMC_BCRx register, then this register is active + for write access. + 0x104 + 0x20 + read-write + 0x0FFFFFFF + + + ADDSET + Address setup phase duration. These bits + are written by software to define the duration of the + address setup phase in KCK_FMC cycles (refer to + Figure81 to Figure93), used in asynchronous accesses: + ... Note: In synchronous accesses, this value is not + used, the address setup phase is always 1 Flash clock + period duration. In muxed mode, the minimum ADDSET + value is 1. + 0 + 4 + + + ADDHLD + Address-hold phase duration. These bits + are written by software to define the duration of the + address hold phase (refer to Figure81 to Figure93), + used in asynchronous multiplexed accesses: ... Note: + In synchronous NOR Flash accesses, this value is not + used, the address hold phase is always 1 Flash clock + period duration. + 4 + 4 + + + DATAST + Data-phase duration. These bits are + written by software to define the duration of the + data phase (refer to Figure81 to Figure93), used in + asynchronous SRAM, PSRAM and NOR Flash memory + accesses: + 8 + 8 + + + BUSTURN + Bus turnaround phase duration These bits + are written by software to add a delay at the end of + a write transaction to match the minimum time between + consecutive transactions (tEHEL from ENx high to ENx + low): (BUSTRUN + 1) KCK_FMC period &#8805; + tEHELmin. The programmed bus turnaround delay is + inserted between a an asynchronous write transfer and + any other asynchronous /synchronous read or write + transfer to or from a static bank. If a read + operation is performed, the bank can be the same or a + different one, whereas it must be different in case + of write operation to the bank, except in muxed mode + or mode D. In some cases, whatever the programmed + BUSTRUN values, the bus turnaround delay is fixed as + follows: The bus turnaround delay is not inserted + between two consecutive asynchronous write transfers + to the same static memory bank except for muxed mode + and mode D. There is a bus turnaround delay of 2 FMC + clock cycle between: Two consecutive synchronous + write operations (in Burst or Single mode) to the + same bank A synchronous write transfer ((in Burst or + Single mode) and an asynchronous write or read + transfer to or from static memory bank. There is a + bus turnaround delay of 3 FMC clock cycle between: + Two consecutive synchronous write operations (in + Burst or Single mode) to different static banks. A + synchronous write transfer (in Burst or Single mode) + and a synchronous read from the same or a different + bank. ... + 16 + 4 + + + ACCMOD + Access mode. These bits specify the + asynchronous access modes as shown in the next timing + diagrams.These bits are taken into account only when + the EXTMOD bit in the FMC_BCRx register is + 1. + 28 + 2 + + + + + BWTR2 + BWTR2 + This register contains the control + information of each memory bank. It is used for SRAMs, + PSRAMs and NOR Flash memories. When the EXTMOD bit is set + in the FMC_BCRx register, then this register is active + for write access. + 0x10C + 0x20 + read-write + 0x0FFFFFFF + + + ADDSET + Address setup phase duration. These bits + are written by software to define the duration of the + address setup phase in KCK_FMC cycles (refer to + Figure81 to Figure93), used in asynchronous accesses: + ... Note: In synchronous accesses, this value is not + used, the address setup phase is always 1 Flash clock + period duration. In muxed mode, the minimum ADDSET + value is 1. + 0 + 4 + + + ADDHLD + Address-hold phase duration. These bits + are written by software to define the duration of the + address hold phase (refer to Figure81 to Figure93), + used in asynchronous multiplexed accesses: ... Note: + In synchronous NOR Flash accesses, this value is not + used, the address hold phase is always 1 Flash clock + period duration. + 4 + 4 + + + DATAST + Data-phase duration. These bits are + written by software to define the duration of the + data phase (refer to Figure81 to Figure93), used in + asynchronous SRAM, PSRAM and NOR Flash memory + accesses: + 8 + 8 + + + BUSTURN + Bus turnaround phase duration These bits + are written by software to add a delay at the end of + a write transaction to match the minimum time between + consecutive transactions (tEHEL from ENx high to ENx + low): (BUSTRUN + 1) KCK_FMC period &#8805; + tEHELmin. The programmed bus turnaround delay is + inserted between a an asynchronous write transfer and + any other asynchronous /synchronous read or write + transfer to or from a static bank. If a read + operation is performed, the bank can be the same or a + different one, whereas it must be different in case + of write operation to the bank, except in muxed mode + or mode D. In some cases, whatever the programmed + BUSTRUN values, the bus turnaround delay is fixed as + follows: The bus turnaround delay is not inserted + between two consecutive asynchronous write transfers + to the same static memory bank except for muxed mode + and mode D. There is a bus turnaround delay of 2 FMC + clock cycle between: Two consecutive synchronous + write operations (in Burst or Single mode) to the + same bank A synchronous write transfer ((in Burst or + Single mode) and an asynchronous write or read + transfer to or from static memory bank. There is a + bus turnaround delay of 3 FMC clock cycle between: + Two consecutive synchronous write operations (in + Burst or Single mode) to different static banks. A + synchronous write transfer (in Burst or Single mode) + and a synchronous read from the same or a different + bank. ... + 16 + 4 + + + ACCMOD + Access mode. These bits specify the + asynchronous access modes as shown in the next timing + diagrams.These bits are taken into account only when + the EXTMOD bit in the FMC_BCRx register is + 1. + 28 + 2 + + + + + BWTR3 + BWTR3 + This register contains the control + information of each memory bank. It is used for SRAMs, + PSRAMs and NOR Flash memories. When the EXTMOD bit is set + in the FMC_BCRx register, then this register is active + for write access. + 0x114 + 0x20 + read-write + 0x0FFFFFFF + + + ADDSET + Address setup phase duration. These bits + are written by software to define the duration of the + address setup phase in KCK_FMC cycles (refer to + Figure81 to Figure93), used in asynchronous accesses: + ... Note: In synchronous accesses, this value is not + used, the address setup phase is always 1 Flash clock + period duration. In muxed mode, the minimum ADDSET + value is 1. + 0 + 4 + + + ADDHLD + Address-hold phase duration. These bits + are written by software to define the duration of the + address hold phase (refer to Figure81 to Figure93), + used in asynchronous multiplexed accesses: ... Note: + In synchronous NOR Flash accesses, this value is not + used, the address hold phase is always 1 Flash clock + period duration. + 4 + 4 + + + DATAST + Data-phase duration. These bits are + written by software to define the duration of the + data phase (refer to Figure81 to Figure93), used in + asynchronous SRAM, PSRAM and NOR Flash memory + accesses: + 8 + 8 + + + BUSTURN + Bus turnaround phase duration These bits + are written by software to add a delay at the end of + a write transaction to match the minimum time between + consecutive transactions (tEHEL from ENx high to ENx + low): (BUSTRUN + 1) KCK_FMC period &#8805; + tEHELmin. The programmed bus turnaround delay is + inserted between a an asynchronous write transfer and + any other asynchronous /synchronous read or write + transfer to or from a static bank. If a read + operation is performed, the bank can be the same or a + different one, whereas it must be different in case + of write operation to the bank, except in muxed mode + or mode D. In some cases, whatever the programmed + BUSTRUN values, the bus turnaround delay is fixed as + follows: The bus turnaround delay is not inserted + between two consecutive asynchronous write transfers + to the same static memory bank except for muxed mode + and mode D. There is a bus turnaround delay of 2 FMC + clock cycle between: Two consecutive synchronous + write operations (in Burst or Single mode) to the + same bank A synchronous write transfer ((in Burst or + Single mode) and an asynchronous write or read + transfer to or from static memory bank. There is a + bus turnaround delay of 3 FMC clock cycle between: + Two consecutive synchronous write operations (in + Burst or Single mode) to different static banks. A + synchronous write transfer (in Burst or Single mode) + and a synchronous read from the same or a different + bank. ... + 16 + 4 + + + ACCMOD + Access mode. These bits specify the + asynchronous access modes as shown in the next timing + diagrams.These bits are taken into account only when + the EXTMOD bit in the FMC_BCRx register is + 1. + 28 + 2 + + + + + BWTR4 + BWTR4 + This register contains the control + information of each memory bank. It is used for SRAMs, + PSRAMs and NOR Flash memories. When the EXTMOD bit is set + in the FMC_BCRx register, then this register is active + for write access. + 0x11C + 0x20 + read-write + 0x0FFFFFFF + + + ADDSET + Address setup phase duration. These bits + are written by software to define the duration of the + address setup phase in KCK_FMC cycles (refer to + Figure81 to Figure93), used in asynchronous accesses: + ... Note: In synchronous accesses, this value is not + used, the address setup phase is always 1 Flash clock + period duration. In muxed mode, the minimum ADDSET + value is 1. + 0 + 4 + + + ADDHLD + Address-hold phase duration. These bits + are written by software to define the duration of the + address hold phase (refer to Figure81 to Figure93), + used in asynchronous multiplexed accesses: ... Note: + In synchronous NOR Flash accesses, this value is not + used, the address hold phase is always 1 Flash clock + period duration. + 4 + 4 + + + DATAST + Data-phase duration. These bits are + written by software to define the duration of the + data phase (refer to Figure81 to Figure93), used in + asynchronous SRAM, PSRAM and NOR Flash memory + accesses: + 8 + 8 + + + BUSTURN + Bus turnaround phase duration These bits + are written by software to add a delay at the end of + a write transaction to match the minimum time between + consecutive transactions (tEHEL from ENx high to ENx + low): (BUSTRUN + 1) KCK_FMC period &#8805; + tEHELmin. The programmed bus turnaround delay is + inserted between a an asynchronous write transfer and + any other asynchronous /synchronous read or write + transfer to or from a static bank. If a read + operation is performed, the bank can be the same or a + different one, whereas it must be different in case + of write operation to the bank, except in muxed mode + or mode D. In some cases, whatever the programmed + BUSTRUN values, the bus turnaround delay is fixed as + follows: The bus turnaround delay is not inserted + between two consecutive asynchronous write transfers + to the same static memory bank except for muxed mode + and mode D. There is a bus turnaround delay of 2 FMC + clock cycle between: Two consecutive synchronous + write operations (in Burst or Single mode) to the + same bank A synchronous write transfer ((in Burst or + Single mode) and an asynchronous write or read + transfer to or from static memory bank. There is a + bus turnaround delay of 3 FMC clock cycle between: + Two consecutive synchronous write operations (in + Burst or Single mode) to different static banks. A + synchronous write transfer (in Burst or Single mode) + and a synchronous read from the same or a different + bank. ... + 16 + 4 + + + ACCMOD + Access mode. These bits specify the + asynchronous access modes as shown in the next timing + diagrams.These bits are taken into account only when + the EXTMOD bit in the FMC_BCRx register is + 1. + 28 + 2 + + + + + SDCR1 + SDCR1 + This register contains the control + parameters for each SDRAM memory bank + 0x140 + 0x20 + read-write + 0x000002D0 + + + NC + Number of column address bits These bits + define the number of bits of a column + address. + 0 + 2 + + + NR + Number of row address bits These bits + define the number of bits of a row + address. + 2 + 2 + + + MWID + Memory data bus width. These bits define + the memory device width. + 4 + 2 + + + NB + Number of internal banks This bit sets + the number of internal banks. + 6 + 1 + + + CAS + CAS Latency This bits sets the SDRAM CAS + latency in number of memory clock + cycles + 7 + 2 + + + WP + Write protection This bit enables write + mode access to the SDRAM bank. + 9 + 1 + + + SDCLK + SDRAM clock configuration These bits + define the SDRAM clock period for both SDRAM banks + and allow disabling the clock before changing the + frequency. In this case the SDRAM must be + re-initialized. Note: The corresponding bits in the + FMC_SDCR2 register is read only. + 10 + 2 + + + RBURST + Burst read This bit enables burst read + mode. The SDRAM controller anticipates the next read + commands during the CAS latency and stores data in + the Read FIFO. Note: The corresponding bit in the + FMC_SDCR2 register is read only. + 12 + 1 + + + RPIPE + Read pipe These bits define the delay, + in KCK_FMC clock cycles, for reading data after CAS + latency. Note: The corresponding bits in the + FMC_SDCR2 register is read only. + 13 + 2 + + + + + SDCR2 + SDCR2 + This register contains the control + parameters for each SDRAM memory bank + 0x144 + 0x20 + read-write + 0x000002D0 + + + NC + Number of column address bits These bits + define the number of bits of a column + address. + 0 + 2 + + + NR + Number of row address bits These bits + define the number of bits of a row + address. + 2 + 2 + + + MWID + Memory data bus width. These bits define + the memory device width. + 4 + 2 + + + NB + Number of internal banks This bit sets + the number of internal banks. + 6 + 1 + + + CAS + CAS Latency This bits sets the SDRAM CAS + latency in number of memory clock + cycles + 7 + 2 + + + WP + Write protection This bit enables write + mode access to the SDRAM bank. + 9 + 1 + + + SDCLK + SDRAM clock configuration These bits + define the SDRAM clock period for both SDRAM banks + and allow disabling the clock before changing the + frequency. In this case the SDRAM must be + re-initialized. Note: The corresponding bits in the + FMC_SDCR2 register is read only. + 10 + 2 + + + RBURST + Burst read This bit enables burst read + mode. The SDRAM controller anticipates the next read + commands during the CAS latency and stores data in + the Read FIFO. Note: The corresponding bit in the + FMC_SDCR2 register is read only. + 12 + 1 + + + RPIPE + Read pipe These bits define the delay, + in KCK_FMC clock cycles, for reading data after CAS + latency. Note: The corresponding bits in the + FMC_SDCR2 register is read only. + 13 + 2 + + + + + SDTR1 + SDTR1 + This register contains the timing parameters + of each SDRAM bank + 0x148 + 0x20 + read-write + 0x0FFFFFFF + + + TMRD + Load Mode Register to Active These bits + define the delay between a Load Mode Register command + and an Active or Refresh command in number of memory + clock cycles. .... + 0 + 4 + + + TXSR + Exit Self-refresh delay These bits + define the delay from releasing the Self-refresh + command to issuing the Activate command in number of + memory clock cycles. .... Note: If two SDRAM devices + are used, the FMC_SDTR1 and FMC_SDTR2 must be + programmed with the same TXSR timing corresponding to + the slowest SDRAM device. + 4 + 4 + + + TRAS + Self refresh time These bits define the + minimum Self-refresh period in number of memory clock + cycles. .... + 8 + 4 + + + TRC + Row cycle delay These bits define the + delay between the Refresh command and the Activate + command, as well as the delay between two consecutive + Refresh commands. It is expressed in number of memory + clock cycles. The TRC timing is only configured in + the FMC_SDTR1 register. If two SDRAM devices are + used, the TRC must be programmed with the timings of + the slowest device. .... Note: TRC must match the TRC + and TRFC (Auto Refresh period) timings defined in the + SDRAM device datasheet. Note: The corresponding bits + in the FMC_SDTR2 register are dont + care. + 12 + 4 + + + TWR + Recovery delay These bits define the + delay between a Write and a Precharge command in + number of memory clock cycles. .... Note: TWR must be + programmed to match the write recovery time (tWR) + defined in the SDRAM datasheet, and to guarantee + that: TWR &#8805; TRAS - TRCD and TWR + &#8805;TRC - TRCD - TRP Example: TRAS= 4 cycles, + TRCD= 2 cycles. So, TWR &gt;= 2 cycles. TWR must + be programmed to 0x1. If two SDRAM devices are used, + the FMC_SDTR1 and FMC_SDTR2 must be programmed with + the same TWR timing corresponding to the slowest + SDRAM device. + 16 + 4 + + + TRP + Row precharge delay These bits define + the delay between a Precharge command and another + command in number of memory clock cycles. The TRP + timing is only configured in the FMC_SDTR1 register. + If two SDRAM devices are used, the TRP must be + programmed with the timing of the slowest device. + .... Note: The corresponding bits in the FMC_SDTR2 + register are dont care. + 20 + 4 + + + TRCD + Row to column delay These bits define + the delay between the Activate command and a + Read/Write command in number of memory clock cycles. + .... + 24 + 4 + + + + + SDTR2 + SDTR2 + This register contains the timing parameters + of each SDRAM bank + 0x14C + 0x20 + read-write + 0x0FFFFFFF + + + TMRD + Load Mode Register to Active These bits + define the delay between a Load Mode Register command + and an Active or Refresh command in number of memory + clock cycles. .... + 0 + 4 + + + TXSR + Exit Self-refresh delay These bits + define the delay from releasing the Self-refresh + command to issuing the Activate command in number of + memory clock cycles. .... Note: If two SDRAM devices + are used, the FMC_SDTR1 and FMC_SDTR2 must be + programmed with the same TXSR timing corresponding to + the slowest SDRAM device. + 4 + 4 + + + TRAS + Self refresh time These bits define the + minimum Self-refresh period in number of memory clock + cycles. .... + 8 + 4 + + + TRC + Row cycle delay These bits define the + delay between the Refresh command and the Activate + command, as well as the delay between two consecutive + Refresh commands. It is expressed in number of memory + clock cycles. The TRC timing is only configured in + the FMC_SDTR1 register. If two SDRAM devices are + used, the TRC must be programmed with the timings of + the slowest device. .... Note: TRC must match the TRC + and TRFC (Auto Refresh period) timings defined in the + SDRAM device datasheet. Note: The corresponding bits + in the FMC_SDTR2 register are dont + care. + 12 + 4 + + + TWR + Recovery delay These bits define the + delay between a Write and a Precharge command in + number of memory clock cycles. .... Note: TWR must be + programmed to match the write recovery time (tWR) + defined in the SDRAM datasheet, and to guarantee + that: TWR &#8805; TRAS - TRCD and TWR + &#8805;TRC - TRCD - TRP Example: TRAS= 4 cycles, + TRCD= 2 cycles. So, TWR &gt;= 2 cycles. TWR must + be programmed to 0x1. If two SDRAM devices are used, + the FMC_SDTR1 and FMC_SDTR2 must be programmed with + the same TWR timing corresponding to the slowest + SDRAM device. + 16 + 4 + + + TRP + Row precharge delay These bits define + the delay between a Precharge command and another + command in number of memory clock cycles. The TRP + timing is only configured in the FMC_SDTR1 register. + If two SDRAM devices are used, the TRP must be + programmed with the timing of the slowest device. + .... Note: The corresponding bits in the FMC_SDTR2 + register are dont care. + 20 + 4 + + + TRCD + Row to column delay These bits define + the delay between the Activate command and a + Read/Write command in number of memory clock cycles. + .... + 24 + 4 + + + + + SDCMR + SDCMR + This register contains the command issued + when the SDRAM device is accessed. This register is used + to initialize the SDRAM device, and to activate the + Self-refresh and the Power-down modes. As soon as the + MODE field is written, the command will be issued only to + one or to both SDRAM banks according to CTB1 and CTB2 + command bits. This register is the same for both SDRAM + banks. + 0x150 + 0x20 + read-write + 0x00000000 + + + MODE + Command mode These bits define the + command issued to the SDRAM device. Note: When a + command is issued, at least one Command Target Bank + bit ( CTB1 or CTB2) must be set otherwise the command + will be ignored. Note: If two SDRAM banks are used, + the Auto-refresh and PALL command must be issued + simultaneously to the two devices with CTB1 and CTB2 + bits set otherwise the command will be ignored. Note: + If only one SDRAM bank is used and a command is + issued with its associated CTB bit set, the other CTB + bit of the unused bank must be kept to + 0. + 0 + 3 + + + CTB2 + Command Target Bank 2 This bit indicates + whether the command will be issued to SDRAM Bank 2 or + not. + 3 + 1 + + + CTB1 + Command Target Bank 1 This bit indicates + whether the command will be issued to SDRAM Bank 1 or + not. + 4 + 1 + + + NRFS + Number of Auto-refresh These bits define + the number of consecutive Auto-refresh commands + issued when MODE = 011. .... + 5 + 4 + + + MRD + Mode Register definition This 14-bit + field defines the SDRAM Mode Register content. The + Mode Register is programmed using the Load Mode + Register command. The MRD[13:0] bits are also used to + program the extended mode register for mobile + SDRAM. + 9 + 14 + + + + + SDRTR + SDRTR + This register sets the refresh rate in + number of SDCLK clock cycles between the refresh cycles + by configuring the Refresh Timer Count value.Examplewhere + 64 ms is the SDRAM refresh period.The refresh rate must + be increased by 20 SDRAM clock cycles (as in the above + example) to obtain a safe margin if an internal refresh + request occurs when a read request has been accepted. It + corresponds to a COUNT value of 0000111000000 (448). This + 13-bit field is loaded into a timer which is decremented + using the SDRAM clock. This timer generates a refresh + pulse when zero is reached. The COUNT value must be set + at least to 41 SDRAM clock cycles.As soon as the + FMC_SDRTR register is programmed, the timer starts + counting. If the value programmed in the register is 0, + no refresh is carried out. This register must not be + reprogrammed after the initialization procedure to avoid + modifying the refresh rate.Each time a refresh pulse is + generated, this 13-bit COUNT field is reloaded into the + counter.If a memory access is in progress, the + Auto-refresh request is delayed. However, if the memory + access and Auto-refresh requests are generated + simultaneously, the Auto-refresh takes precedence. If the + memory access occurs during a refresh operation, the + request is buffered to be processed when the refresh is + complete.This register is common to SDRAM bank 1 and bank + 2. + 0x154 + 0x20 + 0x00000000 + + + CRE + Clear Refresh error flag This bit is + used to clear the Refresh Error Flag (RE) in the + Status Register. + 0 + 1 + write-only + + + COUNT + Refresh Timer Count This 13-bit field + defines the refresh rate of the SDRAM device. It is + expressed in number of memory clock cycles. It must + be set at least to 41 SDRAM clock cycles (0x29). + Refresh rate = (COUNT + 1) x SDRAM frequency clock + COUNT = (SDRAM refresh period / Number of rows) - + 20 + 1 + 13 + read-write + + + REIE + RES Interrupt Enable + 14 + 1 + read-write + + + + + SDSR + SDSR + SDRAM Status register + 0x158 + 0x20 + read-only + 0x00000000 + + + RE + Refresh error flag An interrupt is + generated if REIE = 1 and RE = 1 + 0 + 1 + + + MODES1 + Status Mode for Bank 1 These bits define + the Status Mode of SDRAM Bank 1. + 1 + 2 + + + MODES2 + Status Mode for Bank 2 These bits define + the Status Mode of SDRAM Bank 2. + 3 + 2 + + + + + + + CEC + CEC + CEC + 0x40006C00 + + 0x0 + 0x400 + registers + + + CEC + HDMI-CEC global interrupt + 94 + + + + CR + CR + CEC control register + 0x0 + 0x20 + read-write + 0x00000000 + + + CECEN + CEC Enable The CECEN bit is set and + cleared by software. CECEN=1 starts message reception + and enables the TXSOM control. CECEN=0 disables the + CEC peripheral, clears all bits of CEC_CR register + and aborts any on-going reception or + transmission. + 0 + 1 + + + TXSOM + Tx Start Of Message TXSOM is set by + software to command transmission of the first byte of + a CEC message. If the CEC message consists of only + one byte, TXEOM must be set before of TXSOM. + Start-Bit is effectively started on the CEC line + after SFT is counted. If TXSOM is set while a message + reception is ongoing, transmission will start after + the end of reception. TXSOM is cleared by hardware + after the last byte of the message is sent with a + positive acknowledge (TXEND=1), in case of + transmission underrun (TXUDR=1), negative acknowledge + (TXACKE=1), and transmission error (TXERR=1). It is + also cleared by CECEN=0. It is not cleared and + transmission is automatically retried in case of + arbitration lost (ARBLST=1). TXSOM can be also used + as a status bit informing application whether any + transmission request is pending or under execution. + The application can abort a transmission request at + any time by clearing the CECEN bit. Note: TXSOM must + be set when CECEN=1 TXSOM must be set when + transmission data is available into TXDR HEADERs + first four bits containing own peripheral address are + taken from TXDR[7:4], not from CEC_CFGR.OAR which is + used only for reception + 1 + 1 + + + TXEOM + Tx End Of Message The TXEOM bit is set + by software to command transmission of the last byte + of a CEC message. TXEOM is cleared by hardware at the + same time and under the same conditions as for TXSOM. + Note: TXEOM must be set when CECEN=1 TXEOM must be + set before writing transmission data to TXDR If TXEOM + is set when TXSOM=0, transmitted message will consist + of 1 byte (HEADER) only (PING message) + 2 + 1 + + + + + CFGR + CFGR + This register is used to configure the + HDMI-CEC controller. It is mandatory to write CEC_CFGR + only when CECEN=0. + 0x4 + 0x20 + read-write + 0x00000000 + + + SFT + Signal Free Time SFT bits are set by + software. In the SFT=0x0 configuration the number of + nominal data bit periods waited before transmission + is ruled by hardware according to the transmission + history. In all the other configurations the SFT + number is determined by software. * 0x0 ** 2.5 + Data-Bit periods if CEC is the last bus initiator + with unsuccessful transmission (ARBLST=1, TXERR=1, + TXUDR=1 or TXACKE= 1) ** 4 Data-Bit periods if CEC is + the new bus initiator ** 6 Data-Bit periods if CEC is + the last bus initiator with successful transmission + (TXEOM=1) * 0x1: 0.5 nominal data bit periods * 0x2: + 1.5 nominal data bit periods * 0x3: 2.5 nominal data + bit periods * 0x4: 3.5 nominal data bit periods * + 0x5: 4.5 nominal data bit periods * 0x6: 5.5 nominal + data bit periods * 0x7: 6.5 nominal data bit + periods + 0 + 3 + + + RXTOL + Rx-Tolerance The RXTOL bit is set and + cleared by software. ** Start-Bit, +/- 200 s rise, + +/- 200 s fall. ** Data-Bit: +/- 200 s rise. +/- 350 + s fall. ** Start-Bit: +/- 400 s rise, +/- 400 s fall + ** Data-Bit: +/-300 s rise, +/- 500 s + fall + 3 + 1 + + + BRESTP + Rx-Stop on Bit Rising Error The BRESTP + bit is set and cleared by software. + 4 + 1 + + + BREGEN + Generate Error-Bit on Bit Rising Error + The BREGEN bit is set and cleared by software. Note: + If BRDNOGEN=0, an Error-bit is generated upon BRE + detection with BRESTP=1 in broadcast even if + BREGEN=0 + 5 + 1 + + + LBPEGEN + Generate Error-Bit on Long Bit Period + Error The LBPEGEN bit is set and cleared by software. + Note: If BRDNOGEN=0, an Error-bit is generated upon + LBPE detection in broadcast even if + LBPEGEN=0 + 6 + 1 + + + BRDNOGEN + Avoid Error-Bit Generation in Broadcast + The BRDNOGEN bit is set and cleared by + software. + 7 + 1 + + + SFTOPT + SFT Option Bit The SFTOPT bit is set and + cleared by software. + 8 + 1 + + + OAR + Own addresses configuration The OAR bits + are set by software to select which destination + logical addresses has to be considered in receive + mode. Each bit, when set, enables the CEC logical + address identified by the given bit position. At the + end of HEADER reception, the received destination + address is compared with the enabled addresses. In + case of matching address, the incoming message is + acknowledged and received. In case of non-matching + address, the incoming message is received only in + listen mode (LSTN=1), but without acknowledge sent. + Broadcast messages are always received. Example: OAR + = 0b000 0000 0010 0001 means that CEC acknowledges + addresses 0x0 and 0x5. Consequently, each message + directed to one of these addresses is + received. + 16 + 15 + + + LSTN + Listen mode LSTN bit is set and cleared + by software. + 31 + 1 + + + + + TXDR + TXDR + CEC Tx data register + 0x8 + 0x20 + write-only + 0x00000000 + + + TXD + Tx Data register. TXD is a write-only + register containing the data byte to be transmitted. + Note: TXD must be written when + TXSTART=1 + 0 + 8 + + + + + RXDR + RXDR + CEC Rx Data Register + 0xC + 0x20 + read-only + 0x00000000 + + + RXD + Rx Data register. RXD is read-only and + contains the last data byte which has been received + from the CEC line. + 0 + 8 + + + + + ISR + ISR + CEC Interrupt and Status + Register + 0x10 + 0x20 + read-write + 0x00000000 + + + RXBR + Rx-Byte Received The RXBR bit is set by + hardware to inform application that a new byte has + been received from the CEC line and stored into the + RXD buffer. RXBR is cleared by software write at + 1. + 0 + 1 + + + RXEND + End Of Reception RXEND is set by + hardware to inform application that the last byte of + a CEC message is received from the CEC line and + stored into the RXD buffer. RXEND is set at the same + time of RXBR. RXEND is cleared by software write at + 1. + 1 + 1 + + + RXOVR + Rx-Overrun RXOVR is set by hardware if + RXBR is not yet cleared at the time a new byte is + received on the CEC line and stored into RXD. RXOVR + assertion stops message reception so that no + acknowledge is sent. In case of broadcast, a negative + acknowledge is sent. RXOVR is cleared by software + write at 1. + 2 + 1 + + + BRE + Rx-Bit Rising Error BRE is set by + hardware in case a Data-Bit waveform is detected with + Bit Rising Error. BRE is set either at the time the + misplaced rising edge occurs, or at the end of the + maximum BRE tolerance allowed by RXTOL, in case + rising edge is still longing. BRE stops message + reception if BRESTP=1. BRE generates an Error-Bit on + the CEC line if BREGEN=1. BRE is cleared by software + write at 1. + 3 + 1 + + + SBPE + Rx-Short Bit Period Error SBPE is set by + hardware in case a Data-Bit waveform is detected with + Short Bit Period Error. SBPE is set at the time the + anticipated falling edge occurs. SBPE generates an + Error-Bit on the CEC line. SBPE is cleared by + software write at 1. + 4 + 1 + + + LBPE + Rx-Long Bit Period Error LBPE is set by + hardware in case a Data-Bit waveform is detected with + Long Bit Period Error. LBPE is set at the end of the + maximum bit-extension tolerance allowed by RXTOL, in + case falling edge is still longing. LBPE always stops + reception of the CEC message. LBPE generates an + Error-Bit on the CEC line if LBPEGEN=1. In case of + broadcast, Error-Bit is generated even in case of + LBPEGEN=0. LBPE is cleared by software write at + 1. + 5 + 1 + + + RXACKE + Rx-Missing Acknowledge In receive mode, + RXACKE is set by hardware to inform application that + no acknowledge was seen on the CEC line. RXACKE + applies only for broadcast messages and in listen + mode also for not directly addressed messages + (destination address not enabled in OAR). RXACKE + aborts message reception. RXACKE is cleared by + software write at 1. + 6 + 1 + + + ARBLST + Arbitration Lost ARBLST is set by + hardware to inform application that CEC device is + switching to reception due to arbitration lost event + following the TXSOM command. ARBLST can be due either + to a contending CEC device starting earlier or + starting at the same time but with higher HEADER + priority. After ARBLST assertion TXSOM bit keeps + pending for next transmission attempt. ARBLST is + cleared by software write at 1. + 7 + 1 + + + TXBR + Tx-Byte Request TXBR is set by hardware + to inform application that the next transmission data + has to be written to TXDR. TXBR is set when the 4th + bit of currently transmitted byte is sent. + Application must write the next byte to TXDR within 6 + nominal data-bit periods before transmission underrun + error occurs (TXUDR). TXBR is cleared by software + write at 1. + 8 + 1 + + + TXEND + End of Transmission TXEND is set by + hardware to inform application that the last byte of + the CEC message has been successfully transmitted. + TXEND clears the TXSOM and TXEOM control bits. TXEND + is cleared by software write at 1. + 9 + 1 + + + TXUDR + Tx-Buffer Underrun In transmission mode, + TXUDR is set by hardware if application was not in + time to load TXDR before of next byte transmission. + TXUDR aborts message transmission and clears TXSOM + and TXEOM control bits. TXUDR is cleared by software + write at 1 + 10 + 1 + + + TXERR + Tx-Error In transmission mode, TXERR is + set by hardware if the CEC initiator detects low + impedance on the CEC line while it is released. TXERR + aborts message transmission and clears TXSOM and + TXEOM controls. TXERR is cleared by software write at + 1. + 11 + 1 + + + TXACKE + Tx-Missing Acknowledge Error In + transmission mode, TXACKE is set by hardware to + inform application that no acknowledge was received. + In case of broadcast transmission, TXACKE informs + application that a negative acknowledge was received. + TXACKE aborts message transmission and clears TXSOM + and TXEOM controls. TXACKE is cleared by software + write at 1. + 12 + 1 + + + + + IER + IER + CEC interrupt enable register + 0x14 + 0x20 + read-write + 0x00000000 + + + RXBRIE + Rx-Byte Received Interrupt Enable The + RXBRIE bit is set and cleared by + software. + 0 + 1 + + + RXENDIE + End Of Reception Interrupt Enable The + RXENDIE bit is set and cleared by + software. + 1 + 1 + + + RXOVRIE + Rx-Buffer Overrun Interrupt Enable The + RXOVRIE bit is set and cleared by + software. + 2 + 1 + + + BREIE + Bit Rising Error Interrupt Enable The + BREIE bit is set and cleared by + software. + 3 + 1 + + + SBPEIE + Short Bit Period Error Interrupt Enable + The SBPEIE bit is set and cleared by + software. + 4 + 1 + + + LBPEIE + Long Bit Period Error Interrupt Enable + The LBPEIE bit is set and cleared by + software. + 5 + 1 + + + RXACKIE + Rx-Missing Acknowledge Error Interrupt + Enable The RXACKIE bit is set and cleared by + software. + 6 + 1 + + + ARBLSTIE + Arbitration Lost Interrupt Enable The + ARBLSTIE bit is set and cleared by + software. + 7 + 1 + + + TXBRIE + Tx-Byte Request Interrupt Enable The + TXBRIE bit is set and cleared by + software. + 8 + 1 + + + TXENDIE + Tx-End Of Message Interrupt Enable The + TXENDIE bit is set and cleared by + software. + 9 + 1 + + + TXUDRIE + Tx-Underrun Interrupt Enable The TXUDRIE + bit is set and cleared by software. + 10 + 1 + + + TXERRIE + Tx-Error Interrupt Enable The TXERRIE + bit is set and cleared by software. + 11 + 1 + + + TXACKIE + Tx-Missing Acknowledge Error Interrupt + Enable The TXACKEIE bit is set and cleared by + software. + 12 + 1 + + + + + + + HSEM + HSEM + HSEM + 0x58026400 + + 0x0 + 0x400 + registers + + + HSEM0 + HSEM global interrupt 1 + 125 + + + + HSEM_R0 + HSEM_R0 + HSEM register HSEM_R0 HSEM_R31 + 0x0 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R1 + HSEM_R1 + HSEM register HSEM_R0 HSEM_R31 + 0x4 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R2 + HSEM_R2 + HSEM register HSEM_R0 HSEM_R31 + 0x8 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R3 + HSEM_R3 + HSEM register HSEM_R0 HSEM_R31 + 0xC + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R4 + HSEM_R4 + HSEM register HSEM_R0 HSEM_R31 + 0x10 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R5 + HSEM_R5 + HSEM register HSEM_R0 HSEM_R31 + 0x14 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R6 + HSEM_R6 + HSEM register HSEM_R0 HSEM_R31 + 0x18 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R7 + HSEM_R7 + HSEM register HSEM_R0 HSEM_R31 + 0x1C + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R8 + HSEM_R8 + HSEM register HSEM_R0 HSEM_R31 + 0x20 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R9 + HSEM_R9 + HSEM register HSEM_R0 HSEM_R31 + 0x24 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R10 + HSEM_R10 + HSEM register HSEM_R0 HSEM_R31 + 0x28 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R11 + HSEM_R11 + HSEM register HSEM_R0 HSEM_R31 + 0x2C + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R12 + HSEM_R12 + HSEM register HSEM_R0 HSEM_R31 + 0x30 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R13 + HSEM_R13 + HSEM register HSEM_R0 HSEM_R31 + 0x34 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R14 + HSEM_R14 + HSEM register HSEM_R0 HSEM_R31 + 0x38 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R15 + HSEM_R15 + HSEM register HSEM_R0 HSEM_R31 + 0x3C + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R16 + HSEM_R16 + HSEM register HSEM_R0 HSEM_R31 + 0x40 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R17 + HSEM_R17 + HSEM register HSEM_R0 HSEM_R31 + 0x44 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R18 + HSEM_R18 + HSEM register HSEM_R0 HSEM_R31 + 0x48 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R19 + HSEM_R19 + HSEM register HSEM_R0 HSEM_R31 + 0x4C + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R20 + HSEM_R20 + HSEM register HSEM_R0 HSEM_R31 + 0x50 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R21 + HSEM_R21 + HSEM register HSEM_R0 HSEM_R31 + 0x54 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R22 + HSEM_R22 + HSEM register HSEM_R0 HSEM_R31 + 0x58 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R23 + HSEM_R23 + HSEM register HSEM_R0 HSEM_R31 + 0x5C + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R24 + HSEM_R24 + HSEM register HSEM_R0 HSEM_R31 + 0x60 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R25 + HSEM_R25 + HSEM register HSEM_R0 HSEM_R31 + 0x64 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R26 + HSEM_R26 + HSEM register HSEM_R0 HSEM_R31 + 0x68 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R27 + HSEM_R27 + HSEM register HSEM_R0 HSEM_R31 + 0x6C + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R28 + HSEM_R28 + HSEM register HSEM_R0 HSEM_R31 + 0x70 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R29 + HSEM_R29 + HSEM register HSEM_R0 HSEM_R31 + 0x74 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R30 + HSEM_R30 + HSEM register HSEM_R0 HSEM_R31 + 0x78 + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_R31 + HSEM_R31 + HSEM register HSEM_R0 HSEM_R31 + 0x7C + 0x20 + read-write + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR0 + HSEM_RLR0 + HSEM Read lock register + 0x80 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR1 + HSEM_RLR1 + HSEM Read lock register + 0x84 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR2 + HSEM_RLR2 + HSEM Read lock register + 0x88 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR3 + HSEM_RLR3 + HSEM Read lock register + 0x8C + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR4 + HSEM_RLR4 + HSEM Read lock register + 0x90 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR5 + HSEM_RLR5 + HSEM Read lock register + 0x94 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR6 + HSEM_RLR6 + HSEM Read lock register + 0x98 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR7 + HSEM_RLR7 + HSEM Read lock register + 0x9C + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR8 + HSEM_RLR8 + HSEM Read lock register + 0xA0 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR9 + HSEM_RLR9 + HSEM Read lock register + 0xA4 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR10 + HSEM_RLR10 + HSEM Read lock register + 0xA8 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR11 + HSEM_RLR11 + HSEM Read lock register + 0xAC + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR12 + HSEM_RLR12 + HSEM Read lock register + 0xB0 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR13 + HSEM_RLR13 + HSEM Read lock register + 0xB4 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR14 + HSEM_RLR14 + HSEM Read lock register + 0xB8 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR15 + HSEM_RLR15 + HSEM Read lock register + 0xBC + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR16 + HSEM_RLR16 + HSEM Read lock register + 0xC0 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR17 + HSEM_RLR17 + HSEM Read lock register + 0xC4 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR18 + HSEM_RLR18 + HSEM Read lock register + 0xC8 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR19 + HSEM_RLR19 + HSEM Read lock register + 0xCC + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR20 + HSEM_RLR20 + HSEM Read lock register + 0xD0 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR21 + HSEM_RLR21 + HSEM Read lock register + 0xD4 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR22 + HSEM_RLR22 + HSEM Read lock register + 0xD8 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR23 + HSEM_RLR23 + HSEM Read lock register + 0xDC + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR24 + HSEM_RLR24 + HSEM Read lock register + 0xE0 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR25 + HSEM_RLR25 + HSEM Read lock register + 0xE4 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR26 + HSEM_RLR26 + HSEM Read lock register + 0xE8 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR27 + HSEM_RLR27 + HSEM Read lock register + 0xEC + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR28 + HSEM_RLR28 + HSEM Read lock register + 0xF0 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR29 + HSEM_RLR29 + HSEM Read lock register + 0xF4 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR30 + HSEM_RLR30 + HSEM Read lock register + 0xF8 + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_RLR31 + HSEM_RLR31 + HSEM Read lock register + 0xFC + 0x20 + read-only + 0x00000000 + + + PROCID + Semaphore ProcessID + 0 + 8 + + + MASTERID + Semaphore MasterID + 8 + 8 + + + LOCK + Lock indication + 31 + 1 + + + + + HSEM_IER + HSEM_IER + HSEM Interrupt enable register + 0x100 + 0x20 + read-write + 0x00000000 + + + ISEM0 + Interrupt semaphore n enable + bit + 0 + 1 + + + ISEM1 + Interrupt semaphore n enable + bit + 1 + 1 + + + ISEM2 + Interrupt semaphore n enable + bit + 2 + 1 + + + ISEM3 + Interrupt semaphore n enable + bit + 3 + 1 + + + ISEM4 + Interrupt semaphore n enable + bit + 4 + 1 + + + ISEM5 + Interrupt semaphore n enable + bit + 5 + 1 + + + ISEM6 + Interrupt semaphore n enable + bit + 6 + 1 + + + ISEM7 + Interrupt semaphore n enable + bit + 7 + 1 + + + ISEM8 + Interrupt semaphore n enable + bit + 8 + 1 + + + ISEM9 + Interrupt semaphore n enable + bit + 9 + 1 + + + ISEM10 + Interrupt semaphore n enable + bit + 10 + 1 + + + ISEM11 + Interrupt semaphore n enable + bit + 11 + 1 + + + ISEM12 + Interrupt semaphore n enable + bit + 12 + 1 + + + ISEM13 + Interrupt semaphore n enable + bit + 13 + 1 + + + ISEM14 + Interrupt semaphore n enable + bit + 14 + 1 + + + ISEM15 + Interrupt semaphore n enable + bit + 15 + 1 + + + ISEM16 + Interrupt semaphore n enable + bit + 16 + 1 + + + ISEM17 + Interrupt semaphore n enable + bit + 17 + 1 + + + ISEM18 + Interrupt semaphore n enable + bit + 18 + 1 + + + ISEM19 + Interrupt semaphore n enable + bit + 19 + 1 + + + ISEM20 + Interrupt semaphore n enable + bit + 20 + 1 + + + ISEM21 + Interrupt semaphore n enable + bit + 21 + 1 + + + ISEM22 + Interrupt semaphore n enable + bit + 22 + 1 + + + ISEM23 + Interrupt semaphore n enable + bit + 23 + 1 + + + ISEM24 + Interrupt semaphore n enable + bit + 24 + 1 + + + ISEM25 + Interrupt semaphore n enable + bit + 25 + 1 + + + ISEM26 + Interrupt semaphore n enable + bit + 26 + 1 + + + ISEM27 + Interrupt semaphore n enable + bit + 27 + 1 + + + ISEM28 + Interrupt semaphore n enable + bit + 28 + 1 + + + ISEM29 + Interrupt semaphore n enable + bit + 29 + 1 + + + ISEM30 + Interrupt semaphore n enable + bit + 30 + 1 + + + ISEM31 + Interrupt(N) semaphore n enable + bit. + 31 + 1 + + + + + HSEM_ICR + HSEM_ICR + HSEM Interrupt clear register + 0x104 + 0x20 + read-only + 0x00000000 + + + ISEM0 + Interrupt(N) semaphore n clear + bit + 0 + 1 + + + ISEM1 + Interrupt(N) semaphore n clear + bit + 1 + 1 + + + ISEM2 + Interrupt(N) semaphore n clear + bit + 2 + 1 + + + ISEM3 + Interrupt(N) semaphore n clear + bit + 3 + 1 + + + ISEM4 + Interrupt(N) semaphore n clear + bit + 4 + 1 + + + ISEM5 + Interrupt(N) semaphore n clear + bit + 5 + 1 + + + ISEM6 + Interrupt(N) semaphore n clear + bit + 6 + 1 + + + ISEM7 + Interrupt(N) semaphore n clear + bit + 7 + 1 + + + ISEM8 + Interrupt(N) semaphore n clear + bit + 8 + 1 + + + ISEM9 + Interrupt(N) semaphore n clear + bit + 9 + 1 + + + ISEM10 + Interrupt(N) semaphore n clear + bit + 10 + 1 + + + ISEM11 + Interrupt(N) semaphore n clear + bit + 11 + 1 + + + ISEM12 + Interrupt(N) semaphore n clear + bit + 12 + 1 + + + ISEM13 + Interrupt(N) semaphore n clear + bit + 13 + 1 + + + ISEM14 + Interrupt(N) semaphore n clear + bit + 14 + 1 + + + ISEM15 + Interrupt(N) semaphore n clear + bit + 15 + 1 + + + ISEM16 + Interrupt(N) semaphore n clear + bit + 16 + 1 + + + ISEM17 + Interrupt(N) semaphore n clear + bit + 17 + 1 + + + ISEM18 + Interrupt(N) semaphore n clear + bit + 18 + 1 + + + ISEM19 + Interrupt(N) semaphore n clear + bit + 19 + 1 + + + ISEM20 + Interrupt(N) semaphore n clear + bit + 20 + 1 + + + ISEM21 + Interrupt(N) semaphore n clear + bit + 21 + 1 + + + ISEM22 + Interrupt(N) semaphore n clear + bit + 22 + 1 + + + ISEM23 + Interrupt(N) semaphore n clear + bit + 23 + 1 + + + ISEM24 + Interrupt(N) semaphore n clear + bit + 24 + 1 + + + ISEM25 + Interrupt(N) semaphore n clear + bit + 25 + 1 + + + ISEM26 + Interrupt(N) semaphore n clear + bit + 26 + 1 + + + ISEM27 + Interrupt(N) semaphore n clear + bit + 27 + 1 + + + ISEM28 + Interrupt(N) semaphore n clear + bit + 28 + 1 + + + ISEM29 + Interrupt(N) semaphore n clear + bit + 29 + 1 + + + ISEM30 + Interrupt(N) semaphore n clear + bit + 30 + 1 + + + ISEM31 + Interrupt(N) semaphore n clear + bit + 31 + 1 + + + + + HSEM_ISR + HSEM_ISR + HSEM Interrupt status register + 0x108 + 0x20 + read-only + 0x00000000 + + + ISEM0 + Interrupt(N) semaphore n status bit + before enable (mask) + 0 + 1 + + + ISEM1 + Interrupt(N) semaphore n status bit + before enable (mask) + 1 + 1 + + + ISEM2 + Interrupt(N) semaphore n status bit + before enable (mask) + 2 + 1 + + + ISEM3 + Interrupt(N) semaphore n status bit + before enable (mask) + 3 + 1 + + + ISEM4 + Interrupt(N) semaphore n status bit + before enable (mask) + 4 + 1 + + + ISEM5 + Interrupt(N) semaphore n status bit + before enable (mask) + 5 + 1 + + + ISEM6 + Interrupt(N) semaphore n status bit + before enable (mask) + 6 + 1 + + + ISEM7 + Interrupt(N) semaphore n status bit + before enable (mask) + 7 + 1 + + + ISEM8 + Interrupt(N) semaphore n status bit + before enable (mask) + 8 + 1 + + + ISEM9 + Interrupt(N) semaphore n status bit + before enable (mask) + 9 + 1 + + + ISEM10 + Interrupt(N) semaphore n status bit + before enable (mask) + 10 + 1 + + + ISEM11 + Interrupt(N) semaphore n status bit + before enable (mask) + 11 + 1 + + + ISEM12 + Interrupt(N) semaphore n status bit + before enable (mask) + 12 + 1 + + + ISEM13 + Interrupt(N) semaphore n status bit + before enable (mask) + 13 + 1 + + + ISEM14 + Interrupt(N) semaphore n status bit + before enable (mask) + 14 + 1 + + + ISEM15 + Interrupt(N) semaphore n status bit + before enable (mask) + 15 + 1 + + + ISEM16 + Interrupt(N) semaphore n status bit + before enable (mask) + 16 + 1 + + + ISEM17 + Interrupt(N) semaphore n status bit + before enable (mask) + 17 + 1 + + + ISEM18 + Interrupt(N) semaphore n status bit + before enable (mask) + 18 + 1 + + + ISEM19 + Interrupt(N) semaphore n status bit + before enable (mask) + 19 + 1 + + + ISEM20 + Interrupt(N) semaphore n status bit + before enable (mask) + 20 + 1 + + + ISEM21 + Interrupt(N) semaphore n status bit + before enable (mask) + 21 + 1 + + + ISEM22 + Interrupt(N) semaphore n status bit + before enable (mask) + 22 + 1 + + + ISEM23 + Interrupt(N) semaphore n status bit + before enable (mask) + 23 + 1 + + + ISEM24 + Interrupt(N) semaphore n status bit + before enable (mask) + 24 + 1 + + + ISEM25 + Interrupt(N) semaphore n status bit + before enable (mask) + 25 + 1 + + + ISEM26 + Interrupt(N) semaphore n status bit + before enable (mask) + 26 + 1 + + + ISEM27 + Interrupt(N) semaphore n status bit + before enable (mask) + 27 + 1 + + + ISEM28 + Interrupt(N) semaphore n status bit + before enable (mask) + 28 + 1 + + + ISEM29 + Interrupt(N) semaphore n status bit + before enable (mask) + 29 + 1 + + + ISEM30 + Interrupt(N) semaphore n status bit + before enable (mask) + 30 + 1 + + + ISEM31 + Interrupt(N) semaphore n status bit + before enable (mask) + 31 + 1 + + + + + HSEM_MISR + HSEM_MISR + HSEM Masked interrupt status + register + 0x10C + 0x20 + read-only + 0x00000000 + + + ISEM0 + masked interrupt(N) semaphore n status + bit after enable (mask) + 0 + 1 + + + ISEM1 + masked interrupt(N) semaphore n status + bit after enable (mask) + 1 + 1 + + + ISEM2 + masked interrupt(N) semaphore n status + bit after enable (mask) + 2 + 1 + + + ISEM3 + masked interrupt(N) semaphore n status + bit after enable (mask) + 3 + 1 + + + ISEM4 + masked interrupt(N) semaphore n status + bit after enable (mask) + 4 + 1 + + + ISEM5 + masked interrupt(N) semaphore n status + bit after enable (mask) + 5 + 1 + + + ISEM6 + masked interrupt(N) semaphore n status + bit after enable (mask) + 6 + 1 + + + ISEM7 + masked interrupt(N) semaphore n status + bit after enable (mask) + 7 + 1 + + + ISEM8 + masked interrupt(N) semaphore n status + bit after enable (mask) + 8 + 1 + + + ISEM9 + masked interrupt(N) semaphore n status + bit after enable (mask) + 9 + 1 + + + ISEM10 + masked interrupt(N) semaphore n status + bit after enable (mask) + 10 + 1 + + + ISEM11 + masked interrupt(N) semaphore n status + bit after enable (mask) + 11 + 1 + + + ISEM12 + masked interrupt(N) semaphore n status + bit after enable (mask) + 12 + 1 + + + ISEM13 + masked interrupt(N) semaphore n status + bit after enable (mask) + 13 + 1 + + + ISEM14 + masked interrupt(N) semaphore n status + bit after enable (mask) + 14 + 1 + + + ISEM15 + masked interrupt(N) semaphore n status + bit after enable (mask) + 15 + 1 + + + ISEM16 + masked interrupt(N) semaphore n status + bit after enable (mask) + 16 + 1 + + + ISEM17 + masked interrupt(N) semaphore n status + bit after enable (mask) + 17 + 1 + + + ISEM18 + masked interrupt(N) semaphore n status + bit after enable (mask) + 18 + 1 + + + ISEM19 + masked interrupt(N) semaphore n status + bit after enable (mask) + 19 + 1 + + + ISEM20 + masked interrupt(N) semaphore n status + bit after enable (mask) + 20 + 1 + + + ISEM21 + masked interrupt(N) semaphore n status + bit after enable (mask) + 21 + 1 + + + ISEM22 + masked interrupt(N) semaphore n status + bit after enable (mask) + 22 + 1 + + + ISEM23 + masked interrupt(N) semaphore n status + bit after enable (mask) + 23 + 1 + + + ISEM24 + masked interrupt(N) semaphore n status + bit after enable (mask) + 24 + 1 + + + ISEM25 + masked interrupt(N) semaphore n status + bit after enable (mask) + 25 + 1 + + + ISEM26 + masked interrupt(N) semaphore n status + bit after enable (mask) + 26 + 1 + + + ISEM27 + masked interrupt(N) semaphore n status + bit after enable (mask) + 27 + 1 + + + ISEM28 + masked interrupt(N) semaphore n status + bit after enable (mask) + 28 + 1 + + + ISEM29 + masked interrupt(N) semaphore n status + bit after enable (mask) + 29 + 1 + + + ISEM30 + masked interrupt(N) semaphore n status + bit after enable (mask) + 30 + 1 + + + ISEM31 + masked interrupt(N) semaphore n status + bit after enable (mask) + 31 + 1 + + + + + HSEM_CR + HSEM_CR + HSEM Clear register + 0x140 + 0x20 + read-write + 0x00000000 + + + MASTERID + MasterID of semaphores to be + cleared + 8 + 8 + + + KEY + Semaphore clear Key + 16 + 16 + + + + + HSEM_KEYR + HSEM_KEYR + HSEM Interrupt clear register + 0x144 + 0x20 + read-write + 0x00000000 + + + KEY + Semaphore Clear Key + 16 + 16 + + + + + + + I2C1 + I2C + I2C + 0x40005400 + + 0x0 + 0x400 + registers + + + I2C1_EV + I2C1 event interrupt + 31 + + + I2C1_ER + I2C1 error interrupt + 32 + + + + CR1 + CR1 + Access: No wait states, except if a write + access occurs while a write access to this register is + ongoing. In this case, wait states are inserted in the + second write access until the previous one is completed. + The latency of the second write access can be up to 2 x + PCLK1 + 6 x I2CCLK. + 0x0 + 0x20 + read-write + 0x00000000 + + + PE + Peripheral enable Note: When PE=0, the + I2C SCL and SDA lines are released. Internal state + machines and status bits are put back to their reset + value. When cleared, PE must be kept low for at least + 3 APB clock cycles. + 0 + 1 + + + TXIE + TX Interrupt enable + 1 + 1 + + + RXIE + RX Interrupt enable + 2 + 1 + + + ADDRIE + Address match Interrupt enable (slave + only) + 3 + 1 + + + NACKIE + Not acknowledge received Interrupt + enable + 4 + 1 + + + STOPIE + STOP detection Interrupt + enable + 5 + 1 + + + TCIE + Transfer Complete interrupt enable Note: + Any of these events will generate an interrupt: + Transfer Complete (TC) Transfer Complete Reload + (TCR) + 6 + 1 + + + ERRIE + Error interrupts enable Note: Any of + these errors generate an interrupt: Arbitration Loss + (ARLO) Bus Error detection (BERR) Overrun/Underrun + (OVR) Timeout detection (TIMEOUT) PEC error detection + (PECERR) Alert pin event detection + (ALERT) + 7 + 1 + + + DNF + Digital noise filter These bits are used + to configure the digital noise filter on SDA and SCL + input. The digital filter will filter spikes with a + length of up to DNF[3:0] * tI2CCLK ... Note: If the + analog filter is also enabled, the digital filter is + added to the analog filter. This filter can only be + programmed when the I2C is disabled (PE = + 0). + 8 + 4 + + + ANFOFF + Analog noise filter OFF Note: This bit + can only be programmed when the I2C is disabled (PE = + 0). + 12 + 1 + + + TXDMAEN + DMA transmission requests + enable + 14 + 1 + + + RXDMAEN + DMA reception requests + enable + 15 + 1 + + + SBC + Slave byte control This bit is used to + enable hardware byte control in slave + mode. + 16 + 1 + + + NOSTRETCH + Clock stretching disable This bit is + used to disable clock stretching in slave mode. It + must be kept cleared in master mode. Note: This bit + can only be programmed when the I2C is disabled (PE = + 0). + 17 + 1 + + + WUPEN + Wakeup from Stop mode enable Note: If + the Wakeup from Stop mode feature is not supported, + this bit is reserved and forced by hardware to 0. + Please refer to Section25.3: I2C implementation. + Note: WUPEN can be set only when DNF = + 0000 + 18 + 1 + + + GCEN + General call enable + 19 + 1 + + + SMBHEN + SMBus Host address enable Note: If the + SMBus feature is not supported, this bit is reserved + and forced by hardware to 0. Please refer to + Section25.3: I2C implementation. + 20 + 1 + + + SMBDEN + SMBus Device Default address enable + Note: If the SMBus feature is not supported, this bit + is reserved and forced by hardware to 0. Please refer + to Section25.3: I2C implementation. + 21 + 1 + + + ALERTEN + SMBus alert enable Device mode + (SMBHEN=0): Host mode (SMBHEN=1): Note: When + ALERTEN=0, the SMBA pin can be used as a standard + GPIO. If the SMBus feature is not supported, this bit + is reserved and forced by hardware to 0. Please refer + to Section25.3: I2C implementation. + 22 + 1 + + + PECEN + PEC enable Note: If the SMBus feature is + not supported, this bit is reserved and forced by + hardware to 0. Please refer to Section25.3: I2C + implementation. + 23 + 1 + + + + + CR2 + CR2 + Access: No wait states, except if a write + access occurs while a write access to this register is + ongoing. In this case, wait states are inserted in the + second write access until the previous one is completed. + The latency of the second write access can be up to 2 x + PCLK1 + 6 x I2CCLK. + 0x4 + 0x20 + read-write + 0x00000000 + + + SADD0 + Slave address bit 0 (master mode) In + 7-bit addressing mode (ADD10 = 0): This bit is dont + care In 10-bit addressing mode (ADD10 = 1): This bit + should be written with bit 0 of the slave address to + be sent Note: Changing these bits when the START bit + is set is not allowed. + 0 + 1 + + + SADD1 + Slave address bit 7:1 (master mode) In + 7-bit addressing mode (ADD10 = 0): These bits should + be written with the 7-bit slave address to be sent In + 10-bit addressing mode (ADD10 = 1): These bits should + be written with bits 7:1 of the slave address to be + sent. Note: Changing these bits when the START bit is + set is not allowed. + 1 + 1 + + + SADD2 + Slave address bit 7:1 (master mode) In + 7-bit addressing mode (ADD10 = 0): These bits should + be written with the 7-bit slave address to be sent In + 10-bit addressing mode (ADD10 = 1): These bits should + be written with bits 7:1 of the slave address to be + sent. Note: Changing these bits when the START bit is + set is not allowed. + 2 + 1 + + + SADD3 + Slave address bit 7:1 (master mode) In + 7-bit addressing mode (ADD10 = 0): These bits should + be written with the 7-bit slave address to be sent In + 10-bit addressing mode (ADD10 = 1): These bits should + be written with bits 7:1 of the slave address to be + sent. Note: Changing these bits when the START bit is + set is not allowed. + 3 + 1 + + + SADD4 + Slave address bit 7:1 (master mode) In + 7-bit addressing mode (ADD10 = 0): These bits should + be written with the 7-bit slave address to be sent In + 10-bit addressing mode (ADD10 = 1): These bits should + be written with bits 7:1 of the slave address to be + sent. Note: Changing these bits when the START bit is + set is not allowed. + 4 + 1 + + + SADD5 + Slave address bit 7:1 (master mode) In + 7-bit addressing mode (ADD10 = 0): These bits should + be written with the 7-bit slave address to be sent In + 10-bit addressing mode (ADD10 = 1): These bits should + be written with bits 7:1 of the slave address to be + sent. Note: Changing these bits when the START bit is + set is not allowed. + 5 + 1 + + + SADD6 + Slave address bit 7:1 (master mode) In + 7-bit addressing mode (ADD10 = 0): These bits should + be written with the 7-bit slave address to be sent In + 10-bit addressing mode (ADD10 = 1): These bits should + be written with bits 7:1 of the slave address to be + sent. Note: Changing these bits when the START bit is + set is not allowed. + 6 + 1 + + + SADD7 + Slave address bit 7:1 (master mode) In + 7-bit addressing mode (ADD10 = 0): These bits should + be written with the 7-bit slave address to be sent In + 10-bit addressing mode (ADD10 = 1): These bits should + be written with bits 7:1 of the slave address to be + sent. Note: Changing these bits when the START bit is + set is not allowed. + 7 + 1 + + + SADD8 + Slave address bit 9:8 (master mode) In + 7-bit addressing mode (ADD10 = 0): These bits are + dont care In 10-bit addressing mode (ADD10 = 1): + These bits should be written with bits 9:8 of the + slave address to be sent Note: Changing these bits + when the START bit is set is not + allowed. + 8 + 1 + + + SADD9 + Slave address bit 9:8 (master mode) In + 7-bit addressing mode (ADD10 = 0): These bits are + dont care In 10-bit addressing mode (ADD10 = 1): + These bits should be written with bits 9:8 of the + slave address to be sent Note: Changing these bits + when the START bit is set is not + allowed. + 9 + 1 + + + RD_WRN + Transfer direction (master mode) Note: + Changing this bit when the START bit is set is not + allowed. + 10 + 1 + + + ADD10 + 10-bit addressing mode (master mode) + Note: Changing this bit when the START bit is set is + not allowed. + 11 + 1 + + + HEAD10R + 10-bit address header only read + direction (master receiver mode) Note: Changing this + bit when the START bit is set is not + allowed. + 12 + 1 + + + START + Start generation This bit is set by + software, and cleared by hardware after the Start + followed by the address sequence is sent, by an + arbitration loss, by a timeout error detection, or + when PE = 0. It can also be cleared by software by + writing 1 to the ADDRCF bit in the I2C_ICR register. + If the I2C is already in master mode with AUTOEND = + 0, setting this bit generates a Repeated Start + condition when RELOAD=0, after the end of the NBYTES + transfer. Otherwise setting this bit will generate a + START condition once the bus is free. Note: Writing 0 + to this bit has no effect. The START bit can be set + even if the bus is BUSY or I2C is in slave mode. This + bit has no effect when RELOAD is set. + 13 + 1 + + + STOP + Stop generation (master mode) The bit is + set by software, cleared by hardware when a Stop + condition is detected, or when PE = 0. In Master + Mode: Note: Writing 0 to this bit has no + effect. + 14 + 1 + + + NACK + NACK generation (slave mode) The bit is + set by software, cleared by hardware when the NACK is + sent, or when a STOP condition or an Address matched + is received, or when PE=0. Note: Writing 0 to this + bit has no effect. This bit is used in slave mode + only: in master receiver mode, NACK is automatically + generated after last byte preceding STOP or RESTART + condition, whatever the NACK bit value. When an + overrun occurs in slave receiver NOSTRETCH mode, a + NACK is automatically generated whatever the NACK bit + value. When hardware PEC checking is enabled + (PECBYTE=1), the PEC acknowledge value does not + depend on the NACK value. + 15 + 1 + + + NBYTES + Number of bytes The number of bytes to + be transmitted/received is programmed there. This + field is dont care in slave mode with SBC=0. Note: + Changing these bits when the START bit is set is not + allowed. + 16 + 8 + + + RELOAD + NBYTES reload mode This bit is set and + cleared by software. + 24 + 1 + + + AUTOEND + Automatic end mode (master mode) This + bit is set and cleared by software. Note: This bit + has no effect in slave mode or when the RELOAD bit is + set. + 25 + 1 + + + PECBYTE + Packet error checking byte This bit is + set by software, and cleared by hardware when the PEC + is transferred, or when a STOP condition or an + Address matched is received, also when PE=0. Note: + Writing 0 to this bit has no effect. This bit has no + effect when RELOAD is set. This bit has no effect is + slave mode when SBC=0. If the SMBus feature is not + supported, this bit is reserved and forced by + hardware to 0. Please refer to Section25.3: I2C + implementation. + 26 + 1 + + + + + OAR1 + OAR1 + Access: No wait states, except if a write + access occurs while a write access to this register is + ongoing. In this case, wait states are inserted in the + second write access until the previous one is completed. + The latency of the second write access can be up to 2 x + PCLK1 + 6 x I2CCLK. + 0x8 + 0x20 + read-write + 0x00000000 + + + OA1 + Interface address 7-bit addressing mode: + dont care 10-bit addressing mode: bits 9:8 of address + Note: These bits can be written only when OA1EN=0. + OA1[7:1]: Interface address Bits 7:1 of address Note: + These bits can be written only when OA1EN=0. OA1[0]: + Interface address 7-bit addressing mode: dont care + 10-bit addressing mode: bit 0 of address Note: This + bit can be written only when OA1EN=0. + 0 + 10 + + + OA1MODE + Own Address 1 10-bit mode Note: This bit + can be written only when OA1EN=0. + 10 + 1 + + + OA1EN + Own Address 1 enable + 15 + 1 + + + + + OAR2 + OAR2 + Access: No wait states, except if a write + access occurs while a write access to this register is + ongoing. In this case, wait states are inserted in the + second write access until the previous one is completed. + The latency of the second write access can be up to 2 x + PCLK1 + 6 x I2CCLK. + 0xC + 0x20 + read-write + 0x00000000 + + + OA2 + Interface address bits 7:1 of address + Note: These bits can be written only when + OA2EN=0. + 1 + 7 + + + OA2MSK + Own Address 2 masks Note: These bits can + be written only when OA2EN=0. As soon as OA2MSK is + not equal to 0, the reserved I2C addresses (0b0000xxx + and 0b1111xxx) are not acknowledged even if the + comparison matches. + 8 + 3 + + + OA2EN + Own Address 2 enable + 15 + 1 + + + + + TIMINGR + TIMINGR + Access: No wait states + 0x10 + 0x20 + read-write + 0x00000000 + + + SCLL + SCL low period (master mode) This field + is used to generate the SCL low period in master + mode. tSCLL = (SCLL+1) x tPRESC Note: SCLL is also + used to generate tBUF and tSU:STA + timings. + 0 + 8 + + + SCLH + SCL high period (master mode) This field + is used to generate the SCL high period in master + mode. tSCLH = (SCLH+1) x tPRESC Note: SCLH is also + used to generate tSU:STO and tHD:STA + timing. + 8 + 8 + + + SDADEL + Data hold time This field is used to + generate the delay tSDADEL between SCL falling edge + and SDA edge. In master mode and in slave mode with + NOSTRETCH = 0, the SCL line is stretched low during + tSDADEL. tSDADEL= SDADEL x tPRESC Note: SDADEL is + used to generate tHD:DAT timing. + 16 + 4 + + + SCLDEL + Data setup time This field is used to + generate a delay tSCLDEL between SDA edge and SCL + rising edge. In master mode and in slave mode with + NOSTRETCH = 0, the SCL line is stretched low during + tSCLDEL. tSCLDEL = (SCLDEL+1) x tPRESC Note: tSCLDEL + is used to generate tSU:DAT timing. + 20 + 4 + + + PRESC + Timing prescaler This field is used to + prescale I2CCLK in order to generate the clock period + tPRESC used for data setup and hold counters (refer + to I2C timings on page9) and for SCL high and low + level counters (refer to I2C master initialization on + page24). tPRESC = (PRESC+1) x tI2CCLK + 28 + 4 + + + + + TIMEOUTR + TIMEOUTR + Access: No wait states, except if a write + access occurs while a write access to this register is + ongoing. In this case, wait states are inserted in the + second write access until the previous one is completed. + The latency of the second write access can be up to 2 x + PCLK1 + 6 x I2CCLK. + 0x14 + 0x20 + read-write + 0x00000000 + + + TIMEOUTA + Bus Timeout A This field is used to + configure: The SCL low timeout condition tTIMEOUT + when TIDLE=0 tTIMEOUT= (TIMEOUTA+1) x 2048 x tI2CCLK + The bus idle condition (both SCL and SDA high) when + TIDLE=1 tIDLE= (TIMEOUTA+1) x 4 x tI2CCLK Note: These + bits can be written only when + TIMOUTEN=0. + 0 + 12 + + + TIDLE + Idle clock timeout detection Note: This + bit can be written only when + TIMOUTEN=0. + 12 + 1 + + + TIMOUTEN + Clock timeout enable + 15 + 1 + + + TIMEOUTB + Bus timeout B This field is used to + configure the cumulative clock extension timeout: In + master mode, the master cumulative clock low extend + time (tLOW:MEXT) is detected In slave mode, the slave + cumulative clock low extend time (tLOW:SEXT) is + detected tLOW:EXT= (TIMEOUTB+1) x 2048 x tI2CCLK + Note: These bits can be written only when + TEXTEN=0. + 16 + 12 + + + TEXTEN + Extended clock timeout + enable + 31 + 1 + + + + + ISR + ISR + Access: No wait states + 0x18 + 0x20 + 0x00000001 + + + TXE + Transmit data register empty + (transmitters) This bit is set by hardware when the + I2C_TXDR register is empty. It is cleared when the + next data to be sent is written in the I2C_TXDR + register. This bit can be written to 1 by software in + order to flush the transmit data register I2C_TXDR. + Note: This bit is set by hardware when + PE=0. + 0 + 1 + read-write + + + TXIS + Transmit interrupt status (transmitters) + This bit is set by hardware when the I2C_TXDR + register is empty and the data to be transmitted must + be written in the I2C_TXDR register. It is cleared + when the next data to be sent is written in the + I2C_TXDR register. This bit can be written to 1 by + software when NOSTRETCH=1 only, in order to generate + a TXIS event (interrupt if TXIE=1 or DMA request if + TXDMAEN=1). Note: This bit is cleared by hardware + when PE=0. + 1 + 1 + read-write + + + RXNE + Receive data register not empty + (receivers) This bit is set by hardware when the + received data is copied into the I2C_RXDR register, + and is ready to be read. It is cleared when I2C_RXDR + is read. Note: This bit is cleared by hardware when + PE=0. + 2 + 1 + read-only + + + ADDR + Address matched (slave mode) This bit is + set by hardware as soon as the received slave address + matched with one of the enabled slave addresses. It + is cleared by software by setting ADDRCF bit. Note: + This bit is cleared by hardware when + PE=0. + 3 + 1 + read-only + + + NACKF + Not Acknowledge received flag This flag + is set by hardware when a NACK is received after a + byte transmission. It is cleared by software by + setting the NACKCF bit. Note: This bit is cleared by + hardware when PE=0. + 4 + 1 + read-only + + + STOPF + Stop detection flag This flag is set by + hardware when a Stop condition is detected on the bus + and the peripheral is involved in this transfer: + either as a master, provided that the STOP condition + is generated by the peripheral. or as a slave, + provided that the peripheral has been addressed + previously during this transfer. It is cleared by + software by setting the STOPCF bit. Note: This bit is + cleared by hardware when PE=0. + 5 + 1 + read-only + + + TC + Transfer Complete (master mode) This + flag is set by hardware when RELOAD=0, AUTOEND=0 and + NBYTES data have been transferred. It is cleared by + software when START bit or STOP bit is set. Note: + This bit is cleared by hardware when + PE=0. + 6 + 1 + read-only + + + TCR + Transfer Complete Reload This flag is + set by hardware when RELOAD=1 and NBYTES data have + been transferred. It is cleared by software when + NBYTES is written to a non-zero value. Note: This bit + is cleared by hardware when PE=0. This flag is only + for master mode, or for slave mode when the SBC bit + is set. + 7 + 1 + read-only + + + BERR + Bus error This flag is set by hardware + when a misplaced Start or Stop condition is detected + whereas the peripheral is involved in the transfer. + The flag is not set during the address phase in slave + mode. It is cleared by software by setting BERRCF + bit. Note: This bit is cleared by hardware when + PE=0. + 8 + 1 + read-only + + + ARLO + Arbitration lost This flag is set by + hardware in case of arbitration loss. It is cleared + by software by setting the ARLOCF bit. Note: This bit + is cleared by hardware when PE=0. + 9 + 1 + read-only + + + OVR + Overrun/Underrun (slave mode) This flag + is set by hardware in slave mode with NOSTRETCH=1, + when an overrun/underrun error occurs. It is cleared + by software by setting the OVRCF bit. Note: This bit + is cleared by hardware when PE=0. + 10 + 1 + read-only + + + PECERR + PEC Error in reception This flag is set + by hardware when the received PEC does not match with + the PEC register content. A NACK is automatically + sent after the wrong PEC reception. It is cleared by + software by setting the PECCF bit. Note: This bit is + cleared by hardware when PE=0. If the SMBus feature + is not supported, this bit is reserved and forced by + hardware to 0. Please refer to Section25.3: I2C + implementation. + 11 + 1 + read-only + + + TIMEOUT + Timeout or tLOW detection flag This flag + is set by hardware when a timeout or extended clock + timeout occurred. It is cleared by software by + setting the TIMEOUTCF bit. Note: This bit is cleared + by hardware when PE=0. If the SMBus feature is not + supported, this bit is reserved and forced by + hardware to 0. Please refer to Section25.3: I2C + implementation. + 12 + 1 + read-only + + + ALERT + SMBus alert This flag is set by hardware + when SMBHEN=1 (SMBus host configuration), ALERTEN=1 + and a SMBALERT event (falling edge) is detected on + SMBA pin. It is cleared by software by setting the + ALERTCF bit. Note: This bit is cleared by hardware + when PE=0. If the SMBus feature is not supported, + this bit is reserved and forced by hardware to 0. + Please refer to Section25.3: I2C + implementation. + 13 + 1 + read-only + + + BUSY + Bus busy This flag indicates that a + communication is in progress on the bus. It is set by + hardware when a START condition is detected. It is + cleared by hardware when a Stop condition is + detected, or when PE=0. + 15 + 1 + read-only + + + DIR + Transfer direction (Slave mode) This + flag is updated when an address match event occurs + (ADDR=1). + 16 + 1 + read-only + + + ADDCODE + Address match code (Slave mode) These + bits are updated with the received address when an + address match event occurs (ADDR = 1). In the case of + a 10-bit address, ADDCODE provides the 10-bit header + followed by the 2 MSBs of the address. + 17 + 7 + read-only + + + + + ICR + ICR + Access: No wait states + 0x1C + 0x20 + write-only + 0x00000000 + + + ADDRCF + Address matched flag clear Writing 1 to + this bit clears the ADDR flag in the I2C_ISR + register. Writing 1 to this bit also clears the START + bit in the I2C_CR2 register. + 3 + 1 + + + NACKCF + Not Acknowledge flag clear Writing 1 to + this bit clears the ACKF flag in I2C_ISR + register. + 4 + 1 + + + STOPCF + Stop detection flag clear Writing 1 to + this bit clears the STOPF flag in the I2C_ISR + register. + 5 + 1 + + + BERRCF + Bus error flag clear Writing 1 to this + bit clears the BERRF flag in the I2C_ISR + register. + 8 + 1 + + + ARLOCF + Arbitration Lost flag clear Writing 1 to + this bit clears the ARLO flag in the I2C_ISR + register. + 9 + 1 + + + OVRCF + Overrun/Underrun flag clear Writing 1 to + this bit clears the OVR flag in the I2C_ISR + register. + 10 + 1 + + + PECCF + PEC Error flag clear Writing 1 to this + bit clears the PECERR flag in the I2C_ISR register. + Note: If the SMBus feature is not supported, this bit + is reserved and forced by hardware to 0. Please refer + to Section25.3: I2C implementation. + 11 + 1 + + + TIMOUTCF + Timeout detection flag clear Writing 1 + to this bit clears the TIMEOUT flag in the I2C_ISR + register. Note: If the SMBus feature is not + supported, this bit is reserved and forced by + hardware to 0. Please refer to Section25.3: I2C + implementation. + 12 + 1 + + + ALERTCF + Alert flag clear Writing 1 to this bit + clears the ALERT flag in the I2C_ISR register. Note: + If the SMBus feature is not supported, this bit is + reserved and forced by hardware to 0. Please refer to + Section25.3: I2C implementation. + 13 + 1 + + + + + PECR + PECR + Access: No wait states + 0x20 + 0x20 + read-only + 0x00000000 + + + PEC + Packet error checking register This + field contains the internal PEC when PECEN=1. The PEC + is cleared by hardware when PE=0. + 0 + 8 + + + + + RXDR + RXDR + Access: No wait states + 0x24 + 0x20 + read-only + 0x00000000 + + + RXDATA + 8-bit receive data Data byte received + from the I2C bus. + 0 + 8 + + + + + TXDR + TXDR + Access: No wait states + 0x28 + 0x20 + read-write + 0x00000000 + + + TXDATA + 8-bit transmit data Data byte to be + transmitted to the I2C bus. Note: These bits can be + written only when TXE=1. + 0 + 8 + + + + + + + I2C2 + 0x40005800 + + I2C2_EV + I2C2 event interrupt + 33 + + + I2C2_ER + I2C2 error interrupt + 34 + + + + I2C3 + 0x40005C00 + + I2C3_EV + I2C3 event interrupt + 72 + + + I2C3_ER + I2C3 error interrupt + 73 + + + + I2C4 + 0x58001C00 + + I2C4_EV + I2C4 event interrupt + 95 + + + I2C4_ER + I2C4 error interrupt + 96 + + + + GPIOA + GPIO + GPIO + 0x58020000 + + 0x0 + 0x400 + registers + + + + MODER + MODER + GPIO port mode register + 0x0 + 0x20 + read-write + 0xABFFFFFF + + + MODE0 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 0 + 2 + + + MODE1 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 2 + 2 + + + MODE2 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 4 + 2 + + + MODE3 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 6 + 2 + + + MODE4 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 8 + 2 + + + MODE5 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 10 + 2 + + + MODE6 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 12 + 2 + + + MODE7 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 14 + 2 + + + MODE8 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 16 + 2 + + + MODE9 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 18 + 2 + + + MODE10 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 20 + 2 + + + MODE11 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 22 + 2 + + + MODE12 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 24 + 2 + + + MODE13 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 26 + 2 + + + MODE14 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 28 + 2 + + + MODE15 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O mode. + 30 + 2 + + + + + OTYPER + OTYPER + GPIO port output type register + 0x4 + 0x20 + read-write + 0x00000000 + + + OT0 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 0 + 1 + + + OT1 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 1 + 1 + + + OT2 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 2 + 1 + + + OT3 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 3 + 1 + + + OT4 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 4 + 1 + + + OT5 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 5 + 1 + + + OT6 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 6 + 1 + + + OT7 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 7 + 1 + + + OT8 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 8 + 1 + + + OT9 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 9 + 1 + + + OT10 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 10 + 1 + + + OT11 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 11 + 1 + + + OT12 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 12 + 1 + + + OT13 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 13 + 1 + + + OT14 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 14 + 1 + + + OT15 + Port x configuration bits (y = 0..15) + These bits are written by software to configure the + I/O output type. + 15 + 1 + + + + + OSPEEDR + OSPEEDR + GPIO port output speed + register + 0x8 + 0x20 + read-write + 0x0C000000 + + + OSPEED0 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 0 + 2 + + + OSPEED1 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 2 + 2 + + + OSPEED2 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 4 + 2 + + + OSPEED3 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 6 + 2 + + + OSPEED4 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 8 + 2 + + + OSPEED5 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 10 + 2 + + + OSPEED6 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 12 + 2 + + + OSPEED7 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 14 + 2 + + + OSPEED8 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 16 + 2 + + + OSPEED9 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 18 + 2 + + + OSPEED10 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 20 + 2 + + + OSPEED11 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 22 + 2 + + + OSPEED12 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 24 + 2 + + + OSPEED13 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 26 + 2 + + + OSPEED14 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 28 + 2 + + + OSPEED15 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O output speed. Note: Refer to the + device datasheet for the frequency specifications and + the power supply and load conditions for each + speed. + 30 + 2 + + + + + PUPDR + PUPDR + GPIO port pull-up/pull-down + register + 0xC + 0x20 + read-write + 0x12100000 + + + PUPD0 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 0 + 2 + + + PUPD1 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 2 + 2 + + + PUPD2 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 4 + 2 + + + PUPD3 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 6 + 2 + + + PUPD4 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 8 + 2 + + + PUPD5 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 10 + 2 + + + PUPD6 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 12 + 2 + + + PUPD7 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 14 + 2 + + + PUPD8 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 16 + 2 + + + PUPD9 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 18 + 2 + + + PUPD10 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 20 + 2 + + + PUPD11 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 22 + 2 + + + PUPD12 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 24 + 2 + + + PUPD13 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 26 + 2 + + + PUPD14 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 28 + 2 + + + PUPD15 + [1:0]: Port x configuration bits (y = + 0..15) These bits are written by software to + configure the I/O pull-up or pull-down + 30 + 2 + + + + + IDR + IDR + GPIO port input data register + 0x10 + 0x20 + read-only + 0x00000000 + + + ID0 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 0 + 1 + + + ID1 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 1 + 1 + + + ID2 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 2 + 1 + + + ID3 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 3 + 1 + + + ID4 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 4 + 1 + + + ID5 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 5 + 1 + + + ID6 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 6 + 1 + + + ID7 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 7 + 1 + + + ID8 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 8 + 1 + + + ID9 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 9 + 1 + + + ID10 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 10 + 1 + + + ID11 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 11 + 1 + + + ID12 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 12 + 1 + + + ID13 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 13 + 1 + + + ID14 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 14 + 1 + + + ID15 + Port input data bit (y = 0..15) These + bits are read-only. They contain the input value of + the corresponding I/O port. + 15 + 1 + + + + + ODR + ODR + GPIO port output data register + 0x14 + 0x20 + read-write + 0x00000000 + + + OD0 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 0 + 1 + + + OD1 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 1 + 1 + + + OD2 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 2 + 1 + + + OD3 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 3 + 1 + + + OD4 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 4 + 1 + + + OD5 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 5 + 1 + + + OD6 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 6 + 1 + + + OD7 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 7 + 1 + + + OD8 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 8 + 1 + + + OD9 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 9 + 1 + + + OD10 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 10 + 1 + + + OD11 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 11 + 1 + + + OD12 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 12 + 1 + + + OD13 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 13 + 1 + + + OD14 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 14 + 1 + + + OD15 + Port output data bit These bits can be + read and written by software. Note: For atomic bit + set/reset, the OD bits can be individually set and/or + reset by writing to the GPIOx_BSRR or GPIOx_BRR + registers (x = A..F). + 15 + 1 + + + + + BSRR + BSRR + GPIO port bit set/reset + register + 0x18 + 0x20 + write-only + 0x00000000 + + + BS0 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 0 + 1 + + + BS1 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 1 + 1 + + + BS2 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 2 + 1 + + + BS3 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 3 + 1 + + + BS4 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 4 + 1 + + + BS5 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 5 + 1 + + + BS6 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 6 + 1 + + + BS7 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 7 + 1 + + + BS8 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 8 + 1 + + + BS9 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 9 + 1 + + + BS10 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 10 + 1 + + + BS11 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 11 + 1 + + + BS12 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 12 + 1 + + + BS13 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 13 + 1 + + + BS14 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 14 + 1 + + + BS15 + Port x set bit y (y= 0..15) These bits + are write-only. A read to these bits returns the + value 0x0000. + 15 + 1 + + + BR0 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 16 + 1 + + + BR1 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 17 + 1 + + + BR2 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 18 + 1 + + + BR3 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 19 + 1 + + + BR4 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 20 + 1 + + + BR5 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 21 + 1 + + + BR6 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 22 + 1 + + + BR7 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 23 + 1 + + + BR8 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 24 + 1 + + + BR9 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 25 + 1 + + + BR10 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 26 + 1 + + + BR11 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 27 + 1 + + + BR12 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 28 + 1 + + + BR13 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 29 + 1 + + + BR14 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 30 + 1 + + + BR15 + Port x reset bit y (y = 0..15) These + bits are write-only. A read to these bits returns the + value 0x0000. Note: If both BSx and BRx are set, BSx + has priority. + 31 + 1 + + + + + LCKR + LCKR + This register is used to lock the + configuration of the port bits when a correct write + sequence is applied to bit 16 (LCKK). The value of bits + [15:0] is used to lock the configuration of the GPIO. + During the write sequence, the value of LCKR[15:0] must + not change. When the LOCK sequence has been applied on a + port bit, the value of this port bit can no longer be + modified until the next MCU reset or peripheral reset.A + specific write sequence is used to write to the + GPIOx_LCKR register. Only word access (32-bit long) is + allowed during this locking sequence.Each lock bit + freezes a specific configuration register (control and + alternate function registers). + 0x1C + 0x20 + read-write + 0x00000000 + + + LCK0 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 0 + 1 + + + LCK1 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 1 + 1 + + + LCK2 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 2 + 1 + + + LCK3 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 3 + 1 + + + LCK4 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 4 + 1 + + + LCK5 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 5 + 1 + + + LCK6 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 6 + 1 + + + LCK7 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 7 + 1 + + + LCK8 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 8 + 1 + + + LCK9 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 9 + 1 + + + LCK10 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 10 + 1 + + + LCK11 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 11 + 1 + + + LCK12 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 12 + 1 + + + LCK13 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 13 + 1 + + + LCK14 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 14 + 1 + + + LCK15 + Port x lock bit y (y= 0..15) These bits + are read/write but can only be written when the LCKK + bit is 0. + 15 + 1 + + + LCKK + Lock key This bit can be read any time. + It can only be modified using the lock key write + sequence. LOCK key write sequence: WR LCKR[16] = 1 + + LCKR[15:0] WR LCKR[16] = 0 + LCKR[15:0] WR LCKR[16] = + 1 + LCKR[15:0] RD LCKR RD LCKR[16] = 1 (this read + operation is optional but it confirms that the lock + is active) Note: During the LOCK key write sequence, + the value of LCK[15:0] must not change. Any error in + the lock sequence aborts the lock. After the first + lock sequence on any bit of the port, any read access + on the LCKK bit will return 1 until the next MCU + reset or peripheral reset. + 16 + 1 + + + + + AFRL + AFRL + GPIO alternate function low + register + 0x20 + 0x20 + read-write + 0x00000000 + + + AFSEL0 + [3:0]: Alternate function selection for + port x pin y (y = 0..7) These bits are written by + software to configure alternate function I/Os AFSELy + selection: + 0 + 4 + + + AFSEL1 + [3:0]: Alternate function selection for + port x pin y (y = 0..7) These bits are written by + software to configure alternate function I/Os AFSELy + selection: + 4 + 4 + + + AFSEL2 + [3:0]: Alternate function selection for + port x pin y (y = 0..7) These bits are written by + software to configure alternate function I/Os AFSELy + selection: + 8 + 4 + + + AFSEL3 + [3:0]: Alternate function selection for + port x pin y (y = 0..7) These bits are written by + software to configure alternate function I/Os AFSELy + selection: + 12 + 4 + + + AFSEL4 + [3:0]: Alternate function selection for + port x pin y (y = 0..7) These bits are written by + software to configure alternate function I/Os AFSELy + selection: + 16 + 4 + + + AFSEL5 + [3:0]: Alternate function selection for + port x pin y (y = 0..7) These bits are written by + software to configure alternate function I/Os AFSELy + selection: + 20 + 4 + + + AFSEL6 + [3:0]: Alternate function selection for + port x pin y (y = 0..7) These bits are written by + software to configure alternate function I/Os AFSELy + selection: + 24 + 4 + + + AFSEL7 + [3:0]: Alternate function selection for + port x pin y (y = 0..7) These bits are written by + software to configure alternate function I/Os AFSELy + selection: + 28 + 4 + + + + + AFRH + AFRH + GPIO alternate function high + register + 0x24 + 0x20 + read-write + 0x00000000 + + + AFSEL8 + [3:0]: Alternate function selection for + port x pin y (y = 8..15) These bits are written by + software to configure alternate function + I/Os + 0 + 4 + + + AFSEL9 + [3:0]: Alternate function selection for + port x pin y (y = 8..15) These bits are written by + software to configure alternate function + I/Os + 4 + 4 + + + AFSEL10 + [3:0]: Alternate function selection for + port x pin y (y = 8..15) These bits are written by + software to configure alternate function + I/Os + 8 + 4 + + + AFSEL11 + [3:0]: Alternate function selection for + port x pin y (y = 8..15) These bits are written by + software to configure alternate function + I/Os + 12 + 4 + + + AFSEL12 + [3:0]: Alternate function selection for + port x pin y (y = 8..15) These bits are written by + software to configure alternate function + I/Os + 16 + 4 + + + AFSEL13 + [3:0]: Alternate function selection for + port x pin y (y = 8..15) These bits are written by + software to configure alternate function + I/Os + 20 + 4 + + + AFSEL14 + [3:0]: Alternate function selection for + port x pin y (y = 8..15) These bits are written by + software to configure alternate function + I/Os + 24 + 4 + + + AFSEL15 + [3:0]: Alternate function selection for + port x pin y (y = 8..15) These bits are written by + software to configure alternate function + I/Os + 28 + 4 + + + + + + + GPIOB + 0x58020400 + + + GPIOC + 0x58020800 + + + GPIOD + 0x58020C00 + + + GPIOE + 0x58021000 + + + GPIOF + 0x58021400 + + + GPIOG + 0x58021800 + + + GPIOH + 0x58021C00 + + + GPIOI + 0x58022000 + + + GPIOJ + 0x58022400 + + + GPIOK + 0x58022800 + + + JPEG + JPEG + JPEG + 0x52003000 + + 0x0 + 0x400 + registers + + + JPEG + JPEG global interrupt + 121 + + + + CONFR0 + CONFR0 + JPEG codec control register + 0x0 + 0x20 + write-only + 0x00000000 + + + START + Start This bit start or stop the + encoding or decoding process. Read this register + always return 0. + 0 + 1 + + + + + CONFR1 + CONFR1 + JPEG codec configuration register + 1 + 0x4 + 0x20 + read-write + 0x00000000 + + + NF + Number of color components This field + defines the number of color components minus + 1. + 0 + 2 + + + DE + Decoding Enable This bit selects the + coding or decoding process + 3 + 1 + + + COLORSPACE + Color Space This filed defines the + number of quantization tables minus 1 to insert in + the output stream. + 4 + 2 + + + NS + Number of components for Scan This field + defines the number of components minus 1 for scan + header marker segment. + 6 + 2 + + + HDR + Header Processing This bit enable the + header processing (generation/parsing). + 8 + 1 + + + YSIZE + Y Size This field defines the number of + lines in source image. + 16 + 16 + + + + + CONFR2 + CONFR2 + JPEG codec configuration register + 2 + 0x8 + 0x20 + read-write + 0x00000000 + + + NMCU + Number of MCU For encoding: this field + defines the number of MCU units minus 1 to encode. + For decoding: this field indicates the number of + complete MCU units minus 1 to be decoded (this field + is updated after the JPEG header parsing). If the + decoded image size has not a X or Y size multiple of + 8 or 16 (depending on the sub-sampling process), the + resulting incomplete or empty MCU must be added to + this value to get the total number of MCU + generated. + 0 + 26 + + + + + CONFR3 + CONFR3 + JPEG codec configuration register + 3 + 0xC + 0x20 + read-write + 0x00000000 + + + XSIZE + X size This field defines the number of + pixels per line. + 16 + 16 + + + + + CONFRN1 + CONFRN1 + JPEG codec configuration register + 4-7 + 0x10 + 0x20 + read-write + 0x00000000 + + + HD + Huffman DC Selects the Huffman table for + encoding the DC coefficients. + 0 + 1 + + + HA + Huffman AC Selects the Huffman table for + encoding the AC coefficients. + 1 + 1 + + + QT + Quantization Table Selects quantization + table associated with a color + component. + 2 + 2 + + + NB + Number of Block Number of data units + minus 1 that belong to a particular color in the + MCU. + 4 + 4 + + + VSF + Vertical Sampling Factor Vertical + sampling factor for component i. + 8 + 4 + + + HSF + Horizontal Sampling Factor Horizontal + sampling factor for component i. + 12 + 4 + + + + + CONFRN2 + CONFRN2 + JPEG codec configuration register + 4-7 + 0x14 + 0x20 + read-write + 0x00000000 + + + HD + Huffman DC Selects the Huffman table for + encoding the DC coefficients. + 0 + 1 + + + HA + Huffman AC Selects the Huffman table for + encoding the AC coefficients. + 1 + 1 + + + QT + Quantization Table Selects quantization + table associated with a color + component. + 2 + 2 + + + NB + Number of Block Number of data units + minus 1 that belong to a particular color in the + MCU. + 4 + 4 + + + VSF + Vertical Sampling Factor Vertical + sampling factor for component i. + 8 + 4 + + + HSF + Horizontal Sampling Factor Horizontal + sampling factor for component i. + 12 + 4 + + + + + CONFRN3 + CONFRN3 + JPEG codec configuration register + 4-7 + 0x18 + 0x20 + read-write + 0x00000000 + + + HD + Huffman DC Selects the Huffman table for + encoding the DC coefficients. + 0 + 1 + + + HA + Huffman AC Selects the Huffman table for + encoding the AC coefficients. + 1 + 1 + + + QT + Quantization Table Selects quantization + table associated with a color + component. + 2 + 2 + + + NB + Number of Block Number of data units + minus 1 that belong to a particular color in the + MCU. + 4 + 4 + + + VSF + Vertical Sampling Factor Vertical + sampling factor for component i. + 8 + 4 + + + HSF + Horizontal Sampling Factor Horizontal + sampling factor for component i. + 12 + 4 + + + + + CONFRN4 + CONFRN4 + JPEG codec configuration register + 4-7 + 0x1C + 0x20 + read-write + 0x00000000 + + + HD + Huffman DC Selects the Huffman table for + encoding the DC coefficients. + 0 + 1 + + + HA + Huffman AC Selects the Huffman table for + encoding the AC coefficients. + 1 + 1 + + + QT + Quantization Table Selects quantization + table associated with a color + component. + 2 + 2 + + + NB + Number of Block Number of data units + minus 1 that belong to a particular color in the + MCU. + 4 + 4 + + + VSF + Vertical Sampling Factor Vertical + sampling factor for component i. + 8 + 4 + + + HSF + Horizontal Sampling Factor Horizontal + sampling factor for component i. + 12 + 4 + + + + + CR + CR + JPEG control register + 0x30 + 0x20 + read-write + 0x00000000 + + + JCEN + JPEG Core Enable Enable the JPEG codec + Core. + 0 + 1 + + + IFTIE + Input FIFO Threshold Interrupt Enable + This bit enables the interrupt generation when input + FIFO reach the threshold. + 1 + 1 + + + IFNFIE + Input FIFO Not Full Interrupt Enable + This bit enables the interrupt generation when input + FIFO is not empty. + 2 + 1 + + + OFTIE + Output FIFO Threshold Interrupt Enable + This bit enables the interrupt generation when output + FIFO reach the threshold. + 3 + 1 + + + OFNEIE + Output FIFO Not Empty Interrupt Enable + This bit enables the interrupt generation when output + FIFO is not empty. + 4 + 1 + + + EOCIE + End of Conversion Interrupt Enable This + bit enables the interrupt generation on the end of + conversion. + 5 + 1 + + + HPDIE + Header Parsing Done Interrupt Enable + This bit enables the interrupt generation on the + Header Parsing Operation. + 6 + 1 + + + IDMAEN + Input DMA Enable Enable the DMA request + generation for the input FIFO. + 11 + 1 + + + ODMAEN + Output DMA Enable Enable the DMA request + generation for the output FIFO. + 12 + 1 + + + IFF + Input FIFO Flush This bit flush the + input FIFO. This bit is always read as + 0. + 13 + 1 + + + OFF + Output FIFO Flush This bit flush the + output FIFO. This bit is always read as + 0. + 14 + 1 + + + + + SR + SR + JPEG status register + 0x34 + 0x20 + read-only + 0x00000006 + + + IFTF + Input FIFO Threshold Flag This bit is + set when the input FIFO is not full and is bellow its + threshold. + 1 + 1 + + + IFNFF + Input FIFO Not Full Flag This bit is set + when the input FIFO is not full (a data can be + written). + 2 + 1 + + + OFTF + Output FIFO Threshold Flag This bit is + set when the output FIFO is not empty and has reach + its threshold. + 3 + 1 + + + OFNEF + Output FIFO Not Empty Flag This bit is + set when the output FIFO is not empty (a data is + available). + 4 + 1 + + + EOCF + End of Conversion Flag This bit is set + when the JPEG codec core has finished the encoding or + the decoding process and than last data has been sent + to the output FIFO. + 5 + 1 + + + HPDF + Header Parsing Done Flag This bit is set + in decode mode when the JPEG codec has finished the + parsing of the headers and the internal registers + have been updated. + 6 + 1 + + + COF + Codec Operation Flag This bit is set + when when a JPEG codec operation is on going + (encoding or decoding). + 7 + 1 + + + + + CFR + CFR + JPEG clear flag register + 0x38 + 0x20 + read-write + 0x00000000 + + + CEOCF + Clear End of Conversion Flag Writing 1 + clears the End of Conversion Flag of the JPEG Status + Register. + 5 + 1 + + + CHPDF + Clear Header Parsing Done Flag Writing 1 + clears the Header Parsing Done Flag of the JPEG + Status Register. + 6 + 1 + + + + + DIR + DIR + JPEG data input register + 0x40 + 0x20 + write-only + 0x00000000 + + + DATAIN + Data Input FIFO Input FIFO data + register. + 0 + 32 + + + + + DOR + DOR + JPEG data output register + 0x44 + 0x20 + read-only + 0x00000000 + + + DATAOUT + Data Output FIFO Output FIFO data + register. + 0 + 32 + + + + + + + MDMA + MDMA + MDMA + 0x52000000 + + 0x0 + 0x1000 + registers + + + MDMA + MDMA + 122 + + + + MDMA_GISR0 + MDMA_GISR0 + MDMA Global Interrupt/Status + Register + 0x0 + 0x20 + read-only + 0x00000000 + + + GIF0 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 0 + 1 + + + GIF1 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 1 + 1 + + + GIF2 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 2 + 1 + + + GIF3 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 3 + 1 + + + GIF4 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 4 + 1 + + + GIF5 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 5 + 1 + + + GIF6 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 6 + 1 + + + GIF7 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 7 + 1 + + + GIF8 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 8 + 1 + + + GIF9 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 9 + 1 + + + GIF10 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 10 + 1 + + + GIF11 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 11 + 1 + + + GIF12 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 12 + 1 + + + GIF13 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 13 + 1 + + + GIF14 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 14 + 1 + + + GIF15 + Channel x global interrupt flag (x=...) + This bit is set and reset by hardware. It is a + logical OR of all the Channel x interrupt flags + (CTCIFx, BTIFx, BRTIFx, TEIFx) which are enabled in + the interrupt mask register (CTCIEx, BTIEx, BRTIEx, + TEIEx) + 15 + 1 + + + + + MDMA_C0ISR + MDMA_C0ISR + MDMA channel x interrupt/status + register + 0x40 + 0x20 + read-only + 0x00000000 + + + TEIF0 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF0 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF0 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF0 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF0 + channel x buffer transfer + complete + 4 + 1 + + + CRQA0 + channel x request active + flag + 16 + 1 + + + + + MDMA_C0IFCR + MDMA_C0IFCR + MDMA channel x interrupt flag clear + register + 0x44 + 0x20 + write-only + 0x00000000 + + + CTEIF0 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF0 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF0 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF0 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF0 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C0ESR + MDMA_C0ESR + MDMA Channel x error status + register + 0x48 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C0CR + MDMA_C0CR + This register is used to control the + concerned channel. + 0x4C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C0TCR + MDMA_C0TCR + This register is used to configure the + concerned channel. + 0x50 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C0BNDTR + MDMA_C0BNDTR + MDMA Channel x block number of data + register + 0x54 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C0SAR + MDMA_C0SAR + MDMA channel x source address + register + 0x58 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C0DAR + MDMA_C0DAR + MDMA channel x destination address + register + 0x5C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C0BRUR + MDMA_C0BRUR + MDMA channel x Block Repeat address Update + register + 0x60 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C0LAR + MDMA_C0LAR + MDMA channel x Link Address + register + 0x64 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C0TBR + MDMA_C0TBR + MDMA channel x Trigger and Bus selection + Register + 0x68 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C0MAR + MDMA_C0MAR + MDMA channel x Mask address + register + 0x70 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C0MDR + MDMA_C0MDR + MDMA channel x Mask Data + register + 0x74 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C1ISR + MDMA_C1ISR + MDMA channel x interrupt/status + register + 0x80 + 0x20 + read-only + 0x00000000 + + + TEIF1 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF1 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF1 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF1 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF1 + channel x buffer transfer + complete + 4 + 1 + + + CRQA1 + channel x request active + flag + 16 + 1 + + + + + MDMA_C1IFCR + MDMA_C1IFCR + MDMA channel x interrupt flag clear + register + 0x84 + 0x20 + write-only + 0x00000000 + + + CTEIF1 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF1 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF1 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF1 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF1 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C1ESR + MDMA_C1ESR + MDMA Channel x error status + register + 0x88 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C1CR + MDMA_C1CR + This register is used to control the + concerned channel. + 0x8C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C1TCR + MDMA_C1TCR + This register is used to configure the + concerned channel. + 0x90 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C1BNDTR + MDMA_C1BNDTR + MDMA Channel x block number of data + register + 0x94 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C1SAR + MDMA_C1SAR + MDMA channel x source address + register + 0x98 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C1DAR + MDMA_C1DAR + MDMA channel x destination address + register + 0x9C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C1BRUR + MDMA_C1BRUR + MDMA channel x Block Repeat address Update + register + 0xA0 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C1LAR + MDMA_C1LAR + MDMA channel x Link Address + register + 0xA4 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C1TBR + MDMA_C1TBR + MDMA channel x Trigger and Bus selection + Register + 0xA8 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C1MAR + MDMA_C1MAR + MDMA channel x Mask address + register + 0xB0 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C1MDR + MDMA_C1MDR + MDMA channel x Mask Data + register + 0xB4 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C2ISR + MDMA_C2ISR + MDMA channel x interrupt/status + register + 0xC0 + 0x20 + read-only + 0x00000000 + + + TEIF2 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF2 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF2 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF2 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF2 + channel x buffer transfer + complete + 4 + 1 + + + CRQA2 + channel x request active + flag + 16 + 1 + + + + + MDMA_C2IFCR + MDMA_C2IFCR + MDMA channel x interrupt flag clear + register + 0xC4 + 0x20 + write-only + 0x00000000 + + + CTEIF2 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF2 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF2 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF2 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF2 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C2ESR + MDMA_C2ESR + MDMA Channel x error status + register + 0xC8 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C2CR + MDMA_C2CR + This register is used to control the + concerned channel. + 0xCC + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C2TCR + MDMA_C2TCR + This register is used to configure the + concerned channel. + 0xD0 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C2BNDTR + MDMA_C2BNDTR + MDMA Channel x block number of data + register + 0xD4 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C2SAR + MDMA_C2SAR + MDMA channel x source address + register + 0xD8 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C2DAR + MDMA_C2DAR + MDMA channel x destination address + register + 0xDC + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C2BRUR + MDMA_C2BRUR + MDMA channel x Block Repeat address Update + register + 0xE0 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C2LAR + MDMA_C2LAR + MDMA channel x Link Address + register + 0xE4 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C2TBR + MDMA_C2TBR + MDMA channel x Trigger and Bus selection + Register + 0xE8 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C2MAR + MDMA_C2MAR + MDMA channel x Mask address + register + 0xF0 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C2MDR + MDMA_C2MDR + MDMA channel x Mask Data + register + 0xF4 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C3ISR + MDMA_C3ISR + MDMA channel x interrupt/status + register + 0x100 + 0x20 + read-only + 0x00000000 + + + TEIF3 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF3 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF3 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF3 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF3 + channel x buffer transfer + complete + 4 + 1 + + + CRQA3 + channel x request active + flag + 16 + 1 + + + + + MDMA_C3IFCR + MDMA_C3IFCR + MDMA channel x interrupt flag clear + register + 0x104 + 0x20 + write-only + 0x00000000 + + + CTEIF3 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF3 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF3 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF3 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF3 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C3ESR + MDMA_C3ESR + MDMA Channel x error status + register + 0x108 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C3CR + MDMA_C3CR + This register is used to control the + concerned channel. + 0x10C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C3TCR + MDMA_C3TCR + This register is used to configure the + concerned channel. + 0x110 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C3BNDTR + MDMA_C3BNDTR + MDMA Channel x block number of data + register + 0x114 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C3SAR + MDMA_C3SAR + MDMA channel x source address + register + 0x118 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C3DAR + MDMA_C3DAR + MDMA channel x destination address + register + 0x11C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C3BRUR + MDMA_C3BRUR + MDMA channel x Block Repeat address Update + register + 0x120 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C3LAR + MDMA_C3LAR + MDMA channel x Link Address + register + 0x124 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C3TBR + MDMA_C3TBR + MDMA channel x Trigger and Bus selection + Register + 0x128 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C3MAR + MDMA_C3MAR + MDMA channel x Mask address + register + 0x130 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C3MDR + MDMA_C3MDR + MDMA channel x Mask Data + register + 0x134 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C4ISR + MDMA_C4ISR + MDMA channel x interrupt/status + register + 0x140 + 0x20 + read-only + 0x00000000 + + + TEIF4 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF4 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF4 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF4 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF4 + channel x buffer transfer + complete + 4 + 1 + + + CRQA4 + channel x request active + flag + 16 + 1 + + + + + MDMA_C4IFCR + MDMA_C4IFCR + MDMA channel x interrupt flag clear + register + 0x144 + 0x20 + write-only + 0x00000000 + + + CTEIF4 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF4 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF4 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF4 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF4 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C4ESR + MDMA_C4ESR + MDMA Channel x error status + register + 0x148 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C4CR + MDMA_C4CR + This register is used to control the + concerned channel. + 0x14C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C4TCR + MDMA_C4TCR + This register is used to configure the + concerned channel. + 0x150 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C4BNDTR + MDMA_C4BNDTR + MDMA Channel x block number of data + register + 0x154 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C4SAR + MDMA_C4SAR + MDMA channel x source address + register + 0x158 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C4DAR + MDMA_C4DAR + MDMA channel x destination address + register + 0x15C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C4BRUR + MDMA_C4BRUR + MDMA channel x Block Repeat address Update + register + 0x160 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C4LAR + MDMA_C4LAR + MDMA channel x Link Address + register + 0x164 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C4TBR + MDMA_C4TBR + MDMA channel x Trigger and Bus selection + Register + 0x168 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C4MAR + MDMA_C4MAR + MDMA channel x Mask address + register + 0x170 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C4MDR + MDMA_C4MDR + MDMA channel x Mask Data + register + 0x174 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C5ISR + MDMA_C5ISR + MDMA channel x interrupt/status + register + 0x180 + 0x20 + read-only + 0x00000000 + + + TEIF5 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF5 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF5 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF5 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF5 + channel x buffer transfer + complete + 4 + 1 + + + CRQA5 + channel x request active + flag + 16 + 1 + + + + + MDMA_C5IFCR + MDMA_C5IFCR + MDMA channel x interrupt flag clear + register + 0x184 + 0x20 + write-only + 0x00000000 + + + CTEIF5 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF5 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF5 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF5 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF5 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C5ESR + MDMA_C5ESR + MDMA Channel x error status + register + 0x188 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C5CR + MDMA_C5CR + This register is used to control the + concerned channel. + 0x18C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C5TCR + MDMA_C5TCR + This register is used to configure the + concerned channel. + 0x190 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C5BNDTR + MDMA_C5BNDTR + MDMA Channel x block number of data + register + 0x194 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C5SAR + MDMA_C5SAR + MDMA channel x source address + register + 0x198 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C5DAR + MDMA_C5DAR + MDMA channel x destination address + register + 0x19C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C5BRUR + MDMA_C5BRUR + MDMA channel x Block Repeat address Update + register + 0x1A0 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C5LAR + MDMA_C5LAR + MDMA channel x Link Address + register + 0x1A4 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C5TBR + MDMA_C5TBR + MDMA channel x Trigger and Bus selection + Register + 0x1A8 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C5MAR + MDMA_C5MAR + MDMA channel x Mask address + register + 0x1B0 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C5MDR + MDMA_C5MDR + MDMA channel x Mask Data + register + 0x1B4 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C6ISR + MDMA_C6ISR + MDMA channel x interrupt/status + register + 0x1C0 + 0x20 + read-only + 0x00000000 + + + TEIF6 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF6 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF6 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF6 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF6 + channel x buffer transfer + complete + 4 + 1 + + + CRQA6 + channel x request active + flag + 16 + 1 + + + + + MDMA_C6IFCR + MDMA_C6IFCR + MDMA channel x interrupt flag clear + register + 0x1C4 + 0x20 + write-only + 0x00000000 + + + CTEIF6 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF6 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF6 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF6 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF6 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C6ESR + MDMA_C6ESR + MDMA Channel x error status + register + 0x1C8 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C6CR + MDMA_C6CR + This register is used to control the + concerned channel. + 0x1CC + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C6TCR + MDMA_C6TCR + This register is used to configure the + concerned channel. + 0x1D0 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C6BNDTR + MDMA_C6BNDTR + MDMA Channel x block number of data + register + 0x1D4 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0 + 20 + 12 + + + + + MDMA_C6SAR + MDMA_C6SAR + MDMA channel x source address + register + 0x1D8 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C6DAR + MDMA_C6DAR + MDMA channel x destination address + register + 0x1DC + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C6BRUR + MDMA_C6BRUR + MDMA channel x Block Repeat address Update + register + 0x1E0 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C6LAR + MDMA_C6LAR + MDMA channel x Link Address + register + 0x1E4 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C6TBR + MDMA_C6TBR + MDMA channel x Trigger and Bus selection + Register + 0x1E8 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C6MAR + MDMA_C6MAR + MDMA channel x Mask address + register + 0x1F0 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C6MDR + MDMA_C6MDR + MDMA channel x Mask Data + register + 0x1F4 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C7ISR + MDMA_C7ISR + MDMA channel x interrupt/status + register + 0x200 + 0x20 + read-only + 0x00000000 + + + TEIF7 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF7 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF7 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF7 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF7 + channel x buffer transfer + complete + 4 + 1 + + + CRQA7 + channel x request active + flag + 16 + 1 + + + + + MDMA_C7IFCR + MDMA_C7IFCR + MDMA channel x interrupt flag clear + register + 0x204 + 0x20 + write-only + 0x00000000 + + + CTEIF7 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF7 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF7 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF7 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF7 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C7ESR + MDMA_C7ESR + MDMA Channel x error status + register + 0x208 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C7CR + MDMA_C7CR + This register is used to control the + concerned channel. + 0x20C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C7TCR + MDMA_C7TCR + This register is used to configure the + concerned channel. + 0x210 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C7BNDTR + MDMA_C7BNDTR + MDMA Channel x block number of data + register + 0x214 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C7SAR + MDMA_C7SAR + MDMA channel x source address + register + 0x218 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C7DAR + MDMA_C7DAR + MDMA channel x destination address + register + 0x21C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C7BRUR + MDMA_C7BRUR + MDMA channel x Block Repeat address Update + register + 0x220 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C7LAR + MDMA_C7LAR + MDMA channel x Link Address + register + 0x224 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C7TBR + MDMA_C7TBR + MDMA channel x Trigger and Bus selection + Register + 0x228 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C7MAR + MDMA_C7MAR + MDMA channel x Mask address + register + 0x230 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C7MDR + MDMA_C7MDR + MDMA channel x Mask Data + register + 0x234 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C8ISR + MDMA_C8ISR + MDMA channel x interrupt/status + register + 0x240 + 0x20 + read-only + 0x00000000 + + + TEIF8 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF8 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF8 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF8 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF8 + channel x buffer transfer + complete + 4 + 1 + + + CRQA8 + channel x request active + flag + 16 + 1 + + + + + MDMA_C8IFCR + MDMA_C8IFCR + MDMA channel x interrupt flag clear + register + 0x244 + 0x20 + write-only + 0x00000000 + + + CTEIF8 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF8 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF8 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF8 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF8 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C8ESR + MDMA_C8ESR + MDMA Channel x error status + register + 0x248 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C8CR + MDMA_C8CR + This register is used to control the + concerned channel. + 0x24C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C8TCR + MDMA_C8TCR + This register is used to configure the + concerned channel. + 0x250 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C8BNDTR + MDMA_C8BNDTR + MDMA Channel x block number of data + register + 0x254 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C8SAR + MDMA_C8SAR + MDMA channel x source address + register + 0x258 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C8DAR + MDMA_C8DAR + MDMA channel x destination address + register + 0x25C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C8BRUR + MDMA_C8BRUR + MDMA channel x Block Repeat address Update + register + 0x260 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C8LAR + MDMA_C8LAR + MDMA channel x Link Address + register + 0x264 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C8TBR + MDMA_C8TBR + MDMA channel x Trigger and Bus selection + Register + 0x268 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C8MAR + MDMA_C8MAR + MDMA channel x Mask address + register + 0x270 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C8MDR + MDMA_C8MDR + MDMA channel x Mask Data + register + 0x274 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C9ISR + MDMA_C9ISR + MDMA channel x interrupt/status + register + 0x280 + 0x20 + read-only + 0x00000000 + + + TEIF9 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF9 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF9 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF9 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF9 + channel x buffer transfer + complete + 4 + 1 + + + CRQA9 + channel x request active + flag + 16 + 1 + + + + + MDMA_C9IFCR + MDMA_C9IFCR + MDMA channel x interrupt flag clear + register + 0x284 + 0x20 + write-only + 0x00000000 + + + CTEIF9 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF9 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF9 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF9 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF9 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C9ESR + MDMA_C9ESR + MDMA Channel x error status + register + 0x288 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C9CR + MDMA_C9CR + This register is used to control the + concerned channel. + 0x28C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C9TCR + MDMA_C9TCR + This register is used to configure the + concerned channel. + 0x290 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C9BNDTR + MDMA_C9BNDTR + MDMA Channel x block number of data + register + 0x294 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C9SAR + MDMA_C9SAR + MDMA channel x source address + register + 0x298 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C9DAR + MDMA_C9DAR + MDMA channel x destination address + register + 0x29C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C9BRUR + MDMA_C9BRUR + MDMA channel x Block Repeat address Update + register + 0x2A0 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C9LAR + MDMA_C9LAR + MDMA channel x Link Address + register + 0x2A4 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C9TBR + MDMA_C9TBR + MDMA channel x Trigger and Bus selection + Register + 0x2A8 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C9MAR + MDMA_C9MAR + MDMA channel x Mask address + register + 0x2B0 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C9MDR + MDMA_C9MDR + MDMA channel x Mask Data + register + 0x2B4 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C10ISR + MDMA_C10ISR + MDMA channel x interrupt/status + register + 0x2C0 + 0x20 + read-only + 0x00000000 + + + TEIF10 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF10 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF10 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF10 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF10 + channel x buffer transfer + complete + 4 + 1 + + + CRQA10 + channel x request active + flag + 16 + 1 + + + + + MDMA_C10IFCR + MDMA_C10IFCR + MDMA channel x interrupt flag clear + register + 0x2C4 + 0x20 + write-only + 0x00000000 + + + CTEIF10 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF10 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF10 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF10 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF10 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C10ESR + MDMA_C10ESR + MDMA Channel x error status + register + 0x2C8 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C10CR + MDMA_C10CR + This register is used to control the + concerned channel. + 0x2CC + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C10TCR + MDMA_C10TCR + This register is used to configure the + concerned channel. + 0x2D0 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C10BNDTR + MDMA_C10BNDTR + MDMA Channel x block number of data + register + 0x2D4 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C10SAR + MDMA_C10SAR + MDMA channel x source address + register + 0x2D8 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C10DAR + MDMA_C10DAR + MDMA channel x destination address + register + 0x2DC + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C10BRUR + MDMA_C10BRUR + MDMA channel x Block Repeat address Update + register + 0x2E0 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C10LAR + MDMA_C10LAR + MDMA channel x Link Address + register + 0x2E4 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C10TBR + MDMA_C10TBR + MDMA channel x Trigger and Bus selection + Register + 0x2E8 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C10MAR + MDMA_C10MAR + MDMA channel x Mask address + register + 0x2F0 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C10MDR + MDMA_C10MDR + MDMA channel x Mask Data + register + 0x2F4 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C11ISR + MDMA_C11ISR + MDMA channel x interrupt/status + register + 0x300 + 0x20 + read-only + 0x00000000 + + + TEIF11 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF11 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF11 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF11 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF11 + channel x buffer transfer + complete + 4 + 1 + + + CRQA11 + channel x request active + flag + 16 + 1 + + + + + MDMA_C11IFCR + MDMA_C11IFCR + MDMA channel x interrupt flag clear + register + 0x304 + 0x20 + write-only + 0x00000000 + + + CTEIF11 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF11 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF11 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF11 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF11 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C11ESR + MDMA_C11ESR + MDMA Channel x error status + register + 0x308 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C11CR + MDMA_C11CR + This register is used to control the + concerned channel. + 0x30C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C11TCR + MDMA_C11TCR + This register is used to configure the + concerned channel. + 0x310 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C11BNDTR + MDMA_C11BNDTR + MDMA Channel x block number of data + register + 0x314 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C11SAR + MDMA_C11SAR + MDMA channel x source address + register + 0x318 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C11DAR + MDMA_C11DAR + MDMA channel x destination address + register + 0x31C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C11BRUR + MDMA_C11BRUR + MDMA channel x Block Repeat address Update + register + 0x320 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C11LAR + MDMA_C11LAR + MDMA channel x Link Address + register + 0x324 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C11TBR + MDMA_C11TBR + MDMA channel x Trigger and Bus selection + Register + 0x328 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C11MAR + MDMA_C11MAR + MDMA channel x Mask address + register + 0x330 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C11MDR + MDMA_C11MDR + MDMA channel x Mask Data + register + 0x334 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C12ISR + MDMA_C12ISR + MDMA channel x interrupt/status + register + 0x340 + 0x20 + read-only + 0x00000000 + + + TEIF12 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF12 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF12 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF12 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF12 + channel x buffer transfer + complete + 4 + 1 + + + CRQA12 + channel x request active + flag + 16 + 1 + + + + + MDMA_C12IFCR + MDMA_C12IFCR + MDMA channel x interrupt flag clear + register + 0x344 + 0x20 + write-only + 0x00000000 + + + CTEIF12 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF12 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF12 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF12 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF12 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C12ESR + MDMA_C12ESR + MDMA Channel x error status + register + 0x348 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C12CR + MDMA_C12CR + This register is used to control the + concerned channel. + 0x34C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C12TCR + MDMA_C12TCR + This register is used to configure the + concerned channel. + 0x350 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C12BNDTR + MDMA_C12BNDTR + MDMA Channel x block number of data + register + 0x354 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C12SAR + MDMA_C12SAR + MDMA channel x source address + register + 0x358 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C12DAR + MDMA_C12DAR + MDMA channel x destination address + register + 0x35C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C12BRUR + MDMA_C12BRUR + MDMA channel x Block Repeat address Update + register + 0x360 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C12LAR + MDMA_C12LAR + MDMA channel x Link Address + register + 0x364 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C12TBR + MDMA_C12TBR + MDMA channel x Trigger and Bus selection + Register + 0x368 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C12MAR + MDMA_C12MAR + MDMA channel x Mask address + register + 0x370 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C12MDR + MDMA_C12MDR + MDMA channel x Mask Data + register + 0x374 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C13ISR + MDMA_C13ISR + MDMA channel x interrupt/status + register + 0x380 + 0x20 + read-only + 0x00000000 + + + TEIF13 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF13 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF13 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF13 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF13 + channel x buffer transfer + complete + 4 + 1 + + + CRQA13 + channel x request active + flag + 16 + 1 + + + + + MDMA_C13IFCR + MDMA_C13IFCR + MDMA channel x interrupt flag clear + register + 0x384 + 0x20 + write-only + 0x00000000 + + + CTEIF13 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF13 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF13 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF13 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF13 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C13ESR + MDMA_C13ESR + MDMA Channel x error status + register + 0x388 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C13CR + MDMA_C13CR + This register is used to control the + concerned channel. + 0x38C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C13TCR + MDMA_C13TCR + This register is used to configure the + concerned channel. + 0x390 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C13BNDTR + MDMA_C13BNDTR + MDMA Channel x block number of data + register + 0x394 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C13SAR + MDMA_C13SAR + MDMA channel x source address + register + 0x398 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C13DAR + MDMA_C13DAR + MDMA channel x destination address + register + 0x39C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C13BRUR + MDMA_C13BRUR + MDMA channel x Block Repeat address Update + register + 0x3A0 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C13LAR + MDMA_C13LAR + MDMA channel x Link Address + register + 0x3A4 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C13TBR + MDMA_C13TBR + MDMA channel x Trigger and Bus selection + Register + 0x3A8 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C13MAR + MDMA_C13MAR + MDMA channel x Mask address + register + 0x3B0 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C13MDR + MDMA_C13MDR + MDMA channel x Mask Data + register + 0x3B4 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C14ISR + MDMA_C14ISR + MDMA channel x interrupt/status + register + 0x3C0 + 0x20 + read-only + 0x00000000 + + + TEIF14 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF14 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF14 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF14 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF14 + channel x buffer transfer + complete + 4 + 1 + + + CRQA14 + channel x request active + flag + 16 + 1 + + + + + MDMA_C14IFCR + MDMA_C14IFCR + MDMA channel x interrupt flag clear + register + 0x3C4 + 0x20 + write-only + 0x00000000 + + + CTEIF14 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF14 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF14 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF14 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF14 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C14ESR + MDMA_C14ESR + MDMA Channel x error status + register + 0x3C8 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C14CR + MDMA_C14CR + This register is used to control the + concerned channel. + 0x3CC + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C14TCR + MDMA_C14TCR + This register is used to configure the + concerned channel. + 0x3D0 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C14BNDTR + MDMA_C14BNDTR + MDMA Channel x block number of data + register + 0x3D4 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C14SAR + MDMA_C14SAR + MDMA channel x source address + register + 0x3D8 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C14DAR + MDMA_C14DAR + MDMA channel x destination address + register + 0x3DC + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C14BRUR + MDMA_C14BRUR + MDMA channel x Block Repeat address Update + register + 0x3E0 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C14LAR + MDMA_C14LAR + MDMA channel x Link Address + register + 0x3E4 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C14TBR + MDMA_C14TBR + MDMA channel x Trigger and Bus selection + Register + 0x3E8 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C14MAR + MDMA_C14MAR + MDMA channel x Mask address + register + 0x3F0 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C14MDR + MDMA_C14MDR + MDMA channel x Mask Data + register + 0x3F4 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + MDMA_C15ISR + MDMA_C15ISR + MDMA channel x interrupt/status + register + 0x400 + 0x20 + read-only + 0x00000000 + + + TEIF15 + Channel x transfer error interrupt flag + This bit is set by hardware. It is cleared by + software writing 1 to the corresponding bit in the + DMA_IFCRy register. + 0 + 1 + + + CTCIF15 + Channel x Channel Transfer Complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. CTC is set when the + last block was transferred and the channel has been + automatically disabled. CTC is also set when the + channel is suspended, as a result of writing EN bit + to 0. + 1 + 1 + + + BRTIF15 + Channel x block repeat transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 2 + 1 + + + BTIF15 + Channel x block transfer complete + interrupt flag This bit is set by hardware. It is + cleared by software writing 1 to the corresponding + bit in the DMA_IFCRy register. + 3 + 1 + + + TCIF15 + channel x buffer transfer + complete + 4 + 1 + + + CRQA15 + channel x request active + flag + 16 + 1 + + + + + MDMA_C15IFCR + MDMA_C15IFCR + MDMA channel x interrupt flag clear + register + 0x404 + 0x20 + write-only + 0x00000000 + + + CTEIF15 + Channel x clear transfer error interrupt + flag Writing a 1 into this bit clears TEIFx in the + MDMA_ISRy register + 0 + 1 + + + CCTCIF15 + Clear Channel transfer complete + interrupt flag for channel x Writing a 1 into this + bit clears CTCIFx in the MDMA_ISRy + register + 1 + 1 + + + CBRTIF15 + Channel x clear block repeat transfer + complete interrupt flag Writing a 1 into this bit + clears BRTIFx in the MDMA_ISRy register + 2 + 1 + + + CBTIF15 + Channel x Clear block transfer complete + interrupt flag Writing a 1 into this bit clears BTIFx + in the MDMA_ISRy register + 3 + 1 + + + CLTCIF15 + CLear buffer Transfer Complete Interrupt + Flag for channel x Writing a 1 into this bit clears + TCIFx in the MDMA_ISRy register + 4 + 1 + + + + + MDMA_C15ESR + MDMA_C15ESR + MDMA Channel x error status + register + 0x408 + 0x20 + read-only + 0x00000000 + + + TEA + Transfer Error Address These bits are + set and cleared by HW, in case of an MDMA data + transfer error. It is used in conjunction with TED. + This field indicates the 7 LSBits of the address + which generated a transfer/access error. It may be + used by SW to retrieve the failing address, by adding + this value (truncated to the buffer transfer length + size) to the current SAR/DAR value. Note: The SAR/DAR + current value doesnt reflect this last address due to + the FIFO management system. The SAR/DAR are only + updated at the end of a (buffer) transfer (of TLEN+1 + bytes). Note: It is not set in case of a link data + error. + 0 + 7 + + + TED + Transfer Error Direction These bit is + set and cleared by HW, in case of an MDMA data + transfer error. + 7 + 1 + + + TELD + Transfer Error Link Data These bit is + set by HW, in case of a transfer error while reading + the block link data structure. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 8 + 1 + + + TEMD + Transfer Error Mask Data These bit is + set by HW, in case of a transfer error while writing + the Mask Data. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 9 + 1 + + + ASE + Address/Size Error These bit is set by + HW, when the programmed address is not aligned with + the data size. TED will indicate whether the problem + is on the source or destination. It is cleared by + software writing 1 to the CTEIFx bit in the DMA_IFCRy + register. + 10 + 1 + + + BSE + Block Size Error These bit is set by HW, + when the block size is not an integer multiple of the + data size either for source or destination. TED will + indicate whether the problem is on the source or + destination. It is cleared by software writing 1 to + the CTEIFx bit in the DMA_IFCRy + register. + 11 + 1 + + + + + MDMA_C15CR + MDMA_C15CR + This register is used to control the + concerned channel. + 0x40C + 0x20 + 0x00000000 + + + EN + channel enable + 0 + 1 + read-write + + + TEIE + Transfer error interrupt enable This bit + is set and cleared by software. + 1 + 1 + read-write + + + CTCIE + Channel Transfer Complete interrupt + enable This bit is set and cleared by + software. + 2 + 1 + read-write + + + BRTIE + Block Repeat transfer interrupt enable + This bit is set and cleared by + software. + 3 + 1 + read-write + + + BTIE + Block Transfer interrupt enable This bit + is set and cleared by software. + 4 + 1 + read-write + + + TCIE + buffer Transfer Complete interrupt + enable This bit is set and cleared by + software. + 5 + 1 + read-write + + + PL + Priority level These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0. + 6 + 2 + read-write + + + BEX + byte Endianness exchange + 12 + 1 + read-write + + + HEX + Half word Endianes + exchange + 13 + 1 + read-write + + + WEX + Word Endianness exchange + 14 + 1 + read-write + + + SWRQ + SW ReQuest Writing a 1 into this bit + sets the CRQAx in MDMA_ISRy register, activating the + request on Channel x Note: Either the whole CxCR + register or the 8-bit/16-bit register @ Address + offset: 0x4E + 0x40 chn may be used for SWRQ + activation. In case of a SW request, acknowledge is + not generated (neither HW signal, nor CxMAR write + access). + 16 + 1 + write-only + + + + + MDMA_C15TCR + MDMA_C15TCR + This register is used to configure the + concerned channel. + 0x410 + 0x20 + read-write + 0x00000000 + + + SINC + Source increment mode These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0 Note: When source is + AHB (SBUS=1), SINC = 00 is forbidden. In Linked List + Mode, at the end of a block (single or last block in + repeated block transfer mode), this register will be + loaded from memory (from address given by current + LAR[31:0] + 0x00). + 0 + 2 + + + DINC + Destination increment mode These bits + are set and cleared by software. These bits are + protected and can be written only if EN is 0 Note: + When destination is AHB (DBUS=1), DINC = 00 is + forbidden. + 2 + 2 + + + SSIZE + Source data size These bits are set and + cleared by software. These bits are protected and can + be written only if EN is 0 Note: If a value of 11 is + programmed for the TCM access/AHB port, a transfer + error will occur (TEIF bit set) If SINCOS &lt; + SSIZE and SINC &#8800; 00, the result will be + unpredictable. Note: SSIZE = 11 (double-word) is + forbidden when source is TCM/AHB bus + (SBUS=1). + 4 + 2 + + + DSIZE + Destination data size These bits are set + and cleared by software. These bits are protected and + can be written only if EN is 0. Note: If a value of + 11 is programmed for the TCM access/AHB port, a + transfer error will occur (TEIF bit set) If DINCOS + &lt; DSIZE and DINC &#8800; 00, the result + will be unpredictable. Note: DSIZE = 11 (double-word) + is forbidden when destination is TCM/AHB bus + (DBUS=1). + 6 + 2 + + + SINCOS + source increment offset + size + 8 + 2 + + + DINCOS + Destination increment + offset + 10 + 2 + + + SBURST + source burst transfer + configuration + 12 + 3 + + + DBURST + Destination burst transfer + configuration + 15 + 3 + + + TLEN + buffer transfer lengh + 18 + 7 + + + PKE + PacK Enable These bit is set and cleared + by software. If the Source Size is smaller than the + destination, it will be padded according to the PAM + value. If the Source data size is larger than the + destination one, it will be truncated. The alignment + will be done according to the PAM[0] value. This bit + is protected and can be written only if EN is + 0 + 25 + 1 + + + PAM + Padding/Alignement Mode These bits are + set and cleared by software. Case 1: Source data size + smaller than destination data size - 3 options are + valid. Case 2: Source data size larger than + destination data size. The remainder part is + discarded. When PKE = 1 or DSIZE=SSIZE, these bits + are ignored. These bits are protected and can be + written only if EN is 0 + 26 + 2 + + + TRGM + Trigger Mode These bits are set and + cleared by software. Note: If TRGM is 11 for the + current block, all the values loaded at the end of + the current block through the linked list mechanism + must keep the same value (TRGM=11) and the same SWRM + value, otherwise the result is undefined. These bits + are protected and can be written only if EN is + 0. + 28 + 2 + + + SWRM + SW Request Mode This bit is set and + cleared by software. If a HW or SW request is + currently active, the bit change will be delayed + until the current transfer is completed. If the CxMAR + contains a valid address, the CxMDR value will also + be written @ CxMAR address. This bit is protected and + can be written only if EN is 0. + 30 + 1 + + + BWM + Bufferable Write Mode This bit is set + and cleared by software. This bit is protected and + can be written only if EN is 0. Note: All MDMA + destination accesses are non-cacheable. + 31 + 1 + + + + + MDMA_C15BNDTR + MDMA_C15BNDTR + MDMA Channel x block number of data + register + 0x414 + 0x20 + read-write + 0x00000000 + + + BNDT + block number of data to + transfer + 0 + 17 + + + BRSUM + Block Repeat Source address Update Mode + These bits are protected and can be written only if + EN is 0. + 18 + 1 + + + BRDUM + Block Repeat Destination address Update + Mode These bits are protected and can be written only + if EN is 0. + 19 + 1 + + + BRC + Block Repeat Count This field contains + the number of repetitions of the current block (0 to + 4095). When the channel is enabled, this register is + read-only, indicating the remaining number of blocks, + excluding the current one. This register decrements + after each complete block transfer. Once the last + block transfer has completed, this register can + either stay at zero or be reloaded automatically from + memory (in Linked List mode - i.e. Link Address + valid). These bits are protected and can be written + only if EN is 0. + 20 + 12 + + + + + MDMA_C15SAR + MDMA_C15SAR + MDMA channel x source address + register + 0x418 + 0x20 + read-write + 0x00000000 + + + SAR + source adr base + 0 + 32 + + + + + MDMA_C15DAR + MDMA_C15DAR + MDMA channel x destination address + register + 0x41C + 0x20 + read-write + 0x00000000 + + + DAR + Destination adr base + 0 + 32 + + + + + MDMA_C15BRUR + MDMA_C15BRUR + MDMA channel x Block Repeat address Update + register + 0x420 + 0x20 + read-write + 0x00000000 + + + SUV + source adresse update + value + 0 + 16 + + + DUV + destination address update + 16 + 16 + + + + + MDMA_C15LAR + MDMA_C15LAR + MDMA channel x Link Address + register + 0x424 + 0x20 + read-write + 0x00000000 + + + LAR + Link address register + 0 + 32 + + + + + MDMA_C15TBR + MDMA_C15TBR + MDMA channel x Trigger and Bus selection + Register + 0x428 + 0x20 + read-write + 0x00000000 + + + TSEL + Trigger selection + 0 + 6 + + + SBUS + Source BUS select This bit is protected + and can be written only if EN is 0. + 16 + 1 + + + DBUS + Destination BUS slect This bit is + protected and can be written only if EN is + 0. + 17 + 1 + + + + + MDMA_C15MAR + MDMA_C15MAR + MDMA channel x Mask address + register + 0x430 + 0x20 + read-write + 0x00000000 + + + MAR + Mask address + 0 + 32 + + + + + MDMA_C15MDR + MDMA_C15MDR + MDMA channel x Mask Data + register + 0x434 + 0x20 + read-write + 0x00000000 + + + MDR + Mask data + 0 + 32 + + + + + + + QUADSPI + QUADSPI + QUADSPI + 0x52005000 + + 0x0 + 0x400 + registers + + + QUADSPI + QuadSPI global interrupt + 92 + + + + CR + CR + QUADSPI control register + 0x0 + 0x20 + read-write + 0x00000000 + + + EN + Enable Enable the QUADSPI. + 0 + 1 + + + ABORT + Abort request This bit aborts the + on-going command sequence. It is automatically reset + once the abort is complete. This bit stops the + current transfer. In polling mode or memory-mapped + mode, this bit also reset the APM bit or the DM + bit. + 1 + 1 + + + DMAEN + DMA enable In indirect mode, DMA can be + used to input or output data via the QUADSPI_DR + register. DMA transfers are initiated when the FIFO + threshold flag, FTF, is set. + 2 + 1 + + + TCEN + Timeout counter enable This bit is valid + only when memory-mapped mode (FMODE = 11) is + selected. Activating this bit causes the chip select + (nCS) to be released (and thus reduces consumption) + if there has not been an access after a certain + amount of time, where this time is defined by + TIMEOUT[15:0] (QUADSPI_LPTR). Enable the timeout + counter. By default, the QUADSPI never stops its + prefetch operation, keeping the previous read + operation active with nCS maintained low, even if no + access to the Flash memory occurs for a long time. + Since Flash memories tend to consume more when nCS is + held low, the application might want to activate the + timeout counter (TCEN = 1, QUADSPI_CR[3]) so that nCS + is released after a period of TIMEOUT[15:0] + (QUADSPI_LPTR) cycles have elapsed without an access + since when the FIFO becomes full with prefetch data. + This bit can be modified only when BUSY = + 0. + 3 + 1 + + + SSHIFT + Sample shift By default, the QUADSPI + samples data 1/2 of a CLK cycle after the data is + driven by the Flash memory. This bit allows the data + is to be sampled later in order to account for + external signal delays. Firmware must assure that + SSHIFT = 0 when in DDR mode (when DDRM = 1). This + field can be modified only when BUSY = + 0. + 4 + 1 + + + DFM + Dual-flash mode This bit activates + dual-flash mode, where two external Flash memories + are used simultaneously to double throughput and + capacity. This bit can be modified only when BUSY = + 0. + 6 + 1 + + + FSEL + Flash memory selection This bit selects + the Flash memory to be addressed in single flash mode + (when DFM = 0). This bit can be modified only when + BUSY = 0. This bit is ignored when DFM = + 1. + 7 + 1 + + + FTHRES + FIFO threshold level Defines, in + indirect mode, the threshold number of bytes in the + FIFO that will cause the FIFO threshold flag (FTF, + QUADSPI_SR[2]) to be set. In indirect write mode + (FMODE = 00): ... In indirect read mode (FMODE = 01): + ... If DMAEN = 1, then the DMA controller for the + corresponding channel must be disabled before + changing the FTHRES value. + 8 + 5 + + + TEIE + Transfer error interrupt enable This bit + enables the transfer error interrupt. + 16 + 1 + + + TCIE + Transfer complete interrupt enable This + bit enables the transfer complete + interrupt. + 17 + 1 + + + FTIE + FIFO threshold interrupt enable This bit + enables the FIFO threshold interrupt. + 18 + 1 + + + SMIE + Status match interrupt enable This bit + enables the status match interrupt. + 19 + 1 + + + TOIE + TimeOut interrupt enable This bit + enables the TimeOut interrupt. + 20 + 1 + + + APMS + Automatic poll mode stop This bit + determines if automatic polling is stopped after a + match. This bit can be modified only when BUSY = + 0. + 22 + 1 + + + PMM + Polling match mode This bit indicates + which method should be used for determining a match + during automatic polling mode. This bit can be + modified only when BUSY = 0. + 23 + 1 + + + PRESCALER + clock prescaler + 24 + 8 + + + + + DCR + DCR + QUADSPI device configuration + register + 0x4 + 0x20 + read-write + 0x00000000 + + + CKMODE + indicates the level that clk takes + between command + 0 + 1 + + + CSHT + Chip select high time CSHT+1 defines the + minimum number of CLK cycles which the chip select + (nCS) must remain high between commands issued to the + Flash memory. ... This field can be modified only + when BUSY = 0. + 8 + 3 + + + FSIZE + Flash memory size This field defines the + size of external memory using the following formula: + Number of bytes in Flash memory = 2[FSIZE+1] FSIZE+1 + is effectively the number of address bits required to + address the Flash memory. The Flash memory capacity + can be up to 4GB (addressed using 32 bits) in + indirect mode, but the addressable space in + memory-mapped mode is limited to 256MB. If DFM = 1, + FSIZE indicates the total capacity of the two Flash + memories together. This field can be modified only + when BUSY = 0. + 16 + 5 + + + + + SR + SR + QUADSPI status register + 0x8 + 0x20 + read-only + 0x00000000 + + + TEF + Transfer error flag This bit is set in + indirect mode when an invalid address is being + accessed in indirect mode. It is cleared by writing 1 + to CTEF. + 0 + 1 + + + TCF + Transfer complete flag This bit is set + in indirect mode when the programmed number of data + has been transferred or in any mode when the transfer + has been aborted.It is cleared by writing 1 to + CTCF. + 1 + 1 + + + FTF + FIFO threshold flag In indirect mode, + this bit is set when the FIFO threshold has been + reached, or if there is any data left in the FIFO + after reads from the Flash memory are complete. It is + cleared automatically as soon as threshold condition + is no longer true. In automatic polling mode this bit + is set every time the status register is read, and + the bit is cleared when the data register is + read. + 2 + 1 + + + SMF + Status match flag This bit is set in + automatic polling mode when the unmasked received + data matches the corresponding bits in the match + register (QUADSPI_PSMAR). It is cleared by writing 1 + to CSMF. + 3 + 1 + + + TOF + Timeout flag This bit is set when + timeout occurs. It is cleared by writing 1 to + CTOF. + 4 + 1 + + + BUSY + Busy This bit is set when an operation + is on going. This bit clears automatically when the + operation with the Flash memory is finished and the + FIFO is empty. + 5 + 1 + + + FLEVEL + FIFO level This field gives the number + of valid bytes which are being held in the FIFO. + FLEVEL = 0 when the FIFO is empty, and 16 when it is + full. In memory-mapped mode and in automatic status + polling mode, FLEVEL is zero. + 8 + 6 + + + + + FCR + FCR + QUADSPI flag clear register + 0xC + 0x20 + read-write + 0x00000000 + + + CTEF + Clear transfer error flag Writing 1 + clears the TEF flag in the QUADSPI_SR + register + 0 + 1 + + + CTCF + Clear transfer complete flag Writing 1 + clears the TCF flag in the QUADSPI_SR + register + 1 + 1 + + + CSMF + Clear status match flag Writing 1 clears + the SMF flag in the QUADSPI_SR register + 3 + 1 + + + CTOF + Clear timeout flag Writing 1 clears the + TOF flag in the QUADSPI_SR register + 4 + 1 + + + + + DLR + DLR + QUADSPI data length register + 0x10 + 0x20 + read-write + 0x00000000 + + + DL + Data length Number of data to be + retrieved (value+1) in indirect and status-polling + modes. A value no greater than 3 (indicating 4 bytes) + should be used for status-polling mode. All 1s in + indirect mode means undefined length, where QUADSPI + will continue until the end of memory, as defined by + FSIZE. 0x0000_0000: 1 byte is to be transferred + 0x0000_0001: 2 bytes are to be transferred + 0x0000_0002: 3 bytes are to be transferred + 0x0000_0003: 4 bytes are to be transferred ... + 0xFFFF_FFFD: 4,294,967,294 (4G-2) bytes are to be + transferred 0xFFFF_FFFE: 4,294,967,295 (4G-1) bytes + are to be transferred 0xFFFF_FFFF: undefined length + -- all bytes until the end of Flash memory (as + defined by FSIZE) are to be transferred. Continue + reading indefinitely if FSIZE = 0x1F. DL[0] is stuck + at 1 in dual-flash mode (DFM = 1) even when 0 is + written to this bit, thus assuring that each access + transfers an even number of bytes. This field has no + effect when in memory-mapped mode (FMODE = 10). This + field can be written only when BUSY = + 0. + 0 + 32 + + + + + CCR + CCR + QUADSPI communication configuration + register + 0x14 + 0x20 + read-write + 0x00000000 + + + INSTRUCTION + Instruction Instruction to be send to + the external SPI device. This field can be written + only when BUSY = 0. + 0 + 8 + + + IMODE + Instruction mode This field defines the + instruction phase mode of operation: This field can + be written only when BUSY = 0. + 8 + 2 + + + ADMODE + Address mode This field defines the + address phase mode of operation: This field can be + written only when BUSY = 0. + 10 + 2 + + + ADSIZE + Address size This bit defines address + size: This field can be written only when BUSY = + 0. + 12 + 2 + + + ABMODE + Alternate bytes mode This field defines + the alternate-bytes phase mode of operation: This + field can be written only when BUSY = + 0. + 14 + 2 + + + ABSIZE + Alternate bytes size This bit defines + alternate bytes size: This field can be written only + when BUSY = 0. + 16 + 2 + + + DCYC + Number of dummy cycles This field + defines the duration of the dummy phase. In both SDR + and DDR modes, it specifies a number of CLK cycles + (0-31). This field can be written only when BUSY = + 0. + 18 + 5 + + + DMODE + Data mode This field defines the data + phases mode of operation: This field also determines + the dummy phase mode of operation. This field can be + written only when BUSY = 0. + 24 + 2 + + + FMODE + Functional mode This field defines the + QUADSPI functional mode of operation. If DMAEN = 1 + already, then the DMA controller for the + corresponding channel must be disabled before + changing the FMODE value. This field can be written + only when BUSY = 0. + 26 + 2 + + + SIOO + Send instruction only once mode See + Section15.3.11: Sending the instruction only once on + page13. This bit has no effect when IMODE = 00. This + field can be written only when BUSY = + 0. + 28 + 1 + + + DHHC + DDR hold Delay the data output by 1/4 of + the QUADSPI output clock cycle in DDR mode: This + feature is only active in DDR mode. This field can be + written only when BUSY = 0. + 30 + 1 + + + DDRM + Double data rate mode This bit sets the + DDR mode for the address, alternate byte and data + phase: This field can be written only when BUSY = + 0. + 31 + 1 + + + + + AR + AR + QUADSPI address register + 0x18 + 0x20 + read-write + 0x00000000 + + + ADDRESS + [31 0]: Address Address to be send to + the external Flash memory Writes to this field are + ignored when BUSY = 0 or when FMODE = 11 + (memory-mapped mode). In dual flash mode, ADDRESS[0] + is automatically stuck to 0 as the address should + always be even + 0 + 32 + + + + + ABR + ABR + QUADSPI alternate bytes + registers + 0x1C + 0x20 + read-write + 0x00000000 + + + ALTERNATE + Alternate Bytes Optional data to be send + to the external SPI device right after the address. + This field can be written only when BUSY = + 0. + 0 + 32 + + + + + DR + DR + QUADSPI data register + 0x20 + 0x20 + read-write + 0x00000000 + + + DATA + Data Data to be sent/received to/from + the external SPI device. In indirect write mode, data + written to this register is stored on the FIFO before + it is sent to the Flash memory during the data phase. + If the FIFO is too full, a write operation is stalled + until the FIFO has enough space to accept the amount + of data being written. In indirect read mode, reading + this register gives (via the FIFO) the data which was + received from the Flash memory. If the FIFO does not + have as many bytes as requested by the read operation + and if BUSY=1, the read operation is stalled until + enough data is present or until the transfer is + complete, whichever happens first. In automatic + polling mode, this register contains the last data + read from the Flash memory (without masking). Word, + halfword, and byte accesses to this register are + supported. In indirect write mode, a byte write adds + 1 byte to the FIFO, a halfword write 2, and a word + write 4. Similarly, in indirect read mode, a byte + read removes 1 byte from the FIFO, a halfword read 2, + and a word read 4. Accesses in indirect mode must be + aligned to the bottom of this register: a byte read + must read DATA[7:0] and a halfword read must read + DATA[15:0]. + 0 + 32 + + + + + PSMKR + PSMKR + QUADSPI polling status mask + register + 0x24 + 0x20 + read-write + 0x00000000 + + + MASK + Status mask Mask to be applied to the + status bytes received in polling mode. For bit n: + This field can be written only when BUSY = + 0. + 0 + 32 + + + + + PSMAR + PSMAR + QUADSPI polling status match + register + 0x28 + 0x20 + read-write + 0x00000000 + + + MATCH + Status match Value to be compared with + the masked status register to get a match. This field + can be written only when BUSY = 0. + 0 + 32 + + + + + PIR + PIR + QUADSPI polling interval + register + 0x2C + 0x20 + read-write + 0x00000000 + + + INTERVAL + Polling interval Number of CLK cycles + between to read during automatic polling phases. This + field can be written only when BUSY = + 0. + 0 + 16 + + + + + LPTR + LPTR + QUADSPI low-power timeout + register + 0x30 + 0x20 + read-write + 0x00000000 + + + TIMEOUT + Timeout period After each access in + memory-mapped mode, the QUADSPI prefetches the + subsequent bytes and holds these bytes in the FIFO. + This field indicates how many CLK cycles the QUADSPI + waits after the FIFO becomes full until it raises + nCS, putting the Flash memory in a lower-consumption + state. This field can be written only when BUSY = + 0. + 0 + 16 + + + + + + + RNG + RNG + RNG + 0x48021800 + + 0x0 + 0x400 + registers + + + HASH_RNG + HASH OR RNG interrupt + 80 + + + + CR + CR + RNG control register + 0x0 + 0x20 + read-write + 0x00000000 + + + RNGEN + Random number generator + enable + 2 + 1 + + + IE + Interrupt enable + 3 + 1 + + + CED + Clock error detection Note: The clock + error detection can be used only when ck_rc48 or + ck_pll1_q (ck_pll1_q = 48MHz) source is selected + otherwise, CED bit must be equal to 1. The clock + error detection cannot be enabled nor disabled on the + fly when RNG peripheral is enabled, to enable or + disable CED the RNG must be disabled. + 5 + 1 + + + + + SR + SR + RNG status register + 0x4 + 0x20 + 0x00000000 + + + DRDY + Data ready Note: If IE=1 in RNG_CR, an + interrupt is generated when DRDY=1. It can rise when + the peripheral is disabled. When the output buffer + becomes empty (after reading RNG_DR), this bit + returns to 0 until a new random value is + generated. + 0 + 1 + read-only + + + CECS + Clock error current status Note: This + bit is meaningless if CED (Clock error detection) bit + in RNG_CR is equal to 1. + 1 + 1 + read-only + + + SECS + Seed error current status ** More than + 64 consecutive bits at the same value (0 or 1) ** + More than 32 consecutive alternances of 0 and 1 + (0101010101...01) + 2 + 1 + read-only + + + CEIS + Clock error interrupt status This bit is + set at the same time as CECS. It is cleared by + writing it to 0. An interrupt is pending if IE = 1 in + the RNG_CR register. Note: This bit is meaningless if + CED (Clock error detection) bit in RNG_CR is equal to + 1. + 5 + 1 + read-write + + + SEIS + Seed error interrupt status This bit is + set at the same time as SECS. It is cleared by + writing it to 0. ** More than 64 consecutive bits at + the same value (0 or 1) ** More than 32 consecutive + alternances of 0 and 1 (0101010101...01) An interrupt + is pending if IE = 1 in the RNG_CR + register. + 6 + 1 + read-write + + + + + DR + DR + The RNG_DR register is a read-only register + that delivers a 32-bit random value when read. The + content of this register is valid when DRDY= 1, even if + RNGEN=0. + 0x8 + 0x20 + read-only + 0x00000000 + + + RNDATA + Random data 32-bit random data which are + valid when DRDY=1. + 0 + 32 + + + + + + + RTC + RTC + RTC + 0x58004000 + + 0x0 + 0x400 + registers + + + RTC_TAMP_STAMP_CSS_LSE + RTC tamper, timestamp + 2 + + + RTC_WKUP + RTC Wakeup interrupt + 3 + + + + RTC_TR + RTC_TR + The RTC_TR is the calendar time shadow + register. This register must be written in initialization + mode only. Refer to Calendar initialization and + configuration on page9 and Reading the calendar on + page10.This register is write protected. The write access + procedure is described in RTC register write protection + on page9. + 0x0 + 0x20 + read-write + 0x00000000 + + + SU + Second units in BCD format + 0 + 4 + + + ST + Second tens in BCD format + 4 + 3 + + + MNU + Minute units in BCD format + 8 + 4 + + + MNT + Minute tens in BCD format + 12 + 3 + + + HU + Hour units in BCD format + 16 + 4 + + + HT + Hour tens in BCD format + 20 + 2 + + + PM + AM/PM notation + 22 + 1 + + + + + RTC_DR + RTC_DR + The RTC_DR is the calendar date shadow + register. This register must be written in initialization + mode only. Refer to Calendar initialization and + configuration on page9 and Reading the calendar on + page10.This register is write protected. The write access + procedure is described in RTC register write protection + on page9. + 0x4 + 0x20 + read-write + 0x00002101 + + + DU + Date units in BCD format + 0 + 4 + + + DT + Date tens in BCD format + 4 + 2 + + + MU + Month units in BCD format + 8 + 4 + + + MT + Month tens in BCD format + 12 + 1 + + + WDU + Week day units + 13 + 3 + + + YU + Year units in BCD format + 16 + 4 + + + YT + Year tens in BCD format + 20 + 4 + + + + + RTC_CR + RTC_CR + RTC control register + 0x8 + 0x20 + 0x00000000 + + + WUCKSEL + Wakeup clock selection + 0 + 3 + read-write + + + TSEDGE + Time-stamp event active edge TSE must be + reset when TSEDGE is changed to avoid unwanted TSF + setting. + 3 + 1 + read-write + + + REFCKON + RTC_REFIN reference clock detection + enable (50 or 60Hz) Note: PREDIV_S must be + 0x00FF. + 4 + 1 + read-write + + + BYPSHAD + Bypass the shadow registers Note: If the + frequency of the APB clock is less than seven times + the frequency of RTCCLK, BYPSHAD must be set to + 1. + 5 + 1 + read-write + + + FMT + Hour format + 6 + 1 + read-write + + + ALRAE + Alarm A enable + 8 + 1 + read-write + + + ALRBE + Alarm B enable + 9 + 1 + read-write + + + WUTE + Wakeup timer enable + 10 + 1 + read-write + + + TSE + timestamp enable + 11 + 1 + read-write + + + ALRAIE + Alarm A interrupt enable + 12 + 1 + read-write + + + ALRBIE + Alarm B interrupt enable + 13 + 1 + read-write + + + WUTIE + Wakeup timer interrupt + enable + 14 + 1 + read-write + + + TSIE + Time-stamp interrupt + enable + 15 + 1 + read-write + + + ADD1H + Add 1 hour (summer time change) When + this bit is set outside initialization mode, 1 hour + is added to the calendar time. This bit is always + read as 0. + 16 + 1 + write-only + + + SUB1H + Subtract 1 hour (winter time change) + When this bit is set outside initialization mode, 1 + hour is subtracted to the calendar time if the + current hour is not 0. This bit is always read as 0. + Setting this bit has no effect when current hour is + 0. + 17 + 1 + write-only + + + BKP + Backup This bit can be written by the + user to memorize whether the daylight saving time + change has been performed or not. + 18 + 1 + read-write + + + COSEL + Calibration output selection When COE=1, + this bit selects which signal is output on RTC_CALIB. + These frequencies are valid for RTCCLK at 32.768 kHz + and prescalers at their default values (PREDIV_A=127 + and PREDIV_S=255). Refer to Section24.3.15: + Calibration clock output + 19 + 1 + read-write + + + POL + Output polarity This bit is used to + configure the polarity of RTC_ALARM + output + 20 + 1 + read-write + + + OSEL + Output selection These bits are used to + select the flag to be routed to RTC_ALARM + output + 21 + 2 + read-write + + + COE + Calibration output enable This bit + enables the RTC_CALIB output + 23 + 1 + read-write + + + ITSE + timestamp on internal event + enable + 24 + 1 + read-write + + + + + RTC_ISR + RTC_ISR + This register is write protected (except for + RTC_ISR[13:8] bits). The write access procedure is + described in RTC register write protection on + page9. + 0xC + 0x20 + 0x00000007 + + + ALRAWF + Alarm A write flag This bit is set by + hardware when Alarm A values can be changed, after + the ALRAE bit has been set to 0 in RTC_CR. It is + cleared by hardware in initialization + mode. + 0 + 1 + read-only + + + ALRBWF + Alarm B write flag This bit is set by + hardware when Alarm B values can be changed, after + the ALRBE bit has been set to 0 in RTC_CR. It is + cleared by hardware in initialization + mode. + 1 + 1 + read-only + + + WUTWF + Wakeup timer write flag This bit is set + by hardware up to 2 RTCCLK cycles after the WUTE bit + has been set to 0 in RTC_CR, and is cleared up to 2 + RTCCLK cycles after the WUTE bit has been set to 1. + The wakeup timer values can be changed when WUTE bit + is cleared and WUTWF is set. + 2 + 1 + read-only + + + SHPF + Shift operation pending This flag is set + by hardware as soon as a shift operation is initiated + by a write to the RTC_SHIFTR register. It is cleared + by hardware when the corresponding shift operation + has been executed. Writing to the SHPF bit has no + effect. + 3 + 1 + read-only + + + INITS + Initialization status flag This bit is + set by hardware when the calendar year field is + different from 0 (Backup domain reset + state). + 4 + 1 + read-only + + + RSF + Registers synchronization flag This bit + is set by hardware each time the calendar registers + are copied into the shadow registers (RTC_SSRx, + RTC_TRx and RTC_DRx). This bit is cleared by hardware + in initialization mode, while a shift operation is + pending (SHPF=1), or when in bypass shadow register + mode (BYPSHAD=1). This bit can also be cleared by + software. It is cleared either by software or by + hardware in initialization mode. + 5 + 1 + read-write + + + INITF + Initialization flag When this bit is set + to 1, the RTC is in initialization state, and the + time, date and prescaler registers can be + updated. + 6 + 1 + read-only + + + INIT + Initialization mode + 7 + 1 + read-write + + + ALRAF + Alarm A flag This flag is set by + hardware when the time/date registers (RTC_TR and + RTC_DR) match the Alarm A register (RTC_ALRMAR). This + flag is cleared by software by writing + 0. + 8 + 1 + read-write + + + ALRBF + Alarm B flag This flag is set by + hardware when the time/date registers (RTC_TR and + RTC_DR) match the Alarm B register (RTC_ALRMBR). This + flag is cleared by software by writing + 0. + 9 + 1 + read-write + + + WUTF + Wakeup timer flag This flag is set by + hardware when the wakeup auto-reload counter reaches + 0. This flag is cleared by software by writing 0. + This flag must be cleared by software at least 1.5 + RTCCLK periods before WUTF is set to 1 + again. + 10 + 1 + read-write + + + TSF + Time-stamp flag This flag is set by + hardware when a time-stamp event occurs. This flag is + cleared by software by writing 0. + 11 + 1 + read-write + + + TSOVF + Time-stamp overflow flag This flag is + set by hardware when a time-stamp event occurs while + TSF is already set. This flag is cleared by software + by writing 0. It is recommended to check and then + clear TSOVF only after clearing the TSF bit. + Otherwise, an overflow might not be noticed if a + time-stamp event occurs immediately before the TSF + bit is cleared. + 12 + 1 + read-write + + + TAMP1F + RTC_TAMP1 detection flag This flag is + set by hardware when a tamper detection event is + detected on the RTC_TAMP1 input. It is cleared by + software writing 0 + 13 + 1 + read-write + + + TAMP2F + RTC_TAMP2 detection flag This flag is + set by hardware when a tamper detection event is + detected on the RTC_TAMP2 input. It is cleared by + software writing 0 + 14 + 1 + read-write + + + TAMP3F + RTC_TAMP3 detection flag This flag is + set by hardware when a tamper detection event is + detected on the RTC_TAMP3 input. It is cleared by + software writing 0 + 15 + 1 + read-write + + + RECALPF + Recalibration pending Flag The RECALPF + status flag is automatically set to 1 when software + writes to the RTC_CALR register, indicating that the + RTC_CALR register is blocked. When the new + calibration settings are taken into account, this bit + returns to 0. Refer to Re-calibration + on-the-fly. + 16 + 1 + read-only + + + ITSF + Internal tTime-stamp flag + 17 + 1 + read-write + + + + + RTC_PRER + RTC_PRER + This register must be written in + initialization mode only. The initialization must be + performed in two separate write accesses. Refer to + Calendar initialization and configuration on page9.This + register is write protected. The write access procedure + is described in RTC register write protection on + page9. + 0x10 + 0x20 + read-write + 0x007F00FF + + + PREDIV_S + Synchronous prescaler factor This is the + synchronous division factor: ck_spre frequency = + ck_apre frequency/(PREDIV_S+1) + 0 + 15 + + + PREDIV_A + Asynchronous prescaler factor This is + the asynchronous division factor: ck_apre frequency = + RTCCLK frequency/(PREDIV_A+1) + 16 + 7 + + + + + RTC_WUTR + RTC_WUTR + This register can be written only when WUTWF + is set to 1 in RTC_ISR.This register is write protected. + The write access procedure is described in RTC register + write protection on page9. + 0x14 + 0x20 + read-write + 0x0000FFFF + + + WUT + Wakeup auto-reload value bits When the + wakeup timer is enabled (WUTE set to 1), the WUTF + flag is set every (WUT[15:0] + 1) ck_wut cycles. The + ck_wut period is selected through WUCKSEL[2:0] bits + of the RTC_CR register When WUCKSEL[2] = 1, the + wakeup timer becomes 17-bits and WUCKSEL[1] + effectively becomes WUT[16] the most-significant bit + to be reloaded into the timer. The first assertion of + WUTF occurs (WUT+1) ck_wut cycles after WUTE is set. + Setting WUT[15:0] to 0x0000 with WUCKSEL[2:0] =011 + (RTCCLK/2) is forbidden. + 0 + 16 + + + + + RTC_ALRMAR + RTC_ALRMAR + This register can be written only when + ALRAWF is set to 1 in RTC_ISR, or in initialization + mode.This register is write protected. The write access + procedure is described in RTC register write protection + on page9. + 0x1C + 0x20 + read-write + 0x00000000 + + + SU + Second units in BCD + format. + 0 + 4 + + + ST + Second tens in BCD format. + 4 + 3 + + + MSK1 + Alarm A seconds mask + 7 + 1 + + + MNU + Minute units in BCD + format. + 8 + 4 + + + MNT + Minute tens in BCD format. + 12 + 3 + + + MSK2 + Alarm A minutes mask + 15 + 1 + + + HU + Hour units in BCD format. + 16 + 4 + + + HT + Hour tens in BCD format. + 20 + 2 + + + PM + AM/PM notation + 22 + 1 + + + MSK3 + Alarm A hours mask + 23 + 1 + + + DU + Date units or day in BCD + format. + 24 + 4 + + + DT + Date tens in BCD format. + 28 + 2 + + + WDSEL + Week day selection + 30 + 1 + + + MSK4 + Alarm A date mask + 31 + 1 + + + + + RTC_ALRMBR + RTC_ALRMBR + This register can be written only when + ALRBWF is set to 1 in RTC_ISR, or in initialization + mode.This register is write protected. The write access + procedure is described in RTC register write protection + on page9. + 0x20 + 0x20 + read-write + 0x00000000 + + + SU + Second units in BCD format + 0 + 4 + + + ST + Second tens in BCD format + 4 + 3 + + + MSK1 + Alarm B seconds mask + 7 + 1 + + + MNU + Minute units in BCD format + 8 + 4 + + + MNT + Minute tens in BCD format + 12 + 3 + + + MSK2 + Alarm B minutes mask + 15 + 1 + + + HU + Hour units in BCD format + 16 + 4 + + + HT + Hour tens in BCD format + 20 + 2 + + + PM + AM/PM notation + 22 + 1 + + + MSK3 + Alarm B hours mask + 23 + 1 + + + DU + Date units or day in BCD + format + 24 + 4 + + + DT + Date tens in BCD format + 28 + 2 + + + WDSEL + Week day selection + 30 + 1 + + + MSK4 + Alarm B date mask + 31 + 1 + + + + + RTC_WPR + RTC_WPR + RTC write protection register + 0x24 + 0x20 + write-only + 0x00000000 + + + KEY + Write protection key This byte is + written by software. Reading this byte always returns + 0x00. Refer to RTC register write protection for a + description of how to unlock RTC register write + protection. + 0 + 8 + + + + + RTC_SSR + RTC_SSR + RTC sub second register + 0x28 + 0x20 + read-only + 0x00000000 + + + SS + Sub second value SS[15:0] is the value + in the synchronous prescaler counter. The fraction of + a second is given by the formula below: Second + fraction = (PREDIV_S - SS) / (PREDIV_S + 1) Note: SS + can be larger than PREDIV_S only after a shift + operation. In that case, the correct time/date is one + second less than as indicated by + RTC_TR/RTC_DR. + 0 + 16 + + + + + RTC_SHIFTR + RTC_SHIFTR + This register is write protected. The write + access procedure is described in RTC register write + protection on page9. + 0x2C + 0x20 + write-only + 0x00000000 + + + SUBFS + Subtract a fraction of a second These + bits are write only and is always read as zero. + Writing to this bit has no effect when a shift + operation is pending (when SHPF=1, in RTC_ISR). The + value which is written to SUBFS is added to the + synchronous prescaler counter. Since this counter + counts down, this operation effectively subtracts + from (delays) the clock by: Delay (seconds) = SUBFS / + (PREDIV_S + 1) A fraction of a second can effectively + be added to the clock (advancing the clock) when the + ADD1S function is used in conjunction with SUBFS, + effectively advancing the clock by: Advance (seconds) + = (1 - (SUBFS / (PREDIV_S + 1))). Note: Writing to + SUBFS causes RSF to be cleared. Software can then + wait until RSF=1 to be sure that the shadow registers + have been updated with the shifted + time. + 0 + 15 + + + ADD1S + Add one second This bit is write only + and is always read as zero. Writing to this bit has + no effect when a shift operation is pending (when + SHPF=1, in RTC_ISR). This function is intended to be + used with SUBFS (see description below) in order to + effectively add a fraction of a second to the clock + in an atomic operation. + 31 + 1 + + + + + RTC_TSTR + RTC_TSTR + The content of this register is valid only + when TSF is set to 1 in RTC_ISR. It is cleared when TSF + bit is reset. + 0x30 + 0x20 + read-only + 0x00000000 + + + SU + Second units in BCD + format. + 0 + 4 + + + ST + Second tens in BCD format. + 4 + 3 + + + MNU + Minute units in BCD + format. + 8 + 4 + + + MNT + Minute tens in BCD format. + 12 + 3 + + + HU + Hour units in BCD format. + 16 + 4 + + + HT + Hour tens in BCD format. + 20 + 2 + + + PM + AM/PM notation + 22 + 1 + + + + + RTC_TSDR + RTC_TSDR + The content of this register is valid only + when TSF is set to 1 in RTC_ISR. It is cleared when TSF + bit is reset. + 0x34 + 0x20 + read-only + 0x00000000 + + + DU + Date units in BCD format + 0 + 4 + + + DT + Date tens in BCD format + 4 + 2 + + + MU + Month units in BCD format + 8 + 4 + + + MT + Month tens in BCD format + 12 + 1 + + + WDU + Week day units + 13 + 3 + + + + + RTC_TSSSR + RTC_TSSSR + The content of this register is valid only + when RTC_ISR/TSF is set. It is cleared when the + RTC_ISR/TSF bit is reset. + 0x38 + 0x20 + read-only + 0x00000000 + + + SS + Sub second value SS[15:0] is the value + of the synchronous prescaler counter when the + timestamp event occurred. + 0 + 16 + + + + + RTC_CALR + RTC_CALR + This register is write protected. The write + access procedure is described in RTC register write + protection on page9. + 0x3C + 0x20 + read-write + 0x00000000 + + + CALM + Calibration minus The frequency of the + calendar is reduced by masking CALM out of 220 RTCCLK + pulses (32 seconds if the input frequency is 32768 + Hz). This decreases the frequency of the calendar + with a resolution of 0.9537 ppm. To increase the + frequency of the calendar, this feature should be + used in conjunction with CALP. See Section24.3.12: + RTC smooth digital calibration on + page13. + 0 + 9 + + + CALW16 + Use a 16-second calibration cycle period + When CALW16 is set to 1, the 16-second calibration + cycle period is selected.This bit must not be set to + 1 if CALW8=1. Note: CALM[0] is stuck at 0 when + CALW16= 1. Refer to Section24.3.12: RTC smooth + digital calibration. + 13 + 1 + + + CALW8 + Use an 8-second calibration cycle period + When CALW8 is set to 1, the 8-second calibration + cycle period is selected. Note: CALM[1:0] are stuck + at 00; when CALW8= 1. Refer to Section24.3.12: RTC + smooth digital calibration. + 14 + 1 + + + CALP + Increase frequency of RTC by 488.5 ppm + This feature is intended to be used in conjunction + with CALM, which lowers the frequency of the calendar + with a fine resolution. if the input frequency is + 32768 Hz, the number of RTCCLK pulses added during a + 32-second window is calculated as follows: (512 * + CALP) - CALM. Refer to Section24.3.12: RTC smooth + digital calibration. + 15 + 1 + + + + + RTC_TAMPCR + RTC_TAMPCR + RTC tamper and alternate function + configuration register + 0x40 + 0x20 + read-write + 0x00000000 + + + TAMP1E + RTC_TAMP1 input detection + enable + 0 + 1 + + + TAMP1TRG + Active level for RTC_TAMP1 input If + TAMPFLT != 00 if TAMPFLT = 00: + 1 + 1 + + + TAMPIE + Tamper interrupt enable + 2 + 1 + + + TAMP2E + RTC_TAMP2 input detection + enable + 3 + 1 + + + TAMP2TRG + Active level for RTC_TAMP2 input if + TAMPFLT != 00: if TAMPFLT = 00: + 4 + 1 + + + TAMP3E + RTC_TAMP3 detection enable + 5 + 1 + + + TAMP3TRG + Active level for RTC_TAMP3 input if + TAMPFLT != 00: if TAMPFLT = 00: + 6 + 1 + + + TAMPTS + Activate timestamp on tamper detection + event TAMPTS is valid even if TSE=0 in the RTC_CR + register. + 7 + 1 + + + TAMPFREQ + Tamper sampling frequency Determines the + frequency at which each of the RTC_TAMPx inputs are + sampled. + 8 + 3 + + + TAMPFLT + RTC_TAMPx filter count These bits + determines the number of consecutive samples at the + specified level (TAMP*TRG) needed to activate a + Tamper event. TAMPFLT is valid for each of the + RTC_TAMPx inputs. + 11 + 2 + + + TAMPPRCH + RTC_TAMPx precharge duration These bit + determines the duration of time during which the + pull-up/is activated before each sample. TAMPPRCH is + valid for each of the RTC_TAMPx inputs. + 13 + 2 + + + TAMPPUDIS + RTC_TAMPx pull-up disable This bit + determines if each of the RTC_TAMPx pins are + pre-charged before each sample. + 15 + 1 + + + TAMP1IE + Tamper 1 interrupt enable + 16 + 1 + + + TAMP1NOERASE + Tamper 1 no erase + 17 + 1 + + + TAMP1MF + Tamper 1 mask flag + 18 + 1 + + + TAMP2IE + Tamper 2 interrupt enable + 19 + 1 + + + TAMP2NOERASE + Tamper 2 no erase + 20 + 1 + + + TAMP2MF + Tamper 2 mask flag + 21 + 1 + + + TAMP3IE + Tamper 3 interrupt enable + 22 + 1 + + + TAMP3NOERASE + Tamper 3 no erase + 23 + 1 + + + TAMP3MF + Tamper 3 mask flag + 24 + 1 + + + + + RTC_ALRMASSR + RTC_ALRMASSR + This register can be written only when ALRAE + is reset in RTC_CR register, or in initialization + mode.This register is write protected. The write access + procedure is described in RTC register write protection + on page9 + 0x44 + 0x20 + read-write + 0x00000000 + + + SS + Sub seconds value This value is compared + with the contents of the synchronous prescaler + counter to determine if Alarm A is to be activated. + Only bits 0 up MASKSS-1 are compared. + 0 + 15 + + + MASKSS + Mask the most-significant bits starting + at this bit ... The overflow bits of the synchronous + counter (bits 15) is never compared. This bit can be + different from 0 only after a shift + operation. + 24 + 4 + + + + + RTC_ALRMBSSR + RTC_ALRMBSSR + This register can be written only when ALRBE + is reset in RTC_CR register, or in initialization + mode.This register is write protected.The write access + procedure is described in Section: RTC register write + protection. + 0x48 + 0x20 + read-write + 0x00000000 + + + SS + Sub seconds value This value is compared + with the contents of the synchronous prescaler + counter to determine if Alarm B is to be activated. + Only bits 0 up to MASKSS-1 are + compared. + 0 + 15 + + + MASKSS + Mask the most-significant bits starting + at this bit ... The overflow bits of the synchronous + counter (bits 15) is never compared. This bit can be + different from 0 only after a shift + operation. + 24 + 4 + + + + + RTC_BKP0R + RTC_BKP0R + RTC backup registers + 0x50 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP1R + RTC_BKP1R + RTC backup registers + 0x54 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP2R + RTC_BKP2R + RTC backup registers + 0x58 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP3R + RTC_BKP3R + RTC backup registers + 0x5C + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP4R + RTC_BKP4R + RTC backup registers + 0x60 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP5R + RTC_BKP5R + RTC backup registers + 0x64 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP6R + RTC_BKP6R + RTC backup registers + 0x68 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP7R + RTC_BKP7R + RTC backup registers + 0x6C + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP8R + RTC_BKP8R + RTC backup registers + 0x70 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP9R + RTC_BKP9R + RTC backup registers + 0x74 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP10R + RTC_BKP10R + RTC backup registers + 0x78 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP11R + RTC_BKP11R + RTC backup registers + 0x7C + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP12R + RTC_BKP12R + RTC backup registers + 0x80 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP13R + RTC_BKP13R + RTC backup registers + 0x84 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP14R + RTC_BKP14R + RTC backup registers + 0x88 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP15R + RTC_BKP15R + RTC backup registers + 0x8C + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_OR + RTC_OR + RTC option register + 0x4C + 0x20 + read-write + 0x00000000 + + + RTC_ALARM_TYPE + RTC_ALARM output type on + PC13 + 0 + 1 + + + RTC_OUT_RMP + RTC_OUT remap + 1 + 1 + + + + + RTC_BKP16R + RTC_BKP16R + RTC backup registers + 0x90 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP17R + RTC_BKP17R + RTC backup registers + 0x94 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP18R + RTC_BKP18R + RTC backup registers + 0x98 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP19R + RTC_BKP19R + RTC backup registers + 0x9C + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP20R + RTC_BKP20R + RTC backup registers + 0xA0 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP21R + RTC_BKP21R + RTC backup registers + 0xA4 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP22R + RTC_BKP22R + RTC backup registers + 0xA8 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP23R + RTC_BKP23R + RTC backup registers + 0xAC + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP24R + RTC_BKP24R + RTC backup registers + 0xB0 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP25R + RTC_BKP25R + RTC backup registers + 0xB4 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP26R + RTC_BKP26R + RTC backup registers + 0xB8 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP27R + RTC_BKP27R + RTC backup registers + 0xBC + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP28R + RTC_BKP28R + RTC backup registers + 0xC0 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP29R + RTC_BKP29R + RTC backup registers + 0xC4 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP30R + RTC_BKP30R + RTC backup registers + 0xC8 + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + RTC_BKP31R + RTC_BKP31R + RTC backup registers + 0xCC + 0x20 + read-write + 0x00000000 + + + BKP + The application can write or read data + to and from these registers. They are powered-on by + VBAT when VDD is switched off, so that they are not + reset by System reset, and their contents remain + valid when the device operates in low-power mode. + This register is reset on a tamper detection event, + as long as TAMPxF=1. or when the Flash readout + protection is disabled. + 0 + 32 + + + + + + + SAI4 + SAI + SAI + 0x58005400 + + 0x0 + 0x400 + registers + + + SAI4 + SAI4 global interrupt + 146 + + + + SAI_GCR + SAI_GCR + Global configuration register + 0x0 + 0x20 + read-write + 0x00000000 + + + SYNCOUT + Synchronization outputs These bits are + set and cleared by software. + 4 + 2 + + + SYNCIN + Synchronization inputs + 0 + 2 + + + + + SAI_ACR1 + SAI_ACR1 + Configuration register 1 + 0x4 + 0x20 + read-write + 0x00000040 + + + MODE + SAIx audio block mode + immediately + 0 + 2 + + + PRTCFG + Protocol configuration. These bits are + set and cleared by software. These bits have to be + configured when the audio block is + disabled. + 2 + 2 + + + DS + Data size. These bits are set and + cleared by software. These bits are ignored when the + SPDIF protocols are selected (bit PRTCFG[1:0]), + because the frame and the data size are fixed in such + case. When the companding mode is selected through + COMP[1:0] bits, DS[1:0] are ignored since the data + size is fixed to 8 bits by the algorithm. These bits + must be configured when the audio block is + disabled. + 5 + 3 + + + LSBFIRST + Least significant bit first. This bit is + set and cleared by software. It must be configured + when the audio block is disabled. This bit has no + meaning in AC97 audio protocol since AC97 data are + always transferred with the MSB first. This bit has + no meaning in SPDIF audio protocol since in SPDIF + data are always transferred with LSB + first. + 8 + 1 + + + CKSTR + Clock strobing edge. This bit is set and + cleared by software. It must be configured when the + audio block is disabled. This bit has no meaning in + SPDIF audio protocol. + 9 + 1 + + + SYNCEN + Synchronization enable. These bits are + set and cleared by software. They must be configured + when the audio sub-block is disabled. Note: The audio + sub-block should be configured as asynchronous when + SPDIF mode is enabled. + 10 + 2 + + + MONO + Mono mode. This bit is set and cleared + by software. It is meaningful only when the number of + slots is equal to 2. When the mono mode is selected, + slot 0 data are duplicated on slot 1 when the audio + block operates as a transmitter. In reception mode, + the slot1 is discarded and only the data received + from slot 0 are stored. Refer to Section: Mono/stereo + mode for more details. + 12 + 1 + + + OUTDRIV + Output drive. This bit is set and + cleared by software. Note: This bit has to be set + before enabling the audio block and after the audio + block configuration. + 13 + 1 + + + SAIXEN + Audio block enable where x is A or B. + This bit is set by software. To switch off the audio + block, the application software must program this bit + to 0 and poll the bit till it reads back 0, meaning + that the block is completely disabled. Before setting + this bit to 1, check that it is set to 0, otherwise + the enable command will not be taken into account. + This bit allows to control the state of SAIx audio + block. If it is disabled when an audio frame transfer + is ongoing, the ongoing transfer completes and the + cell is fully disabled at the end of this audio frame + transfer. Note: When SAIx block is configured in + master mode, the clock must be present on the input + of SAIx before setting SAIXEN bit. + 16 + 1 + + + DMAEN + DMA enable. This bit is set and cleared + by software. Note: Since the audio block defaults to + operate as a transmitter after reset, the MODE[1:0] + bits must be configured before setting DMAEN to avoid + a DMA request in receiver mode. + 17 + 1 + + + NOMCK + No divider + 19 + 1 + + + MCKDIV + Master clock divider. These bits are set + and cleared by software. These bits are meaningless + when the audio block operates in slave mode. They + have to be configured when the audio block is + disabled. Others: the master clock frequency is + calculated accordingly to the following + formula: + 20 + 4 + + + OSR + Oversampling ratio for master + clock + 26 + 1 + + + + + SAI_ACR2 + SAI_ACR2 + Configuration register 2 + 0x8 + 0x20 + 0x00000000 + + + FTH + FIFO threshold. This bit is set and + cleared by software. + 0 + 3 + read-write + + + FFLUSH + FIFO flush. This bit is set by software. + It is always read as 0. This bit should be configured + when the SAI is disabled. + 3 + 1 + write-only + + + TRIS + Tristate management on data line. This + bit is set and cleared by software. It is meaningful + only if the audio block is configured as a + transmitter. This bit is not used when the audio + block is configured in SPDIF mode. It should be + configured when SAI is disabled. Refer to Section: + Output data line management on an inactive slot for + more details. + 4 + 1 + read-write + + + MUTE + Mute. This bit is set and cleared by + software. It is meaningful only when the audio block + operates as a transmitter. The MUTE value is linked + to value of MUTEVAL if the number of slots is lower + or equal to 2, or equal to 0 if it is greater than 2. + Refer to Section: Mute mode for more details. Note: + This bit is meaningless and should not be used for + SPDIF audio blocks. + 5 + 1 + read-write + + + MUTEVAL + Mute value. This bit is set and cleared + by software.It must be written before enabling the + audio block: SAIXEN. This bit is meaningful only when + the audio block operates as a transmitter, the number + of slots is lower or equal to 2 and the MUTE bit is + set. If more slots are declared, the bit value sent + during the transmission in mute mode is equal to 0, + whatever the value of MUTEVAL. if the number of slot + is lower or equal to 2 and MUTEVAL = 1, the MUTE + value transmitted for each slot is the one sent + during the previous frame. Refer to Section: Mute + mode for more details. Note: This bit is meaningless + and should not be used for SPDIF audio + blocks. + 6 + 1 + read-write + + + MUTECNT + Mute counter. These bits are set and + cleared by software. They are used only in reception + mode. The value set in these bits is compared to the + number of consecutive mute frames detected in + reception. When the number of mute frames is equal to + this value, the flag MUTEDET will be set and an + interrupt will be generated if bit MUTEDETIE is set. + Refer to Section: Mute mode for more + details. + 7 + 6 + read-write + + + CPL + Complement bit. This bit is set and + cleared by software. It defines the type of + complement to be used for companding mode Note: This + bit has effect only when the companding mode is -Law + algorithm or A-Law algorithm. + 13 + 1 + read-write + + + COMP + Companding mode. These bits are set and + cleared by software. The -Law and the A-Law log are a + part of the CCITT G.711 recommendation, the type of + complement that will be used depends on CPL bit. The + data expansion or data compression are determined by + the state of bit MODE[0]. The data compression is + applied if the audio block is configured as a + transmitter. The data expansion is automatically + applied when the audio block is configured as a + receiver. Refer to Section: Companding mode for more + details. Note: Companding mode is applicable only + when TDM is selected. + 14 + 2 + read-write + + + + + SAI_AFRCR + SAI_AFRCR + This register has no meaning in AC97 and + SPDIF audio protocol + 0xC + 0x20 + 0x00000007 + + + FRL + Frame length. These bits are set and + cleared by software. They define the audio frame + length expressed in number of SCK clock cycles: the + number of bits in the frame is equal to FRL[7:0] + 1. + The minimum number of bits to transfer in an audio + frame must be equal to 8, otherwise the audio block + will behaves in an unexpected way. This is the case + when the data size is 8 bits and only one slot 0 is + defined in NBSLOT[4:0] of SAI_xSLOTR register + (NBSLOT[3:0] = 0000). In master mode, if the master + clock (available on MCLK_x pin) is used, the frame + length should be aligned with a number equal to a + power of 2, ranging from 8 to 256. When the master + clock is not used (NODIV = 1), it is recommended to + program the frame length to an value ranging from 8 + to 256. These bits are meaningless and are not used + in AC97 or SPDIF audio block + configuration. + 0 + 8 + read-write + + + FSALL + Frame synchronization active level + length. These bits are set and cleared by software. + They specify the length in number of bit clock (SCK) + + 1 (FSALL[6:0] + 1) of the active level of the FS + signal in the audio frame These bits are meaningless + and are not used in AC97 or SPDIF audio block + configuration. They must be configured when the audio + block is disabled. + 8 + 7 + read-write + + + FSDEF + Frame synchronization definition. This + bit is set and cleared by software. When the bit is + set, the number of slots defined in the SAI_xSLOTR + register has to be even. It means that half of this + number of slots will be dedicated to the left channel + and the other slots for the right channel (e.g: this + bit has to be set for I2S or MSB/LSB-justified + protocols...). This bit is meaningless and is not + used in AC97 or SPDIF audio block configuration. It + must be configured when the audio block is + disabled. + 16 + 1 + read-only + + + FSPOL + Frame synchronization polarity. This bit + is set and cleared by software. It is used to + configure the level of the start of frame on the FS + signal. It is meaningless and is not used in AC97 or + SPDIF audio block configuration. This bit must be + configured when the audio block is + disabled. + 17 + 1 + read-write + + + FSOFF + Frame synchronization offset. This bit + is set and cleared by software. It is meaningless and + is not used in AC97 or SPDIF audio block + configuration. This bit must be configured when the + audio block is disabled. + 18 + 1 + read-write + + + + + SAI_ASLOTR + SAI_ASLOTR + This register has no meaning in AC97 and + SPDIF audio protocol + 0x10 + 0x20 + read-write + 0x00000000 + + + FBOFF + First bit offset These bits are set and + cleared by software. The value set in this bitfield + defines the position of the first data transfer bit + in the slot. It represents an offset value. In + transmission mode, the bits outside the data field + are forced to 0. In reception mode, the extra + received bits are discarded. These bits must be set + when the audio block is disabled. They are ignored in + AC97 or SPDIF mode. + 0 + 5 + + + SLOTSZ + Slot size This bits is set and cleared + by software. The slot size must be higher or equal to + the data size. If this condition is not respected, + the behavior of the SAI will be undetermined. Refer + to Section: Output data line management on an + inactive slot for information on how to drive SD + line. These bits must be set when the audio block is + disabled. They are ignored in AC97 or SPDIF + mode. + 6 + 2 + + + NBSLOT + Number of slots in an audio frame. These + bits are set and cleared by software. The value set + in this bitfield represents the number of slots + 1 + in the audio frame (including the number of inactive + slots). The maximum number of slots is 16. The number + of slots should be even if FSDEF bit in the SAI_xFRCR + register is set. The number of slots must be + configured when the audio block is disabled. They are + ignored in AC97 or SPDIF mode. + 8 + 4 + + + SLOTEN + Slot enable. These bits are set and + cleared by software. Each SLOTEN bit corresponds to a + slot position from 0 to 15 (maximum 16 slots). The + slot must be enabled when the audio block is + disabled. They are ignored in AC97 or SPDIF + mode. + 16 + 16 + + + + + SAI_AIM + SAI_AIM + Interrupt mask register 2 + 0x14 + 0x20 + read-write + 0x00000000 + + + OVRUDRIE + Overrun/underrun interrupt enable. This + bit is set and cleared by software. When this bit is + set, an interrupt is generated if the OVRUDR bit in + the SAI_xSR register is set. + 0 + 1 + + + MUTEDETIE + Mute detection interrupt enable. This + bit is set and cleared by software. When this bit is + set, an interrupt is generated if the MUTEDET bit in + the SAI_xSR register is set. This bit has a meaning + only if the audio block is configured in receiver + mode. + 1 + 1 + + + WCKCFGIE + Wrong clock configuration interrupt + enable. This bit is set and cleared by software. This + bit is taken into account only if the audio block is + configured as a master (MODE[1] = 0) and NODIV = 0. + It generates an interrupt if the WCKCFG flag in the + SAI_xSR register is set. Note: This bit is used only + in TDM mode and is meaningless in other + modes. + 2 + 1 + + + FREQIE + FIFO request interrupt enable. This bit + is set and cleared by software. When this bit is set, + an interrupt is generated if the FREQ bit in the + SAI_xSR register is set. Since the audio block + defaults to operate as a transmitter after reset, the + MODE bit must be configured before setting FREQIE to + avoid a parasitic interruption in receiver + mode, + 3 + 1 + + + CNRDYIE + Codec not ready interrupt enable (AC97). + This bit is set and cleared by software. When the + interrupt is enabled, the audio block detects in the + slot 0 (tag0) of the AC97 frame if the Codec + connected to this line is ready or not. If it is not + ready, the CNRDY flag in the SAI_xSR register is set + and an interruption i generated. This bit has a + meaning only if the AC97 mode is selected through + PRTCFG[1:0] bits and the audio block is operates as a + receiver. + 4 + 1 + + + AFSDETIE + Anticipated frame synchronization + detection interrupt enable. This bit is set and + cleared by software. When this bit is set, an + interrupt will be generated if the AFSDET bit in the + SAI_xSR register is set. This bit is meaningless in + AC97, SPDIF mode or when the audio block operates as + a master. + 5 + 1 + + + LFSDETIE + Late frame synchronization detection + interrupt enable. This bit is set and cleared by + software. When this bit is set, an interrupt will be + generated if the LFSDET bit is set in the SAI_xSR + register. This bit is meaningless in AC97, SPDIF mode + or when the audio block operates as a + master. + 6 + 1 + + + + + SAI_ASR + SAI_ASR + Status register + 0x18 + 0x20 + read-only + 0x00000008 + + + OVRUDR + Overrun / underrun. This bit is read + only. The overrun and underrun conditions can occur + only when the audio block is configured as a receiver + and a transmitter, respectively. It can generate an + interrupt if OVRUDRIE bit is set in SAI_xIM register. + This flag is cleared when the software sets COVRUDR + bit in SAI_xCLRFR register. + 0 + 1 + + + MUTEDET + Mute detection. This bit is read only. + This flag is set if consecutive 0 values are received + in each slot of a given audio frame and for a + consecutive number of audio frames (set in the + MUTECNT bit in the SAI_xCR2 register). It can + generate an interrupt if MUTEDETIE bit is set in + SAI_xIM register. This flag is cleared when the + software sets bit CMUTEDET in the SAI_xCLRFR + register. + 1 + 1 + + + WCKCFG + Wrong clock configuration flag. This bit + is read only. This bit is used only when the audio + block operates in master mode (MODE[1] = 0) and NODIV + = 0. It can generate an interrupt if WCKCFGIE bit is + set in SAI_xIM register. This flag is cleared when + the software sets CWCKCFG bit in SAI_xCLRFR + register. + 2 + 1 + + + FREQ + FIFO request. This bit is read only. The + request depends on the audio block configuration: If + the block is configured in transmission mode, the + FIFO request is related to a write request operation + in the SAI_xDR. If the block configured in reception, + the FIFO request related to a read request operation + from the SAI_xDR. This flag can generate an interrupt + if FREQIE bit is set in SAI_xIM + register. + 3 + 1 + + + CNRDY + Codec not ready. This bit is read only. + This bit is used only when the AC97 audio protocol is + selected in the SAI_xCR1 register and configured in + receiver mode. It can generate an interrupt if + CNRDYIE bit is set in SAI_xIM register. This flag is + cleared when the software sets CCNRDY bit in + SAI_xCLRFR register. + 4 + 1 + + + AFSDET + Anticipated frame synchronization + detection. This bit is read only. This flag can be + set only if the audio block is configured in slave + mode. It is not used in AC97or SPDIF mode. It can + generate an interrupt if AFSDETIE bit is set in + SAI_xIM register. This flag is cleared when the + software sets CAFSDET bit in SAI_xCLRFR + register. + 5 + 1 + + + LFSDET + Late frame synchronization detection. + This bit is read only. This flag can be set only if + the audio block is configured in slave mode. It is + not used in AC97 or SPDIF mode. It can generate an + interrupt if LFSDETIE bit is set in the SAI_xIM + register. This flag is cleared when the software sets + bit CLFSDET in SAI_xCLRFR register + 6 + 1 + + + FLVL + FIFO level threshold. This bit is read + only. The FIFO level threshold flag is managed only + by hardware and its setting depends on SAI block + configuration (transmitter or receiver mode). If the + SAI block is configured as transmitter: If SAI block + is configured as receiver: + 16 + 3 + + + + + SAI_ACLRFR + SAI_ACLRFR + Clear flag register + 0x1C + 0x20 + write-only + 0x00000000 + + + COVRUDR + Clear overrun / underrun. This bit is + write only. Programming this bit to 1 clears the + OVRUDR flag in the SAI_xSR register. Reading this bit + always returns the value 0. + 0 + 1 + + + CMUTEDET + Mute detection flag. This bit is write + only. Programming this bit to 1 clears the MUTEDET + flag in the SAI_xSR register. Reading this bit always + returns the value 0. + 1 + 1 + + + CWCKCFG + Clear wrong clock configuration flag. + This bit is write only. Programming this bit to 1 + clears the WCKCFG flag in the SAI_xSR register. This + bit is used only when the audio block is set as + master (MODE[1] = 0) and NODIV = 0 in the SAI_xCR1 + register. Reading this bit always returns the value + 0. + 2 + 1 + + + CCNRDY + Clear Codec not ready flag. This bit is + write only. Programming this bit to 1 clears the + CNRDY flag in the SAI_xSR register. This bit is used + only when the AC97 audio protocol is selected in the + SAI_xCR1 register. Reading this bit always returns + the value 0. + 4 + 1 + + + CAFSDET + Clear anticipated frame synchronization + detection flag. This bit is write only. Programming + this bit to 1 clears the AFSDET flag in the SAI_xSR + register. It is not used in AC97or SPDIF mode. + Reading this bit always returns the value + 0. + 5 + 1 + + + CLFSDET + Clear late frame synchronization + detection flag. This bit is write only. Programming + this bit to 1 clears the LFSDET flag in the SAI_xSR + register. This bit is not used in AC97or SPDIF mode + Reading this bit always returns the value + 0. + 6 + 1 + + + + + SAI_ADR + SAI_ADR + Data register + 0x20 + 0x20 + read-write + 0x00000000 + + + DATA + Data A write to this register loads the + FIFO provided the FIFO is not full. A read from this + register empties the FIFO if the FIFO is not + empty. + 0 + 32 + + + + + SAI_BCR1 + SAI_BCR1 + Configuration register 1 + 0x24 + 0x20 + read-write + 0x00000040 + + + MODE + SAIx audio block mode + immediately + 0 + 2 + + + PRTCFG + Protocol configuration. These bits are + set and cleared by software. These bits have to be + configured when the audio block is + disabled. + 2 + 2 + + + DS + Data size. These bits are set and + cleared by software. These bits are ignored when the + SPDIF protocols are selected (bit PRTCFG[1:0]), + because the frame and the data size are fixed in such + case. When the companding mode is selected through + COMP[1:0] bits, DS[1:0] are ignored since the data + size is fixed to 8 bits by the algorithm. These bits + must be configured when the audio block is + disabled. + 5 + 3 + + + LSBFIRST + Least significant bit first. This bit is + set and cleared by software. It must be configured + when the audio block is disabled. This bit has no + meaning in AC97 audio protocol since AC97 data are + always transferred with the MSB first. This bit has + no meaning in SPDIF audio protocol since in SPDIF + data are always transferred with LSB + first. + 8 + 1 + + + CKSTR + Clock strobing edge. This bit is set and + cleared by software. It must be configured when the + audio block is disabled. This bit has no meaning in + SPDIF audio protocol. + 9 + 1 + + + SYNCEN + Synchronization enable. These bits are + set and cleared by software. They must be configured + when the audio sub-block is disabled. Note: The audio + sub-block should be configured as asynchronous when + SPDIF mode is enabled. + 10 + 2 + + + MONO + Mono mode. This bit is set and cleared + by software. It is meaningful only when the number of + slots is equal to 2. When the mono mode is selected, + slot 0 data are duplicated on slot 1 when the audio + block operates as a transmitter. In reception mode, + the slot1 is discarded and only the data received + from slot 0 are stored. Refer to Section: Mono/stereo + mode for more details. + 12 + 1 + + + OUTDRIV + Output drive. This bit is set and + cleared by software. Note: This bit has to be set + before enabling the audio block and after the audio + block configuration. + 13 + 1 + + + SAIXEN + Audio block enable where x is A or B. + This bit is set by software. To switch off the audio + block, the application software must program this bit + to 0 and poll the bit till it reads back 0, meaning + that the block is completely disabled. Before setting + this bit to 1, check that it is set to 0, otherwise + the enable command will not be taken into account. + This bit allows to control the state of SAIx audio + block. If it is disabled when an audio frame transfer + is ongoing, the ongoing transfer completes and the + cell is fully disabled at the end of this audio frame + transfer. Note: When SAIx block is configured in + master mode, the clock must be present on the input + of SAIx before setting SAIXEN bit. + 16 + 1 + + + DMAEN + DMA enable. This bit is set and cleared + by software. Note: Since the audio block defaults to + operate as a transmitter after reset, the MODE[1:0] + bits must be configured before setting DMAEN to avoid + a DMA request in receiver mode. + 17 + 1 + + + NOMCK + No divider + 19 + 1 + + + MCKDIV + Master clock divider. These bits are set + and cleared by software. These bits are meaningless + when the audio block operates in slave mode. They + have to be configured when the audio block is + disabled. Others: the master clock frequency is + calculated accordingly to the following + formula: + 20 + 4 + + + OSR + Oversampling ratio for master + clock + 26 + 1 + + + + + SAI_BCR2 + SAI_BCR2 + Configuration register 2 + 0x28 + 0x20 + 0x00000000 + + + FTH + FIFO threshold. This bit is set and + cleared by software. + 0 + 3 + read-write + + + FFLUSH + FIFO flush. This bit is set by software. + It is always read as 0. This bit should be configured + when the SAI is disabled. + 3 + 1 + write-only + + + TRIS + Tristate management on data line. This + bit is set and cleared by software. It is meaningful + only if the audio block is configured as a + transmitter. This bit is not used when the audio + block is configured in SPDIF mode. It should be + configured when SAI is disabled. Refer to Section: + Output data line management on an inactive slot for + more details. + 4 + 1 + read-write + + + MUTE + Mute. This bit is set and cleared by + software. It is meaningful only when the audio block + operates as a transmitter. The MUTE value is linked + to value of MUTEVAL if the number of slots is lower + or equal to 2, or equal to 0 if it is greater than 2. + Refer to Section: Mute mode for more details. Note: + This bit is meaningless and should not be used for + SPDIF audio blocks. + 5 + 1 + read-write + + + MUTEVAL + Mute value. This bit is set and cleared + by software.It must be written before enabling the + audio block: SAIXEN. This bit is meaningful only when + the audio block operates as a transmitter, the number + of slots is lower or equal to 2 and the MUTE bit is + set. If more slots are declared, the bit value sent + during the transmission in mute mode is equal to 0, + whatever the value of MUTEVAL. if the number of slot + is lower or equal to 2 and MUTEVAL = 1, the MUTE + value transmitted for each slot is the one sent + during the previous frame. Refer to Section: Mute + mode for more details. Note: This bit is meaningless + and should not be used for SPDIF audio + blocks. + 6 + 1 + read-write + + + MUTECNT + Mute counter. These bits are set and + cleared by software. They are used only in reception + mode. The value set in these bits is compared to the + number of consecutive mute frames detected in + reception. When the number of mute frames is equal to + this value, the flag MUTEDET will be set and an + interrupt will be generated if bit MUTEDETIE is set. + Refer to Section: Mute mode for more + details. + 7 + 6 + read-write + + + CPL + Complement bit. This bit is set and + cleared by software. It defines the type of + complement to be used for companding mode Note: This + bit has effect only when the companding mode is -Law + algorithm or A-Law algorithm. + 13 + 1 + read-write + + + COMP + Companding mode. These bits are set and + cleared by software. The -Law and the A-Law log are a + part of the CCITT G.711 recommendation, the type of + complement that will be used depends on CPL bit. The + data expansion or data compression are determined by + the state of bit MODE[0]. The data compression is + applied if the audio block is configured as a + transmitter. The data expansion is automatically + applied when the audio block is configured as a + receiver. Refer to Section: Companding mode for more + details. Note: Companding mode is applicable only + when TDM is selected. + 14 + 2 + read-write + + + + + SAI_BFRCR + SAI_BFRCR + This register has no meaning in AC97 and + SPDIF audio protocol + 0x2C + 0x20 + 0x00000007 + + + FRL + Frame length. These bits are set and + cleared by software. They define the audio frame + length expressed in number of SCK clock cycles: the + number of bits in the frame is equal to FRL[7:0] + 1. + The minimum number of bits to transfer in an audio + frame must be equal to 8, otherwise the audio block + will behaves in an unexpected way. This is the case + when the data size is 8 bits and only one slot 0 is + defined in NBSLOT[4:0] of SAI_xSLOTR register + (NBSLOT[3:0] = 0000). In master mode, if the master + clock (available on MCLK_x pin) is used, the frame + length should be aligned with a number equal to a + power of 2, ranging from 8 to 256. When the master + clock is not used (NODIV = 1), it is recommended to + program the frame length to an value ranging from 8 + to 256. These bits are meaningless and are not used + in AC97 or SPDIF audio block + configuration. + 0 + 8 + read-write + + + FSALL + Frame synchronization active level + length. These bits are set and cleared by software. + They specify the length in number of bit clock (SCK) + + 1 (FSALL[6:0] + 1) of the active level of the FS + signal in the audio frame These bits are meaningless + and are not used in AC97 or SPDIF audio block + configuration. They must be configured when the audio + block is disabled. + 8 + 7 + read-write + + + FSDEF + Frame synchronization definition. This + bit is set and cleared by software. When the bit is + set, the number of slots defined in the SAI_xSLOTR + register has to be even. It means that half of this + number of slots will be dedicated to the left channel + and the other slots for the right channel (e.g: this + bit has to be set for I2S or MSB/LSB-justified + protocols...). This bit is meaningless and is not + used in AC97 or SPDIF audio block configuration. It + must be configured when the audio block is + disabled. + 16 + 1 + read-only + + + FSPOL + Frame synchronization polarity. This bit + is set and cleared by software. It is used to + configure the level of the start of frame on the FS + signal. It is meaningless and is not used in AC97 or + SPDIF audio block configuration. This bit must be + configured when the audio block is + disabled. + 17 + 1 + read-write + + + FSOFF + Frame synchronization offset. This bit + is set and cleared by software. It is meaningless and + is not used in AC97 or SPDIF audio block + configuration. This bit must be configured when the + audio block is disabled. + 18 + 1 + read-write + + + + + SAI_BSLOTR + SAI_BSLOTR + This register has no meaning in AC97 and + SPDIF audio protocol + 0x30 + 0x20 + read-write + 0x00000000 + + + FBOFF + First bit offset These bits are set and + cleared by software. The value set in this bitfield + defines the position of the first data transfer bit + in the slot. It represents an offset value. In + transmission mode, the bits outside the data field + are forced to 0. In reception mode, the extra + received bits are discarded. These bits must be set + when the audio block is disabled. They are ignored in + AC97 or SPDIF mode. + 0 + 5 + + + SLOTSZ + Slot size This bits is set and cleared + by software. The slot size must be higher or equal to + the data size. If this condition is not respected, + the behavior of the SAI will be undetermined. Refer + to Section: Output data line management on an + inactive slot for information on how to drive SD + line. These bits must be set when the audio block is + disabled. They are ignored in AC97 or SPDIF + mode. + 6 + 2 + + + NBSLOT + Number of slots in an audio frame. These + bits are set and cleared by software. The value set + in this bitfield represents the number of slots + 1 + in the audio frame (including the number of inactive + slots). The maximum number of slots is 16. The number + of slots should be even if FSDEF bit in the SAI_xFRCR + register is set. The number of slots must be + configured when the audio block is disabled. They are + ignored in AC97 or SPDIF mode. + 8 + 4 + + + SLOTEN + Slot enable. These bits are set and + cleared by software. Each SLOTEN bit corresponds to a + slot position from 0 to 15 (maximum 16 slots). The + slot must be enabled when the audio block is + disabled. They are ignored in AC97 or SPDIF + mode. + 16 + 16 + + + + + SAI_BIM + SAI_BIM + Interrupt mask register 2 + 0x34 + 0x20 + read-write + 0x00000000 + + + OVRUDRIE + Overrun/underrun interrupt enable. This + bit is set and cleared by software. When this bit is + set, an interrupt is generated if the OVRUDR bit in + the SAI_xSR register is set. + 0 + 1 + + + MUTEDETIE + Mute detection interrupt enable. This + bit is set and cleared by software. When this bit is + set, an interrupt is generated if the MUTEDET bit in + the SAI_xSR register is set. This bit has a meaning + only if the audio block is configured in receiver + mode. + 1 + 1 + + + WCKCFGIE + Wrong clock configuration interrupt + enable. This bit is set and cleared by software. This + bit is taken into account only if the audio block is + configured as a master (MODE[1] = 0) and NODIV = 0. + It generates an interrupt if the WCKCFG flag in the + SAI_xSR register is set. Note: This bit is used only + in TDM mode and is meaningless in other + modes. + 2 + 1 + + + FREQIE + FIFO request interrupt enable. This bit + is set and cleared by software. When this bit is set, + an interrupt is generated if the FREQ bit in the + SAI_xSR register is set. Since the audio block + defaults to operate as a transmitter after reset, the + MODE bit must be configured before setting FREQIE to + avoid a parasitic interruption in receiver + mode, + 3 + 1 + + + CNRDYIE + Codec not ready interrupt enable (AC97). + This bit is set and cleared by software. When the + interrupt is enabled, the audio block detects in the + slot 0 (tag0) of the AC97 frame if the Codec + connected to this line is ready or not. If it is not + ready, the CNRDY flag in the SAI_xSR register is set + and an interruption i generated. This bit has a + meaning only if the AC97 mode is selected through + PRTCFG[1:0] bits and the audio block is operates as a + receiver. + 4 + 1 + + + AFSDETIE + Anticipated frame synchronization + detection interrupt enable. This bit is set and + cleared by software. When this bit is set, an + interrupt will be generated if the AFSDET bit in the + SAI_xSR register is set. This bit is meaningless in + AC97, SPDIF mode or when the audio block operates as + a master. + 5 + 1 + + + LFSDETIE + Late frame synchronization detection + interrupt enable. This bit is set and cleared by + software. When this bit is set, an interrupt will be + generated if the LFSDET bit is set in the SAI_xSR + register. This bit is meaningless in AC97, SPDIF mode + or when the audio block operates as a + master. + 6 + 1 + + + + + SAI_BSR + SAI_BSR + Status register + 0x38 + 0x20 + read-only + 0x00000008 + + + OVRUDR + Overrun / underrun. This bit is read + only. The overrun and underrun conditions can occur + only when the audio block is configured as a receiver + and a transmitter, respectively. It can generate an + interrupt if OVRUDRIE bit is set in SAI_xIM register. + This flag is cleared when the software sets COVRUDR + bit in SAI_xCLRFR register. + 0 + 1 + + + MUTEDET + Mute detection. This bit is read only. + This flag is set if consecutive 0 values are received + in each slot of a given audio frame and for a + consecutive number of audio frames (set in the + MUTECNT bit in the SAI_xCR2 register). It can + generate an interrupt if MUTEDETIE bit is set in + SAI_xIM register. This flag is cleared when the + software sets bit CMUTEDET in the SAI_xCLRFR + register. + 1 + 1 + + + WCKCFG + Wrong clock configuration flag. This bit + is read only. This bit is used only when the audio + block operates in master mode (MODE[1] = 0) and NODIV + = 0. It can generate an interrupt if WCKCFGIE bit is + set in SAI_xIM register. This flag is cleared when + the software sets CWCKCFG bit in SAI_xCLRFR + register. + 2 + 1 + + + FREQ + FIFO request. This bit is read only. The + request depends on the audio block configuration: If + the block is configured in transmission mode, the + FIFO request is related to a write request operation + in the SAI_xDR. If the block configured in reception, + the FIFO request related to a read request operation + from the SAI_xDR. This flag can generate an interrupt + if FREQIE bit is set in SAI_xIM + register. + 3 + 1 + + + CNRDY + Codec not ready. This bit is read only. + This bit is used only when the AC97 audio protocol is + selected in the SAI_xCR1 register and configured in + receiver mode. It can generate an interrupt if + CNRDYIE bit is set in SAI_xIM register. This flag is + cleared when the software sets CCNRDY bit in + SAI_xCLRFR register. + 4 + 1 + + + AFSDET + Anticipated frame synchronization + detection. This bit is read only. This flag can be + set only if the audio block is configured in slave + mode. It is not used in AC97or SPDIF mode. It can + generate an interrupt if AFSDETIE bit is set in + SAI_xIM register. This flag is cleared when the + software sets CAFSDET bit in SAI_xCLRFR + register. + 5 + 1 + + + LFSDET + Late frame synchronization detection. + This bit is read only. This flag can be set only if + the audio block is configured in slave mode. It is + not used in AC97 or SPDIF mode. It can generate an + interrupt if LFSDETIE bit is set in the SAI_xIM + register. This flag is cleared when the software sets + bit CLFSDET in SAI_xCLRFR register + 6 + 1 + + + FLVL + FIFO level threshold. This bit is read + only. The FIFO level threshold flag is managed only + by hardware and its setting depends on SAI block + configuration (transmitter or receiver mode). If the + SAI block is configured as transmitter: If SAI block + is configured as receiver: + 16 + 3 + + + + + SAI_BCLRFR + SAI_BCLRFR + Clear flag register + 0x3C + 0x20 + write-only + 0x00000000 + + + COVRUDR + Clear overrun / underrun. This bit is + write only. Programming this bit to 1 clears the + OVRUDR flag in the SAI_xSR register. Reading this bit + always returns the value 0. + 0 + 1 + + + CMUTEDET + Mute detection flag. This bit is write + only. Programming this bit to 1 clears the MUTEDET + flag in the SAI_xSR register. Reading this bit always + returns the value 0. + 1 + 1 + + + CWCKCFG + Clear wrong clock configuration flag. + This bit is write only. Programming this bit to 1 + clears the WCKCFG flag in the SAI_xSR register. This + bit is used only when the audio block is set as + master (MODE[1] = 0) and NODIV = 0 in the SAI_xCR1 + register. Reading this bit always returns the value + 0. + 2 + 1 + + + CCNRDY + Clear Codec not ready flag. This bit is + write only. Programming this bit to 1 clears the + CNRDY flag in the SAI_xSR register. This bit is used + only when the AC97 audio protocol is selected in the + SAI_xCR1 register. Reading this bit always returns + the value 0. + 4 + 1 + + + CAFSDET + Clear anticipated frame synchronization + detection flag. This bit is write only. Programming + this bit to 1 clears the AFSDET flag in the SAI_xSR + register. It is not used in AC97or SPDIF mode. + Reading this bit always returns the value + 0. + 5 + 1 + + + CLFSDET + Clear late frame synchronization + detection flag. This bit is write only. Programming + this bit to 1 clears the LFSDET flag in the SAI_xSR + register. This bit is not used in AC97or SPDIF mode + Reading this bit always returns the value + 0. + 6 + 1 + + + + + SAI_BDR + SAI_BDR + Data register + 0x40 + 0x20 + read-write + 0x00000000 + + + DATA + Data A write to this register loads the + FIFO provided the FIFO is not full. A read from this + register empties the FIFO if the FIFO is not + empty. + 0 + 32 + + + + + SAI_PDMCR + SAI_PDMCR + PDM control register + 0x44 + 0x20 + read-write + 0x00000000 + + + PDMEN + PDM enable + 0 + 1 + + + MICNBR + Number of microphones + 4 + 2 + + + CKEN1 + Clock enable of bitstream clock number + 1 + 8 + 1 + + + CKEN2 + Clock enable of bitstream clock number + 2 + 9 + 1 + + + CKEN3 + Clock enable of bitstream clock number + 3 + 10 + 1 + + + CKEN4 + Clock enable of bitstream clock number + 4 + 11 + 1 + + + + + SAI_PDMDLY + SAI_PDMDLY + PDM delay register + 0x48 + 0x20 + read-write + 0x00000000 + + + DLYM1L + Delay line adjust for first microphone + of pair 1 + 0 + 3 + + + DLYM1R + Delay line adjust for second microphone + of pair 1 + 4 + 3 + + + DLYM2L + Delay line for first microphone of pair + 2 + 8 + 3 + + + DLYM2R + Delay line for second microphone of pair + 2 + 12 + 3 + + + DLYM3L + Delay line for first microphone of pair + 3 + 16 + 3 + + + DLYM3R + Delay line for second microphone of pair + 3 + 20 + 3 + + + DLYM4L + Delay line for first microphone of pair + 4 + 24 + 3 + + + DLYM4R + Delay line for second microphone of pair + 4 + 28 + 3 + + + + + + + SAI1 + 0x40015800 + + SAI1 + SAI1 global interrupt + 87 + + + + SAI2 + 0x40015C00 + + SAI2 + SAI2 global interrupt + 91 + + + + SAI3 + 0x40016000 + + SAI3 + SAI3 global interrupt + 114 + + + + SDMMC1 + SDMMC1 + SDMMC + 0x52007000 + + 0x0 + 0x3FD + registers + + + SDMMC1 + SDMMC global interrupt + 49 + + + SDMMC + SDMMC global interrupt + 124 + + + + POWER + POWER + SDMMC power control register + 0x0 + 0x20 + read-write + 0x00000000 + + + PWRCTRL + SDMMC state control bits. These bits can + only be written when the SDMMC is not in the power-on + state (PWRCTRL?11). These bits are used to define the + functional state of the SDMMC signals: Any further + write will be ignored, PWRCTRL value will keep + 11. + 0 + 2 + + + VSWITCH + Voltage switch sequence start. This bit + is used to start the timing critical section of the + voltage switch sequence: + 2 + 1 + + + VSWITCHEN + Voltage switch procedure enable. This + bit can only be written by firmware when CPSM is + disabled (CPSMEN = 0). This bit is used to stop the + SDMMC_CK after the voltage switch command + response: + 3 + 1 + + + DIRPOL + Data and command direction signals + polarity selection. This bit can only be written when + the SDMMC is in the power-off state (PWRCTRL = + 00). + 4 + 1 + + + + + CLKCR + CLKCR + The SDMMC_CLKCR register controls the + SDMMC_CK output clock, the SDMMC_RX_CLK receive clock, + and the bus width. + 0x4 + 0x20 + read-write + 0x00000000 + + + CLKDIV + Clock divide factor This bit can only be + written when the CPSM and DPSM are not active + (CPSMACT = 0 and DPSMACT = 0). This field defines the + divide factor between the input clock (SDMMCCLK) and + the output clock (SDMMC_CK): SDMMC_CK frequency = + SDMMCCLK / [2 * CLKDIV]. 0xx: etc.. xxx: + etc.. + 0 + 10 + + + PWRSAV + Power saving configuration bit This bit + can only be written when the CPSM and DPSM are not + active (CPSMACT = 0 and DPSMACT = 0) For power + saving, the SDMMC_CK clock output can be disabled + when the bus is idle by setting PWRSAV: + 12 + 1 + + + WIDBUS + Wide bus mode enable bit This bit can + only be written when the CPSM and DPSM are not active + (CPSMACT = 0 and DPSMACT = 0) + 14 + 2 + + + NEGEDGE + SDMMC_CK dephasing selection bit for + data and Command. This bit can only be written when + the CPSM and DPSM are not active (CPSMACT = 0 and + DPSMACT = 0). When clock division = 1 (CLKDIV = 0), + this bit has no effect. Data and Command change on + SDMMC_CK falling edge. When clock division &gt;1 + (CLKDIV &gt; 0) &amp; DDR = 0: - SDMMC_CK + edge occurs on SDMMCCLK rising edge. When clock + division >1 (CLKDIV > 0) & DDR = 1: - Data + changed on the SDMMCCLK falling edge succeeding a + SDMMC_CK edge. - SDMMC_CK edge occurs on SDMMCCLK + rising edge. - Data changed on the SDMMC_CK falling + edge succeeding a SDMMC_CK edge. - SDMMC_CK edge + occurs on SDMMCCLK rising edge. + 16 + 1 + + + HWFC_EN + Hardware flow control enable This bit + can only be written when the CPSM and DPSM are not + active (CPSMACT = 0 and DPSMACT = 0) When Hardware + flow control is enabled, the meaning of the TXFIFOE + and RXFIFOF flags change, please see SDMMC status + register definition in Section56.8.11. + 17 + 1 + + + DDR + Data rate signaling selection This bit + can only be written when the CPSM and DPSM are not + active (CPSMACT = 0 and DPSMACT = 0) DDR rate shall + only be selected with 4-bit or 8-bit wide bus mode. + (WIDBUS &gt; 00). DDR = 1 has no effect when + WIDBUS = 00 (1-bit wide bus). DDR rate shall only be + selected with clock division &gt;1. (CLKDIV + &gt; 0) + 18 + 1 + + + BUSSPEED + Bus speed mode selection between DS, HS, + SDR12, SDR25 and SDR50, DDR50, SDR104. This bit can + only be written when the CPSM and DPSM are not active + (CPSMACT = 0 and DPSMACT = 0) + 19 + 1 + + + SELCLKRX + Receive clock selection. These bits can + only be written when the CPSM and DPSM are not active + (CPSMACT = 0 and DPSMACT = 0) + 20 + 2 + + + + + ARGR + ARGR + The SDMMC_ARGR register contains a 32-bit + command argument, which is sent to a card as part of a + command message. + 0x8 + 0x20 + read-write + 0x00000000 + + + CMDARG + Command argument. These bits can only be + written by firmware when CPSM is disabled (CPSMEN = + 0). Command argument sent to a card as part of a + command message. If a command contains an argument, + it must be loaded into this register before writing a + command to the command register. + 0 + 32 + + + + + CMDR + CMDR + The SDMMC_CMDR register contains the command + index and command type bits. The command index is sent to + a card as part of a command message. The command type + bits control the command path state machine + (CPSM). + 0xC + 0x20 + read-write + 0x00000000 + + + CMDINDEX + Command index. This bit can only be + written by firmware when CPSM is disabled (CPSMEN = + 0). The command index is sent to the card as part of + a command message. + 0 + 6 + + + CMDTRANS + The CPSM treats the command as a data + transfer command, stops the interrupt period, and + signals DataEnable to the DPSM This bit can only be + written by firmware when CPSM is disabled (CPSMEN = + 0). If this bit is set, the CPSM issues an end of + interrupt period and issues DataEnable signal to the + DPSM when the command is sent. + 6 + 1 + + + CMDSTOP + The CPSM treats the command as a Stop + Transmission command and signals Abort to the DPSM. + This bit can only be written by firmware when CPSM is + disabled (CPSMEN = 0). If this bit is set, the CPSM + issues the Abort signal to the DPSM when the command + is sent. + 7 + 1 + + + WAITRESP + Wait for response bits. This bit can + only be written by firmware when CPSM is disabled + (CPSMEN = 0). They are used to configure whether the + CPSM is to wait for a response, and if yes, which + kind of response. + 8 + 2 + + + WAITINT + CPSM waits for interrupt request. If + this bit is set, the CPSM disables command timeout + and waits for an card interrupt request (Response). + If this bit is cleared in the CPSM Wait state, will + cause the abort of the interrupt mode. + 10 + 1 + + + WAITPEND + CPSM Waits for end of data transfer + (CmdPend internal signal) from DPSM. This bit when + set, the CPSM waits for the end of data transfer + trigger before it starts sending a command. WAITPEND + is only taken into account when DTMODE = MMC stream + data transfer, WIDBUS = 1-bit wide bus mode, DPSMACT + = 1 and DTDIR = from host to card. + 11 + 1 + + + CPSMEN + Command path state machine (CPSM) Enable + bit This bit is written 1 by firmware, and cleared by + hardware when the CPSM enters the Idle state. If this + bit is set, the CPSM is enabled. When DTEN = 1, no + command will be transfered nor boot procedure will be + started. CPSMEN is cleared to 0. + 12 + 1 + + + DTHOLD + Hold new data block transmission and + reception in the DPSM. If this bit is set, the DPSM + will not move from the Wait_S state to the Send state + or from the Wait_R state to the Receive + state. + 13 + 1 + + + BOOTMODE + Select the boot mode procedure to be + used. This bit can only be written by firmware when + CPSM is disabled (CPSMEN = 0) + 14 + 1 + + + BOOTEN + Enable boot mode + procedure. + 15 + 1 + + + CMDSUSPEND + The CPSM treats the command as a Suspend + or Resume command and signals interrupt period + start/end. This bit can only be written by firmware + when CPSM is disabled (CPSMEN = 0). CMDSUSPEND = 1 + and CMDTRANS = 0 Suspend command, start interrupt + period when response bit BS=0. CMDSUSPEND = 1 and + CMDTRANS = 1 Resume command with data, end interrupt + period when response bit DF=1. + 16 + 1 + + + + + RESP1R + RESP1R + The SDMMC_RESP1/2/3/4R registers contain the + status of a card, which is part of the received + response. + 0x14 + 0x20 + read-only + 0x00000000 + + + CARDSTATUS1 + see Table 432 + 0 + 32 + + + + + RESP2R + RESP2R + The SDMMC_RESP1/2/3/4R registers contain the + status of a card, which is part of the received + response. + 0x18 + 0x20 + read-only + 0x00000000 + + + CARDSTATUS2 + see Table404. + 0 + 32 + + + + + RESP3R + RESP3R + The SDMMC_RESP1/2/3/4R registers contain the + status of a card, which is part of the received + response. + 0x1C + 0x20 + read-only + 0x00000000 + + + CARDSTATUS3 + see Table404. + 0 + 32 + + + + + RESP4R + RESP4R + The SDMMC_RESP1/2/3/4R registers contain the + status of a card, which is part of the received + response. + 0x20 + 0x20 + read-only + 0x00000000 + + + CARDSTATUS4 + see Table404. + 0 + 32 + + + + + DTIMER + DTIMER + The SDMMC_DTIMER register contains the data + timeout period, in card bus clock periods. A counter + loads the value from the SDMMC_DTIMER register, and + starts decrementing when the data path state machine + (DPSM) enters the Wait_R or Busy state. If the timer + reaches 0 while the DPSM is in either of these states, + the timeout status flag is set. + 0x24 + 0x20 + read-write + 0x00000000 + + + DATATIME + Data and R1b busy timeout period This + bit can only be written when the CPSM and DPSM are + not active (CPSMACT = 0 and DPSMACT = 0). Data and + R1b busy timeout period expressed in card bus clock + periods. + 0 + 32 + + + + + DLENR + DLENR + The SDMMC_DLENR register contains the number + of data bytes to be transferred. The value is loaded into + the data counter when data transfer starts. + 0x28 + 0x20 + read-write + 0x00000000 + + + DATALENGTH + Data length value This register can only + be written by firmware when DPSM is inactive (DPSMACT + = 0). Number of data bytes to be transferred. When + DDR = 1 DATALENGTH is truncated to a multiple of 2. + (The last odd byte is not transfered) When DATALENGTH + = 0 no data will be transfered, when requested by a + CPSMEN and CMDTRANS = 1 also no command will be + transfered. DTEN and CPSMEN are cleared to + 0. + 0 + 25 + + + + + DCTRL + DCTRL + The SDMMC_DCTRL register control the data + path state machine (DPSM). + 0x2C + 0x20 + read-write + 0x00000000 + + + DTEN + Data transfer enable bit This bit can + only be written by firmware when DPSM is inactive + (DPSMACT = 0). This bit is cleared by Hardware when + data transfer completes. This bit shall only be used + to transfer data when no associated data transfer + command is used, i.e. shall not be used with SD or + eMMC cards. + 0 + 1 + + + DTDIR + Data transfer direction selection This + bit can only be written by firmware when DPSM is + inactive (DPSMACT = 0). + 1 + 1 + + + DTMODE + Data transfer mode selection. This bit + can only be written by firmware when DPSM is inactive + (DPSMACT = 0). + 2 + 2 + + + DBLOCKSIZE + Data block size This bit can only be + written by firmware when DPSM is inactive (DPSMACT = + 0). Define the data block length when the block data + transfer mode is selected: When DATALENGTH is not a + multiple of DBLOCKSIZE, the transfered data is + truncated at a multiple of DBLOCKSIZE. (Any remain + data will not be transfered.) When DDR = 1, + DBLOCKSIZE = 0000 shall not be used. (No data will be + transfered) + 4 + 4 + + + RWSTART + Read wait start. If this bit is set, + read wait operation starts. + 8 + 1 + + + RWSTOP + Read wait stop This bit is written by + firmware and auto cleared by hardware when the DPSM + moves from the READ_WAIT state to the WAIT_R or IDLE + state. + 9 + 1 + + + RWMOD + Read wait mode. This bit can only be + written by firmware when DPSM is inactive (DPSMACT = + 0). + 10 + 1 + + + SDIOEN + SD I/O interrupt enable functions This + bit can only be written by firmware when DPSM is + inactive (DPSMACT = 0). If this bit is set, the DPSM + enables the SD I/O card specific interrupt + operation. + 11 + 1 + + + BOOTACKEN + Enable the reception of the boot + acknowledgment. This bit can only be written by + firmware when DPSM is inactive (DPSMACT = + 0). + 12 + 1 + + + FIFORST + FIFO reset, will flush any remaining + data. This bit can only be written by firmware when + IDMAEN= 0 and DPSM is active (DPSMACT = 1). This bit + will only take effect when a transfer error or + transfer hold occurs. + 13 + 1 + + + + + DCNTR + DCNTR + The SDMMC_DCNTR register loads the value + from the data length register (see SDMMC_DLENR) when the + DPSM moves from the Idle state to the Wait_R or Wait_S + state. As data is transferred, the counter decrements the + value until it reaches 0. The DPSM then moves to the Idle + state and when there has been no error, the data status + end flag (DATAEND) is set. + 0x30 + 0x20 + read-only + 0x00000000 + + + DATACOUNT + Data count value When read, the number + of remaining data bytes to be transferred is + returned. Write has no effect. + 0 + 25 + + + + + STAR + STAR + The SDMMC_STAR register is a read-only + register. It contains two types of flag:Static flags + (bits [29,21,11:0]): these bits remain asserted until + they are cleared by writing to the SDMMC interrupt Clear + register (see SDMMC_ICR)Dynamic flags (bits [20:12]): + these bits change state depending on the state of the + underlying logic (for example, FIFO full and empty flags + are asserted and de-asserted as data while written to the + FIFO) + 0x34 + 0x20 + read-only + 0x00000000 + + + CCRCFAIL + Command response received (CRC check + failed). Interrupt flag is cleared by writing + corresponding interrupt clear bit in + SDMMC_ICR. + 0 + 1 + + + DCRCFAIL + Data block sent/received (CRC check + failed). Interrupt flag is cleared by writing + corresponding interrupt clear bit in + SDMMC_ICR. + 1 + 1 + + + CTIMEOUT + Command response timeout. Interrupt flag + is cleared by writing corresponding interrupt clear + bit in SDMMC_ICR. The Command Timeout period has a + fixed value of 64 SDMMC_CK clock + periods. + 2 + 1 + + + DTIMEOUT + Data timeout. Interrupt flag is cleared + by writing corresponding interrupt clear bit in + SDMMC_ICR. + 3 + 1 + + + TXUNDERR + Transmit FIFO underrun error or IDMA + read transfer error. Interrupt flag is cleared by + writing corresponding interrupt clear bit in + SDMMC_ICR. + 4 + 1 + + + RXOVERR + Received FIFO overrun error or IDMA + write transfer error. Interrupt flag is cleared by + writing corresponding interrupt clear bit in + SDMMC_ICR. + 5 + 1 + + + CMDREND + Command response received (CRC check + passed, or no CRC). Interrupt flag is cleared by + writing corresponding interrupt clear bit in + SDMMC_ICR. + 6 + 1 + + + CMDSENT + Command sent (no response required). + Interrupt flag is cleared by writing corresponding + interrupt clear bit in SDMMC_ICR. + 7 + 1 + + + DATAEND + Data transfer ended correctly. (data + counter, DATACOUNT is zero and no errors occur). + Interrupt flag is cleared by writing corresponding + interrupt clear bit in SDMMC_ICR. + 8 + 1 + + + DHOLD + Data transfer Hold. Interrupt flag is + cleared by writing corresponding interrupt clear bit + in SDMMC_ICR. + 9 + 1 + + + DBCKEND + Data block sent/received. (CRC check + passed) and DPSM moves to the READWAIT state. + Interrupt flag is cleared by writing corresponding + interrupt clear bit in SDMMC_ICR. + 10 + 1 + + + DABORT + Data transfer aborted by CMD12. + Interrupt flag is cleared by writing corresponding + interrupt clear bit in SDMMC_ICR. + 11 + 1 + + + DPSMACT + Data path state machine active, i.e. not + in Idle state. This is a hardware status flag only, + does not generate an interrupt. + 12 + 1 + + + CPSMACT + Command path state machine active, i.e. + not in Idle state. This is a hardware status flag + only, does not generate an interrupt. + 13 + 1 + + + TXFIFOHE + Transmit FIFO half empty At least half + the number of words can be written into the FIFO. + This bit is cleared when the FIFO becomes half+1 + full. + 14 + 1 + + + RXFIFOHF + Receive FIFO half full There are at + least half the number of words in the FIFO. This bit + is cleared when the FIFO becomes half+1 + empty. + 15 + 1 + + + TXFIFOF + Transmit FIFO full This is a hardware + status flag only, does not generate an interrupt. + This bit is cleared when one FIFO location becomes + empty. + 16 + 1 + + + RXFIFOF + Receive FIFO full This bit is cleared + when one FIFO location becomes empty. + 17 + 1 + + + TXFIFOE + Transmit FIFO empty This bit is cleared + when one FIFO location becomes full. + 18 + 1 + + + RXFIFOE + Receive FIFO empty This is a hardware + status flag only, does not generate an interrupt. + This bit is cleared when one FIFO location becomes + full. + 19 + 1 + + + BUSYD0 + Inverted value of SDMMC_D0 line (Busy), + sampled at the end of a CMD response and a second + time 2 SDMMC_CK cycles after the CMD response. This + bit is reset to not busy when the SDMMCD0 line + changes from busy to not busy. This bit does not + signal busy due to data transfer. This is a hardware + status flag only, it does not generate an + interrupt. + 20 + 1 + + + BUSYD0END + end of SDMMC_D0 Busy following a CMD + response detected. This indicates only end of busy + following a CMD response. This bit does not signal + busy due to data transfer. Interrupt flag is cleared + by writing corresponding interrupt clear bit in + SDMMC_ICR. + 21 + 1 + + + SDIOIT + SDIO interrupt received. Interrupt flag + is cleared by writing corresponding interrupt clear + bit in SDMMC_ICR. + 22 + 1 + + + ACKFAIL + Boot acknowledgment received (boot + acknowledgment check fail). Interrupt flag is cleared + by writing corresponding interrupt clear bit in + SDMMC_ICR. + 23 + 1 + + + ACKTIMEOUT + Boot acknowledgment timeout. Interrupt + flag is cleared by writing corresponding interrupt + clear bit in SDMMC_ICR. + 24 + 1 + + + VSWEND + Voltage switch critical timing section + completion. Interrupt flag is cleared by writing + corresponding interrupt clear bit in + SDMMC_ICR. + 25 + 1 + + + CKSTOP + SDMMC_CK stopped in Voltage switch + procedure. Interrupt flag is cleared by writing + corresponding interrupt clear bit in + SDMMC_ICR. + 26 + 1 + + + IDMATE + IDMA transfer error. Interrupt flag is + cleared by writing corresponding interrupt clear bit + in SDMMC_ICR. + 27 + 1 + + + IDMABTC + IDMA buffer transfer complete. interrupt + flag is cleared by writing corresponding interrupt + clear bit in SDMMC_ICR. + 28 + 1 + + + + + ICR + ICR + The SDMMC_ICR register is a write-only + register. Writing a bit with 1 clears the corresponding + bit in the SDMMC_STAR status register. + 0x38 + 0x20 + read-write + 0x00000000 + + + CCRCFAILC + CCRCFAIL flag clear bit Set by software + to clear the CCRCFAIL flag. + 0 + 1 + + + DCRCFAILC + DCRCFAIL flag clear bit Set by software + to clear the DCRCFAIL flag. + 1 + 1 + + + CTIMEOUTC + CTIMEOUT flag clear bit Set by software + to clear the CTIMEOUT flag. + 2 + 1 + + + DTIMEOUTC + DTIMEOUT flag clear bit Set by software + to clear the DTIMEOUT flag. + 3 + 1 + + + TXUNDERRC + TXUNDERR flag clear bit Set by software + to clear TXUNDERR flag. + 4 + 1 + + + RXOVERRC + RXOVERR flag clear bit Set by software + to clear the RXOVERR flag. + 5 + 1 + + + CMDRENDC + CMDREND flag clear bit Set by software + to clear the CMDREND flag. + 6 + 1 + + + CMDSENTC + CMDSENT flag clear bit Set by software + to clear the CMDSENT flag. + 7 + 1 + + + DATAENDC + DATAEND flag clear bit Set by software + to clear the DATAEND flag. + 8 + 1 + + + DHOLDC + DHOLD flag clear bit Set by software to + clear the DHOLD flag. + 9 + 1 + + + DBCKENDC + DBCKEND flag clear bit Set by software + to clear the DBCKEND flag. + 10 + 1 + + + DABORTC + DABORT flag clear bit Set by software to + clear the DABORT flag. + 11 + 1 + + + BUSYD0ENDC + BUSYD0END flag clear bit Set by software + to clear the BUSYD0END flag. + 21 + 1 + + + SDIOITC + SDIOIT flag clear bit Set by software to + clear the SDIOIT flag. + 22 + 1 + + + ACKFAILC + ACKFAIL flag clear bit Set by software + to clear the ACKFAIL flag. + 23 + 1 + + + ACKTIMEOUTC + ACKTIMEOUT flag clear bit Set by + software to clear the ACKTIMEOUT flag. + 24 + 1 + + + VSWENDC + VSWEND flag clear bit Set by software to + clear the VSWEND flag. + 25 + 1 + + + CKSTOPC + CKSTOP flag clear bit Set by software to + clear the CKSTOP flag. + 26 + 1 + + + IDMATEC + IDMA transfer error clear bit Set by + software to clear the IDMATE flag. + 27 + 1 + + + IDMABTCC + IDMA buffer transfer complete clear bit + Set by software to clear the IDMABTC + flag. + 28 + 1 + + + + + MASKR + MASKR + The interrupt mask register determines which + status flags generate an interrupt request by setting the + corresponding bit to 1. + 0x3C + 0x20 + read-write + 0x00000000 + + + CCRCFAILIE + Command CRC fail interrupt enable Set + and cleared by software to enable/disable interrupt + caused by command CRC failure. + 0 + 1 + + + DCRCFAILIE + Data CRC fail interrupt enable Set and + cleared by software to enable/disable interrupt + caused by data CRC failure. + 1 + 1 + + + CTIMEOUTIE + Command timeout interrupt enable Set and + cleared by software to enable/disable interrupt + caused by command timeout. + 2 + 1 + + + DTIMEOUTIE + Data timeout interrupt enable Set and + cleared by software to enable/disable interrupt + caused by data timeout. + 3 + 1 + + + TXUNDERRIE + Tx FIFO underrun error interrupt enable + Set and cleared by software to enable/disable + interrupt caused by Tx FIFO underrun + error. + 4 + 1 + + + RXOVERRIE + Rx FIFO overrun error interrupt enable + Set and cleared by software to enable/disable + interrupt caused by Rx FIFO overrun + error. + 5 + 1 + + + CMDRENDIE + Command response received interrupt + enable Set and cleared by software to enable/disable + interrupt caused by receiving command + response. + 6 + 1 + + + CMDSENTIE + Command sent interrupt enable Set and + cleared by software to enable/disable interrupt + caused by sending command. + 7 + 1 + + + DATAENDIE + Data end interrupt enable Set and + cleared by software to enable/disable interrupt + caused by data end. + 8 + 1 + + + DHOLDIE + Data hold interrupt enable Set and + cleared by software to enable/disable the interrupt + generated when sending new data is hold in the DPSM + Wait_S state. + 9 + 1 + + + DBCKENDIE + Data block end interrupt enable Set and + cleared by software to enable/disable interrupt + caused by data block end. + 10 + 1 + + + DABORTIE + Data transfer aborted interrupt enable + Set and cleared by software to enable/disable + interrupt caused by a data transfer being + aborted. + 11 + 1 + + + TXFIFOHEIE + Tx FIFO half empty interrupt enable Set + and cleared by software to enable/disable interrupt + caused by Tx FIFO half empty. + 14 + 1 + + + RXFIFOHFIE + Rx FIFO half full interrupt enable Set + and cleared by software to enable/disable interrupt + caused by Rx FIFO half full. + 15 + 1 + + + RXFIFOFIE + Rx FIFO full interrupt enable Set and + cleared by software to enable/disable interrupt + caused by Rx FIFO full. + 17 + 1 + + + TXFIFOEIE + Tx FIFO empty interrupt enable Set and + cleared by software to enable/disable interrupt + caused by Tx FIFO empty. + 18 + 1 + + + BUSYD0ENDIE + BUSYD0END interrupt enable Set and + cleared by software to enable/disable the interrupt + generated when SDMMC_D0 signal changes from busy to + NOT busy following a CMD response. + 21 + 1 + + + SDIOITIE + SDIO mode interrupt received interrupt + enable Set and cleared by software to enable/disable + the interrupt generated when receiving the SDIO mode + interrupt. + 22 + 1 + + + ACKFAILIE + Acknowledgment Fail interrupt enable Set + and cleared by software to enable/disable interrupt + caused by acknowledgment Fail. + 23 + 1 + + + ACKTIMEOUTIE + Acknowledgment timeout interrupt enable + Set and cleared by software to enable/disable + interrupt caused by acknowledgment + timeout. + 24 + 1 + + + VSWENDIE + Voltage switch critical timing section + completion interrupt enable Set and cleared by + software to enable/disable the interrupt generated + when voltage switch critical timing section + completion. + 25 + 1 + + + CKSTOPIE + Voltage Switch clock stopped interrupt + enable Set and cleared by software to enable/disable + interrupt caused by Voltage Switch clock + stopped. + 26 + 1 + + + IDMABTCIE + IDMA buffer transfer complete interrupt + enable Set and cleared by software to enable/disable + the interrupt generated when the IDMA has transferred + all data belonging to a memory buffer. + 28 + 1 + + + + + ACKTIMER + ACKTIMER + The SDMMC_ACKTIMER register contains the + acknowledgment timeout period, in SDMMC_CK bus clock + periods. A counter loads the value from the + SDMMC_ACKTIMER register, and starts decrementing when the + data path state machine (DPSM) enters the Wait_Ack state. + If the timer reaches 0 while the DPSM is in this states, + the acknowledgment timeout status flag is + set. + 0x40 + 0x20 + read-write + 0x00000000 + + + ACKTIME + Boot acknowledgment timeout period This + bit can only be written by firmware when CPSM is + disabled (CPSMEN = 0). Boot acknowledgment timeout + period expressed in card bus clock + periods. + 0 + 25 + + + + + IDMACTRLR + IDMACTRLR + The receive and transmit FIFOs can be read + or written as 32-bit wide registers. The FIFOs contain 32 + entries on 32 sequential addresses. This allows the CPU + to use its load and store multiple operands to read + from/write to the FIFO. + 0x50 + 0x20 + read-write + 0x00000000 + + + IDMAEN + IDMA enable This bit can only be written + by firmware when DPSM is inactive (DPSMACT = + 0). + 0 + 1 + + + IDMABMODE + Buffer mode selection. This bit can only + be written by firmware when DPSM is inactive (DPSMACT + = 0). + 1 + 1 + + + IDMABACT + Double buffer mode active buffer + indication This bit can only be written by firmware + when DPSM is inactive (DPSMACT = 0). When IDMA is + enabled this bit is toggled by + hardware. + 2 + 1 + + + + + IDMABSIZER + IDMABSIZER + The SDMMC_IDMABSIZER register contains the + buffers size when in double buffer + configuration. + 0x54 + 0x20 + read-write + 0x00000000 + + + IDMABNDT + Number of transfers per buffer. This + 8-bit value shall be multiplied by 8 to get the size + of the buffer in 32-bit words and by 32 to get the + size of the buffer in bytes. Example: IDMABNDT = + 0x01: buffer size = 8 words = 32 bytes. These bits + can only be written by firmware when DPSM is inactive + (DPSMACT = 0). + 5 + 8 + + + + + IDMABASE0R + IDMABASE0R + The SDMMC_IDMABASE0R register contains the + memory buffer base address in single buffer configuration + and the buffer 0 base address in double buffer + configuration. + 0x58 + 0x20 + read-write + 0x00000000 + + + IDMABASE0 + Buffer 0 memory base address bits + [31:2], shall be word aligned (bit [1:0] are always 0 + and read only). This register can be written by + firmware when DPSM is inactive (DPSMACT = 0), and can + dynamically be written by firmware when DPSM active + (DPSMACT = 1) and memory buffer 0 is inactive + (IDMABACT = 1). + 0 + 32 + + + + + IDMABASE1R + IDMABASE1R + The SDMMC_IDMABASE1R register contains the + double buffer configuration second buffer memory base + address. + 0x5C + 0x20 + read-write + 0x00000000 + + + IDMABASE1 + Buffer 1 memory base address, shall be + word aligned (bit [1:0] are always 0 and read only). + This register can be written by firmware when DPSM is + inactive (DPSMACT = 0), and can dynamically be + written by firmware when DPSM active (DPSMACT = 1) + and memory buffer 1 is inactive (IDMABACT = + 0). + 0 + 32 + + + + + FIFOR + FIFOR + The receive and transmit FIFOs can be only + read or written as word (32-bit) wide registers. The + FIFOs contain 16 entries on sequential addresses. This + allows the CPU to use its load and store multiple + operands to read from/write to the FIFO.When accessing + SDMMC_FIFOR with half word or byte access an AHB bus + fault is generated. + 0x80 + 0x20 + read-write + 0x00000000 + + + FIFODATA + Receive and transmit FIFO data This + register can only be read or written by firmware when + the DPSM is active (DPSMACT=1). The FIFO data + occupies 16 entries of 32-bit words. + 0 + 32 + + + + + VER + VER + SDMMC IP version register + 0x3F4 + 0x20 + read-only + 0x00000010 + + + MINREV + IP minor revision number. + 0 + 4 + + + MAJREV + IP major revision number. + 4 + 4 + + + + + ID + ID + SDMMC IP identification + register + 0x3F8 + 0x20 + read-only + 0x00140022 + + + IP_ID + SDMMC IP identification. + 0 + 32 + + + + + RESPCMDR + RESPCMDR + SDMMC command response + register + 0x10 + 0x20 + read-only + 0xA3C5DD01 + + + RESPCMD + Response command index + 0 + 6 + + + + + + + SDMMC2 + 0x48022400 + + + VREFBUF + VREFBUF + VREFBUF + 0x58003C00 + + 0x0 + 0x400 + registers + + + + CSR + CSR + VREFBUF control and status + register + 0x0 + 0x20 + 0x00000002 + + + ENVR + Voltage reference buffer mode enable + This bit is used to enable the voltage reference + buffer mode. + 0 + 1 + read-write + + + HIZ + High impedance mode This bit controls + the analog switch to connect or not the VREF+ pin. + Refer to Table196: VREF buffer modes for the mode + descriptions depending on ENVR bit + configuration. + 1 + 1 + read-write + + + VRR + Voltage reference buffer + ready + 3 + 1 + read-only + + + VRS + Voltage reference scale These bits + select the value generated by the voltage reference + buffer. Other: Reserved + 4 + 3 + read-write + + + + + CCR + CCR + VREFBUF calibration control + register + 0x4 + 0x20 + read-write + 0x00000000 + + + TRIM + Trimming code These bits are + automatically initialized after reset with the + trimming value stored in the Flash memory during the + production test. Writing into these bits allows to + tune the internal reference buffer + voltage. + 0 + 6 + + + + + + + IWDG + IWDG + IWDG + 0x58004800 + + 0x0 + 0x400 + registers + + + + KR + KR + Key register + 0x0 + 0x20 + write-only + 0x00000000 + + + KEY + Key value (write only, read 0x0000) + These bits must be written by software at regular + intervals with the key value 0xAAAA, otherwise the + watchdog generates a reset when the counter reaches + 0. Writing the key value 0x5555 to enable access to + the IWDG_PR, IWDG_RLR and IWDG_WINR registers (see + Section23.3.6: Register access protection) Writing + the key value CCCCh starts the watchdog (except if + the hardware watchdog option is + selected) + 0 + 16 + + + + + PR + PR + Prescaler register + 0x4 + 0x20 + read-write + 0x00000000 + + + PR + Prescaler divider These bits are write + access protected see Section23.3.6: Register access + protection. They are written by software to select + the prescaler divider feeding the counter clock. PVU + bit of IWDG_SR must be reset in order to be able to + change the prescaler divider. Note: Reading this + register returns the prescaler value from the VDD + voltage domain. This value may not be up to + date/valid if a write operation to this register is + ongoing. For this reason the value read from this + register is valid only when the PVU bit in the + IWDG_SR register is reset. + 0 + 3 + + + + + RLR + RLR + Reload register + 0x8 + 0x20 + read-write + 0x00000FFF + + + RL + Watchdog counter reload value These bits + are write access protected see Section23.3.6. They + are written by software to define the value to be + loaded in the watchdog counter each time the value + 0xAAAA is written in the IWDG_KR register. The + watchdog counter counts down from this value. The + timeout period is a function of this value and the + clock prescaler. Refer to the datasheet for the + timeout information. The RVU bit in the IWDG_SR + register must be reset in order to be able to change + the reload value. Note: Reading this register returns + the reload value from the VDD voltage domain. This + value may not be up to date/valid if a write + operation to this register is ongoing on this + register. For this reason the value read from this + register is valid only when the RVU bit in the + IWDG_SR register is reset. + 0 + 12 + + + + + SR + SR + Status register + 0xC + 0x20 + read-only + 0x00000000 + + + PVU + Watchdog prescaler value update This bit + is set by hardware to indicate that an update of the + prescaler value is ongoing. It is reset by hardware + when the prescaler update operation is completed in + the VDD voltage domain (takes up to 5 RC 40 kHz + cycles). Prescaler value can be updated only when PVU + bit is reset. + 0 + 1 + + + RVU + Watchdog counter reload value update + This bit is set by hardware to indicate that an + update of the reload value is ongoing. It is reset by + hardware when the reload value update operation is + completed in the VDD voltage domain (takes up to 5 RC + 40 kHz cycles). Reload value can be updated only when + RVU bit is reset. + 1 + 1 + + + WVU + Watchdog counter window value update + This bit is set by hardware to indicate that an + update of the window value is ongoing. It is reset by + hardware when the reload value update operation is + completed in the VDD voltage domain (takes up to 5 RC + 40 kHz cycles). Window value can be updated only when + WVU bit is reset. This bit is generated only if + generic window = 1 + 2 + 1 + + + + + WINR + WINR + Window register + 0x10 + 0x20 + read-write + 0x00000FFF + + + WIN + Watchdog counter window value These bits + are write access protected see Section23.3.6. These + bits contain the high limit of the window value to be + compared to the downcounter. To prevent a reset, the + downcounter must be reloaded when its value is lower + than the window register value and greater than 0x0 + The WVU bit in the IWDG_SR register must be reset in + order to be able to change the reload value. Note: + Reading this register returns the reload value from + the VDD voltage domain. This value may not be valid + if a write operation to this register is ongoing. For + this reason the value read from this register is + valid only when the WVU bit in the IWDG_SR register + is reset. + 0 + 12 + + + + + + + WWDG + WWDG + WWDG + 0x50003000 + + 0x0 + 0x400 + registers + + + WWDG1 + Window Watchdog interrupt + 0 + + + WWDG1_RST + Window Watchdog interrupt + 143 + + + + CR + CR + Control register + 0x0 + 0x20 + read-write + 0x0000007F + + + T + 7-bit counter (MSB to LSB) These bits + contain the value of the watchdog counter. It is + decremented every (4096 x 2WDGTB[1:0]) PCLK cycles. A + reset is produced when it is decremented from 0x40 to + 0x3F (T6 becomes cleared). + 0 + 7 + + + WDGA + Activation bit This bit is set by + software and only cleared by hardware after a reset. + When WDGA=1, the watchdog can generate a + reset. + 7 + 1 + + + + + CFR + CFR + Configuration register + 0x4 + 0x20 + read-write + 0x0000007F + + + W + 7-bit window value These bits contain + the window value to be compared to the + downcounter. + 0 + 7 + + + WDGTB + Timer base The time base of the + prescaler can be modified as follows: + 11 + 2 + + + EWI + Early wakeup interrupt When set, an + interrupt occurs whenever the counter reaches the + value 0x40. This interrupt is only cleared by + hardware after a reset. + 9 + 1 + + + + + SR + SR + Status register + 0x8 + 0x20 + read-write + 0x00000000 + + + EWIF + Early wakeup interrupt flag This bit is + set by hardware when the counter has reached the + value 0x40. It must be cleared by software by writing + 0. A write of 1 has no effect. This bit is also set + if the interrupt is not enabled. + 0 + 1 + + + + + + + PWR + PWR + PWR + 0x58024800 + + 0x0 + 0x400 + registers + + + + CR1 + CR1 + PWR control register 1 + 0x0 + 0x20 + read-write + 0xF000C000 + + + LPDS + Low-power Deepsleep with SVOS3 (SVOS4 + and SVOS5 always use low-power, regardless of the + setting of this bit) + 0 + 1 + + + PVDE + Programmable voltage detector + enable + 4 + 1 + + + PLS + Programmable voltage detector level + selection These bits select the voltage threshold + detected by the PVD. Note: Refer to Section + Electrical characteristics of the product datasheet + for more details. + 5 + 3 + + + DBP + Disable backup domain write protection + In reset state, the RCC_BDCR register, the RTC + registers (including the backup registers), BREN and + MOEN bits in PWR_CR2 register, are protected against + parasitic write access. This bit must be set to + enable write access to these registers. + 8 + 1 + + + FLPS + Flash low-power mode in DStop mode This + bit allows to obtain the best trade-off between + low-power consumption and restart time when exiting + from DStop mode. When it is set, the Flash memory + enters low-power mode when D1 domain is in DStop + mode. + 9 + 1 + + + SVOS + System Stop mode voltage scaling + selection These bits control the VCORE voltage level + in system Stop mode, to obtain the best trade-off + between power consumption and + performance. + 14 + 2 + + + AVDEN + Peripheral voltage monitor on VDDA + enable + 16 + 1 + + + ALS + Analog voltage detector level selection + These bits select the voltage threshold detected by + the AVD. + 17 + 2 + + + + + CSR1 + CSR1 + PWR control status register 1 + 0x4 + 0x20 + read-only + 0x00004000 + + + PVDO + Programmable voltage detect output This + bit is set and cleared by hardware. It is valid only + if the PVD has been enabled by the PVDE bit. Note: + since the PVD is disabled in Standby mode, this bit + is equal to 0 after Standby or reset until the PVDE + bit is set. + 4 + 1 + + + ACTVOSRDY + Voltage levels ready bit for currently + used VOS and SDLEVEL This bit is set to 1 by hardware + when the voltage regulator and the SD converter are + both disabled and Bypass mode is selected in PWR + control register 3 (PWR_CR3). + 13 + 1 + + + ACTVOS + VOS currently applied for VCORE voltage + scaling selection. These bits reflect the last VOS + value applied to the PMU. + 14 + 2 + + + AVDO + Analog voltage detector output on VDDA + This bit is set and cleared by hardware. It is valid + only if AVD on VDDA is enabled by the AVDEN bit. + Note: Since the AVD is disabled in Standby mode, this + bit is equal to 0 after Standby or reset until the + AVDEN bit is set. + 16 + 1 + + + + + CR2 + CR2 + This register is not reset by wakeup from + Standby mode, RESET signal and VDD POR. It is only reset + by VSW POR and VSWRST reset. This register shall not be + accessed when VSWRST bit in RCC_BDCR register resets the + VSW domain.After reset, PWR_CR2 register is + write-protected. Prior to modifying its content, the DBP + bit in PWR_CR1 register must be set to disable the write + protection. + 0x8 + 0x20 + 0x00000000 + + + BREN + Backup regulator enable When set, the + Backup regulator (used to maintain the backup RAM + content in Standby and VBAT modes) is enabled. If + BREN is reset, the backup regulator is switched off. + The backup RAM can still be used in Run and Stop + modes. However, its content will be lost in Standby + and VBAT modes. If BREN is set, the application must + wait till the Backup Regulator Ready flag (BRRDY) is + set to indicate that the data written into the SRAM + will be maintained in Standby and VBAT + modes. + 0 + 1 + read-write + + + MONEN + VBAT and temperature monitoring enable + When set, the VBAT supply and temperature monitoring + is enabled. + 4 + 1 + read-write + + + BRRDY + Backup regulator ready This bit is set + by hardware to indicate that the Backup regulator is + ready. + 16 + 1 + read-only + + + VBATL + VBAT level monitoring versus low + threshold + 20 + 1 + read-only + + + VBATH + VBAT level monitoring versus high + threshold + 21 + 1 + read-only + + + TEMPL + Temperature level monitoring versus low + threshold + 22 + 1 + read-only + + + TEMPH + Temperature level monitoring versus high + threshold + 23 + 1 + read-only + + + + + CR3 + CR3 + Reset only by POR only, not reset by wakeup + from Standby mode and RESET pad. The lower byte of this + register is written once after POR and shall be written + before changing VOS level or ck_sys clock frequency. No + limitation applies to the upper bytes.Programming data + corresponding to an invalid combination of SDLEVEL, + SDEXTHP, SDEN, LDOEN and BYPASS bits (see Table9) will be + ignored: data will not be written, the written-once + mechanism will lock the register and any further write + access will be ignored. The default supply configuration + will be kept and the ACTVOSRDY bit in PWR control status + register 1 (PWR_CSR1) will go on indicating invalid + voltage levels. The system shall be power cycled before + writing a new value. + 0xC + 0x20 + 0x00000006 + + + BYPASS + Power management unit + bypass + 0 + 1 + read-write + + + LDOEN + Low drop-out regulator + enable + 1 + 1 + read-write + + + SCUEN + SD converter Enable + 2 + 1 + read-write + + + VBE + VBAT charging enable + 8 + 1 + read-write + + + VBRS + VBAT charging resistor + selection + 9 + 1 + read-write + + + USB33DEN + VDD33USB voltage level detector + enable. + 24 + 1 + read-write + + + USBREGEN + USB regulator enable. + 25 + 1 + read-write + + + USB33RDY + USB supply ready. + 26 + 1 + read-only + + + + + CPUCR + CPUCR + This register allows controlling CPU1 + power. + 0x10 + 0x20 + 0x00000000 + + + PDDS_D1 + D1 domain Power Down Deepsleep + selection. This bit allows CPU1 to define the + Deepsleep mode for D1 domain. + 0 + 1 + read-write + + + PDDS_D2 + D2 domain Power Down Deepsleep. This bit + allows CPU1 to define the Deepsleep mode for D2 + domain. + 1 + 1 + read-write + + + PDDS_D3 + System D3 domain Power Down Deepsleep. + This bit allows CPU1 to define the Deepsleep mode for + System D3 domain. + 2 + 1 + read-write + + + STOPF + STOP flag This bit is set by hardware + and cleared only by any reset or by setting the CPU1 + CSSF bit. + 5 + 1 + read-only + + + SBF + System Standby flag This bit is set by + hardware and cleared only by a POR (Power-on Reset) + or by setting the CPU1 CSSF bit + 6 + 1 + read-only + + + SBF_D1 + D1 domain DStandby flag This bit is set + by hardware and cleared by any system reset or by + setting the CPU1 CSSF bit. Once set, this bit can be + cleared only when the D1 domain is no longer in + DStandby mode. + 7 + 1 + read-only + + + SBF_D2 + D2 domain DStandby flag This bit is set + by hardware and cleared by any system reset or by + setting the CPU1 CSSF bit. Once set, this bit can be + cleared only when the D2 domain is no longer in + DStandby mode. + 8 + 1 + read-only + + + CSSF + Clear D1 domain CPU1 Standby, Stop and + HOLD flags (always read as 0) This bit is cleared to + 0 by hardware. + 9 + 1 + read-write + + + RUN_D3 + Keep system D3 domain in Run mode + regardless of the CPU sub-systems modes + 11 + 1 + read-write + + + + + D3CR + D3CR + This register allows controlling D3 domain + power.Following reset VOSRDY will be read 1 by + software + 0x18 + 0x20 + 0x00004000 + + + VOSRDY + VOS Ready bit for VCORE voltage scaling + output selection. This bit is set to 1 by hardware + when Bypass mode is selected in PWR control register + 3 (PWR_CR3). + 13 + 1 + read-only + + + VOS + Voltage scaling selection according to + performance These bits control the VCORE voltage + level and allow to obtains the best trade-off between + power consumption and performance: When increasing + the performance, the voltage scaling shall be changed + before increasing the system frequency. When + decreasing performance, the system frequency shall + first be decreased before changing the voltage + scaling. + 14 + 2 + read-write + + + + + WKUPCR + WKUPCR + reset only by system reset, not reset by + wakeup from Standby mode5 wait states are required when + writing this register (when clearing a WKUPF bit in + PWR_WKUPFR, the AHB write access will complete after the + WKUPF has been cleared). + 0x20 + 0x20 + read-write + 0x00000000 + + + WKUPC + Clear Wakeup pin flag for WKUP. These + bits are always read as 0. + 0 + 6 + + + + + WKUPFR + WKUPFR + reset only by system reset, not reset by + wakeup from Standby mode + 0x24 + 0x20 + read-write + 0x00000000 + + + WKUPF1 + Wakeup pin WKUPF flag. This bit is set + by hardware and cleared only by a Reset pin or by + setting the WKUPCn+1 bit in the PWR wakeup clear + register (PWR_WKUPCR). + 0 + 1 + + + WKUPF2 + Wakeup pin WKUPF flag. This bit is set + by hardware and cleared only by a Reset pin or by + setting the WKUPCn+1 bit in the PWR wakeup clear + register (PWR_WKUPCR). + 1 + 1 + + + WKUPF3 + Wakeup pin WKUPF flag. This bit is set + by hardware and cleared only by a Reset pin or by + setting the WKUPCn+1 bit in the PWR wakeup clear + register (PWR_WKUPCR). + 2 + 1 + + + WKUPF4 + Wakeup pin WKUPF flag. This bit is set + by hardware and cleared only by a Reset pin or by + setting the WKUPCn+1 bit in the PWR wakeup clear + register (PWR_WKUPCR). + 3 + 1 + + + WKUPF5 + Wakeup pin WKUPF flag. This bit is set + by hardware and cleared only by a Reset pin or by + setting the WKUPCn+1 bit in the PWR wakeup clear + register (PWR_WKUPCR). + 4 + 1 + + + WKUPF6 + Wakeup pin WKUPF flag. This bit is set + by hardware and cleared only by a Reset pin or by + setting the WKUPCn+1 bit in the PWR wakeup clear + register (PWR_WKUPCR). + 5 + 1 + + + + + WKUPEPR + WKUPEPR + Reset only by system reset, not reset by + wakeup from Standby mode + 0x28 + 0x20 + read-write + 0x00000000 + + + WKUPEN1 + Enable Wakeup Pin WKUPn+1 Each bit is + set and cleared by software. Note: An additional + wakeup event is detected if WKUPn+1 pin is enabled + (by setting the WKUPENn+1 bit) when WKUPn+1 pin level + is already high when WKUPPn+1 selects rising edge, or + low when WKUPPn+1 selects falling edge. + 0 + 1 + + + WKUPEN2 + Enable Wakeup Pin WKUPn+1 Each bit is + set and cleared by software. Note: An additional + wakeup event is detected if WKUPn+1 pin is enabled + (by setting the WKUPENn+1 bit) when WKUPn+1 pin level + is already high when WKUPPn+1 selects rising edge, or + low when WKUPPn+1 selects falling edge. + 1 + 1 + + + WKUPEN3 + Enable Wakeup Pin WKUPn+1 Each bit is + set and cleared by software. Note: An additional + wakeup event is detected if WKUPn+1 pin is enabled + (by setting the WKUPENn+1 bit) when WKUPn+1 pin level + is already high when WKUPPn+1 selects rising edge, or + low when WKUPPn+1 selects falling edge. + 2 + 1 + + + WKUPEN4 + Enable Wakeup Pin WKUPn+1 Each bit is + set and cleared by software. Note: An additional + wakeup event is detected if WKUPn+1 pin is enabled + (by setting the WKUPENn+1 bit) when WKUPn+1 pin level + is already high when WKUPPn+1 selects rising edge, or + low when WKUPPn+1 selects falling edge. + 3 + 1 + + + WKUPEN5 + Enable Wakeup Pin WKUPn+1 Each bit is + set and cleared by software. Note: An additional + wakeup event is detected if WKUPn+1 pin is enabled + (by setting the WKUPENn+1 bit) when WKUPn+1 pin level + is already high when WKUPPn+1 selects rising edge, or + low when WKUPPn+1 selects falling edge. + 4 + 1 + + + WKUPEN6 + Enable Wakeup Pin WKUPn+1 Each bit is + set and cleared by software. Note: An additional + wakeup event is detected if WKUPn+1 pin is enabled + (by setting the WKUPENn+1 bit) when WKUPn+1 pin level + is already high when WKUPPn+1 selects rising edge, or + low when WKUPPn+1 selects falling edge. + 5 + 1 + + + WKUPP1 + Wakeup pin polarity bit for WKUPn-7 + These bits define the polarity used for event + detection on WKUPn-7 external wakeup + pin. + 8 + 1 + + + WKUPP2 + Wakeup pin polarity bit for WKUPn-7 + These bits define the polarity used for event + detection on WKUPn-7 external wakeup + pin. + 9 + 1 + + + WKUPP3 + Wakeup pin polarity bit for WKUPn-7 + These bits define the polarity used for event + detection on WKUPn-7 external wakeup + pin. + 10 + 1 + + + WKUPP4 + Wakeup pin polarity bit for WKUPn-7 + These bits define the polarity used for event + detection on WKUPn-7 external wakeup + pin. + 11 + 1 + + + WKUPP5 + Wakeup pin polarity bit for WKUPn-7 + These bits define the polarity used for event + detection on WKUPn-7 external wakeup + pin. + 12 + 1 + + + WKUPP6 + Wakeup pin polarity bit for WKUPn-7 + These bits define the polarity used for event + detection on WKUPn-7 external wakeup + pin. + 13 + 1 + + + WKUPPUPD1 + Wakeup pin pull + configuration + 16 + 2 + + + WKUPPUPD2 + Wakeup pin pull + configuration + 18 + 2 + + + WKUPPUPD3 + Wakeup pin pull + configuration + 20 + 2 + + + WKUPPUPD4 + Wakeup pin pull + configuration + 22 + 2 + + + WKUPPUPD5 + Wakeup pin pull + configuration + 24 + 2 + + + WKUPPUPD6 + Wakeup pin pull configuration for + WKUP(truncate(n/2)-7) These bits define the I/O pad + pull configuration used when WKUPEN(truncate(n/2)-7) + = 1. The associated GPIO port pull configuration + shall be set to the same value or to 00. The Wakeup + pin pull configuration is kept in Standby + mode. + 26 + 2 + + + + + + + SPI1 + Serial peripheral interface + SPI + 0x40013000 + + 0x0 + 0x400 + registers + + + SPI1 + SPI1 global interrupt + 35 + + + + CR1 + CR1 + control register 1 + 0x0 + 0x20 + 0x00000000 + + + IOLOCK + Locking the AF configuration of + associated IOs + 16 + 1 + read-only + + + TCRCI + CRC calculation initialization pattern + control for transmitter + 15 + 1 + read-write + + + RCRCI + CRC calculation initialization pattern + control for receiver + 14 + 1 + read-write + + + CRC33_17 + 32-bit CRC polynomial + configuration + 13 + 1 + read-write + + + SSI + Internal SS signal input + level + 12 + 1 + read-write + + + HDDIR + Rx/Tx direction at Half-duplex + mode + 11 + 1 + read-write + + + CSUSP + Master SUSPend request + 10 + 1 + write-only + + + CSTART + Master transfer start + 9 + 1 + read-only + + + MASRX + Master automatic SUSP in Receive + mode + 8 + 1 + read-write + + + SPE + Serial Peripheral Enable + 0 + 1 + read-write + + + + + CR2 + CR2 + control register 2 + 0x4 + 0x20 + 0x00000000 + + + TSER + Number of data transfer extension to be + reload into TSIZE just when a previous + 16 + 16 + read-only + + + TSIZE + Number of data at current + transfer + 0 + 16 + read-write + + + + + CFG1 + CFG1 + configuration register 1 + 0x8 + 0x20 + read-write + 0x00070007 + + + MBR + Master baud rate + 28 + 3 + + + CRCEN + Hardware CRC computation + enable + 22 + 1 + + + CRCSIZE + Length of CRC frame to be transacted and + compared + 16 + 5 + + + TXDMAEN + Tx DMA stream enable + 15 + 1 + + + RXDMAEN + Rx DMA stream enable + 14 + 1 + + + UDRDET + Detection of underrun condition at slave + transmitter + 11 + 2 + + + UDRCFG + Behavior of slave transmitter at + underrun condition + 9 + 2 + + + FTHVL + threshold level + 5 + 4 + + + DSIZE + Number of bits in at single SPI data + frame + 0 + 5 + + + + + CFG2 + CFG2 + configuration register 2 + 0xC + 0x20 + read-write + 0x00000000 + + + AFCNTR + Alternate function GPIOs + control + 31 + 1 + + + SSOM + SS output management in master + mode + 30 + 1 + + + SSOE + SS output enable + 29 + 1 + + + SSIOP + SS input/output polarity + 28 + 1 + + + SSM + Software management of SS signal + input + 26 + 1 + + + CPOL + Clock polarity + 25 + 1 + + + CPHA + Clock phase + 24 + 1 + + + LSBFRST + Data frame format + 23 + 1 + + + MASTER + SPI Master + 22 + 1 + + + SP + Serial Protocol + 19 + 3 + + + COMM + SPI Communication Mode + 17 + 2 + + + IOSWP + Swap functionality of MISO and MOSI + pins + 15 + 1 + + + MIDI + Master Inter-Data Idleness + 4 + 4 + + + MSSI + Master SS Idleness + 0 + 4 + + + + + IER + IER + Interrupt Enable Register + 0x10 + 0x20 + 0x00000000 + + + TSERFIE + Additional number of transactions reload + interrupt enable + 10 + 1 + read-write + + + MODFIE + Mode Fault interrupt + enable + 9 + 1 + read-write + + + TIFREIE + TIFRE interrupt enable + 8 + 1 + read-write + + + CRCEIE + CRC Interrupt enable + 7 + 1 + read-write + + + OVRIE + OVR interrupt enable + 6 + 1 + read-write + + + UDRIE + UDR interrupt enable + 5 + 1 + read-write + + + TXTFIE + TXTFIE interrupt enable + 4 + 1 + read-write + + + EOTIE + EOT, SUSP and TXC interrupt + enable + 3 + 1 + read-write + + + DPXPIE + DXP interrupt enabled + 2 + 1 + read-only + + + TXPIE + TXP interrupt enable + 1 + 1 + read-only + + + RXPIE + RXP Interrupt Enable + 0 + 1 + read-write + + + + + SR + SR + Status Register + 0x14 + 0x20 + read-only + 0x00001002 + + + CTSIZE + Number of data frames remaining in + current TSIZE session + 16 + 16 + + + RXWNE + RxFIFO Word Not Empty + 15 + 1 + + + RXPLVL + RxFIFO Packing LeVeL + 13 + 2 + + + TXC + TxFIFO transmission + complete + 12 + 1 + + + SUSP + SUSPend + 11 + 1 + + + TSERF + Additional number of SPI data to be + transacted was reload + 10 + 1 + + + MODF + Mode Fault + 9 + 1 + + + TIFRE + TI frame format error + 8 + 1 + + + CRCE + CRC Error + 7 + 1 + + + OVR + Overrun + 6 + 1 + + + UDR + Underrun at slave transmission + mode + 5 + 1 + + + TXTF + Transmission Transfer + Filled + 4 + 1 + + + EOT + End Of Transfer + 3 + 1 + + + DXP + Duplex Packet + 2 + 1 + + + TXP + Tx-Packet space available + 1 + 1 + + + RXP + Rx-Packet available + 0 + 1 + + + + + IFCR + IFCR + Interrupt/Status Flags Clear + Register + 0x18 + 0x20 + write-only + 0x00000000 + + + SUSPC + SUSPend flag clear + 11 + 1 + + + TSERFC + TSERFC flag clear + 10 + 1 + + + MODFC + Mode Fault flag clear + 9 + 1 + + + TIFREC + TI frame format error flag + clear + 8 + 1 + + + CRCEC + CRC Error flag clear + 7 + 1 + + + OVRC + Overrun flag clear + 6 + 1 + + + UDRC + Underrun flag clear + 5 + 1 + + + TXTFC + Transmission Transfer Filled flag + clear + 4 + 1 + + + EOTC + End Of Transfer flag clear + 3 + 1 + + + + + TXDR + TXDR + Transmit Data Register + 0x20 + 0x20 + write-only + 0x00000000 + + + TXDR + Transmit data register + 0 + 32 + + + + + RXDR + RXDR + Receive Data Register + 0x30 + 0x20 + read-only + 0x00000000 + + + RXDR + Receive data register + 0 + 32 + + + + + CRCPOLY + CRCPOLY + Polynomial Register + 0x40 + 0x20 + read-write + 0x00000107 + + + CRCPOLY + CRC polynomial register + 0 + 32 + + + + + TXCRC + TXCRC + Transmitter CRC Register + 0x44 + 0x20 + read-write + 0x00000000 + + + TXCRC + CRC register for + transmitter + 0 + 32 + + + + + RXCRC + RXCRC + Receiver CRC Register + 0x48 + 0x20 + read-write + 0x00000000 + + + RXCRC + CRC register for receiver + 0 + 32 + + + + + UDRDR + UDRDR + Underrun Data Register + 0x4C + 0x20 + read-write + 0x00000000 + + + UDRDR + Data at slave underrun + condition + 0 + 32 + + + + + CGFR + CGFR + configuration register + 0x50 + 0x20 + read-write + 0x00000000 + + + MCKOE + Master clock output enable + 25 + 1 + + + ODD + Odd factor for the + prescaler + 24 + 1 + + + I2SDIV + I2S linear prescaler + 16 + 8 + + + DATFMT + Data format + 14 + 1 + + + WSINV + Fixed channel length in + SLAVE + 13 + 1 + + + FIXCH + Word select inversion + 12 + 1 + + + CKPOL + Serial audio clock + polarity + 11 + 1 + + + CHLEN + Channel length (number of bits per audio + channel) + 10 + 1 + + + DATLEN + Data length to be + transferred + 8 + 2 + + + PCMSYNC + PCM frame synchronization + 7 + 1 + + + I2SSTD + I2S standard selection + 4 + 2 + + + I2SCFG + I2S configuration mode + 1 + 3 + + + I2SMOD + I2S mode selection + 0 + 1 + + + + + + + SPI2 + 0x40003800 + + SPI2 + SPI2 global interrupt + 36 + + + + SPI3 + 0x40003C00 + + SPI3 + SPI3 global interrupt + 51 + + + + SPI4 + 0x40013400 + + SPI4 + SPI4 global interrupt + 84 + + + + SPI5 + 0x40015000 + + SPI5 + SPI5 global interrupt + 85 + + + + SPI6 + 0x58001400 + + SPI6 + SPI6 global interrupt + 86 + + + + LTDC + LCD-TFT Controller + LTDC + 0x50001000 + + 0x0 + 0x1000 + registers + + + LTDC + LCD-TFT global interrupt + 88 + + + LTDC_ER + LCD-TFT error interrupt + 89 + + + + SSCR + SSCR + Synchronization Size Configuration + Register + 0x8 + 0x20 + read-write + 0x00000000 + + + HSW + Horizontal Synchronization Width (in + units of pixel clock period) + 16 + 10 + + + VSH + Vertical Synchronization Height (in + units of horizontal scan line) + 0 + 11 + + + + + BPCR + BPCR + Back Porch Configuration + Register + 0xC + 0x20 + read-write + 0x00000000 + + + AHBP + Accumulated Horizontal back porch (in + units of pixel clock period) + 16 + 12 + + + AVBP + Accumulated Vertical back porch (in + units of horizontal scan line) + 0 + 11 + + + + + AWCR + AWCR + Active Width Configuration + Register + 0x10 + 0x20 + read-write + 0x00000000 + + + AAV + AAV + 16 + 12 + + + AAH + Accumulated Active Height (in units of + horizontal scan line) + 0 + 11 + + + + + TWCR + TWCR + Total Width Configuration + Register + 0x14 + 0x20 + read-write + 0x00000000 + + + TOTALW + Total Width (in units of pixel clock + period) + 16 + 12 + + + TOTALH + Total Height (in units of horizontal + scan line) + 0 + 11 + + + + + GCR + GCR + Global Control Register + 0x18 + 0x20 + 0x00002220 + + + HSPOL + Horizontal Synchronization + Polarity + 31 + 1 + read-write + + + VSPOL + Vertical Synchronization + Polarity + 30 + 1 + read-write + + + DEPOL + Data Enable Polarity + 29 + 1 + read-write + + + PCPOL + Pixel Clock Polarity + 28 + 1 + read-write + + + DEN + Dither Enable + 16 + 1 + read-write + + + DRW + Dither Red Width + 12 + 3 + read-only + + + DGW + Dither Green Width + 8 + 3 + read-only + + + DBW + Dither Blue Width + 4 + 3 + read-only + + + LTDCEN + LCD-TFT controller enable + bit + 0 + 1 + read-write + + + + + SRCR + SRCR + Shadow Reload Configuration + Register + 0x24 + 0x20 + read-write + 0x00000000 + + + VBR + Vertical Blanking Reload + 1 + 1 + + + IMR + Immediate Reload + 0 + 1 + + + + + BCCR + BCCR + Background Color Configuration + Register + 0x2C + 0x20 + read-write + 0x00000000 + + + BCBLUE + Background Color Blue + value + 0 + 8 + + + BCGREEN + Background Color Green + value + 8 + 8 + + + BCRED + Background Color Red value + 16 + 8 + + + + + IER + IER + Interrupt Enable Register + 0x34 + 0x20 + read-write + 0x00000000 + + + RRIE + Register Reload interrupt + enable + 3 + 1 + + + TERRIE + Transfer Error Interrupt + Enable + 2 + 1 + + + FUIE + FIFO Underrun Interrupt + Enable + 1 + 1 + + + LIE + Line Interrupt Enable + 0 + 1 + + + + + ISR + ISR + Interrupt Status Register + 0x38 + 0x20 + read-only + 0x00000000 + + + RRIF + Register Reload Interrupt + Flag + 3 + 1 + + + TERRIF + Transfer Error interrupt + flag + 2 + 1 + + + FUIF + FIFO Underrun Interrupt + flag + 1 + 1 + + + LIF + Line Interrupt flag + 0 + 1 + + + + + ICR + ICR + Interrupt Clear Register + 0x3C + 0x20 + write-only + 0x00000000 + + + CRRIF + Clears Register Reload Interrupt + Flag + 3 + 1 + + + CTERRIF + Clears the Transfer Error Interrupt + Flag + 2 + 1 + + + CFUIF + Clears the FIFO Underrun Interrupt + flag + 1 + 1 + + + CLIF + Clears the Line Interrupt + Flag + 0 + 1 + + + + + LIPCR + LIPCR + Line Interrupt Position Configuration + Register + 0x40 + 0x20 + read-write + 0x00000000 + + + LIPOS + Line Interrupt Position + 0 + 11 + + + + + CPSR + CPSR + Current Position Status + Register + 0x44 + 0x20 + read-only + 0x00000000 + + + CXPOS + Current X Position + 16 + 16 + + + CYPOS + Current Y Position + 0 + 16 + + + + + CDSR + CDSR + Current Display Status + Register + 0x48 + 0x20 + read-only + 0x0000000F + + + HSYNCS + Horizontal Synchronization display + Status + 3 + 1 + + + VSYNCS + Vertical Synchronization display + Status + 2 + 1 + + + HDES + Horizontal Data Enable display + Status + 1 + 1 + + + VDES + Vertical Data Enable display + Status + 0 + 1 + + + + + L1CR + L1CR + Layerx Control Register + 0x84 + 0x20 + read-write + 0x00000000 + + + CLUTEN + Color Look-Up Table Enable + 4 + 1 + + + COLKEN + Color Keying Enable + 1 + 1 + + + LEN + Layer Enable + 0 + 1 + + + + + L1WHPCR + L1WHPCR + Layerx Window Horizontal Position + Configuration Register + 0x88 + 0x20 + read-write + 0x00000000 + + + WHSPPOS + Window Horizontal Stop + Position + 16 + 12 + + + WHSTPOS + Window Horizontal Start + Position + 0 + 12 + + + + + L1WVPCR + L1WVPCR + Layerx Window Vertical Position + Configuration Register + 0x8C + 0x20 + read-write + 0x00000000 + + + WVSPPOS + Window Vertical Stop + Position + 16 + 11 + + + WVSTPOS + Window Vertical Start + Position + 0 + 11 + + + + + L1CKCR + L1CKCR + Layerx Color Keying Configuration + Register + 0x90 + 0x20 + read-write + 0x00000000 + + + CKRED + Color Key Red value + 16 + 8 + + + CKGREEN + Color Key Green value + 8 + 8 + + + CKBLUE + Color Key Blue value + 0 + 8 + + + + + L1PFCR + L1PFCR + Layerx Pixel Format Configuration + Register + 0x94 + 0x20 + read-write + 0x00000000 + + + PF + Pixel Format + 0 + 3 + + + + + L1CACR + L1CACR + Layerx Constant Alpha Configuration + Register + 0x98 + 0x20 + read-write + 0x00000000 + + + CONSTA + Constant Alpha + 0 + 8 + + + + + L1DCCR + L1DCCR + Layerx Default Color Configuration + Register + 0x9C + 0x20 + read-write + 0x00000000 + + + DCALPHA + Default Color Alpha + 24 + 8 + + + DCRED + Default Color Red + 16 + 8 + + + DCGREEN + Default Color Green + 8 + 8 + + + DCBLUE + Default Color Blue + 0 + 8 + + + + + L1BFCR + L1BFCR + Layerx Blending Factors Configuration + Register + 0xA0 + 0x20 + read-write + 0x00000607 + + + BF1 + Blending Factor 1 + 8 + 3 + + + BF2 + Blending Factor 2 + 0 + 3 + + + + + L1CFBAR + L1CFBAR + Layerx Color Frame Buffer Address + Register + 0xAC + 0x20 + read-write + 0x00000000 + + + CFBADD + Color Frame Buffer Start + Address + 0 + 32 + + + + + L1CFBLR + L1CFBLR + Layerx Color Frame Buffer Length + Register + 0xB0 + 0x20 + read-write + 0x00000000 + + + CFBP + Color Frame Buffer Pitch in + bytes + 16 + 13 + + + CFBLL + Color Frame Buffer Line + Length + 0 + 13 + + + + + L1CFBLNR + L1CFBLNR + Layerx ColorFrame Buffer Line Number + Register + 0xB4 + 0x20 + read-write + 0x00000000 + + + CFBLNBR + Frame Buffer Line Number + 0 + 11 + + + + + L1CLUTWR + L1CLUTWR + Layerx CLUT Write Register + 0xC4 + 0x20 + write-only + 0x00000000 + + + CLUTADD + CLUT Address + 24 + 8 + + + RED + Red value + 16 + 8 + + + GREEN + Green value + 8 + 8 + + + BLUE + Blue value + 0 + 8 + + + + + L2CR + L2CR + Layerx Control Register + 0x104 + 0x20 + read-write + 0x00000000 + + + CLUTEN + Color Look-Up Table Enable + 4 + 1 + + + COLKEN + Color Keying Enable + 1 + 1 + + + LEN + Layer Enable + 0 + 1 + + + + + L2WHPCR + L2WHPCR + Layerx Window Horizontal Position + Configuration Register + 0x108 + 0x20 + read-write + 0x00000000 + + + WHSPPOS + Window Horizontal Stop + Position + 16 + 12 + + + WHSTPOS + Window Horizontal Start + Position + 0 + 12 + + + + + L2WVPCR + L2WVPCR + Layerx Window Vertical Position + Configuration Register + 0x10C + 0x20 + read-write + 0x00000000 + + + WVSPPOS + Window Vertical Stop + Position + 16 + 11 + + + WVSTPOS + Window Vertical Start + Position + 0 + 11 + + + + + L2CKCR + L2CKCR + Layerx Color Keying Configuration + Register + 0x110 + 0x20 + read-write + 0x00000000 + + + CKRED + Color Key Red value + 16 + 8 + + + CKGREEN + Color Key Green value + 8 + 8 + + + CKBLUE + Color Key Blue value + 0 + 8 + + + + + L2PFCR + L2PFCR + Layerx Pixel Format Configuration + Register + 0x114 + 0x20 + read-write + 0x00000000 + + + PF + Pixel Format + 0 + 3 + + + + + L2CACR + L2CACR + Layerx Constant Alpha Configuration + Register + 0x118 + 0x20 + read-write + 0x00000000 + + + CONSTA + Constant Alpha + 0 + 8 + + + + + L2DCCR + L2DCCR + Layerx Default Color Configuration + Register + 0x11C + 0x20 + read-write + 0x00000000 + + + DCALPHA + Default Color Alpha + 24 + 8 + + + DCRED + Default Color Red + 16 + 8 + + + DCGREEN + Default Color Green + 8 + 8 + + + DCBLUE + Default Color Blue + 0 + 8 + + + + + L2BFCR + L2BFCR + Layerx Blending Factors Configuration + Register + 0x120 + 0x20 + read-write + 0x00000607 + + + BF1 + Blending Factor 1 + 8 + 3 + + + BF2 + Blending Factor 2 + 0 + 3 + + + + + L2CFBAR + L2CFBAR + Layerx Color Frame Buffer Address + Register + 0x12C + 0x20 + read-write + 0x00000000 + + + CFBADD + Color Frame Buffer Start + Address + 0 + 32 + + + + + L2CFBLR + L2CFBLR + Layerx Color Frame Buffer Length + Register + 0x130 + 0x20 + read-write + 0x00000000 + + + CFBP + Color Frame Buffer Pitch in + bytes + 16 + 13 + + + CFBLL + Color Frame Buffer Line + Length + 0 + 13 + + + + + L2CFBLNR + L2CFBLNR + Layerx ColorFrame Buffer Line Number + Register + 0x134 + 0x20 + read-write + 0x00000000 + + + CFBLNBR + Frame Buffer Line Number + 0 + 11 + + + + + L2CLUTWR + L2CLUTWR + Layerx CLUT Write Register + 0x144 + 0x20 + write-only + 0x00000000 + + + CLUTADD + CLUT Address + 24 + 8 + + + RED + Red value + 16 + 8 + + + GREEN + Green value + 8 + 8 + + + BLUE + Blue value + 0 + 8 + + + + + + + SPDIFRX + Receiver Interface + SPDIFRX + 0x40004000 + + 0x0 + 0x400 + registers + + + SPDIF + SPDIFRX global interrupt + 97 + + + + CR + CR + Control register + 0x0 + 0x20 + read-write + 0x00000000 + + + SPDIFRXEN + Peripheral Block Enable + 0 + 2 + + + RXDMAEN + Receiver DMA ENable for data + flow + 2 + 1 + + + RXSTEO + STerEO Mode + 3 + 1 + + + DRFMT + RX Data format + 4 + 2 + + + PMSK + Mask Parity error bit + 6 + 1 + + + VMSK + Mask of Validity bit + 7 + 1 + + + CUMSK + Mask of channel status and user + bits + 8 + 1 + + + PTMSK + Mask of Preamble Type bits + 9 + 1 + + + CBDMAEN + Control Buffer DMA ENable for control + flow + 10 + 1 + + + CHSEL + Channel Selection + 11 + 1 + + + NBTR + Maximum allowed re-tries during + synchronization phase + 12 + 2 + + + WFA + Wait For Activity + 14 + 1 + + + INSEL + input selection + 16 + 3 + + + CKSEN + Symbol Clock Enable + 20 + 1 + + + CKSBKPEN + Backup Symbol Clock Enable + 21 + 1 + + + + + IMR + IMR + Interrupt mask register + 0x4 + 0x20 + read-write + 0x00000000 + + + RXNEIE + RXNE interrupt enable + 0 + 1 + + + CSRNEIE + Control Buffer Ready Interrupt + Enable + 1 + 1 + + + PERRIE + Parity error interrupt + enable + 2 + 1 + + + OVRIE + Overrun error Interrupt + Enable + 3 + 1 + + + SBLKIE + Synchronization Block Detected Interrupt + Enable + 4 + 1 + + + SYNCDIE + Synchronization Done + 5 + 1 + + + IFEIE + Serial Interface Error Interrupt + Enable + 6 + 1 + + + + + SR + SR + Status register + 0x8 + 0x20 + read-only + 0x00000000 + + + RXNE + Read data register not + empty + 0 + 1 + + + CSRNE + Control Buffer register is not + empty + 1 + 1 + + + PERR + Parity error + 2 + 1 + + + OVR + Overrun error + 3 + 1 + + + SBD + Synchronization Block + Detected + 4 + 1 + + + SYNCD + Synchronization Done + 5 + 1 + + + FERR + Framing error + 6 + 1 + + + SERR + Synchronization error + 7 + 1 + + + TERR + Time-out error + 8 + 1 + + + WIDTH5 + Duration of 5 symbols counted with + SPDIF_CLK + 16 + 15 + + + + + IFCR + IFCR + Interrupt Flag Clear register + 0xC + 0x20 + write-only + 0x00000000 + + + PERRCF + Clears the Parity error + flag + 2 + 1 + + + OVRCF + Clears the Overrun error + flag + 3 + 1 + + + SBDCF + Clears the Synchronization Block + Detected flag + 4 + 1 + + + SYNCDCF + Clears the Synchronization Done + flag + 5 + 1 + + + + + DR_00 + DR_00 + Data input register + 0x10 + 0x20 + read-only + 0x00000000 + + + DR + Parity Error bit + 0 + 24 + + + PE + Parity Error bit + 24 + 1 + + + V + Validity bit + 25 + 1 + + + U + User bit + 26 + 1 + + + C + Channel Status bit + 27 + 1 + + + PT + Preamble Type + 28 + 2 + + + + + CSR + CSR + Channel Status register + 0x14 + 0x20 + read-only + 0x00000000 + + + USR + User data information + 0 + 16 + + + CS + Channel A status + information + 16 + 8 + + + SOB + Start Of Block + 24 + 1 + + + + + DIR + DIR + Debug Information register + 0x18 + 0x20 + read-only + 0x00000000 + + + THI + Threshold HIGH + 0 + 13 + + + TLO + Threshold LOW + 16 + 13 + + + + + VERR + VERR + SPDIFRX version register + 0x3F4 + 0x20 + read-only + 0x00000012 + + + MINREV + Minor revision + 0 + 4 + + + MAJREV + Major revision + 4 + 4 + + + + + IDR + IDR + SPDIFRX identification + register + 0x3F8 + 0x20 + read-only + 0x00130041 + + + ID + SPDIFRX identifier + 0 + 32 + + + + + SIDR + SIDR + SPDIFRX size identification + register + 0x3FC + 0x20 + read-only + 0xA3C5DD01 + + + SID + Size identification + 0 + 32 + + + + + DR_01 + DR_01 + Data input register + DR_00 + 0x10 + 0x20 + read-only + 0x00000000 + + + PE + Parity Error bit + 0 + 1 + + + V + Validity bit + 1 + 1 + + + U + User bit + 2 + 1 + + + C + Channel Status bit + 3 + 1 + + + PT + Preamble Type + 4 + 2 + + + DR + Data value + 8 + 24 + + + + + DR_10 + DR_10 + Data input register + DR_00 + 0x10 + 0x20 + read-only + 0x00000000 + + + DRNL1 + Data value + 0 + 16 + + + DRNL2 + Data value + 16 + 16 + + + + + + + ADC3 + Analog to Digital Converter + ADC + 0x58026000 + + 0x0 + 0xD1 + registers + + + ADC3 + ADC3 global interrupt + 127 + + + + ISR + ISR + ADC interrupt and status + register + 0x0 + 0x20 + read-write + 0x00000000 + + + JQOVF + ADC group injected contexts queue + overflow flag + 10 + 1 + + + AWD3 + ADC analog watchdog 3 flag + 9 + 1 + + + AWD2 + ADC analog watchdog 2 flag + 8 + 1 + + + AWD1 + ADC analog watchdog 1 flag + 7 + 1 + + + JEOS + ADC group injected end of sequence + conversions flag + 6 + 1 + + + JEOC + ADC group injected end of unitary + conversion flag + 5 + 1 + + + OVR + ADC group regular overrun + flag + 4 + 1 + + + EOS + ADC group regular end of sequence + conversions flag + 3 + 1 + + + EOC + ADC group regular end of unitary + conversion flag + 2 + 1 + + + EOSMP + ADC group regular end of sampling + flag + 1 + 1 + + + ADRDY + ADC ready flag + 0 + 1 + + + + + IER + IER + ADC interrupt enable register + 0x4 + 0x20 + read-write + 0x00000000 + + + JQOVFIE + ADC group injected contexts queue + overflow interrupt + 10 + 1 + + + AWD3IE + ADC analog watchdog 3 + interrupt + 9 + 1 + + + AWD2IE + ADC analog watchdog 2 + interrupt + 8 + 1 + + + AWD1IE + ADC analog watchdog 1 + interrupt + 7 + 1 + + + JEOSIE + ADC group injected end of sequence + conversions interrupt + 6 + 1 + + + JEOCIE + ADC group injected end of unitary + conversion interrupt + 5 + 1 + + + OVRIE + ADC group regular overrun + interrupt + 4 + 1 + + + EOSIE + ADC group regular end of sequence + conversions interrupt + 3 + 1 + + + EOCIE + ADC group regular end of unitary + conversion interrupt + 2 + 1 + + + EOSMPIE + ADC group regular end of sampling + interrupt + 1 + 1 + + + ADRDYIE + ADC ready interrupt + 0 + 1 + + + + + CR + CR + ADC control register + 0x8 + 0x20 + read-write + 0x00000000 + + + ADCAL + ADC calibration + 31 + 1 + + + ADCALDIF + ADC differential mode for + calibration + 30 + 1 + + + DEEPPWD + ADC deep power down enable + 29 + 1 + + + ADVREGEN + ADC voltage regulator + enable + 28 + 1 + + + LINCALRDYW6 + Linearity calibration ready Word + 6 + 27 + 1 + + + LINCALRDYW5 + Linearity calibration ready Word + 5 + 26 + 1 + + + LINCALRDYW4 + Linearity calibration ready Word + 4 + 25 + 1 + + + LINCALRDYW3 + Linearity calibration ready Word + 3 + 24 + 1 + + + LINCALRDYW2 + Linearity calibration ready Word + 2 + 23 + 1 + + + LINCALRDYW1 + Linearity calibration ready Word + 1 + 22 + 1 + + + ADCALLIN + Linearity calibration + 16 + 1 + + + BOOST + Boost mode control + 8 + 1 + + + JADSTP + ADC group injected conversion + stop + 5 + 1 + + + ADSTP + ADC group regular conversion + stop + 4 + 1 + + + JADSTART + ADC group injected conversion + start + 3 + 1 + + + ADSTART + ADC group regular conversion + start + 2 + 1 + + + ADDIS + ADC disable + 1 + 1 + + + ADEN + ADC enable + 0 + 1 + + + + + CFGR + CFGR + ADC configuration register 1 + 0xC + 0x20 + read-write + 0x00000000 + + + JQDIS + ADC group injected contexts queue + disable + 31 + 1 + + + AWDCH1CH + ADC analog watchdog 1 monitored channel + selection + 26 + 5 + + + JAUTO + ADC group injected automatic trigger + mode + 25 + 1 + + + JAWD1EN + ADC analog watchdog 1 enable on scope + ADC group injected + 24 + 1 + + + AWD1EN + ADC analog watchdog 1 enable on scope + ADC group regular + 23 + 1 + + + AWD1SGL + ADC analog watchdog 1 monitoring a + single channel or all channels + 22 + 1 + + + JQM + ADC group injected contexts queue + mode + 21 + 1 + + + JDISCEN + ADC group injected sequencer + discontinuous mode + 20 + 1 + + + DISCNUM + ADC group regular sequencer + discontinuous number of ranks + 17 + 3 + + + DISCEN + ADC group regular sequencer + discontinuous mode + 16 + 1 + + + AUTDLY + ADC low power auto wait + 14 + 1 + + + CONT + ADC group regular continuous conversion + mode + 13 + 1 + + + OVRMOD + ADC group regular overrun + configuration + 12 + 1 + + + EXTEN + ADC group regular external trigger + polarity + 10 + 2 + + + EXTSEL + ADC group regular external trigger + source + 5 + 5 + + + RES + ADC data resolution + 2 + 3 + + + DMNGT + ADC DMA transfer enable + 0 + 2 + + + + + CFGR2 + CFGR2 + ADC configuration register 2 + 0x10 + 0x20 + read-write + 0x00000000 + + + ROVSE + ADC oversampler enable on scope ADC + group regular + 0 + 1 + + + JOVSE + ADC oversampler enable on scope ADC + group injected + 1 + 1 + + + OVSS + ADC oversampling shift + 5 + 4 + + + TROVS + ADC oversampling discontinuous mode + (triggered mode) for ADC group regular + 9 + 1 + + + ROVSM + Regular Oversampling mode + 10 + 1 + + + RSHIFT1 + Right-shift data after Offset 1 + correction + 11 + 1 + + + RSHIFT2 + Right-shift data after Offset 2 + correction + 12 + 1 + + + RSHIFT3 + Right-shift data after Offset 3 + correction + 13 + 1 + + + RSHIFT4 + Right-shift data after Offset 4 + correction + 14 + 1 + + + OSR + Oversampling ratio + 16 + 10 + + + LSHIFT + Left shift factor + 28 + 4 + + + + + SMPR1 + SMPR1 + ADC sampling time register 1 + 0x14 + 0x20 + read-write + 0x00000000 + + + SMP9 + ADC channel 9 sampling time + selection + 27 + 3 + + + SMP8 + ADC channel 8 sampling time + selection + 24 + 3 + + + SMP7 + ADC channel 7 sampling time + selection + 21 + 3 + + + SMP6 + ADC channel 6 sampling time + selection + 18 + 3 + + + SMP5 + ADC channel 5 sampling time + selection + 15 + 3 + + + SMP4 + ADC channel 4 sampling time + selection + 12 + 3 + + + SMP3 + ADC channel 3 sampling time + selection + 9 + 3 + + + SMP2 + ADC channel 2 sampling time + selection + 6 + 3 + + + SMP1 + ADC channel 1 sampling time + selection + 3 + 3 + + + + + SMPR2 + SMPR2 + ADC sampling time register 2 + 0x18 + 0x20 + read-write + 0x00000000 + + + SMP19 + ADC channel 18 sampling time + selection + 27 + 3 + + + SMP18 + ADC channel 18 sampling time + selection + 24 + 3 + + + SMP17 + ADC channel 17 sampling time + selection + 21 + 3 + + + SMP16 + ADC channel 16 sampling time + selection + 18 + 3 + + + SMP15 + ADC channel 15 sampling time + selection + 15 + 3 + + + SMP14 + ADC channel 14 sampling time + selection + 12 + 3 + + + SMP13 + ADC channel 13 sampling time + selection + 9 + 3 + + + SMP12 + ADC channel 12 sampling time + selection + 6 + 3 + + + SMP11 + ADC channel 11 sampling time + selection + 3 + 3 + + + SMP10 + ADC channel 10 sampling time + selection + 0 + 3 + + + + + LTR1 + LTR1 + ADC analog watchdog 1 threshold + register + 0x20 + 0x20 + read-write + 0x0FFF0000 + + + LTR1 + ADC analog watchdog 1 threshold + low + 0 + 26 + + + + + LHTR1 + LHTR1 + ADC analog watchdog 2 threshold + register + 0x24 + 0x20 + read-write + 0x0FFF0000 + + + LHTR1 + ADC analog watchdog 2 threshold + low + 0 + 26 + + + + + SQR1 + SQR1 + ADC group regular sequencer ranks register + 1 + 0x30 + 0x20 + read-write + 0x00000000 + + + SQ4 + ADC group regular sequencer rank + 4 + 24 + 5 + + + SQ3 + ADC group regular sequencer rank + 3 + 18 + 5 + + + SQ2 + ADC group regular sequencer rank + 2 + 12 + 5 + + + SQ1 + ADC group regular sequencer rank + 1 + 6 + 5 + + + L3 + L3 + 0 + 4 + + + + + SQR2 + SQR2 + ADC group regular sequencer ranks register + 2 + 0x34 + 0x20 + read-write + 0x00000000 + + + SQ9 + ADC group regular sequencer rank + 9 + 24 + 5 + + + SQ8 + ADC group regular sequencer rank + 8 + 18 + 5 + + + SQ7 + ADC group regular sequencer rank + 7 + 12 + 5 + + + SQ6 + ADC group regular sequencer rank + 6 + 6 + 5 + + + SQ5 + ADC group regular sequencer rank + 5 + 0 + 5 + + + + + SQR3 + SQR3 + ADC group regular sequencer ranks register + 3 + 0x38 + 0x20 + read-write + 0x00000000 + + + SQ14 + ADC group regular sequencer rank + 14 + 24 + 5 + + + SQ13 + ADC group regular sequencer rank + 13 + 18 + 5 + + + SQ12 + ADC group regular sequencer rank + 12 + 12 + 5 + + + SQ11 + ADC group regular sequencer rank + 11 + 6 + 5 + + + SQ10 + ADC group regular sequencer rank + 10 + 0 + 5 + + + + + SQR4 + SQR4 + ADC group regular sequencer ranks register + 4 + 0x3C + 0x20 + read-write + 0x00000000 + + + SQ16 + ADC group regular sequencer rank + 16 + 6 + 5 + + + SQ15 + ADC group regular sequencer rank + 15 + 0 + 5 + + + + + DR + DR + ADC group regular conversion data + register + 0x40 + 0x20 + read-only + 0x00000000 + + + RDATA + ADC group regular conversion + data + 0 + 16 + + + + + JSQR + JSQR + ADC group injected sequencer + register + 0x4C + 0x20 + read-write + 0x00000000 + + + JSQ4 + ADC group injected sequencer rank + 4 + 27 + 5 + + + JSQ3 + ADC group injected sequencer rank + 3 + 21 + 5 + + + JSQ2 + ADC group injected sequencer rank + 2 + 15 + 5 + + + JSQ1 + ADC group injected sequencer rank + 1 + 9 + 5 + + + JEXTEN + ADC group injected external trigger + polarity + 7 + 2 + + + JEXTSEL + ADC group injected external trigger + source + 2 + 5 + + + JL + ADC group injected sequencer scan + length + 0 + 2 + + + + + OFR1 + OFR1 + ADC offset number 1 register + 0x60 + 0x20 + read-write + 0x00000000 + + + SSATE + ADC offset number 1 enable + 31 + 1 + + + OFFSET1_CH + ADC offset number 1 channel + selection + 26 + 5 + + + OFFSET1 + ADC offset number 1 offset + level + 0 + 26 + + + + + OFR2 + OFR2 + ADC offset number 2 register + 0x64 + 0x20 + read-write + 0x00000000 + + + SSATE + ADC offset number 1 enable + 31 + 1 + + + OFFSET1_CH + ADC offset number 1 channel + selection + 26 + 5 + + + OFFSET1 + ADC offset number 1 offset + level + 0 + 26 + + + + + OFR3 + OFR3 + ADC offset number 3 register + 0x68 + 0x20 + read-write + 0x00000000 + + + SSATE + ADC offset number 1 enable + 31 + 1 + + + OFFSET1_CH + ADC offset number 1 channel + selection + 26 + 5 + + + OFFSET1 + ADC offset number 1 offset + level + 0 + 26 + + + + + OFR4 + OFR4 + ADC offset number 4 register + 0x6C + 0x20 + read-write + 0x00000000 + + + SSATE + ADC offset number 1 enable + 31 + 1 + + + OFFSET1_CH + ADC offset number 1 channel + selection + 26 + 5 + + + OFFSET1 + ADC offset number 1 offset + level + 0 + 26 + + + + + JDR1 + JDR1 + ADC group injected sequencer rank 1 + register + 0x80 + 0x20 + read-only + 0x00000000 + + + JDATA1 + ADC group injected sequencer rank 1 + conversion data + 0 + 32 + + + + + JDR2 + JDR2 + ADC group injected sequencer rank 2 + register + 0x84 + 0x20 + read-only + 0x00000000 + + + JDATA2 + ADC group injected sequencer rank 2 + conversion data + 0 + 32 + + + + + JDR3 + JDR3 + ADC group injected sequencer rank 3 + register + 0x88 + 0x20 + read-only + 0x00000000 + + + JDATA3 + ADC group injected sequencer rank 3 + conversion data + 0 + 32 + + + + + JDR4 + JDR4 + ADC group injected sequencer rank 4 + register + 0x8C + 0x20 + read-only + 0x00000000 + + + JDATA4 + ADC group injected sequencer rank 4 + conversion data + 0 + 32 + + + + + AWD2CR + AWD2CR + ADC analog watchdog 2 configuration + register + 0xA0 + 0x20 + read-write + 0x00000000 + + + AWD2CH + ADC analog watchdog 2 monitored channel + selection + 0 + 20 + + + + + AWD3CR + AWD3CR + ADC analog watchdog 3 configuration + register + 0xA4 + 0x20 + read-write + 0x00000000 + + + AWD3CH + ADC analog watchdog 3 monitored channel + selection + 1 + 20 + + + + + DIFSEL + DIFSEL + ADC channel differential or single-ended + mode selection register + 0xC0 + 0x20 + read-write + 0x00000000 + + + DIFSEL + ADC channel differential or single-ended + mode for channel + 0 + 20 + + + + + CALFACT + CALFACT + ADC calibration factors + register + 0xC4 + 0x20 + read-write + 0x00000000 + + + CALFACT_D + ADC calibration factor in differential + mode + 16 + 11 + + + CALFACT_S + ADC calibration factor in single-ended + mode + 0 + 11 + + + + + PCSEL + PCSEL + ADC pre channel selection + register + 0x1C + 0x20 + read-write + 0x00000000 + + + PCSEL + Channel x (VINP[i]) pre + selection + 0 + 20 + + + + + LTR2 + LTR2 + ADC watchdog lower threshold register + 2 + 0xB0 + 0x20 + read-write + 0x00000000 + + + LTR2 + Analog watchdog 2 lower + threshold + 0 + 26 + + + + + HTR2 + HTR2 + ADC watchdog higher threshold register + 2 + 0xB4 + 0x20 + read-write + 0x00000000 + + + HTR2 + Analog watchdog 2 higher + threshold + 0 + 26 + + + + + LTR3 + LTR3 + ADC watchdog lower threshold register + 3 + 0xB8 + 0x20 + read-write + 0x00000000 + + + LTR3 + Analog watchdog 3 lower + threshold + 0 + 26 + + + + + HTR3 + HTR3 + ADC watchdog higher threshold register + 3 + 0xBC + 0x20 + read-write + 0x00000000 + + + HTR3 + Analog watchdog 3 higher + threshold + 0 + 26 + + + + + CALFACT2 + CALFACT2 + ADC Calibration Factor register + 2 + 0xC8 + 0x20 + read-write + 0x00000000 + + + LINCALFACT + Linearity Calibration + Factor + 0 + 30 + + + + + + + ADC1 + 0x40022000 + + + ADC2 + 0x40022100 + + + ADC3_Common + Analog-to-Digital Converter + ADC + 0x58026300 + + 0x0 + 0x100 + registers + + + + CSR + CSR + ADC Common status register + 0x0 + 0x20 + read-only + 0x00000000 + + + ADRDY_MST + Master ADC ready + 0 + 1 + + + EOSMP_MST + End of Sampling phase flag of the master + ADC + 1 + 1 + + + EOC_MST + End of regular conversion of the master + ADC + 2 + 1 + + + EOS_MST + End of regular sequence flag of the + master ADC + 3 + 1 + + + OVR_MST + Overrun flag of the master + ADC + 4 + 1 + + + JEOC_MST + End of injected conversion flag of the + master ADC + 5 + 1 + + + JEOS_MST + End of injected sequence flag of the + master ADC + 6 + 1 + + + AWD1_MST + Analog watchdog 1 flag of the master + ADC + 7 + 1 + + + AWD2_MST + Analog watchdog 2 flag of the master + ADC + 8 + 1 + + + AWD3_MST + Analog watchdog 3 flag of the master + ADC + 9 + 1 + + + JQOVF_MST + Injected Context Queue Overflow flag of + the master ADC + 10 + 1 + + + ADRDY_SLV + Slave ADC ready + 16 + 1 + + + EOSMP_SLV + End of Sampling phase flag of the slave + ADC + 17 + 1 + + + EOC_SLV + End of regular conversion of the slave + ADC + 18 + 1 + + + EOS_SLV + End of regular sequence flag of the + slave ADC + 19 + 1 + + + OVR_SLV + Overrun flag of the slave + ADC + 20 + 1 + + + JEOC_SLV + End of injected conversion flag of the + slave ADC + 21 + 1 + + + JEOS_SLV + End of injected sequence flag of the + slave ADC + 22 + 1 + + + AWD1_SLV + Analog watchdog 1 flag of the slave + ADC + 23 + 1 + + + AWD2_SLV + Analog watchdog 2 flag of the slave + ADC + 24 + 1 + + + AWD3_SLV + Analog watchdog 3 flag of the slave + ADC + 25 + 1 + + + JQOVF_SLV + Injected Context Queue Overflow flag of + the slave ADC + 26 + 1 + + + + + CCR + CCR + ADC common control register + 0x8 + 0x20 + read-write + 0x00000000 + + + DUAL + Dual ADC mode selection + 0 + 5 + + + DELAY + Delay between 2 sampling + phases + 8 + 4 + + + DAMDF + Dual ADC Mode Data Format + 14 + 2 + + + CKMODE + ADC clock mode + 16 + 2 + + + PRESC + ADC prescaler + 18 + 4 + + + VREFEN + VREFINT enable + 22 + 1 + + + VSENSEEN + Temperature sensor enable + 23 + 1 + + + VBATEN + VBAT enable + 24 + 1 + + + + + CDR + CDR + ADC common regular data register for dual + and triple modes + 0xC + 0x20 + read-only + 0x00000000 + + + RDATA_SLV + Regular data of the slave + ADC + 16 + 16 + + + RDATA_MST + Regular data of the master + ADC + 0 + 16 + + + + + CDR2 + CDR2 + ADC x common regular data register for + 32-bit dual mode + 0x10 + 0x20 + read-only + 0x00000000 + + + RDATA_ALT + Regular data of the master/slave + alternated ADCs + 0 + 32 + + + + + + + ADC12_Common + 0x40022300 + + ADC1_2 + ADC1 and ADC2 + 18 + + + + CRC + Cryptographic processor + CRC + 0x58024C00 + + 0x0 + 0x400 + registers + + + + DR + DR + Data register + 0x0 + 0x20 + read-write + 0xFFFFFFFF + + + DR + Data Register + 0 + 32 + + + + + IDR + IDR + Independent Data register + 0x4 + 0x20 + read-write + 0x00000000 + + + IDR + Independent Data register + 0 + 32 + + + + + CR + CR + Control register + 0x8 + 0x20 + 0x00000000 + + + RESET + RESET bit + 0 + 1 + write-only + + + POLYSIZE + Polynomial size + 3 + 2 + read-write + + + REV_IN + Reverse input data + 5 + 2 + read-write + + + REV_OUT + Reverse output data + 7 + 1 + read-write + + + + + INIT + INIT + Initial CRC value + 0x10 + 0x20 + read-write + 0xFFFFFFFF + + + CRC_INIT + Programmable initial CRC + value + 0 + 32 + + + + + POL + POL + CRC polynomial + 0x14 + 0x20 + read-write + 0x04C11DB7 + + + POL + Programmable polynomial + 0 + 32 + + + + + + + RCC + Reset and clock control + RCC + 0x58024400 + + 0x0 + 0x400 + registers + + + RCC + RCC global interrupt + 5 + + + + CR + CR + clock control register + 0x0 + 0x20 + read-write + 0x00000083 + + + HSION + Internal high-speed clock + enable + 0 + 1 + + + HSIKERON + High Speed Internal clock enable in Stop + mode + 1 + 1 + + + HSIRDY + HSI clock ready flag + 2 + 1 + + + HSIDIV + HSI clock divider + 3 + 2 + + + HSIDIVF + HSI divider flag + 5 + 1 + + + CSION + CSI clock enable + 7 + 1 + + + CSIRDY + CSI clock ready flag + 8 + 1 + + + CSIKERON + CSI clock enable in Stop + mode + 9 + 1 + + + RC48ON + RC48 clock enable + 12 + 1 + + + RC48RDY + RC48 clock ready flag + 13 + 1 + + + D1CKRDY + D1 domain clocks ready + flag + 14 + 1 + + + D2CKRDY + D2 domain clocks ready + flag + 15 + 1 + + + HSEON + HSE clock enable + 16 + 1 + + + HSERDY + HSE clock ready flag + 17 + 1 + + + HSEBYP + HSE clock bypass + 18 + 1 + + + HSECSSON + HSE Clock Security System + enable + 19 + 1 + + + PLL1ON + PLL1 enable + 24 + 1 + + + PLL1RDY + PLL1 clock ready flag + 25 + 1 + + + PLL2ON + PLL2 enable + 26 + 1 + + + PLL2RDY + PLL2 clock ready flag + 27 + 1 + + + PLL3ON + PLL3 enable + 28 + 1 + + + PLL3RDY + PLL3 clock ready flag + 29 + 1 + + + + + ICSCR + ICSCR + RCC Internal Clock Source Calibration + Register + 0x4 + 0x20 + 0x40000000 + + + HSICAL + HSI clock calibration + 0 + 12 + read-only + + + HSITRIM + HSI clock trimming + 12 + 6 + read-write + + + CSICAL + CSI clock calibration + 18 + 8 + read-only + + + CSITRIM + CSI clock trimming + 26 + 5 + read-write + + + + + CRRCR + CRRCR + RCC Clock Recovery RC Register + 0x8 + 0x20 + read-only + 0x00000000 + + + RC48CAL + Internal RC 48 MHz clock + calibration + 0 + 10 + + + + + CFGR + CFGR + RCC Clock Configuration + Register + 0x10 + 0x20 + read-write + 0x00000000 + + + SW + System clock switch + 0 + 3 + + + SWS + System clock switch status + 3 + 3 + + + STOPWUCK + System clock selection after a wake up + from system Stop + 6 + 1 + + + STOPKERWUCK + Kernel clock selection after a wake up + from system Stop + 7 + 1 + + + RTCPRE + HSE division factor for RTC + clock + 8 + 6 + + + HRTIMSEL + High Resolution Timer clock prescaler + selection + 14 + 1 + + + TIMPRE + Timers clocks prescaler + selection + 15 + 1 + + + MCO1PRE + MCO1 prescaler + 18 + 4 + + + MCO1SEL + Micro-controller clock output + 1 + 22 + 3 + + + MCO2PRE + MCO2 prescaler + 25 + 4 + + + MCO2SEL + Micro-controller clock output + 2 + 29 + 3 + + + + + D1CFGR + D1CFGR + RCC Domain 1 Clock Configuration + Register + 0x18 + 0x20 + read-write + 0x00000000 + + + HPRE + D1 domain AHB prescaler + 0 + 4 + + + D1PPRE + D1 domain APB3 prescaler + 4 + 3 + + + D1CPRE + D1 domain Core prescaler + 8 + 4 + + + + + D2CFGR + D2CFGR + RCC Domain 2 Clock Configuration + Register + 0x1C + 0x20 + read-write + 0x00000000 + + + D2PPRE1 + D2 domain APB1 prescaler + 4 + 3 + + + D2PPRE2 + D2 domain APB2 prescaler + 8 + 3 + + + + + D3CFGR + D3CFGR + RCC Domain 3 Clock Configuration + Register + 0x20 + 0x20 + read-write + 0x00000000 + + + D3PPRE + D3 domain APB4 prescaler + 4 + 3 + + + + + PLLCKSELR + PLLCKSELR + RCC PLLs Clock Source Selection + Register + 0x28 + 0x20 + read-write + 0x02020200 + + + PLLSRC + DIVMx and PLLs clock source + selection + 0 + 2 + + + DIVM1 + Prescaler for PLL1 + 4 + 6 + + + DIVM2 + Prescaler for PLL2 + 12 + 6 + + + DIVM3 + Prescaler for PLL3 + 20 + 6 + + + + + PLLCFGR + PLLCFGR + RCC PLLs Configuration + Register + 0x2C + 0x20 + read-write + 0x01FF0000 + + + PLL1FRACEN + PLL1 fractional latch + enable + 0 + 1 + + + PLL1VCOSEL + PLL1 VCO selection + 1 + 1 + + + PLL1RGE + PLL1 input frequency range + 2 + 2 + + + PLL2FRACEN + PLL2 fractional latch + enable + 4 + 1 + + + PLL2VCOSEL + PLL2 VCO selection + 5 + 1 + + + PLL2RGE + PLL2 input frequency range + 6 + 2 + + + PLL3FRACEN + PLL3 fractional latch + enable + 8 + 1 + + + PLL3VCOSEL + PLL3 VCO selection + 9 + 1 + + + PLL3RGE + PLL3 input frequency range + 10 + 2 + + + DIVP1EN + PLL1 DIVP divider output + enable + 16 + 1 + + + DIVQ1EN + PLL1 DIVQ divider output + enable + 17 + 1 + + + DIVR1EN + PLL1 DIVR divider output + enable + 18 + 1 + + + DIVP2EN + PLL2 DIVP divider output + enable + 19 + 1 + + + DIVQ2EN + PLL2 DIVQ divider output + enable + 20 + 1 + + + DIVR2EN + PLL2 DIVR divider output + enable + 21 + 1 + + + DIVP3EN + PLL3 DIVP divider output + enable + 22 + 1 + + + DIVQ3EN + PLL3 DIVQ divider output + enable + 23 + 1 + + + DIVR3EN + PLL3 DIVR divider output + enable + 24 + 1 + + + + + PLL1DIVR + PLL1DIVR + RCC PLL1 Dividers Configuration + Register + 0x30 + 0x20 + read-write + 0x01010280 + + + DIVN1 + Multiplication factor for PLL1 + VCO + 0 + 9 + + + DIVP1 + PLL1 DIVP division factor + 9 + 7 + + + DIVQ1 + PLL1 DIVQ division factor + 16 + 7 + + + DIVR1 + PLL1 DIVR division factor + 24 + 7 + + + + + PLL1FRACR + PLL1FRACR + RCC PLL1 Fractional Divider + Register + 0x34 + 0x20 + read-write + 0x00000000 + + + FRACN1 + Fractional part of the multiplication + factor for PLL1 VCO + 3 + 13 + + + + + PLL2DIVR + PLL2DIVR + RCC PLL2 Dividers Configuration + Register + 0x38 + 0x20 + read-write + 0x01010280 + + + DIVN2 + Multiplication factor for PLL1 + VCO + 0 + 9 + + + DIVP2 + PLL1 DIVP division factor + 9 + 7 + + + DIVQ2 + PLL1 DIVQ division factor + 16 + 7 + + + DIVR2 + PLL1 DIVR division factor + 24 + 7 + + + + + PLL2FRACR + PLL2FRACR + RCC PLL2 Fractional Divider + Register + 0x3C + 0x20 + read-write + 0x00000000 + + + FRACN2 + Fractional part of the multiplication + factor for PLL VCO + 3 + 13 + + + + + PLL3DIVR + PLL3DIVR + RCC PLL3 Dividers Configuration + Register + 0x40 + 0x20 + read-write + 0x01010280 + + + DIVN3 + Multiplication factor for PLL1 + VCO + 0 + 9 + + + DIVP3 + PLL DIVP division factor + 9 + 7 + + + DIVQ3 + PLL DIVQ division factor + 16 + 7 + + + DIVR3 + PLL DIVR division factor + 24 + 7 + + + + + PLL3FRACR + PLL3FRACR + RCC PLL3 Fractional Divider + Register + 0x44 + 0x20 + read-write + 0x00000000 + + + FRACN3 + Fractional part of the multiplication + factor for PLL3 VCO + 3 + 13 + + + + + D1CCIPR + D1CCIPR + RCC Domain 1 Kernel Clock Configuration + Register + 0x4C + 0x20 + read-write + 0x00000000 + + + FMCSRC + FMC kernel clock source + selection + 0 + 2 + + + QSPISRC + QUADSPI kernel clock source + selection + 4 + 2 + + + SDMMCSRC + SDMMC kernel clock source + selection + 16 + 1 + + + CKPERSRC + per_ck clock source + selection + 28 + 2 + + + + + D2CCIP1R + D2CCIP1R + RCC Domain 2 Kernel Clock Configuration + Register + 0x50 + 0x20 + read-write + 0x00000000 + + + SAI1SRC + SAI1 and DFSDM1 kernel Aclk clock source + selection + 0 + 3 + + + SAI23SRC + SAI2 and SAI3 kernel clock source + selection + 6 + 3 + + + SPI123SRC + SPI/I2S1,2 and 3 kernel clock source + selection + 12 + 3 + + + SPI45SRC + SPI4 and 5 kernel clock source + selection + 16 + 3 + + + SPDIFSRC + SPDIFRX kernel clock source + selection + 20 + 2 + + + DFSDM1SRC + DFSDM1 kernel Clk clock source + selection + 24 + 1 + + + FDCANSRC + FDCAN kernel clock source + selection + 28 + 2 + + + SWPSRC + SWPMI kernel clock source + selection + 31 + 1 + + + + + D2CCIP2R + D2CCIP2R + RCC Domain 2 Kernel Clock Configuration + Register + 0x54 + 0x20 + read-write + 0x00000000 + + + USART234578SRC + USART2/3, UART4,5, 7/8 (APB1) kernel + clock source selection + 0 + 3 + + + USART16SRC + USART1 and 6 kernel clock source + selection + 3 + 3 + + + RNGSRC + RNG kernel clock source + selection + 8 + 2 + + + I2C123SRC + I2C1,2,3 kernel clock source + selection + 12 + 2 + + + USBSRC + USBOTG 1 and 2 kernel clock source + selection + 20 + 2 + + + CECSRC + HDMI-CEC kernel clock source + selection + 22 + 2 + + + LPTIM1SRC + LPTIM1 kernel clock source + selection + 28 + 3 + + + + + D3CCIPR + D3CCIPR + RCC Domain 3 Kernel Clock Configuration + Register + 0x58 + 0x20 + read-write + 0x00000000 + + + LPUART1SRC + LPUART1 kernel clock source + selection + 0 + 3 + + + I2C4SRC + I2C4 kernel clock source + selection + 8 + 2 + + + LPTIM2SRC + LPTIM2 kernel clock source + selection + 10 + 3 + + + LPTIM345SRC + LPTIM3,4,5 kernel clock source + selection + 13 + 3 + + + ADCSRC + SAR ADC kernel clock source + selection + 16 + 2 + + + SAI4ASRC + Sub-Block A of SAI4 kernel clock source + selection + 21 + 3 + + + SAI4BSRC + Sub-Block B of SAI4 kernel clock source + selection + 24 + 3 + + + SPI6SRC + SPI6 kernel clock source + selection + 28 + 3 + + + + + CIER + CIER + RCC Clock Source Interrupt Enable + Register + 0x60 + 0x20 + read-write + 0x00000000 + + + LSIRDYIE + LSI ready Interrupt Enable + 0 + 1 + + + LSERDYIE + LSE ready Interrupt Enable + 1 + 1 + + + HSIRDYIE + HSI ready Interrupt Enable + 2 + 1 + + + HSERDYIE + HSE ready Interrupt Enable + 3 + 1 + + + CSIRDYIE + CSI ready Interrupt Enable + 4 + 1 + + + RC48RDYIE + RC48 ready Interrupt + Enable + 5 + 1 + + + PLL1RDYIE + PLL1 ready Interrupt + Enable + 6 + 1 + + + PLL2RDYIE + PLL2 ready Interrupt + Enable + 7 + 1 + + + PLL3RDYIE + PLL3 ready Interrupt + Enable + 8 + 1 + + + LSECSSIE + LSE clock security system Interrupt + Enable + 9 + 1 + + + + + CIFR + CIFR + RCC Clock Source Interrupt Flag + Register + 0x64 + 0x20 + read-write + 0x00000000 + + + LSIRDYF + LSI ready Interrupt Flag + 0 + 1 + + + LSERDYF + LSE ready Interrupt Flag + 1 + 1 + + + HSIRDYF + HSI ready Interrupt Flag + 2 + 1 + + + HSERDYF + HSE ready Interrupt Flag + 3 + 1 + + + CSIRDY + CSI ready Interrupt Flag + 4 + 1 + + + RC48RDYF + RC48 ready Interrupt Flag + 5 + 1 + + + PLL1RDYF + PLL1 ready Interrupt Flag + 6 + 1 + + + PLL2RDYF + PLL2 ready Interrupt Flag + 7 + 1 + + + PLL3RDYF + PLL3 ready Interrupt Flag + 8 + 1 + + + LSECSSF + LSE clock security system Interrupt + Flag + 9 + 1 + + + HSECSSF + HSE clock security system Interrupt + Flag + 10 + 1 + + + + + CICR + CICR + RCC Clock Source Interrupt Clear + Register + 0x68 + 0x20 + read-write + 0x00000000 + + + LSIRDYC + LSI ready Interrupt Clear + 0 + 1 + + + LSERDYC + LSE ready Interrupt Clear + 1 + 1 + + + HSIRDYC + HSI ready Interrupt Clear + 2 + 1 + + + HSERDYC + HSE ready Interrupt Clear + 3 + 1 + + + HSE_ready_Interrupt_Clear + CSI ready Interrupt Clear + 4 + 1 + + + RC48RDYC + RC48 ready Interrupt Clear + 5 + 1 + + + PLL1RDYC + PLL1 ready Interrupt Clear + 6 + 1 + + + PLL2RDYC + PLL2 ready Interrupt Clear + 7 + 1 + + + PLL3RDYC + PLL3 ready Interrupt Clear + 8 + 1 + + + LSECSSC + LSE clock security system Interrupt + Clear + 9 + 1 + + + HSECSSC + HSE clock security system Interrupt + Clear + 10 + 1 + + + + + BDCR + BDCR + RCC Backup Domain Control + Register + 0x70 + 0x20 + read-write + 0x00000000 + + + LSEON + LSE oscillator enabled + 0 + 1 + + + LSERDY + LSE oscillator ready + 1 + 1 + + + LSEBYP + LSE oscillator bypass + 2 + 1 + + + LSEDRV + LSE oscillator driving + capability + 3 + 2 + + + LSECSSON + LSE clock security system + enable + 5 + 1 + + + LSECSSD + LSE clock security system failure + detection + 6 + 1 + + + RTCSRC + RTC clock source selection + 8 + 2 + + + RTCEN + RTC clock enable + 15 + 1 + + + VSWRST + VSwitch domain software + reset + 16 + 1 + + + + + CSR + CSR + RCC Clock Control and Status + Register + 0x74 + 0x20 + read-write + 0x00000000 + + + LSION + LSI oscillator enable + 0 + 1 + + + LSIRDY + LSI oscillator ready + 1 + 1 + + + + + AHB3RSTR + AHB3RSTR + RCC AHB3 Reset Register + 0x7C + 0x20 + read-write + 0x00000000 + + + MDMARST + MDMA block reset + 0 + 1 + + + DMA2DRST + DMA2D block reset + 4 + 1 + + + JPGDECRST + JPGDEC block reset + 5 + 1 + + + FMCRST + FMC block reset + 12 + 1 + + + QSPIRST + QUADSPI and QUADSPI delay block + reset + 14 + 1 + + + SDMMC1RST + SDMMC1 and SDMMC1 delay block + reset + 16 + 1 + + + CPURST + CPU reset + 31 + 1 + + + + + AHB1RSTR + AHB1RSTR + RCC AHB1 Peripheral Reset + Register + 0x80 + 0x20 + read-write + 0x00000000 + + + DMA1RST + DMA1 block reset + 0 + 1 + + + DMA2RST + DMA2 block reset + 1 + 1 + + + ADC12RST + ADC1&2 block reset + 5 + 1 + + + ETH1MACRST + ETH1MAC block reset + 15 + 1 + + + USB1OTGRST + USB1OTG block reset + 25 + 1 + + + USB2OTGRST + USB2OTG block reset + 27 + 1 + + + + + AHB2RSTR + AHB2RSTR + RCC AHB2 Peripheral Reset + Register + 0x84 + 0x20 + read-write + 0x00000000 + + + CAMITFRST + CAMITF block reset + 0 + 1 + + + CRYPTRST + Cryptography block reset + 4 + 1 + + + HASHRST + Hash block reset + 5 + 1 + + + RNGRST + Random Number Generator block + reset + 6 + 1 + + + SDMMC2RST + SDMMC2 and SDMMC2 Delay block + reset + 9 + 1 + + + + + AHB4RSTR + AHB4RSTR + RCC AHB4 Peripheral Reset + Register + 0x88 + 0x20 + read-write + 0x00000000 + + + GPIOARST + GPIO block reset + 0 + 1 + + + GPIOBRST + GPIO block reset + 1 + 1 + + + GPIOCRST + GPIO block reset + 2 + 1 + + + GPIODRST + GPIO block reset + 3 + 1 + + + GPIOERST + GPIO block reset + 4 + 1 + + + GPIOFRST + GPIO block reset + 5 + 1 + + + GPIOGRST + GPIO block reset + 6 + 1 + + + GPIOHRST + GPIO block reset + 7 + 1 + + + GPIOIRST + GPIO block reset + 8 + 1 + + + GPIOJRST + GPIO block reset + 9 + 1 + + + GPIOKRST + GPIO block reset + 10 + 1 + + + CRCRST + CRC block reset + 19 + 1 + + + BDMARST + BDMA block reset + 21 + 1 + + + ADC3RST + ADC3 block reset + 24 + 1 + + + HSEMRST + HSEM block reset + 25 + 1 + + + + + APB3RSTR + APB3RSTR + RCC APB3 Peripheral Reset + Register + 0x8C + 0x20 + read-write + 0x00000000 + + + LTDCRST + LTDC block reset + 3 + 1 + + + + + APB1LRSTR + APB1LRSTR + RCC APB1 Peripheral Reset + Register + 0x90 + 0x20 + read-write + 0x00000000 + + + TIM2RST + TIM block reset + 0 + 1 + + + TIM3RST + TIM block reset + 1 + 1 + + + TIM4RST + TIM block reset + 2 + 1 + + + TIM5RST + TIM block reset + 3 + 1 + + + TIM6RST + TIM block reset + 4 + 1 + + + TIM7RST + TIM block reset + 5 + 1 + + + TIM12RST + TIM block reset + 6 + 1 + + + TIM13RST + TIM block reset + 7 + 1 + + + TIM14RST + TIM block reset + 8 + 1 + + + LPTIM1RST + TIM block reset + 9 + 1 + + + SPI2RST + SPI2 block reset + 14 + 1 + + + SPI3RST + SPI3 block reset + 15 + 1 + + + SPDIFRXRST + SPDIFRX block reset + 16 + 1 + + + USART2RST + USART2 block reset + 17 + 1 + + + USART3RST + USART3 block reset + 18 + 1 + + + UART4RST + UART4 block reset + 19 + 1 + + + UART5RST + UART5 block reset + 20 + 1 + + + I2C1RST + I2C1 block reset + 21 + 1 + + + I2C2RST + I2C2 block reset + 22 + 1 + + + I2C3RST + I2C3 block reset + 23 + 1 + + + CECRST + HDMI-CEC block reset + 27 + 1 + + + DAC12RST + DAC1 and 2 Blocks Reset + 29 + 1 + + + USART7RST + USART7 block reset + 30 + 1 + + + USART8RST + USART8 block reset + 31 + 1 + + + + + APB1HRSTR + APB1HRSTR + RCC APB1 Peripheral Reset + Register + 0x94 + 0x20 + read-write + 0x00000000 + + + CRSRST + Clock Recovery System + reset + 1 + 1 + + + SWPRST + SWPMI block reset + 2 + 1 + + + OPAMPRST + OPAMP block reset + 4 + 1 + + + MDIOSRST + MDIOS block reset + 5 + 1 + + + FDCANRST + FDCAN block reset + 8 + 1 + + + + + APB2RSTR + APB2RSTR + RCC APB2 Peripheral Reset + Register + 0x98 + 0x20 + read-write + 0x00000000 + + + TIM1RST + TIM1 block reset + 0 + 1 + + + TIM8RST + TIM8 block reset + 1 + 1 + + + USART1RST + USART1 block reset + 4 + 1 + + + USART6RST + USART6 block reset + 5 + 1 + + + SPI1RST + SPI1 block reset + 12 + 1 + + + SPI4RST + SPI4 block reset + 13 + 1 + + + TIM15RST + TIM15 block reset + 16 + 1 + + + TIM16RST + TIM16 block reset + 17 + 1 + + + TIM17RST + TIM17 block reset + 18 + 1 + + + SPI5RST + SPI5 block reset + 20 + 1 + + + SAI1RST + SAI1 block reset + 22 + 1 + + + SAI2RST + SAI2 block reset + 23 + 1 + + + SAI3RST + SAI3 block reset + 24 + 1 + + + DFSDM1RST + DFSDM1 block reset + 28 + 1 + + + HRTIMRST + HRTIM block reset + 29 + 1 + + + + + APB4RSTR + APB4RSTR + RCC APB4 Peripheral Reset + Register + 0x9C + 0x20 + read-write + 0x00000000 + + + SYSCFGRST + SYSCFG block reset + 1 + 1 + + + LPUART1RST + LPUART1 block reset + 3 + 1 + + + SPI6RST + SPI6 block reset + 5 + 1 + + + I2C4RST + I2C4 block reset + 7 + 1 + + + LPTIM2RST + LPTIM2 block reset + 9 + 1 + + + LPTIM3RST + LPTIM3 block reset + 10 + 1 + + + LPTIM4RST + LPTIM4 block reset + 11 + 1 + + + LPTIM5RST + LPTIM5 block reset + 12 + 1 + + + COMP12RST + COMP12 Blocks Reset + 14 + 1 + + + VREFRST + VREF block reset + 15 + 1 + + + SAI4RST + SAI4 block reset + 21 + 1 + + + + + GCR + GCR + RCC Global Control Register + 0xA0 + 0x20 + read-write + 0x00000000 + + + WW1RSC + WWDG1 reset scope control + 0 + 1 + + + + + D3AMR + D3AMR + RCC D3 Autonomous mode + Register + 0xA8 + 0x20 + read-write + 0x00000000 + + + BDMAAMEN + BDMA and DMAMUX Autonomous mode + enable + 0 + 1 + + + LPUART1AMEN + LPUART1 Autonomous mode + enable + 3 + 1 + + + SPI6AMEN + SPI6 Autonomous mode + enable + 5 + 1 + + + I2C4AMEN + I2C4 Autonomous mode + enable + 7 + 1 + + + LPTIM2AMEN + LPTIM2 Autonomous mode + enable + 9 + 1 + + + LPTIM3AMEN + LPTIM3 Autonomous mode + enable + 10 + 1 + + + LPTIM4AMEN + LPTIM4 Autonomous mode + enable + 11 + 1 + + + LPTIM5AMEN + LPTIM5 Autonomous mode + enable + 12 + 1 + + + COMP12AMEN + COMP12 Autonomous mode + enable + 14 + 1 + + + VREFAMEN + VREF Autonomous mode + enable + 15 + 1 + + + RTCAMEN + RTC Autonomous mode enable + 16 + 1 + + + CRCAMEN + CRC Autonomous mode enable + 19 + 1 + + + SAI4AMEN + SAI4 Autonomous mode + enable + 21 + 1 + + + ADC3AMEN + ADC3 Autonomous mode + enable + 24 + 1 + + + BKPRAMAMEN + Backup RAM Autonomous mode + enable + 28 + 1 + + + SRAM4AMEN + SRAM4 Autonomous mode + enable + 29 + 1 + + + + + RSR + RSR + RCC Reset Status Register + 0xD0 + 0x20 + read-write + 0x00000000 + + + RMVF + Remove reset flag + 16 + 1 + + + CPURSTF + CPU reset flag + 17 + 1 + + + D1RSTF + D1 domain power switch reset + flag + 19 + 1 + + + D2RSTF + D2 domain power switch reset + flag + 20 + 1 + + + BORRSTF + BOR reset flag + 21 + 1 + + + PINRSTF + Pin reset flag (NRST) + 22 + 1 + + + PORRSTF + POR/PDR reset flag + 23 + 1 + + + SFTRSTF + System reset from CPU reset + flag + 24 + 1 + + + IWDG1RSTF + Independent Watchdog reset + flag + 26 + 1 + + + WWDG1RSTF + Window Watchdog reset flag + 28 + 1 + + + LPWRRSTF + Reset due to illegal D1 DStandby or CPU + CStop flag + 30 + 1 + + + + + C1_RSR + C1_RSR + RCC Reset Status Register + 0x130 + 0x20 + read-write + 0x00000000 + + + RMVF + Remove reset flag + 16 + 1 + + + CPURSTF + CPU reset flag + 17 + 1 + + + D1RSTF + D1 domain power switch reset + flag + 19 + 1 + + + D2RSTF + D2 domain power switch reset + flag + 20 + 1 + + + BORRSTF + BOR reset flag + 21 + 1 + + + PINRSTF + Pin reset flag (NRST) + 22 + 1 + + + PORRSTF + POR/PDR reset flag + 23 + 1 + + + SFTRSTF + System reset from CPU reset + flag + 24 + 1 + + + IWDG1RSTF + Independent Watchdog reset + flag + 26 + 1 + + + WWDG1RSTF + Window Watchdog reset flag + 28 + 1 + + + LPWRRSTF + Reset due to illegal D1 DStandby or CPU + CStop flag + 30 + 1 + + + + + C1_AHB3ENR + C1_AHB3ENR + RCC AHB3 Clock Register + 0x134 + 0x20 + read-write + 0x00000000 + + + MDMAEN + MDMA Peripheral Clock + Enable + 0 + 1 + + + DMA2DEN + DMA2D Peripheral Clock + Enable + 4 + 1 + + + JPGDECEN + JPGDEC Peripheral Clock + Enable + 5 + 1 + + + FMCEN + FMC Peripheral Clocks + Enable + 12 + 1 + + + QSPIEN + QUADSPI and QUADSPI Delay Clock + Enable + 14 + 1 + + + SDMMC1EN + SDMMC1 and SDMMC1 Delay Clock + Enable + 16 + 1 + + + + + AHB3ENR + AHB3ENR + RCC AHB3 Clock Register + 0xD4 + 0x20 + read-write + 0x00000000 + + + MDMAEN + MDMA Peripheral Clock + Enable + 0 + 1 + + + DMA2DEN + DMA2D Peripheral Clock + Enable + 4 + 1 + + + JPGDECEN + JPGDEC Peripheral Clock + Enable + 5 + 1 + + + FMCEN + FMC Peripheral Clocks + Enable + 12 + 1 + + + QSPIEN + QUADSPI and QUADSPI Delay Clock + Enable + 14 + 1 + + + SDMMC1EN + SDMMC1 and SDMMC1 Delay Clock + Enable + 16 + 1 + + + + + AHB1ENR + AHB1ENR + RCC AHB1 Clock Register + 0xD8 + 0x20 + read-write + 0x00000000 + + + DMA1EN + DMA1 Clock Enable + 0 + 1 + + + DMA2EN + DMA2 Clock Enable + 1 + 1 + + + ADC12EN + ADC1/2 Peripheral Clocks + Enable + 5 + 1 + + + ETH1MACEN + Ethernet MAC bus interface Clock + Enable + 15 + 1 + + + ETH1TXEN + Ethernet Transmission Clock + Enable + 16 + 1 + + + ETH1RXEN + Ethernet Reception Clock + Enable + 17 + 1 + + + USB2OTGHSULPIEN + Enable USB_PHY2 clocks + 18 + 1 + + + USB1OTGEN + USB1OTG Peripheral Clocks + Enable + 25 + 1 + + + USB1ULPIEN + USB_PHY1 Clocks Enable + 26 + 1 + + + USB2OTGEN + USB2OTG Peripheral Clocks + Enable + 27 + 1 + + + USB2ULPIEN + USB_PHY2 Clocks Enable + 28 + 1 + + + + + C1_AHB1ENR + C1_AHB1ENR + RCC AHB1 Clock Register + 0x138 + 0x20 + read-write + 0x00000000 + + + DMA1EN + DMA1 Clock Enable + 0 + 1 + + + DMA2EN + DMA2 Clock Enable + 1 + 1 + + + ADC12EN + ADC1/2 Peripheral Clocks + Enable + 5 + 1 + + + ETH1MACEN + Ethernet MAC bus interface Clock + Enable + 15 + 1 + + + ETH1TXEN + Ethernet Transmission Clock + Enable + 16 + 1 + + + ETH1RXEN + Ethernet Reception Clock + Enable + 17 + 1 + + + USB1OTGEN + USB1OTG Peripheral Clocks + Enable + 25 + 1 + + + USB1ULPIEN + USB_PHY1 Clocks Enable + 26 + 1 + + + USB2OTGEN + USB2OTG Peripheral Clocks + Enable + 27 + 1 + + + USB2ULPIEN + USB_PHY2 Clocks Enable + 28 + 1 + + + + + C1_AHB2ENR + C1_AHB2ENR + RCC AHB2 Clock Register + 0x13C + 0x20 + read-write + 0x00000000 + + + CAMITFEN + CAMITF peripheral clock + enable + 0 + 1 + + + CRYPTEN + CRYPT peripheral clock + enable + 4 + 1 + + + HASHEN + HASH peripheral clock + enable + 5 + 1 + + + RNGEN + RNG peripheral clocks + enable + 6 + 1 + + + SDMMC2EN + SDMMC2 and SDMMC2 delay clock + enable + 9 + 1 + + + SRAM1EN + SRAM1 block enable + 29 + 1 + + + SRAM2EN + SRAM2 block enable + 30 + 1 + + + SRAM3EN + SRAM3 block enable + 31 + 1 + + + + + AHB2ENR + AHB2ENR + RCC AHB2 Clock Register + 0xDC + 0x20 + read-write + 0x00000000 + + + CAMITFEN + CAMITF peripheral clock + enable + 0 + 1 + + + CRYPTEN + CRYPT peripheral clock + enable + 4 + 1 + + + HASHEN + HASH peripheral clock + enable + 5 + 1 + + + RNGEN + RNG peripheral clocks + enable + 6 + 1 + + + SDMMC2EN + SDMMC2 and SDMMC2 delay clock + enable + 9 + 1 + + + SRAM1EN + SRAM1 block enable + 29 + 1 + + + SRAM2EN + SRAM2 block enable + 30 + 1 + + + SRAM3EN + SRAM3 block enable + 31 + 1 + + + + + AHB4ENR + AHB4ENR + RCC AHB4 Clock Register + 0xE0 + 0x20 + read-write + 0x00000000 + + + GPIOAEN + 0GPIO peripheral clock + enable + 0 + 1 + + + GPIOBEN + 0GPIO peripheral clock + enable + 1 + 1 + + + GPIOCEN + 0GPIO peripheral clock + enable + 2 + 1 + + + GPIODEN + 0GPIO peripheral clock + enable + 3 + 1 + + + GPIOEEN + 0GPIO peripheral clock + enable + 4 + 1 + + + GPIOFEN + 0GPIO peripheral clock + enable + 5 + 1 + + + GPIOGEN + 0GPIO peripheral clock + enable + 6 + 1 + + + GPIOHEN + 0GPIO peripheral clock + enable + 7 + 1 + + + GPIOIEN + 0GPIO peripheral clock + enable + 8 + 1 + + + GPIOJEN + 0GPIO peripheral clock + enable + 9 + 1 + + + GPIOKEN + 0GPIO peripheral clock + enable + 10 + 1 + + + CRCEN + CRC peripheral clock + enable + 19 + 1 + + + BDMAEN + BDMA and DMAMUX2 Clock + Enable + 21 + 1 + + + ADC3EN + ADC3 Peripheral Clocks + Enable + 24 + 1 + + + HSEMEN + HSEM peripheral clock + enable + 25 + 1 + + + BKPRAMEN + Backup RAM Clock Enable + 28 + 1 + + + + + C1_AHB4ENR + C1_AHB4ENR + RCC AHB4 Clock Register + 0x140 + 0x20 + read-write + 0x00000000 + + + GPIOAEN + 0GPIO peripheral clock + enable + 0 + 1 + + + GPIOBEN + 0GPIO peripheral clock + enable + 1 + 1 + + + GPIOCEN + 0GPIO peripheral clock + enable + 2 + 1 + + + GPIODEN + 0GPIO peripheral clock + enable + 3 + 1 + + + GPIOEEN + 0GPIO peripheral clock + enable + 4 + 1 + + + GPIOFEN + 0GPIO peripheral clock + enable + 5 + 1 + + + GPIOGEN + 0GPIO peripheral clock + enable + 6 + 1 + + + GPIOHEN + 0GPIO peripheral clock + enable + 7 + 1 + + + GPIOIEN + 0GPIO peripheral clock + enable + 8 + 1 + + + GPIOJEN + 0GPIO peripheral clock + enable + 9 + 1 + + + GPIOKEN + 0GPIO peripheral clock + enable + 10 + 1 + + + CRCEN + CRC peripheral clock + enable + 19 + 1 + + + BDMAEN + BDMA and DMAMUX2 Clock + Enable + 21 + 1 + + + ADC3EN + ADC3 Peripheral Clocks + Enable + 24 + 1 + + + HSEMEN + HSEM peripheral clock + enable + 25 + 1 + + + BKPRAMEN + Backup RAM Clock Enable + 28 + 1 + + + + + C1_APB3ENR + C1_APB3ENR + RCC APB3 Clock Register + 0x144 + 0x20 + read-write + 0x00000000 + + + LTDCEN + LTDC peripheral clock + enable + 3 + 1 + + + WWDG1EN + WWDG1 Clock Enable + 6 + 1 + + + + + APB3ENR + APB3ENR + RCC APB3 Clock Register + 0xE4 + 0x20 + read-write + 0x00000000 + + + LTDCEN + LTDC peripheral clock + enable + 3 + 1 + + + WWDG1EN + WWDG1 Clock Enable + 6 + 1 + + + + + APB1LENR + APB1LENR + RCC APB1 Clock Register + 0xE8 + 0x20 + read-write + 0x00000000 + + + TIM2EN + TIM peripheral clock + enable + 0 + 1 + + + TIM3EN + TIM peripheral clock + enable + 1 + 1 + + + TIM4EN + TIM peripheral clock + enable + 2 + 1 + + + TIM5EN + TIM peripheral clock + enable + 3 + 1 + + + TIM6EN + TIM peripheral clock + enable + 4 + 1 + + + TIM7EN + TIM peripheral clock + enable + 5 + 1 + + + TIM12EN + TIM peripheral clock + enable + 6 + 1 + + + TIM13EN + TIM peripheral clock + enable + 7 + 1 + + + TIM14EN + TIM peripheral clock + enable + 8 + 1 + + + LPTIM1EN + LPTIM1 Peripheral Clocks + Enable + 9 + 1 + + + SPI2EN + SPI2 Peripheral Clocks + Enable + 14 + 1 + + + SPI3EN + SPI3 Peripheral Clocks + Enable + 15 + 1 + + + SPDIFRXEN + SPDIFRX Peripheral Clocks + Enable + 16 + 1 + + + USART2EN + USART2 Peripheral Clocks + Enable + 17 + 1 + + + USART3EN + USART3 Peripheral Clocks + Enable + 18 + 1 + + + UART4EN + UART4 Peripheral Clocks + Enable + 19 + 1 + + + UART5EN + UART5 Peripheral Clocks + Enable + 20 + 1 + + + I2C1EN + I2C1 Peripheral Clocks + Enable + 21 + 1 + + + I2C2EN + I2C2 Peripheral Clocks + Enable + 22 + 1 + + + I2C3EN + I2C3 Peripheral Clocks + Enable + 23 + 1 + + + CECEN + HDMI-CEC peripheral clock + enable + 27 + 1 + + + DAC12EN + DAC1&2 peripheral clock + enable + 29 + 1 + + + USART7EN + USART7 Peripheral Clocks + Enable + 30 + 1 + + + USART8EN + USART8 Peripheral Clocks + Enable + 31 + 1 + + + + + C1_APB1LENR + C1_APB1LENR + RCC APB1 Clock Register + 0x148 + 0x20 + read-write + 0x00000000 + + + TIM2EN + TIM peripheral clock + enable + 0 + 1 + + + TIM3EN + TIM peripheral clock + enable + 1 + 1 + + + TIM4EN + TIM peripheral clock + enable + 2 + 1 + + + TIM5EN + TIM peripheral clock + enable + 3 + 1 + + + TIM6EN + TIM peripheral clock + enable + 4 + 1 + + + TIM7EN + TIM peripheral clock + enable + 5 + 1 + + + TIM12EN + TIM peripheral clock + enable + 6 + 1 + + + TIM13EN + TIM peripheral clock + enable + 7 + 1 + + + TIM14EN + TIM peripheral clock + enable + 8 + 1 + + + LPTIM1EN + LPTIM1 Peripheral Clocks + Enable + 9 + 1 + + + SPI2EN + SPI2 Peripheral Clocks + Enable + 14 + 1 + + + SPI3EN + SPI3 Peripheral Clocks + Enable + 15 + 1 + + + SPDIFRXEN + SPDIFRX Peripheral Clocks + Enable + 16 + 1 + + + USART2EN + USART2 Peripheral Clocks + Enable + 17 + 1 + + + USART3EN + USART3 Peripheral Clocks + Enable + 18 + 1 + + + UART4EN + UART4 Peripheral Clocks + Enable + 19 + 1 + + + UART5EN + UART5 Peripheral Clocks + Enable + 20 + 1 + + + I2C1EN + I2C1 Peripheral Clocks + Enable + 21 + 1 + + + I2C2EN + I2C2 Peripheral Clocks + Enable + 22 + 1 + + + I2C3EN + I2C3 Peripheral Clocks + Enable + 23 + 1 + + + HDMICECEN + HDMI-CEC peripheral clock + enable + 27 + 1 + + + DAC12EN + DAC1&2 peripheral clock + enable + 29 + 1 + + + USART7EN + USART7 Peripheral Clocks + Enable + 30 + 1 + + + USART8EN + USART8 Peripheral Clocks + Enable + 31 + 1 + + + + + APB1HENR + APB1HENR + RCC APB1 Clock Register + 0xEC + 0x20 + read-write + 0x00000000 + + + CRSEN + Clock Recovery System peripheral clock + enable + 1 + 1 + + + SWPEN + SWPMI Peripheral Clocks + Enable + 2 + 1 + + + OPAMPEN + OPAMP peripheral clock + enable + 4 + 1 + + + MDIOSEN + MDIOS peripheral clock + enable + 5 + 1 + + + FDCANEN + FDCAN Peripheral Clocks + Enable + 8 + 1 + + + + + C1_APB1HENR + C1_APB1HENR + RCC APB1 Clock Register + 0x14C + 0x20 + read-write + 0x00000000 + + + CRSEN + Clock Recovery System peripheral clock + enable + 1 + 1 + + + SWPEN + SWPMI Peripheral Clocks + Enable + 2 + 1 + + + OPAMPEN + OPAMP peripheral clock + enable + 4 + 1 + + + MDIOSEN + MDIOS peripheral clock + enable + 5 + 1 + + + FDCANEN + FDCAN Peripheral Clocks + Enable + 8 + 1 + + + + + C1_APB2ENR + C1_APB2ENR + RCC APB2 Clock Register + 0x150 + 0x20 + read-write + 0x00000000 + + + TIM1EN + TIM1 peripheral clock + enable + 0 + 1 + + + TIM8EN + TIM8 peripheral clock + enable + 1 + 1 + + + USART1EN + USART1 Peripheral Clocks + Enable + 4 + 1 + + + USART6EN + USART6 Peripheral Clocks + Enable + 5 + 1 + + + SPI1EN + SPI1 Peripheral Clocks + Enable + 12 + 1 + + + SPI4EN + SPI4 Peripheral Clocks + Enable + 13 + 1 + + + TIM16EN + TIM16 peripheral clock + enable + 17 + 1 + + + TIM15EN + TIM15 peripheral clock + enable + 16 + 1 + + + TIM17EN + TIM17 peripheral clock + enable + 18 + 1 + + + SPI5EN + SPI5 Peripheral Clocks + Enable + 20 + 1 + + + SAI1EN + SAI1 Peripheral Clocks + Enable + 22 + 1 + + + SAI2EN + SAI2 Peripheral Clocks + Enable + 23 + 1 + + + SAI3EN + SAI3 Peripheral Clocks + Enable + 24 + 1 + + + DFSDM1EN + DFSDM1 Peripheral Clocks + Enable + 28 + 1 + + + HRTIMEN + HRTIM peripheral clock + enable + 29 + 1 + + + + + APB2ENR + APB2ENR + RCC APB2 Clock Register + 0xF0 + 0x20 + read-write + 0x00000000 + + + TIM1EN + TIM1 peripheral clock + enable + 0 + 1 + + + TIM8EN + TIM8 peripheral clock + enable + 1 + 1 + + + USART1EN + USART1 Peripheral Clocks + Enable + 4 + 1 + + + USART6EN + USART6 Peripheral Clocks + Enable + 5 + 1 + + + SPI1EN + SPI1 Peripheral Clocks + Enable + 12 + 1 + + + SPI4EN + SPI4 Peripheral Clocks + Enable + 13 + 1 + + + TIM16EN + TIM16 peripheral clock + enable + 17 + 1 + + + TIM15EN + TIM15 peripheral clock + enable + 16 + 1 + + + TIM17EN + TIM17 peripheral clock + enable + 18 + 1 + + + SPI5EN + SPI5 Peripheral Clocks + Enable + 20 + 1 + + + SAI1EN + SAI1 Peripheral Clocks + Enable + 22 + 1 + + + SAI2EN + SAI2 Peripheral Clocks + Enable + 23 + 1 + + + SAI3EN + SAI3 Peripheral Clocks + Enable + 24 + 1 + + + DFSDM1EN + DFSDM1 Peripheral Clocks + Enable + 28 + 1 + + + HRTIMEN + HRTIM peripheral clock + enable + 29 + 1 + + + + + APB4ENR + APB4ENR + RCC APB4 Clock Register + 0xF4 + 0x20 + read-write + 0x00000000 + + + SYSCFGEN + SYSCFG peripheral clock + enable + 1 + 1 + + + LPUART1EN + LPUART1 Peripheral Clocks + Enable + 3 + 1 + + + SPI6EN + SPI6 Peripheral Clocks + Enable + 5 + 1 + + + I2C4EN + I2C4 Peripheral Clocks + Enable + 7 + 1 + + + LPTIM2EN + LPTIM2 Peripheral Clocks + Enable + 9 + 1 + + + LPTIM3EN + LPTIM3 Peripheral Clocks + Enable + 10 + 1 + + + LPTIM4EN + LPTIM4 Peripheral Clocks + Enable + 11 + 1 + + + LPTIM5EN + LPTIM5 Peripheral Clocks + Enable + 12 + 1 + + + COMP12EN + COMP1/2 peripheral clock + enable + 14 + 1 + + + VREFEN + VREF peripheral clock + enable + 15 + 1 + + + RTCAPBEN + RTC APB Clock Enable + 16 + 1 + + + SAI4EN + SAI4 Peripheral Clocks + Enable + 21 + 1 + + + + + C1_APB4ENR + C1_APB4ENR + RCC APB4 Clock Register + 0x154 + 0x20 + read-write + 0x00000000 + + + SYSCFGEN + SYSCFG peripheral clock + enable + 1 + 1 + + + LPUART1EN + LPUART1 Peripheral Clocks + Enable + 3 + 1 + + + SPI6EN + SPI6 Peripheral Clocks + Enable + 5 + 1 + + + I2C4EN + I2C4 Peripheral Clocks + Enable + 7 + 1 + + + LPTIM2EN + LPTIM2 Peripheral Clocks + Enable + 9 + 1 + + + LPTIM3EN + LPTIM3 Peripheral Clocks + Enable + 10 + 1 + + + LPTIM4EN + LPTIM4 Peripheral Clocks + Enable + 11 + 1 + + + LPTIM5EN + LPTIM5 Peripheral Clocks + Enable + 12 + 1 + + + COMP12EN + COMP1/2 peripheral clock + enable + 14 + 1 + + + VREFEN + VREF peripheral clock + enable + 15 + 1 + + + RTCAPBEN + RTC APB Clock Enable + 16 + 1 + + + SAI4EN + SAI4 Peripheral Clocks + Enable + 21 + 1 + + + + + C1_AHB3LPENR + C1_AHB3LPENR + RCC AHB3 Sleep Clock Register + 0x15C + 0x20 + read-write + 0x00000000 + + + MDMALPEN + MDMA Clock Enable During CSleep + Mode + 0 + 1 + + + DMA2DLPEN + DMA2D Clock Enable During CSleep + Mode + 4 + 1 + + + JPGDECLPEN + JPGDEC Clock Enable During CSleep + Mode + 5 + 1 + + + FLITFLPEN + FLITF Clock Enable During CSleep + Mode + 8 + 1 + + + FMCLPEN + FMC Peripheral Clocks Enable During + CSleep Mode + 12 + 1 + + + QSPILPEN + QUADSPI and QUADSPI Delay Clock Enable + During CSleep Mode + 14 + 1 + + + SDMMC1LPEN + SDMMC1 and SDMMC1 Delay Clock Enable + During CSleep Mode + 16 + 1 + + + D1DTCM1LPEN + D1DTCM1 Block Clock Enable During CSleep + mode + 28 + 1 + + + DTCM2LPEN + D1 DTCM2 Block Clock Enable During + CSleep mode + 29 + 1 + + + ITCMLPEN + D1ITCM Block Clock Enable During CSleep + mode + 30 + 1 + + + AXISRAMLPEN + AXISRAM Block Clock Enable During CSleep + mode + 31 + 1 + + + + + AHB3LPENR + AHB3LPENR + RCC AHB3 Sleep Clock Register + 0xFC + 0x20 + read-write + 0x00000000 + + + MDMALPEN + MDMA Clock Enable During CSleep + Mode + 0 + 1 + + + DMA2DLPEN + DMA2D Clock Enable During CSleep + Mode + 4 + 1 + + + JPGDECLPEN + JPGDEC Clock Enable During CSleep + Mode + 5 + 1 + + + FLASHLPEN + FLITF Clock Enable During CSleep + Mode + 8 + 1 + + + FMCLPEN + FMC Peripheral Clocks Enable During + CSleep Mode + 12 + 1 + + + QSPILPEN + QUADSPI and QUADSPI Delay Clock Enable + During CSleep Mode + 14 + 1 + + + SDMMC1LPEN + SDMMC1 and SDMMC1 Delay Clock Enable + During CSleep Mode + 16 + 1 + + + D1DTCM1LPEN + D1DTCM1 Block Clock Enable During CSleep + mode + 28 + 1 + + + DTCM2LPEN + D1 DTCM2 Block Clock Enable During + CSleep mode + 29 + 1 + + + ITCMLPEN + D1ITCM Block Clock Enable During CSleep + mode + 30 + 1 + + + AXISRAMLPEN + AXISRAM Block Clock Enable During CSleep + mode + 31 + 1 + + + + + AHB1LPENR + AHB1LPENR + RCC AHB1 Sleep Clock Register + 0x100 + 0x20 + read-write + 0x00000000 + + + DMA1LPEN + DMA1 Clock Enable During CSleep + Mode + 0 + 1 + + + DMA2LPEN + DMA2 Clock Enable During CSleep + Mode + 1 + 1 + + + ADC12LPEN + ADC1/2 Peripheral Clocks Enable During + CSleep Mode + 5 + 1 + + + ETH1MACLPEN + Ethernet MAC bus interface Clock Enable + During CSleep Mode + 15 + 1 + + + ETH1TXLPEN + Ethernet Transmission Clock Enable + During CSleep Mode + 16 + 1 + + + ETH1RXLPEN + Ethernet Reception Clock Enable During + CSleep Mode + 17 + 1 + + + USB1OTGHSLPEN + USB1OTG peripheral clock enable during + CSleep mode + 25 + 1 + + + USB1OTGHSULPILPEN + USB_PHY1 clock enable during CSleep + mode + 26 + 1 + + + USB2OTGHSLPEN + USB2OTG peripheral clock enable during + CSleep mode + 27 + 1 + + + USB2OTGHSULPILPEN + USB_PHY2 clocks enable during CSleep + mode + 28 + 1 + + + + + C1_AHB1LPENR + C1_AHB1LPENR + RCC AHB1 Sleep Clock Register + 0x160 + 0x20 + read-write + 0x00000000 + + + DMA1LPEN + DMA1 Clock Enable During CSleep + Mode + 0 + 1 + + + DMA2LPEN + DMA2 Clock Enable During CSleep + Mode + 1 + 1 + + + ADC12LPEN + ADC1/2 Peripheral Clocks Enable During + CSleep Mode + 5 + 1 + + + ETH1MACLPEN + Ethernet MAC bus interface Clock Enable + During CSleep Mode + 15 + 1 + + + ETH1TXLPEN + Ethernet Transmission Clock Enable + During CSleep Mode + 16 + 1 + + + ETH1RXLPEN + Ethernet Reception Clock Enable During + CSleep Mode + 17 + 1 + + + USB1OTGLPEN + USB1OTG peripheral clock enable during + CSleep mode + 25 + 1 + + + USB1ULPILPEN + USB_PHY1 clock enable during CSleep + mode + 26 + 1 + + + USB2OTGLPEN + USB2OTG peripheral clock enable during + CSleep mode + 27 + 1 + + + USB2ULPILPEN + USB_PHY2 clocks enable during CSleep + mode + 28 + 1 + + + + + C1_AHB2LPENR + C1_AHB2LPENR + RCC AHB2 Sleep Clock Register + 0x164 + 0x20 + read-write + 0x00000000 + + + CAMITFLPEN + CAMITF peripheral clock enable during + CSleep mode + 0 + 1 + + + CRYPTLPEN + CRYPT peripheral clock enable during + CSleep mode + 4 + 1 + + + HASHLPEN + HASH peripheral clock enable during + CSleep mode + 5 + 1 + + + SDMMC2LPEN + SDMMC2 and SDMMC2 Delay Clock Enable + During CSleep Mode + 9 + 1 + + + RNGLPEN + RNG peripheral clock enable during + CSleep mode + 6 + 1 + + + SRAM1LPEN + SRAM1 Clock Enable During CSleep + Mode + 29 + 1 + + + SRAM2LPEN + SRAM2 Clock Enable During CSleep + Mode + 30 + 1 + + + SRAM3LPEN + SRAM3 Clock Enable During CSleep + Mode + 31 + 1 + + + + + AHB2LPENR + AHB2LPENR + RCC AHB2 Sleep Clock Register + 0x104 + 0x20 + read-write + 0x00000000 + + + CAMITFLPEN + CAMITF peripheral clock enable during + CSleep mode + 0 + 1 + + + CRYPTLPEN + CRYPT peripheral clock enable during + CSleep mode + 4 + 1 + + + HASHLPEN + HASH peripheral clock enable during + CSleep mode + 5 + 1 + + + SDMMC2LPEN + SDMMC2 and SDMMC2 Delay Clock Enable + During CSleep Mode + 9 + 1 + + + RNGLPEN + RNG peripheral clock enable during + CSleep mode + 6 + 1 + + + SRAM1LPEN + SRAM1 Clock Enable During CSleep + Mode + 29 + 1 + + + SRAM2LPEN + SRAM2 Clock Enable During CSleep + Mode + 30 + 1 + + + SRAM3LPEN + SRAM3 Clock Enable During CSleep + Mode + 31 + 1 + + + + + AHB4LPENR + AHB4LPENR + RCC AHB4 Sleep Clock Register + 0x108 + 0x20 + read-write + 0x00000000 + + + GPIOALPEN + GPIO peripheral clock enable during + CSleep mode + 0 + 1 + + + GPIOBLPEN + GPIO peripheral clock enable during + CSleep mode + 1 + 1 + + + GPIOCLPEN + GPIO peripheral clock enable during + CSleep mode + 2 + 1 + + + GPIODLPEN + GPIO peripheral clock enable during + CSleep mode + 3 + 1 + + + GPIOELPEN + GPIO peripheral clock enable during + CSleep mode + 4 + 1 + + + GPIOFLPEN + GPIO peripheral clock enable during + CSleep mode + 5 + 1 + + + GPIOGLPEN + GPIO peripheral clock enable during + CSleep mode + 6 + 1 + + + GPIOHLPEN + GPIO peripheral clock enable during + CSleep mode + 7 + 1 + + + GPIOILPEN + GPIO peripheral clock enable during + CSleep mode + 8 + 1 + + + GPIOJLPEN + GPIO peripheral clock enable during + CSleep mode + 9 + 1 + + + GPIOKLPEN + GPIO peripheral clock enable during + CSleep mode + 10 + 1 + + + CRCLPEN + CRC peripheral clock enable during + CSleep mode + 19 + 1 + + + BDMALPEN + BDMA Clock Enable During CSleep + Mode + 21 + 1 + + + ADC3LPEN + ADC3 Peripheral Clocks Enable During + CSleep Mode + 24 + 1 + + + BKPRAMLPEN + Backup RAM Clock Enable During CSleep + Mode + 28 + 1 + + + SRAM4LPEN + SRAM4 Clock Enable During CSleep + Mode + 29 + 1 + + + + + C1_AHB4LPENR + C1_AHB4LPENR + RCC AHB4 Sleep Clock Register + 0x168 + 0x20 + read-write + 0x00000000 + + + GPIOALPEN + GPIO peripheral clock enable during + CSleep mode + 0 + 1 + + + GPIOBLPEN + GPIO peripheral clock enable during + CSleep mode + 1 + 1 + + + GPIOCLPEN + GPIO peripheral clock enable during + CSleep mode + 2 + 1 + + + GPIODLPEN + GPIO peripheral clock enable during + CSleep mode + 3 + 1 + + + GPIOELPEN + GPIO peripheral clock enable during + CSleep mode + 4 + 1 + + + GPIOFLPEN + GPIO peripheral clock enable during + CSleep mode + 5 + 1 + + + GPIOGLPEN + GPIO peripheral clock enable during + CSleep mode + 6 + 1 + + + GPIOHLPEN + GPIO peripheral clock enable during + CSleep mode + 7 + 1 + + + GPIOILPEN + GPIO peripheral clock enable during + CSleep mode + 8 + 1 + + + GPIOJLPEN + GPIO peripheral clock enable during + CSleep mode + 9 + 1 + + + GPIOKLPEN + GPIO peripheral clock enable during + CSleep mode + 10 + 1 + + + CRCLPEN + CRC peripheral clock enable during + CSleep mode + 19 + 1 + + + BDMALPEN + BDMA Clock Enable During CSleep + Mode + 21 + 1 + + + ADC3LPEN + ADC3 Peripheral Clocks Enable During + CSleep Mode + 24 + 1 + + + BKPRAMLPEN + Backup RAM Clock Enable During CSleep + Mode + 28 + 1 + + + SRAM4LPEN + SRAM4 Clock Enable During CSleep + Mode + 29 + 1 + + + + + C1_APB3LPENR + C1_APB3LPENR + RCC APB3 Sleep Clock Register + 0x16C + 0x20 + read-write + 0x00000000 + + + LTDCLPEN + LTDC peripheral clock enable during + CSleep mode + 3 + 1 + + + WWDG1LPEN + WWDG1 Clock Enable During CSleep + Mode + 6 + 1 + + + + + APB3LPENR + APB3LPENR + RCC APB3 Sleep Clock Register + 0x10C + 0x20 + read-write + 0x00000000 + + + LTDCLPEN + LTDC peripheral clock enable during + CSleep mode + 3 + 1 + + + WWDG1LPEN + WWDG1 Clock Enable During CSleep + Mode + 6 + 1 + + + + + APB1LLPENR + APB1LLPENR + RCC APB1 Low Sleep Clock + Register + 0x110 + 0x20 + read-write + 0x00000000 + + + TIM2LPEN + TIM2 peripheral clock enable during + CSleep mode + 0 + 1 + + + TIM3LPEN + TIM3 peripheral clock enable during + CSleep mode + 1 + 1 + + + TIM4LPEN + TIM4 peripheral clock enable during + CSleep mode + 2 + 1 + + + TIM5LPEN + TIM5 peripheral clock enable during + CSleep mode + 3 + 1 + + + TIM6LPEN + TIM6 peripheral clock enable during + CSleep mode + 4 + 1 + + + TIM7LPEN + TIM7 peripheral clock enable during + CSleep mode + 5 + 1 + + + TIM12LPEN + TIM12 peripheral clock enable during + CSleep mode + 6 + 1 + + + TIM13LPEN + TIM13 peripheral clock enable during + CSleep mode + 7 + 1 + + + TIM14LPEN + TIM14 peripheral clock enable during + CSleep mode + 8 + 1 + + + LPTIM1LPEN + LPTIM1 Peripheral Clocks Enable During + CSleep Mode + 9 + 1 + + + SPI2LPEN + SPI2 Peripheral Clocks Enable During + CSleep Mode + 14 + 1 + + + SPI3LPEN + SPI3 Peripheral Clocks Enable During + CSleep Mode + 15 + 1 + + + SPDIFRXLPEN + SPDIFRX Peripheral Clocks Enable During + CSleep Mode + 16 + 1 + + + USART2LPEN + USART2 Peripheral Clocks Enable During + CSleep Mode + 17 + 1 + + + USART3LPEN + USART3 Peripheral Clocks Enable During + CSleep Mode + 18 + 1 + + + UART4LPEN + UART4 Peripheral Clocks Enable During + CSleep Mode + 19 + 1 + + + UART5LPEN + UART5 Peripheral Clocks Enable During + CSleep Mode + 20 + 1 + + + I2C1LPEN + I2C1 Peripheral Clocks Enable During + CSleep Mode + 21 + 1 + + + I2C2LPEN + I2C2 Peripheral Clocks Enable During + CSleep Mode + 22 + 1 + + + I2C3LPEN + I2C3 Peripheral Clocks Enable During + CSleep Mode + 23 + 1 + + + HDMICECLPEN + HDMI-CEC Peripheral Clocks Enable During + CSleep Mode + 27 + 1 + + + DAC12LPEN + DAC1/2 peripheral clock enable during + CSleep mode + 29 + 1 + + + USART7LPEN + USART7 Peripheral Clocks Enable During + CSleep Mode + 30 + 1 + + + USART8LPEN + USART8 Peripheral Clocks Enable During + CSleep Mode + 31 + 1 + + + + + C1_APB1LLPENR + C1_APB1LLPENR + RCC APB1 Low Sleep Clock + Register + 0x170 + 0x20 + read-write + 0x00000000 + + + TIM2LPEN + TIM2 peripheral clock enable during + CSleep mode + 0 + 1 + + + TIM3LPEN + TIM3 peripheral clock enable during + CSleep mode + 1 + 1 + + + TIM4LPEN + TIM4 peripheral clock enable during + CSleep mode + 2 + 1 + + + TIM5LPEN + TIM5 peripheral clock enable during + CSleep mode + 3 + 1 + + + TIM6LPEN + TIM6 peripheral clock enable during + CSleep mode + 4 + 1 + + + TIM7LPEN + TIM7 peripheral clock enable during + CSleep mode + 5 + 1 + + + TIM12LPEN + TIM12 peripheral clock enable during + CSleep mode + 6 + 1 + + + TIM13LPEN + TIM13 peripheral clock enable during + CSleep mode + 7 + 1 + + + TIM14LPEN + TIM14 peripheral clock enable during + CSleep mode + 8 + 1 + + + LPTIM1LPEN + LPTIM1 Peripheral Clocks Enable During + CSleep Mode + 9 + 1 + + + SPI2LPEN + SPI2 Peripheral Clocks Enable During + CSleep Mode + 14 + 1 + + + SPI3LPEN + SPI3 Peripheral Clocks Enable During + CSleep Mode + 15 + 1 + + + SPDIFRXLPEN + SPDIFRX Peripheral Clocks Enable During + CSleep Mode + 16 + 1 + + + USART2LPEN + USART2 Peripheral Clocks Enable During + CSleep Mode + 17 + 1 + + + USART3LPEN + USART3 Peripheral Clocks Enable During + CSleep Mode + 18 + 1 + + + UART4LPEN + UART4 Peripheral Clocks Enable During + CSleep Mode + 19 + 1 + + + UART5LPEN + UART5 Peripheral Clocks Enable During + CSleep Mode + 20 + 1 + + + I2C1LPEN + I2C1 Peripheral Clocks Enable During + CSleep Mode + 21 + 1 + + + I2C2LPEN + I2C2 Peripheral Clocks Enable During + CSleep Mode + 22 + 1 + + + I2C3LPEN + I2C3 Peripheral Clocks Enable During + CSleep Mode + 23 + 1 + + + HDMICECLPEN + HDMI-CEC Peripheral Clocks Enable During + CSleep Mode + 27 + 1 + + + DAC12LPEN + DAC1/2 peripheral clock enable during + CSleep mode + 29 + 1 + + + USART7LPEN + USART7 Peripheral Clocks Enable During + CSleep Mode + 30 + 1 + + + USART8LPEN + USART8 Peripheral Clocks Enable During + CSleep Mode + 31 + 1 + + + + + C1_APB1HLPENR + C1_APB1HLPENR + RCC APB1 High Sleep Clock + Register + 0x174 + 0x20 + read-write + 0x00000000 + + + CRSLPEN + Clock Recovery System peripheral clock + enable during CSleep mode + 1 + 1 + + + SWPLPEN + SWPMI Peripheral Clocks Enable During + CSleep Mode + 2 + 1 + + + OPAMPLPEN + OPAMP peripheral clock enable during + CSleep mode + 4 + 1 + + + MDIOSLPEN + MDIOS peripheral clock enable during + CSleep mode + 5 + 1 + + + FDCANLPEN + FDCAN Peripheral Clocks Enable During + CSleep Mode + 8 + 1 + + + + + APB1HLPENR + APB1HLPENR + RCC APB1 High Sleep Clock + Register + 0x114 + 0x20 + read-write + 0x00000000 + + + CRSLPEN + Clock Recovery System peripheral clock + enable during CSleep mode + 1 + 1 + + + SWPLPEN + SWPMI Peripheral Clocks Enable During + CSleep Mode + 2 + 1 + + + OPAMPLPEN + OPAMP peripheral clock enable during + CSleep mode + 4 + 1 + + + MDIOSLPEN + MDIOS peripheral clock enable during + CSleep mode + 5 + 1 + + + FDCANLPEN + FDCAN Peripheral Clocks Enable During + CSleep Mode + 8 + 1 + + + + + APB2LPENR + APB2LPENR + RCC APB2 Sleep Clock Register + 0x118 + 0x20 + read-write + 0x00000000 + + + TIM1LPEN + TIM1 peripheral clock enable during + CSleep mode + 0 + 1 + + + TIM8LPEN + TIM8 peripheral clock enable during + CSleep mode + 1 + 1 + + + USART1LPEN + USART1 Peripheral Clocks Enable During + CSleep Mode + 4 + 1 + + + USART6LPEN + USART6 Peripheral Clocks Enable During + CSleep Mode + 5 + 1 + + + SPI1LPEN + SPI1 Peripheral Clocks Enable During + CSleep Mode + 12 + 1 + + + SPI4LPEN + SPI4 Peripheral Clocks Enable During + CSleep Mode + 13 + 1 + + + TIM15LPEN + TIM15 peripheral clock enable during + CSleep mode + 16 + 1 + + + TIM16LPEN + TIM16 peripheral clock enable during + CSleep mode + 17 + 1 + + + TIM17LPEN + TIM17 peripheral clock enable during + CSleep mode + 18 + 1 + + + SPI5LPEN + SPI5 Peripheral Clocks Enable During + CSleep Mode + 20 + 1 + + + SAI1LPEN + SAI1 Peripheral Clocks Enable During + CSleep Mode + 22 + 1 + + + SAI2LPEN + SAI2 Peripheral Clocks Enable During + CSleep Mode + 23 + 1 + + + SAI3LPEN + SAI3 Peripheral Clocks Enable During + CSleep Mode + 24 + 1 + + + DFSDM1LPEN + DFSDM1 Peripheral Clocks Enable During + CSleep Mode + 28 + 1 + + + HRTIMLPEN + HRTIM peripheral clock enable during + CSleep mode + 29 + 1 + + + + + C1_APB2LPENR + C1_APB2LPENR + RCC APB2 Sleep Clock Register + 0x178 + 0x20 + read-write + 0x00000000 + + + TIM1LPEN + TIM1 peripheral clock enable during + CSleep mode + 0 + 1 + + + TIM8LPEN + TIM8 peripheral clock enable during + CSleep mode + 1 + 1 + + + USART1LPEN + USART1 Peripheral Clocks Enable During + CSleep Mode + 4 + 1 + + + USART6LPEN + USART6 Peripheral Clocks Enable During + CSleep Mode + 5 + 1 + + + SPI1LPEN + SPI1 Peripheral Clocks Enable During + CSleep Mode + 12 + 1 + + + SPI4LPEN + SPI4 Peripheral Clocks Enable During + CSleep Mode + 13 + 1 + + + TIM15LPEN + TIM15 peripheral clock enable during + CSleep mode + 16 + 1 + + + TIM16LPEN + TIM16 peripheral clock enable during + CSleep mode + 17 + 1 + + + TIM17LPEN + TIM17 peripheral clock enable during + CSleep mode + 18 + 1 + + + SPI5LPEN + SPI5 Peripheral Clocks Enable During + CSleep Mode + 20 + 1 + + + SAI1LPEN + SAI1 Peripheral Clocks Enable During + CSleep Mode + 22 + 1 + + + SAI2LPEN + SAI2 Peripheral Clocks Enable During + CSleep Mode + 23 + 1 + + + SAI3LPEN + SAI3 Peripheral Clocks Enable During + CSleep Mode + 24 + 1 + + + DFSDM1LPEN + DFSDM1 Peripheral Clocks Enable During + CSleep Mode + 28 + 1 + + + HRTIMLPEN + HRTIM peripheral clock enable during + CSleep mode + 29 + 1 + + + + + C1_APB4LPENR + C1_APB4LPENR + RCC APB4 Sleep Clock Register + 0x17C + 0x20 + read-write + 0x00000000 + + + SYSCFGLPEN + SYSCFG peripheral clock enable during + CSleep mode + 1 + 1 + + + LPUART1LPEN + LPUART1 Peripheral Clocks Enable During + CSleep Mode + 3 + 1 + + + SPI6LPEN + SPI6 Peripheral Clocks Enable During + CSleep Mode + 5 + 1 + + + I2C4LPEN + I2C4 Peripheral Clocks Enable During + CSleep Mode + 7 + 1 + + + LPTIM2LPEN + LPTIM2 Peripheral Clocks Enable During + CSleep Mode + 9 + 1 + + + LPTIM3LPEN + LPTIM3 Peripheral Clocks Enable During + CSleep Mode + 10 + 1 + + + LPTIM4LPEN + LPTIM4 Peripheral Clocks Enable During + CSleep Mode + 11 + 1 + + + LPTIM5LPEN + LPTIM5 Peripheral Clocks Enable During + CSleep Mode + 12 + 1 + + + COMP12LPEN + COMP1/2 peripheral clock enable during + CSleep mode + 14 + 1 + + + VREFLPEN + VREF peripheral clock enable during + CSleep mode + 15 + 1 + + + RTCAPBLPEN + RTC APB Clock Enable During CSleep + Mode + 16 + 1 + + + SAI4LPEN + SAI4 Peripheral Clocks Enable During + CSleep Mode + 21 + 1 + + + + + APB4LPENR + APB4LPENR + RCC APB4 Sleep Clock Register + 0x11C + 0x20 + read-write + 0x00000000 + + + SYSCFGLPEN + SYSCFG peripheral clock enable during + CSleep mode + 1 + 1 + + + LPUART1LPEN + LPUART1 Peripheral Clocks Enable During + CSleep Mode + 3 + 1 + + + SPI6LPEN + SPI6 Peripheral Clocks Enable During + CSleep Mode + 5 + 1 + + + I2C4LPEN + I2C4 Peripheral Clocks Enable During + CSleep Mode + 7 + 1 + + + LPTIM2LPEN + LPTIM2 Peripheral Clocks Enable During + CSleep Mode + 9 + 1 + + + LPTIM3LPEN + LPTIM3 Peripheral Clocks Enable During + CSleep Mode + 10 + 1 + + + LPTIM4LPEN + LPTIM4 Peripheral Clocks Enable During + CSleep Mode + 11 + 1 + + + LPTIM5LPEN + LPTIM5 Peripheral Clocks Enable During + CSleep Mode + 12 + 1 + + + COMP12LPEN + COMP1/2 peripheral clock enable during + CSleep mode + 14 + 1 + + + VREFLPEN + VREF peripheral clock enable during + CSleep mode + 15 + 1 + + + RTCAPBLPEN + RTC APB Clock Enable During CSleep + Mode + 16 + 1 + + + SAI4LPEN + SAI4 Peripheral Clocks Enable During + CSleep Mode + 21 + 1 + + + + + + + LPTIM1 + Low power timer + LPTIM + 0x40002400 + + 0x0 + 0x400 + registers + + + LPTIM1 + LPTIM1 global interrupt + 93 + + + + ISR + ISR + Interrupt and Status Register + 0x0 + 0x20 + read-only + 0x00000000 + + + DOWN + Counter direction change up to + down + 6 + 1 + + + UP + Counter direction change down to + up + 5 + 1 + + + ARROK + Autoreload register update + OK + 4 + 1 + + + CMPOK + Compare register update OK + 3 + 1 + + + EXTTRIG + External trigger edge + event + 2 + 1 + + + ARRM + Autoreload match + 1 + 1 + + + CMPM + Compare match + 0 + 1 + + + + + ICR + ICR + Interrupt Clear Register + 0x4 + 0x20 + write-only + 0x00000000 + + + DOWNCF + Direction change to down Clear + Flag + 6 + 1 + + + UPCF + Direction change to UP Clear + Flag + 5 + 1 + + + ARROKCF + Autoreload register update OK Clear + Flag + 4 + 1 + + + CMPOKCF + Compare register update OK Clear + Flag + 3 + 1 + + + EXTTRIGCF + External trigger valid edge Clear + Flag + 2 + 1 + + + ARRMCF + Autoreload match Clear + Flag + 1 + 1 + + + CMPMCF + compare match Clear Flag + 0 + 1 + + + + + IER + IER + Interrupt Enable Register + 0x8 + 0x20 + read-write + 0x00000000 + + + DOWNIE + Direction change to down Interrupt + Enable + 6 + 1 + + + UPIE + Direction change to UP Interrupt + Enable + 5 + 1 + + + ARROKIE + Autoreload register update OK Interrupt + Enable + 4 + 1 + + + CMPOKIE + Compare register update OK Interrupt + Enable + 3 + 1 + + + EXTTRIGIE + External trigger valid edge Interrupt + Enable + 2 + 1 + + + ARRMIE + Autoreload match Interrupt + Enable + 1 + 1 + + + CMPMIE + Compare match Interrupt + Enable + 0 + 1 + + + + + CFGR + CFGR + Configuration Register + 0xC + 0x20 + read-write + 0x00000000 + + + ENC + Encoder mode enable + 24 + 1 + + + COUNTMODE + counter mode enabled + 23 + 1 + + + PRELOAD + Registers update mode + 22 + 1 + + + WAVPOL + Waveform shape polarity + 21 + 1 + + + WAVE + Waveform shape + 20 + 1 + + + TIMOUT + Timeout enable + 19 + 1 + + + TRIGEN + Trigger enable and + polarity + 17 + 2 + + + TRIGSEL + Trigger selector + 13 + 3 + + + PRESC + Clock prescaler + 9 + 3 + + + TRGFLT + Configurable digital filter for + trigger + 6 + 2 + + + CKFLT + Configurable digital filter for external + clock + 3 + 2 + + + CKPOL + Clock Polarity + 1 + 2 + + + CKSEL + Clock selector + 0 + 1 + + + + + CR + CR + Control Register + 0x10 + 0x20 + read-write + 0x00000000 + + + ENABLE + LPTIM Enable + 0 + 1 + + + SNGSTRT + LPTIM start in single mode + 1 + 1 + + + CNTSTRT + Timer start in continuous + mode + 2 + 1 + + + COUNTRST + Counter reset + 3 + 1 + + + RSTARE + Reset after read enable + 4 + 1 + + + + + CMP + CMP + Compare Register + 0x14 + 0x20 + read-write + 0x00000000 + + + CMP + Compare value + 0 + 16 + + + + + ARR + ARR + Autoreload Register + 0x18 + 0x20 + read-write + 0x00000001 + + + ARR + Auto reload value + 0 + 16 + + + + + CNT + CNT + Counter Register + 0x1C + 0x20 + read-only + 0x00000000 + + + CNT + Counter value + 0 + 16 + + + + + CFGR2 + CFGR2 + LPTIM configuration register 2 + 0x24 + 0x20 + read-write + 0x00000000 + + + IN1SEL + LPTIM Input 1 selection + 0 + 2 + + + IN2SEL + LPTIM Input 2 selection + 4 + 2 + + + + + + + LPTIM2 + 0x58002400 + + LPTIM2 + LPTIM2 timer interrupt + 138 + + + + LPTIM3 + Low power timer + LPTIM + 0x58002800 + + 0x0 + 0x400 + registers + + + LPTIM3 + LPTIM2 timer interrupt + 139 + + + + ISR + ISR + Interrupt and Status Register + 0x0 + 0x20 + read-only + 0x00000000 + + + DOWN + Counter direction change up to + down + 6 + 1 + + + UP + Counter direction change down to + up + 5 + 1 + + + ARROK + Autoreload register update + OK + 4 + 1 + + + CMPOK + Compare register update OK + 3 + 1 + + + EXTTRIG + External trigger edge + event + 2 + 1 + + + ARRM + Autoreload match + 1 + 1 + + + CMPM + Compare match + 0 + 1 + + + + + ICR + ICR + Interrupt Clear Register + 0x4 + 0x20 + write-only + 0x00000000 + + + DOWNCF + Direction change to down Clear + Flag + 6 + 1 + + + UPCF + Direction change to UP Clear + Flag + 5 + 1 + + + ARROKCF + Autoreload register update OK Clear + Flag + 4 + 1 + + + CMPOKCF + Compare register update OK Clear + Flag + 3 + 1 + + + EXTTRIGCF + External trigger valid edge Clear + Flag + 2 + 1 + + + ARRMCF + Autoreload match Clear + Flag + 1 + 1 + + + CMPMCF + compare match Clear Flag + 0 + 1 + + + + + IER + IER + Interrupt Enable Register + 0x8 + 0x20 + read-write + 0x00000000 + + + DOWNIE + Direction change to down Interrupt + Enable + 6 + 1 + + + UPIE + Direction change to UP Interrupt + Enable + 5 + 1 + + + ARROKIE + Autoreload register update OK Interrupt + Enable + 4 + 1 + + + CMPOKIE + Compare register update OK Interrupt + Enable + 3 + 1 + + + EXTTRIGIE + External trigger valid edge Interrupt + Enable + 2 + 1 + + + ARRMIE + Autoreload match Interrupt + Enable + 1 + 1 + + + CMPMIE + Compare match Interrupt + Enable + 0 + 1 + + + + + CFGR + CFGR + Configuration Register + 0xC + 0x20 + read-write + 0x00000000 + + + ENC + Encoder mode enable + 24 + 1 + + + COUNTMODE + counter mode enabled + 23 + 1 + + + PRELOAD + Registers update mode + 22 + 1 + + + WAVPOL + Waveform shape polarity + 21 + 1 + + + WAVE + Waveform shape + 20 + 1 + + + TIMOUT + Timeout enable + 19 + 1 + + + TRIGEN + Trigger enable and + polarity + 17 + 2 + + + TRIGSEL + Trigger selector + 13 + 3 + + + PRESC + Clock prescaler + 9 + 3 + + + TRGFLT + Configurable digital filter for + trigger + 6 + 2 + + + CKFLT + Configurable digital filter for external + clock + 3 + 2 + + + CKPOL + Clock Polarity + 1 + 2 + + + CKSEL + Clock selector + 0 + 1 + + + + + CR + CR + Control Register + 0x10 + 0x20 + read-write + 0x00000000 + + + ENABLE + LPTIM Enable + 0 + 1 + + + SNGSTRT + LPTIM start in single mode + 1 + 1 + + + CNTSTRT + Timer start in continuous + mode + 2 + 1 + + + COUNTRST + Counter reset + 3 + 1 + + + RSTARE + Reset after read enable + 4 + 1 + + + + + CMP + CMP + Compare Register + 0x14 + 0x20 + read-write + 0x00000000 + + + CMP + Compare value + 0 + 16 + + + + + ARR + ARR + Autoreload Register + 0x18 + 0x20 + read-write + 0x00000001 + + + ARR + Auto reload value + 0 + 16 + + + + + CNT + CNT + Counter Register + 0x1C + 0x20 + read-only + 0x00000000 + + + CNT + Counter value + 0 + 16 + + + + + CFGR2 + CFGR2 + LPTIM configuration register 2 + 0x24 + 0x20 + read-write + 0x00000000 + + + IN1SEL + LPTIM Input 1 selection + 0 + 2 + + + + + + + LPTIM4 + 0x58002C00 + + ETH_WKUP + Ethernet wakeup through EXTI + 62 + + + LPTIM4 + LPTIM2 timer interrupt + 140 + + + + LPTIM5 + 0x58003000 + + LPTIM5 + LPTIM2 timer interrupt + 141 + + + + LPUART1 + LPUART1 + LPUART + 0x58000C00 + + 0x0 + 0x400 + registers + + + LPUART + LPUART global interrupt + 142 + + + + CR1 + CR1 + Control register 1 + 0x0 + 0x20 + read-write + 0x0000 + + + RXFFIE + RXFIFO Full interrupt + enable + 31 + 1 + + + TXFEIE + TXFIFO empty interrupt + enable + 30 + 1 + + + FIFOEN + FIFO mode enable + 29 + 1 + + + M1 + Word length + 28 + 1 + + + DEAT + Driver Enable assertion + time + 21 + 5 + + + DEDT + Driver Enable deassertion + time + 16 + 5 + + + CMIE + Character match interrupt + enable + 14 + 1 + + + MME + Mute mode enable + 13 + 1 + + + M0 + Word length + 12 + 1 + + + WAKE + Receiver wakeup method + 11 + 1 + + + PCE + Parity control enable + 10 + 1 + + + PS + Parity selection + 9 + 1 + + + PEIE + PE interrupt enable + 8 + 1 + + + TXEIE + interrupt enable + 7 + 1 + + + TCIE + Transmission complete interrupt + enable + 6 + 1 + + + RXNEIE + RXNE interrupt enable + 5 + 1 + + + IDLEIE + IDLE interrupt enable + 4 + 1 + + + TE + Transmitter enable + 3 + 1 + + + RE + Receiver enable + 2 + 1 + + + UESM + USART enable in Stop mode + 1 + 1 + + + UE + USART enable + 0 + 1 + + + + + CR2 + CR2 + Control register 2 + 0x4 + 0x20 + read-write + 0x0000 + + + ADD + Address of the USART node + 24 + 8 + + + MSBFIRST + Most significant bit first + 19 + 1 + + + DATAINV + Binary data inversion + 18 + 1 + + + TXINV + TX pin active level + inversion + 17 + 1 + + + RXINV + RX pin active level + inversion + 16 + 1 + + + SWAP + Swap TX/RX pins + 15 + 1 + + + STOP + STOP bits + 12 + 2 + + + ADDM7 + 7-bit Address Detection/4-bit Address + Detection + 4 + 1 + + + + + CR3 + CR3 + Control register 3 + 0x8 + 0x20 + read-write + 0x0000 + + + TXFTCFG + TXFIFO threshold + configuration + 29 + 3 + + + RXFTIE + RXFIFO threshold interrupt + enable + 28 + 1 + + + RXFTCFG + Receive FIFO threshold + configuration + 25 + 3 + + + TXFTIE + TXFIFO threshold interrupt + enable + 23 + 1 + + + WUFIE + Wakeup from Stop mode interrupt + enable + 22 + 1 + + + WUS + Wakeup from Stop mode interrupt flag + selection + 20 + 2 + + + DEP + Driver enable polarity + selection + 15 + 1 + + + DEM + Driver enable mode + 14 + 1 + + + DDRE + DMA Disable on Reception + Error + 13 + 1 + + + OVRDIS + Overrun Disable + 12 + 1 + + + CTSIE + CTS interrupt enable + 10 + 1 + + + CTSE + CTS enable + 9 + 1 + + + RTSE + RTS enable + 8 + 1 + + + DMAT + DMA enable transmitter + 7 + 1 + + + DMAR + DMA enable receiver + 6 + 1 + + + HDSEL + Half-duplex selection + 3 + 1 + + + EIE + Error interrupt enable + 0 + 1 + + + + + BRR + BRR + Baud rate register + 0xC + 0x20 + read-write + 0x0000 + + + BRR + BRR + 0 + 20 + + + + + GTPR + GTPR + Guard time and prescaler + register + 0x10 + 0x20 + read-write + 0x0000 + + + GT + Guard time value + 8 + 8 + + + PSC + Prescaler value + 0 + 8 + + + + + RTOR + RTOR + Receiver timeout register + 0x14 + 0x20 + read-write + 0x0000 + + + BLEN + Block Length + 24 + 8 + + + RTO + Receiver timeout value + 0 + 24 + + + + + RQR + RQR + Request register + 0x18 + 0x20 + write-only + 0x0000 + + + TXFRQ + Transmit data flush + request + 4 + 1 + + + RXFRQ + Receive data flush request + 3 + 1 + + + MMRQ + Mute mode request + 2 + 1 + + + SBKRQ + Send break request + 1 + 1 + + + ABRRQ + Auto baud rate request + 0 + 1 + + + + + ISR + ISR + Interrupt & status + register + 0x1C + 0x20 + read-only + 0x00C0 + + + TXFT + TXFIFO threshold flag + 27 + 1 + + + RXFT + RXFIFO threshold flag + 26 + 1 + + + RXFF + RXFIFO Full + 24 + 1 + + + TXFE + TXFIFO Empty + 23 + 1 + + + REACK + REACK + 22 + 1 + + + TEACK + TEACK + 21 + 1 + + + WUF + WUF + 20 + 1 + + + RWU + RWU + 19 + 1 + + + SBKF + SBKF + 18 + 1 + + + CMF + CMF + 17 + 1 + + + BUSY + BUSY + 16 + 1 + + + CTS + CTS + 10 + 1 + + + CTSIF + CTSIF + 9 + 1 + + + TXE + TXE + 7 + 1 + + + TC + TC + 6 + 1 + + + RXNE + RXNE + 5 + 1 + + + IDLE + IDLE + 4 + 1 + + + ORE + ORE + 3 + 1 + + + NE + NE + 2 + 1 + + + FE + FE + 1 + 1 + + + PE + PE + 0 + 1 + + + + + ICR + ICR + Interrupt flag clear register + 0x20 + 0x20 + write-only + 0x0000 + + + WUCF + Wakeup from Stop mode clear + flag + 20 + 1 + + + CMCF + Character match clear flag + 17 + 1 + + + CTSCF + CTS clear flag + 9 + 1 + + + TCCF + Transmission complete clear + flag + 6 + 1 + + + IDLECF + Idle line detected clear + flag + 4 + 1 + + + ORECF + Overrun error clear flag + 3 + 1 + + + NCF + Noise detected clear flag + 2 + 1 + + + FECF + Framing error clear flag + 1 + 1 + + + PECF + Parity error clear flag + 0 + 1 + + + + + RDR + RDR + Receive data register + 0x24 + 0x20 + read-only + 0x0000 + + + RDR + Receive data value + 0 + 9 + + + + + TDR + TDR + Transmit data register + 0x28 + 0x20 + read-write + 0x0000 + + + TDR + Transmit data value + 0 + 9 + + + + + PRESC + PRESC + Prescaler register + 0x2C + 0x20 + read-write + 0x0000 + + + PRESCALER + Clock prescaler + 0 + 4 + + + + + + + SYSCFG + System configuration controller + SYSCFG + 0x58000400 + + 0x0 + 0x400 + registers + + + + PMCR + PMCR + peripheral mode configuration + register + 0x4 + 0x20 + read-write + 0x00000000 + + + I2C1FMP + I2C1 Fm+ + 0 + 1 + + + I2C2FMP + I2C2 Fm+ + 1 + 1 + + + I2C3FMP + I2C3 Fm+ + 2 + 1 + + + I2C4FMP + I2C4 Fm+ + 3 + 1 + + + PB6FMP + PB(6) Fm+ + 4 + 1 + + + PB7FMP + PB(7) Fast Mode Plus + 5 + 1 + + + PB8FMP + PB(8) Fast Mode Plus + 6 + 1 + + + PB9FMP + PB(9) Fm+ + 7 + 1 + + + BOOSTE + Booster Enable + 8 + 1 + + + BOOSTVDDSEL + Analog switch supply voltage selection + 9 + 1 + + + EPIS + Ethernet PHY Interface + Selection + 21 + 3 + + + PA0SO + PA0 Switch Open + 24 + 1 + + + PA1SO + PA1 Switch Open + 25 + 1 + + + PC2SO + PC2 Switch Open + 26 + 1 + + + PC3SO + PC3 Switch Open + 27 + 1 + + + + + EXTICR1 + EXTICR1 + external interrupt configuration register + 1 + 0x8 + 0x20 + read-write + 0x0000 + + + EXTI3 + EXTI x configuration (x = 0 to + 3) + 12 + 4 + + + EXTI2 + EXTI x configuration (x = 0 to + 3) + 8 + 4 + + + EXTI1 + EXTI x configuration (x = 0 to + 3) + 4 + 4 + + + EXTI0 + EXTI x configuration (x = 0 to + 3) + 0 + 4 + + + + + EXTICR2 + EXTICR2 + external interrupt configuration register + 2 + 0xC + 0x20 + read-write + 0x0000 + + + EXTI7 + EXTI x configuration (x = 4 to + 7) + 12 + 4 + + + EXTI6 + EXTI x configuration (x = 4 to + 7) + 8 + 4 + + + EXTI5 + EXTI x configuration (x = 4 to + 7) + 4 + 4 + + + EXTI4 + EXTI x configuration (x = 4 to + 7) + 0 + 4 + + + + + EXTICR3 + EXTICR3 + external interrupt configuration register + 3 + 0x10 + 0x20 + read-write + 0x0000 + + + EXTI11 + EXTI x configuration (x = 8 to + 11) + 12 + 4 + + + EXTI10 + EXTI10 + 8 + 4 + + + EXTI9 + EXTI x configuration (x = 8 to + 11) + 4 + 4 + + + EXTI8 + EXTI x configuration (x = 8 to + 11) + 0 + 4 + + + + + EXTICR4 + EXTICR4 + external interrupt configuration register + 4 + 0x14 + 0x20 + read-write + 0x0000 + + + EXTI15 + EXTI x configuration (x = 12 to + 15) + 12 + 4 + + + EXTI14 + EXTI x configuration (x = 12 to + 15) + 8 + 4 + + + EXTI13 + EXTI x configuration (x = 12 to + 15) + 4 + 4 + + + EXTI12 + EXTI x configuration (x = 12 to + 15) + 0 + 4 + + + + + CCCSR + CCCSR + compensation cell control/status + register + 0x20 + 0x20 + read-write + 0x00000000 + + + EN + enable + 0 + 1 + + + CS + Code selection + 1 + 1 + + + READY + Compensation cell ready + flag + 8 + 1 + + + HSLV + High-speed at low-voltage + 16 + 1 + + + + + CCVR + CCVR + SYSCFG compensation cell value + register + 0x24 + 0x20 + read-only + 0x00000000 + + + NCV + NMOS compensation value + 0 + 4 + + + PCV + PMOS compensation value + 4 + 4 + + + + + CCCR + CCCR + SYSCFG compensation cell code + register + 0x28 + 0x20 + read-write + 0x00000000 + + + NCC + NMOS compensation code + 0 + 4 + + + PCC + PMOS compensation code + 4 + 4 + + + + + PWRCR + PWRCR + SYSCFG power control register + 0x2C + 0x20 + read-write + 0x00000000 + + + ODEN + Overdrive enable + 0 + 4 + + + + + + PKGR + PKGR + SYSCFG package register + 0x124 + 0x20 + read-only + 0x00000000 + + + PKG + Package + 0 + 4 + + + + + UR0 + UR0 + SYSCFG user register 0 + 0x300 + 0x20 + read-only + 0x00000000 + + + BKS + Bank Swap + 0 + 1 + + + RDP + Readout protection + 16 + 8 + + + + + UR2 + UR2 + SYSCFG user register 2 + 0x308 + 0x20 + read-write + 0x00000000 + + + BORH + BOR_LVL Brownout Reset Threshold + Level + 0 + 2 + + + BOOT_ADD0 + Boot Address 0 + 16 + 16 + + + + + UR3 + UR3 + SYSCFG user register 3 + 0x30C + 0x20 + read-write + 0x00000000 + + + BOOT_ADD1 + Boot Address 1 + 16 + 16 + + + + + UR4 + UR4 + SYSCFG user register 4 + 0x310 + 0x20 + read-only + 0x00000000 + + + MEPAD_1 + Mass Erase Protected Area Disabled for + bank 1 + 16 + 1 + + + + + UR5 + UR5 + SYSCFG user register 5 + 0x314 + 0x20 + read-only + 0x00000000 + + + MESAD_1 + Mass erase secured area disabled for + bank 1 + 0 + 1 + + + WRPN_1 + Write protection for flash bank + 1 + 16 + 8 + + + + + UR6 + UR6 + SYSCFG user register 6 + 0x318 + 0x20 + read-only + 0x00000000 + + + PA_BEG_1 + Protected area start address for bank + 1 + 0 + 12 + + + PA_END_1 + Protected area end address for bank + 1 + 16 + 12 + + + + + UR7 + UR7 + SYSCFG user register 7 + 0x31C + 0x20 + read-only + 0x00000000 + + + SA_BEG_1 + Secured area start address for bank + 1 + 0 + 12 + + + SA_END_1 + Secured area end address for bank + 1 + 16 + 12 + + + + + UR8 + UR8 + SYSCFG user register 8 + 0x320 + 0x20 + read-only + 0x00000000 + + + MEPAD_2 + Mass erase protected area disabled for + bank 2 + 0 + 1 + + + MESAD_2 + Mass erase secured area disabled for + bank 2 + 16 + 1 + + + + + UR9 + UR9 + SYSCFG user register 9 + 0x324 + 0x20 + read-only + 0x00000000 + + + WRPN_2 + Write protection for flash bank + 2 + 0 + 8 + + + PA_BEG_2 + Protected area start address for bank + 2 + 16 + 12 + + + + + UR10 + UR10 + SYSCFG user register 10 + 0x328 + 0x20 + read-only + 0x00000000 + + + PA_END_2 + Protected area end address for bank + 2 + 0 + 12 + + + SA_BEG_2 + Secured area start address for bank + 2 + 16 + 12 + + + + + UR11 + UR11 + SYSCFG user register 11 + 0x32C + 0x20 + read-only + 0x00000000 + + + SA_END_2 + Secured area end address for bank + 2 + 0 + 12 + + + IWDG1M + Independent Watchdog 1 + mode + 16 + 1 + + + + + UR12 + UR12 + SYSCFG user register 12 + 0x330 + 0x20 + read-only + 0x00000000 + + + SECURE + Secure mode + 16 + 1 + + + + + UR13 + UR13 + SYSCFG user register 13 + 0x334 + 0x20 + read-only + 0x00000000 + + + SDRS + Secured DTCM RAM Size + 0 + 2 + + + D1SBRST + D1 Standby reset + 16 + 1 + + + + + UR14 + UR14 + SYSCFG user register 14 + 0x338 + 0x20 + read-write + 0x00000000 + + + D1STPRST + D1 Stop Reset + 0 + 1 + + + + + UR15 + UR15 + SYSCFG user register 15 + 0x33C + 0x20 + read-only + 0x00000000 + + + FZIWDGSTB + Freeze independent watchdog in Standby + mode + 16 + 1 + + + + + UR16 + UR16 + SYSCFG user register 16 + 0x340 + 0x20 + read-only + 0x00000000 + + + FZIWDGSTP + Freeze independent watchdog in Stop + mode + 0 + 1 + + + PKP + Private key programmed + 16 + 1 + + + + + UR17 + UR17 + SYSCFG user register 17 + 0x344 + 0x20 + read-only + 0x00000000 + + + IO_HSLV + I/O high speed / low + voltage + 0 + 1 + + + + + + + EXTI + External interrupt/event + controller + EXTI + 0x58000000 + + 0x0 + 0x400 + registers + + + PVD_PVM + PVD through EXTI line + 1 + + + EXTI0 + EXTI Line 0 interrupt + 6 + + + EXTI1 + EXTI Line 1 interrupt + 7 + + + EXTI2 + EXTI Line 2 interrupt + 8 + + + EXTI3 + EXTI Line 3interrupt + 9 + + + EXTI4 + EXTI Line 4interrupt + 10 + + + EXTI9_5 + EXTI Line[9:5] interrupts + 23 + + + EXTI15_10 + EXTI Line[15:10] interrupts + 40 + + + RTC_ALARM + RTC alarms (A and B) + 41 + + + FPU + CPU FPU interrupt + 81 + + + + RTSR1 + RTSR1 + EXTI rising trigger selection + register + 0x0 + 0x20 + read-write + 0x00000000 + + + TR0 + Rising trigger event configuration bit + of Configurable Event input + 0 + 1 + + + TR1 + Rising trigger event configuration bit + of Configurable Event input + 1 + 1 + + + TR2 + Rising trigger event configuration bit + of Configurable Event input + 2 + 1 + + + TR3 + Rising trigger event configuration bit + of Configurable Event input + 3 + 1 + + + TR4 + Rising trigger event configuration bit + of Configurable Event input + 4 + 1 + + + TR5 + Rising trigger event configuration bit + of Configurable Event input + 5 + 1 + + + TR6 + Rising trigger event configuration bit + of Configurable Event input + 6 + 1 + + + TR7 + Rising trigger event configuration bit + of Configurable Event input + 7 + 1 + + + TR8 + Rising trigger event configuration bit + of Configurable Event input + 8 + 1 + + + TR9 + Rising trigger event configuration bit + of Configurable Event input + 9 + 1 + + + TR10 + Rising trigger event configuration bit + of Configurable Event input + 10 + 1 + + + TR11 + Rising trigger event configuration bit + of Configurable Event input + 11 + 1 + + + TR12 + Rising trigger event configuration bit + of Configurable Event input + 12 + 1 + + + TR13 + Rising trigger event configuration bit + of Configurable Event input + 13 + 1 + + + TR14 + Rising trigger event configuration bit + of Configurable Event input + 14 + 1 + + + TR15 + Rising trigger event configuration bit + of Configurable Event input + 15 + 1 + + + TR16 + Rising trigger event configuration bit + of Configurable Event input + 16 + 1 + + + TR17 + Rising trigger event configuration bit + of Configurable Event input + 17 + 1 + + + TR18 + Rising trigger event configuration bit + of Configurable Event input + 18 + 1 + + + TR19 + Rising trigger event configuration bit + of Configurable Event input + 19 + 1 + + + TR20 + Rising trigger event configuration bit + of Configurable Event input + 20 + 1 + + + TR21 + Rising trigger event configuration bit + of Configurable Event input + 21 + 1 + + + + + FTSR1 + FTSR1 + EXTI falling trigger selection + register + 0x4 + 0x20 + read-write + 0x00000000 + + + TR0 + Rising trigger event configuration bit + of Configurable Event input + 0 + 1 + + + TR1 + Rising trigger event configuration bit + of Configurable Event input + 1 + 1 + + + TR2 + Rising trigger event configuration bit + of Configurable Event input + 2 + 1 + + + TR3 + Rising trigger event configuration bit + of Configurable Event input + 3 + 1 + + + TR4 + Rising trigger event configuration bit + of Configurable Event input + 4 + 1 + + + TR5 + Rising trigger event configuration bit + of Configurable Event input + 5 + 1 + + + TR6 + Rising trigger event configuration bit + of Configurable Event input + 6 + 1 + + + TR7 + Rising trigger event configuration bit + of Configurable Event input + 7 + 1 + + + TR8 + Rising trigger event configuration bit + of Configurable Event input + 8 + 1 + + + TR9 + Rising trigger event configuration bit + of Configurable Event input + 9 + 1 + + + TR10 + Rising trigger event configuration bit + of Configurable Event input + 10 + 1 + + + TR11 + Rising trigger event configuration bit + of Configurable Event input + 11 + 1 + + + TR12 + Rising trigger event configuration bit + of Configurable Event input + 12 + 1 + + + TR13 + Rising trigger event configuration bit + of Configurable Event input + 13 + 1 + + + TR14 + Rising trigger event configuration bit + of Configurable Event input + 14 + 1 + + + TR15 + Rising trigger event configuration bit + of Configurable Event input + 15 + 1 + + + TR16 + Rising trigger event configuration bit + of Configurable Event input + 16 + 1 + + + TR17 + Rising trigger event configuration bit + of Configurable Event input + 17 + 1 + + + TR18 + Rising trigger event configuration bit + of Configurable Event input + 18 + 1 + + + TR19 + Rising trigger event configuration bit + of Configurable Event input + 19 + 1 + + + TR20 + Rising trigger event configuration bit + of Configurable Event input + 20 + 1 + + + TR21 + Rising trigger event configuration bit + of Configurable Event input + 21 + 1 + + + + + SWIER1 + SWIER1 + EXTI software interrupt event + register + 0x8 + 0x20 + read-write + 0x00000000 + + + SWIER0 + Rising trigger event configuration bit + of Configurable Event input + 0 + 1 + + + SWIER1 + Rising trigger event configuration bit + of Configurable Event input + 1 + 1 + + + SWIER2 + Rising trigger event configuration bit + of Configurable Event input + 2 + 1 + + + SWIER3 + Rising trigger event configuration bit + of Configurable Event input + 3 + 1 + + + SWIER4 + Rising trigger event configuration bit + of Configurable Event input + 4 + 1 + + + SWIER5 + Rising trigger event configuration bit + of Configurable Event input + 5 + 1 + + + SWIER6 + Rising trigger event configuration bit + of Configurable Event input + 6 + 1 + + + SWIER7 + Rising trigger event configuration bit + of Configurable Event input + 7 + 1 + + + SWIER8 + Rising trigger event configuration bit + of Configurable Event input + 8 + 1 + + + SWIER9 + Rising trigger event configuration bit + of Configurable Event input + 9 + 1 + + + SWIER10 + Rising trigger event configuration bit + of Configurable Event input + 10 + 1 + + + SWIER11 + Rising trigger event configuration bit + of Configurable Event input + 11 + 1 + + + SWIER12 + Rising trigger event configuration bit + of Configurable Event input + 12 + 1 + + + SWIER13 + Rising trigger event configuration bit + of Configurable Event input + 13 + 1 + + + SWIER14 + Rising trigger event configuration bit + of Configurable Event input + 14 + 1 + + + SWIER15 + Rising trigger event configuration bit + of Configurable Event input + 15 + 1 + + + SWIER16 + Rising trigger event configuration bit + of Configurable Event input + 16 + 1 + + + SWIER17 + Rising trigger event configuration bit + of Configurable Event input + 17 + 1 + + + SWIER18 + Rising trigger event configuration bit + of Configurable Event input + 18 + 1 + + + SWIER19 + Rising trigger event configuration bit + of Configurable Event input + 19 + 1 + + + SWIER20 + Rising trigger event configuration bit + of Configurable Event input + 20 + 1 + + + SWIER21 + Rising trigger event configuration bit + of Configurable Event input + 21 + 1 + + + + + D3PMR1 + D3PMR1 + EXTI D3 pending mask register + 0xC + 0x20 + read-write + 0x00000000 + + + MR0 + Rising trigger event configuration bit + of Configurable Event input + 0 + 1 + + + MR1 + Rising trigger event configuration bit + of Configurable Event input + 1 + 1 + + + MR2 + Rising trigger event configuration bit + of Configurable Event input + 2 + 1 + + + MR3 + Rising trigger event configuration bit + of Configurable Event input + 3 + 1 + + + MR4 + Rising trigger event configuration bit + of Configurable Event input + 4 + 1 + + + MR5 + Rising trigger event configuration bit + of Configurable Event input + 5 + 1 + + + MR6 + Rising trigger event configuration bit + of Configurable Event input + 6 + 1 + + + MR7 + Rising trigger event configuration bit + of Configurable Event input + 7 + 1 + + + MR8 + Rising trigger event configuration bit + of Configurable Event input + 8 + 1 + + + MR9 + Rising trigger event configuration bit + of Configurable Event input + 9 + 1 + + + MR10 + Rising trigger event configuration bit + of Configurable Event input + 10 + 1 + + + MR11 + Rising trigger event configuration bit + of Configurable Event input + 11 + 1 + + + MR12 + Rising trigger event configuration bit + of Configurable Event input + 12 + 1 + + + MR13 + Rising trigger event configuration bit + of Configurable Event input + 13 + 1 + + + MR14 + Rising trigger event configuration bit + of Configurable Event input + 14 + 1 + + + MR15 + Rising trigger event configuration bit + of Configurable Event input + 15 + 1 + + + MR19 + Rising trigger event configuration bit + of Configurable Event input + 19 + 1 + + + MR20 + Rising trigger event configuration bit + of Configurable Event input + 20 + 1 + + + MR21 + Rising trigger event configuration bit + of Configurable Event input + 21 + 1 + + + MR25 + Rising trigger event configuration bit + of Configurable Event input + 25 + 1 + + + + + D3PCR1L + D3PCR1L + EXTI D3 pending clear selection register + low + 0x10 + 0x20 + read-write + 0x00000000 + + + PCS0 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 0 + 2 + + + PCS1 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 2 + 2 + + + PCS2 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 4 + 2 + + + PCS3 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 6 + 2 + + + PCS4 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 8 + 2 + + + PCS5 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 10 + 2 + + + PCS6 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 12 + 2 + + + PCS7 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 14 + 2 + + + PCS8 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 16 + 2 + + + PCS9 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 18 + 2 + + + PCS10 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 20 + 2 + + + PCS11 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 22 + 2 + + + PCS12 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 24 + 2 + + + PCS13 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 26 + 2 + + + PCS14 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 28 + 2 + + + PCS15 + D3 Pending request clear input signal + selection on Event input x = truncate + (n/2) + 30 + 2 + + + + + D3PCR1H + D3PCR1H + EXTI D3 pending clear selection register + high + 0x14 + 0x20 + read-write + 0x00000000 + + + PCS19 + D3 Pending request clear input signal + selection on Event input x = truncate + ((n+32)/2) + 6 + 2 + + + PCS20 + D3 Pending request clear input signal + selection on Event input x = truncate + ((n+32)/2) + 8 + 2 + + + PCS21 + D3 Pending request clear input signal + selection on Event input x = truncate + ((n+32)/2) + 10 + 2 + + + PCS25 + D3 Pending request clear input signal + selection on Event input x = truncate + ((n+32)/2) + 18 + 2 + + + + + RTSR2 + RTSR2 + EXTI rising trigger selection + register + 0x20 + 0x20 + read-write + 0x00000000 + + + TR49 + Rising trigger event configuration bit + of Configurable Event input x+32 + 17 + 1 + + + TR51 + Rising trigger event configuration bit + of Configurable Event input x+32 + 19 + 1 + + + + + FTSR2 + FTSR2 + EXTI falling trigger selection + register + 0x24 + 0x20 + read-write + 0x00000000 + + + TR49 + Falling trigger event configuration bit + of Configurable Event input x+32 + 17 + 1 + + + TR51 + Falling trigger event configuration bit + of Configurable Event input x+32 + 19 + 1 + + + + + SWIER2 + SWIER2 + EXTI software interrupt event + register + 0x28 + 0x20 + read-write + 0x00000000 + + + SWIER49 + Software interrupt on line + x+32 + 17 + 1 + + + SWIER51 + Software interrupt on line + x+32 + 19 + 1 + + + + + D3PMR2 + D3PMR2 + EXTI D3 pending mask register + 0x2C + 0x20 + read-write + 0x00000000 + + + MR34 + D3 Pending Mask on Event input + x+32 + 2 + 1 + + + MR35 + D3 Pending Mask on Event input + x+32 + 3 + 1 + + + MR41 + D3 Pending Mask on Event input + x+32 + 9 + 1 + + + MR48 + D3 Pending Mask on Event input + x+32 + 16 + 1 + + + MR49 + D3 Pending Mask on Event input + x+32 + 17 + 1 + + + MR50 + D3 Pending Mask on Event input + x+32 + 18 + 1 + + + MR51 + D3 Pending Mask on Event input + x+32 + 19 + 1 + + + MR52 + D3 Pending Mask on Event input + x+32 + 20 + 1 + + + MR53 + D3 Pending Mask on Event input + x+32 + 21 + 1 + + + + + D3PCR2L + D3PCR2L + EXTI D3 pending clear selection register + low + 0x30 + 0x20 + read-write + 0x00000000 + + + PCS35 + D3 Pending request clear input signal + selection on Event input x = truncate + ((n+64)/2) + 6 + 2 + + + PCS34 + D3 Pending request clear input signal + selection on Event input x = truncate + ((n+64)/2) + 4 + 2 + + + PCS41 + D3 Pending request clear input signal + selection on Event input x = truncate + ((n+64)/2) + 18 + 2 + + + + + D3PCR2H + D3PCR2H + EXTI D3 pending clear selection register + high + 0x34 + 0x20 + read-write + 0x00000000 + + + PCS48 + Pending request clear input signal + selection on Event input x= truncate + ((n+96)/2) + 0 + 2 + + + PCS49 + Pending request clear input signal + selection on Event input x= truncate + ((n+96)/2) + 2 + 2 + + + PCS50 + Pending request clear input signal + selection on Event input x= truncate + ((n+96)/2) + 4 + 2 + + + PCS51 + Pending request clear input signal + selection on Event input x= truncate + ((n+96)/2) + 6 + 2 + + + PCS52 + Pending request clear input signal + selection on Event input x= truncate + ((n+96)/2) + 8 + 2 + + + PCS53 + Pending request clear input signal + selection on Event input x= truncate + ((n+96)/2) + 10 + 2 + + + + + RTSR3 + RTSR3 + EXTI rising trigger selection + register + 0x40 + 0x20 + read-write + 0x00000000 + + + TR82 + Rising trigger event configuration bit + of Configurable Event input x+64 + 18 + 1 + + + TR84 + Rising trigger event configuration bit + of Configurable Event input x+64 + 20 + 1 + + + TR85 + Rising trigger event configuration bit + of Configurable Event input x+64 + 21 + 1 + + + TR86 + Rising trigger event configuration bit + of Configurable Event input x+64 + 22 + 1 + + + + + FTSR3 + FTSR3 + EXTI falling trigger selection + register + 0x44 + 0x20 + read-write + 0x00000000 + + + TR82 + Falling trigger event configuration bit + of Configurable Event input x+64 + 18 + 1 + + + TR84 + Falling trigger event configuration bit + of Configurable Event input x+64 + 20 + 1 + + + TR85 + Falling trigger event configuration bit + of Configurable Event input x+64 + 21 + 1 + + + TR86 + Falling trigger event configuration bit + of Configurable Event input x+64 + 22 + 1 + + + + + SWIER3 + SWIER3 + EXTI software interrupt event + register + 0x48 + 0x20 + read-write + 0x00000000 + + + SWIER82 + Software interrupt on line + x+64 + 18 + 1 + + + SWIER84 + Software interrupt on line + x+64 + 20 + 1 + + + SWIER85 + Software interrupt on line + x+64 + 21 + 1 + + + SWIER86 + Software interrupt on line + x+64 + 22 + 1 + + + + + D3PMR3 + D3PMR3 + EXTI D3 pending mask register + 0x4C + 0x20 + read-write + 0x00000000 + + + MR88 + D3 Pending Mask on Event input + x+64 + 24 + 1 + + + + + D3PCR3H + D3PCR3H + EXTI D3 pending clear selection register + high + 0x54 + 0x20 + read-write + 0x00000000 + + + PCS88 + D3 Pending request clear input signal + selection on Event input x= truncate + N+160/2 + 18 + 2 + + + + + CPUIMR1 + CPUIMR1 + EXTI interrupt mask register + 0x80 + 0x20 + read-write + 0xFFC00000 + + + MR0 + Rising trigger event configuration bit + of Configurable Event input + 0 + 1 + + + MR1 + Rising trigger event configuration bit + of Configurable Event input + 1 + 1 + + + MR2 + Rising trigger event configuration bit + of Configurable Event input + 2 + 1 + + + MR3 + Rising trigger event configuration bit + of Configurable Event input + 3 + 1 + + + MR4 + Rising trigger event configuration bit + of Configurable Event input + 4 + 1 + + + MR5 + Rising trigger event configuration bit + of Configurable Event input + 5 + 1 + + + MR6 + Rising trigger event configuration bit + of Configurable Event input + 6 + 1 + + + MR7 + Rising trigger event configuration bit + of Configurable Event input + 7 + 1 + + + MR8 + Rising trigger event configuration bit + of Configurable Event input + 8 + 1 + + + MR9 + Rising trigger event configuration bit + of Configurable Event input + 9 + 1 + + + MR10 + Rising trigger event configuration bit + of Configurable Event input + 10 + 1 + + + MR11 + Rising trigger event configuration bit + of Configurable Event input + 11 + 1 + + + MR12 + Rising trigger event configuration bit + of Configurable Event input + 12 + 1 + + + MR13 + Rising trigger event configuration bit + of Configurable Event input + 13 + 1 + + + MR14 + Rising trigger event configuration bit + of Configurable Event input + 14 + 1 + + + MR15 + Rising trigger event configuration bit + of Configurable Event input + 15 + 1 + + + MR16 + Rising trigger event configuration bit + of Configurable Event input + 16 + 1 + + + MR17 + Rising trigger event configuration bit + of Configurable Event input + 17 + 1 + + + MR18 + Rising trigger event configuration bit + of Configurable Event input + 18 + 1 + + + MR19 + Rising trigger event configuration bit + of Configurable Event input + 19 + 1 + + + MR20 + Rising trigger event configuration bit + of Configurable Event input + 20 + 1 + + + MR21 + Rising trigger event configuration bit + of Configurable Event input + 21 + 1 + + + MR22 + Rising trigger event configuration bit + of Configurable Event input + 22 + 1 + + + MR23 + Rising trigger event configuration bit + of Configurable Event input + 23 + 1 + + + MR24 + Rising trigger event configuration bit + of Configurable Event input + 24 + 1 + + + MR25 + Rising trigger event configuration bit + of Configurable Event input + 25 + 1 + + + MR26 + Rising trigger event configuration bit + of Configurable Event input + 26 + 1 + + + MR27 + Rising trigger event configuration bit + of Configurable Event input + 27 + 1 + + + MR28 + Rising trigger event configuration bit + of Configurable Event input + 28 + 1 + + + MR29 + Rising trigger event configuration bit + of Configurable Event input + 29 + 1 + + + MR30 + Rising trigger event configuration bit + of Configurable Event input + 30 + 1 + + + MR31 + Rising trigger event configuration bit + of Configurable Event input + 31 + 1 + + + + + CPUEMR1 + CPUEMR1 + EXTI event mask register + 0x84 + 0x20 + read-write + 0x00000000 + + + MR0 + CPU Event mask on Event input + x + 0 + 1 + + + MR1 + CPU Event mask on Event input + x + 1 + 1 + + + MR2 + CPU Event mask on Event input + x + 2 + 1 + + + MR3 + CPU Event mask on Event input + x + 3 + 1 + + + MR4 + CPU Event mask on Event input + x + 4 + 1 + + + MR5 + CPU Event mask on Event input + x + 5 + 1 + + + MR6 + CPU Event mask on Event input + x + 6 + 1 + + + MR7 + CPU Event mask on Event input + x + 7 + 1 + + + MR8 + CPU Event mask on Event input + x + 8 + 1 + + + MR9 + CPU Event mask on Event input + x + 9 + 1 + + + MR10 + CPU Event mask on Event input + x + 10 + 1 + + + MR11 + CPU Event mask on Event input + x + 11 + 1 + + + MR12 + CPU Event mask on Event input + x + 12 + 1 + + + MR13 + CPU Event mask on Event input + x + 13 + 1 + + + MR14 + CPU Event mask on Event input + x + 14 + 1 + + + MR15 + CPU Event mask on Event input + x + 15 + 1 + + + MR16 + CPU Event mask on Event input + x + 16 + 1 + + + MR17 + CPU Event mask on Event input + x + 17 + 1 + + + MR18 + CPU Event mask on Event input + x + 18 + 1 + + + MR19 + CPU Event mask on Event input + x + 19 + 1 + + + MR20 + CPU Event mask on Event input + x + 20 + 1 + + + MR21 + CPU Event mask on Event input + x + 21 + 1 + + + MR22 + CPU Event mask on Event input + x + 22 + 1 + + + MR23 + CPU Event mask on Event input + x + 23 + 1 + + + MR24 + CPU Event mask on Event input + x + 24 + 1 + + + MR25 + CPU Event mask on Event input + x + 25 + 1 + + + MR26 + CPU Event mask on Event input + x + 26 + 1 + + + MR27 + CPU Event mask on Event input + x + 27 + 1 + + + MR28 + CPU Event mask on Event input + x + 28 + 1 + + + MR29 + CPU Event mask on Event input + x + 29 + 1 + + + MR30 + CPU Event mask on Event input + x + 30 + 1 + + + MR31 + CPU Event mask on Event input + x + 31 + 1 + + + + + CPUPR1 + CPUPR1 + EXTI pending register + 0x88 + 0x20 + read-write + 0x00000000 + + + PR0 + CPU Event mask on Event input + x + 0 + 1 + + + PR1 + CPU Event mask on Event input + x + 1 + 1 + + + PR2 + CPU Event mask on Event input + x + 2 + 1 + + + PR3 + CPU Event mask on Event input + x + 3 + 1 + + + PR4 + CPU Event mask on Event input + x + 4 + 1 + + + PR5 + CPU Event mask on Event input + x + 5 + 1 + + + PR6 + CPU Event mask on Event input + x + 6 + 1 + + + PR7 + CPU Event mask on Event input + x + 7 + 1 + + + PR8 + CPU Event mask on Event input + x + 8 + 1 + + + PR9 + CPU Event mask on Event input + x + 9 + 1 + + + PR10 + CPU Event mask on Event input + x + 10 + 1 + + + PR11 + CPU Event mask on Event input + x + 11 + 1 + + + PR12 + CPU Event mask on Event input + x + 12 + 1 + + + PR13 + CPU Event mask on Event input + x + 13 + 1 + + + PR14 + CPU Event mask on Event input + x + 14 + 1 + + + PR15 + CPU Event mask on Event input + x + 15 + 1 + + + PR16 + CPU Event mask on Event input + x + 16 + 1 + + + PR17 + CPU Event mask on Event input + x + 17 + 1 + + + PR18 + CPU Event mask on Event input + x + 18 + 1 + + + PR19 + CPU Event mask on Event input + x + 19 + 1 + + + PR20 + CPU Event mask on Event input + x + 20 + 1 + + + PR21 + CPU Event mask on Event input + x + 21 + 1 + + + + + CPUIMR2 + CPUIMR2 + EXTI interrupt mask register + 0x90 + 0x20 + read-write + 0x00000000 + + + MR0 + CPU Interrupt Mask on Direct Event input + x+32 + 0 + 1 + + + MR1 + CPU Interrupt Mask on Direct Event input + x+32 + 1 + 1 + + + MR2 + CPU Interrupt Mask on Direct Event input + x+32 + 2 + 1 + + + MR3 + CPU Interrupt Mask on Direct Event input + x+32 + 3 + 1 + + + MR4 + CPU Interrupt Mask on Direct Event input + x+32 + 4 + 1 + + + MR5 + CPU Interrupt Mask on Direct Event input + x+32 + 5 + 1 + + + MR6 + CPU Interrupt Mask on Direct Event input + x+32 + 6 + 1 + + + MR7 + CPU Interrupt Mask on Direct Event input + x+32 + 7 + 1 + + + MR8 + CPU Interrupt Mask on Direct Event input + x+32 + 8 + 1 + + + MR9 + CPU Interrupt Mask on Direct Event input + x+32 + 9 + 1 + + + MR10 + CPU Interrupt Mask on Direct Event input + x+32 + 10 + 1 + + + MR11 + CPU Interrupt Mask on Direct Event input + x+32 + 11 + 1 + + + MR12 + CPU Interrupt Mask on Direct Event input + x+32 + 12 + 1 + + + MR14 + CPU Interrupt Mask on Direct Event input + x+32 + 14 + 1 + + + MR15 + CPU Interrupt Mask on Direct Event input + x+32 + 15 + 1 + + + MR16 + CPU Interrupt Mask on Direct Event input + x+32 + 16 + 1 + + + MR17 + CPU Interrupt Mask on Direct Event input + x+32 + 17 + 1 + + + MR18 + CPU Interrupt Mask on Direct Event input + x+32 + 18 + 1 + + + MR19 + CPU Interrupt Mask on Direct Event input + x+32 + 19 + 1 + + + MR20 + CPU Interrupt Mask on Direct Event input + x+32 + 20 + 1 + + + MR21 + CPU Interrupt Mask on Direct Event input + x+32 + 21 + 1 + + + MR22 + CPU Interrupt Mask on Direct Event input + x+32 + 22 + 1 + + + MR23 + CPU Interrupt Mask on Direct Event input + x+32 + 23 + 1 + + + MR24 + CPU Interrupt Mask on Direct Event input + x+32 + 24 + 1 + + + MR25 + CPU Interrupt Mask on Direct Event input + x+32 + 25 + 1 + + + MR26 + CPU Interrupt Mask on Direct Event input + x+32 + 26 + 1 + + + MR27 + CPU Interrupt Mask on Direct Event input + x+32 + 27 + 1 + + + MR28 + CPU Interrupt Mask on Direct Event input + x+32 + 28 + 1 + + + MR29 + CPU Interrupt Mask on Direct Event input + x+32 + 29 + 1 + + + MR30 + CPU Interrupt Mask on Direct Event input + x+32 + 30 + 1 + + + MR31 + CPU Interrupt Mask on Direct Event input + x+32 + 31 + 1 + + + + + CPUEMR2 + CPUEMR2 + EXTI event mask register + 0x94 + 0x20 + read-write + 0x00000000 + + + MR32 + CPU Interrupt Mask on Direct Event input + x+32 + 0 + 1 + + + MR33 + CPU Interrupt Mask on Direct Event input + x+32 + 1 + 1 + + + MR34 + CPU Interrupt Mask on Direct Event input + x+32 + 2 + 1 + + + MR35 + CPU Interrupt Mask on Direct Event input + x+32 + 3 + 1 + + + MR36 + CPU Interrupt Mask on Direct Event input + x+32 + 4 + 1 + + + MR37 + CPU Interrupt Mask on Direct Event input + x+32 + 5 + 1 + + + MR38 + CPU Interrupt Mask on Direct Event input + x+32 + 6 + 1 + + + MR39 + CPU Interrupt Mask on Direct Event input + x+32 + 7 + 1 + + + MR40 + CPU Interrupt Mask on Direct Event input + x+32 + 8 + 1 + + + MR41 + CPU Interrupt Mask on Direct Event input + x+32 + 9 + 1 + + + MR42 + CPU Interrupt Mask on Direct Event input + x+32 + 10 + 1 + + + MR43 + CPU Interrupt Mask on Direct Event input + x+32 + 11 + 1 + + + MR44 + CPU Interrupt Mask on Direct Event input + x+32 + 12 + 1 + + + MR46 + CPU Interrupt Mask on Direct Event input + x+32 + 14 + 1 + + + MR47 + CPU Interrupt Mask on Direct Event input + x+32 + 15 + 1 + + + MR48 + CPU Interrupt Mask on Direct Event input + x+32 + 16 + 1 + + + MR49 + CPU Interrupt Mask on Direct Event input + x+32 + 17 + 1 + + + MR50 + CPU Interrupt Mask on Direct Event input + x+32 + 18 + 1 + + + MR51 + CPU Interrupt Mask on Direct Event input + x+32 + 19 + 1 + + + MR52 + CPU Interrupt Mask on Direct Event input + x+32 + 20 + 1 + + + MR53 + CPU Interrupt Mask on Direct Event input + x+32 + 21 + 1 + + + MR54 + CPU Interrupt Mask on Direct Event input + x+32 + 22 + 1 + + + MR55 + CPU Interrupt Mask on Direct Event input + x+32 + 23 + 1 + + + MR56 + CPU Interrupt Mask on Direct Event input + x+32 + 24 + 1 + + + MR57 + CPU Interrupt Mask on Direct Event input + x+32 + 25 + 1 + + + MR58 + CPU Interrupt Mask on Direct Event input + x+32 + 26 + 1 + + + MR59 + CPU Interrupt Mask on Direct Event input + x+32 + 27 + 1 + + + MR60 + CPU Interrupt Mask on Direct Event input + x+32 + 28 + 1 + + + MR61 + CPU Interrupt Mask on Direct Event input + x+32 + 29 + 1 + + + MR62 + CPU Interrupt Mask on Direct Event input + x+32 + 30 + 1 + + + MR63 + CPU Interrupt Mask on Direct Event input + x+32 + 31 + 1 + + + + + CPUPR2 + CPUPR2 + EXTI pending register + 0x98 + 0x20 + read-only + 0x00000000 + + + PR49 + Configurable event inputs x+32 Pending + bit + 17 + 1 + + + PR51 + Configurable event inputs x+32 Pending + bit + 19 + 1 + + + + + CPUIMR3 + CPUIMR3 + EXTI interrupt mask register + 0xA0 + 0x20 + read-only + 0x00000000 + + + MR64 + CPU Interrupt Mask on Direct Event input + x+64 + 0 + 1 + + + MR65 + CPU Interrupt Mask on Direct Event input + x+64 + 1 + 1 + + + MR66 + CPU Interrupt Mask on Direct Event input + x+64 + 2 + 1 + + + MR67 + CPU Interrupt Mask on Direct Event input + x+64 + 3 + 1 + + + MR68 + CPU Interrupt Mask on Direct Event input + x+64 + 4 + 1 + + + MR69 + CPU Interrupt Mask on Direct Event input + x+64 + 5 + 1 + + + MR70 + CPU Interrupt Mask on Direct Event input + x+64 + 6 + 1 + + + MR71 + CPU Interrupt Mask on Direct Event input + x+64 + 7 + 1 + + + MR72 + CPU Interrupt Mask on Direct Event input + x+64 + 8 + 1 + + + MR73 + CPU Interrupt Mask on Direct Event input + x+64 + 9 + 1 + + + MR74 + CPU Interrupt Mask on Direct Event input + x+64 + 10 + 1 + + + MR75 + CPU Interrupt Mask on Direct Event input + x+64 + 11 + 1 + + + MR76 + CPU Interrupt Mask on Direct Event input + x+64 + 12 + 1 + + + MR77 + CPU Interrupt Mask on Direct Event input + x+64 + 13 + 1 + + + MR78 + CPU Interrupt Mask on Direct Event input + x+64 + 14 + 1 + + + MR79 + CPU Interrupt Mask on Direct Event input + x+64 + 15 + 1 + + + MR80 + CPU Interrupt Mask on Direct Event input + x+64 + 16 + 1 + + + MR82 + CPU Interrupt Mask on Direct Event input + x+64 + 18 + 1 + + + MR84 + CPU Interrupt Mask on Direct Event input + x+64 + 20 + 1 + + + MR85 + CPU Interrupt Mask on Direct Event input + x+64 + 21 + 1 + + + MR86 + CPU Interrupt Mask on Direct Event input + x+64 + 22 + 1 + + + MR87 + CPU Interrupt Mask on Direct Event input + x+64 + 23 + 1 + + + MR88 + CPU Interrupt Mask on Direct Event input + x+64 + 24 + 1 + + + + + CPUEMR3 + CPUEMR3 + EXTI event mask register + 0xA4 + 0x20 + read-only + 0x00000000 + + + MR64 + CPU Event mask on Event input + x+64 + 0 + 1 + + + MR65 + CPU Event mask on Event input + x+64 + 1 + 1 + + + MR66 + CPU Event mask on Event input + x+64 + 2 + 1 + + + MR67 + CPU Event mask on Event input + x+64 + 3 + 1 + + + MR68 + CPU Event mask on Event input + x+64 + 4 + 1 + + + MR69 + CPU Event mask on Event input + x+64 + 5 + 1 + + + MR70 + CPU Event mask on Event input + x+64 + 6 + 1 + + + MR71 + CPU Event mask on Event input + x+64 + 7 + 1 + + + MR72 + CPU Event mask on Event input + x+64 + 8 + 1 + + + MR73 + CPU Event mask on Event input + x+64 + 9 + 1 + + + MR74 + CPU Event mask on Event input + x+64 + 10 + 1 + + + MR75 + CPU Event mask on Event input + x+64 + 11 + 1 + + + MR76 + CPU Event mask on Event input + x+64 + 12 + 1 + + + MR77 + CPU Event mask on Event input + x+64 + 13 + 1 + + + MR78 + CPU Event mask on Event input + x+64 + 14 + 1 + + + MR79 + CPU Event mask on Event input + x+64 + 15 + 1 + + + MR80 + CPU Event mask on Event input + x+64 + 16 + 1 + + + MR82 + CPU Event mask on Event input + x+64 + 18 + 1 + + + MR84 + CPU Event mask on Event input + x+64 + 20 + 1 + + + MR85 + CPU Event mask on Event input + x+64 + 21 + 1 + + + MR86 + CPU Event mask on Event input + x+64 + 22 + 1 + + + MR87 + CPU Event mask on Event input + x+64 + 23 + 1 + + + MR88 + CPU Event mask on Event input + x+64 + 24 + 1 + + + + + CPUPR3 + CPUPR3 + EXTI pending register + 0xA8 + 0x20 + read-only + 0x00000000 + + + PR82 + Configurable event inputs x+64 Pending + bit + 18 + 1 + + + PR84 + Configurable event inputs x+64 Pending + bit + 20 + 1 + + + PR85 + Configurable event inputs x+64 Pending + bit + 21 + 1 + + + PR86 + Configurable event inputs x+64 Pending + bit + 22 + 1 + + + + + + + RAMECC1 + ECC controller is associated to each RAM + area + RAMECC + 0x52009000 + + 0x0 + 0x400 + registers + + + + IER + IER + RAMECC interrupt enable + register + 0x0 + 0x20 + read-write + 0x00000000 + + + GIE + Global interrupt enable + 0 + 1 + + + GECCSEIE_ + Global ECC single error interrupt + enable + 1 + 1 + + + GECCDEIE + Global ECC double error interrupt + enable + 2 + 1 + + + GECCDEBWIE + Global ECC double error on byte write + (BW) interrupt enable + 3 + 1 + + + + + M1CR + M1CR + RAMECC monitor x configuration + register + 0x20 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M1SR + M1SR + RAMECC monitor x status + register + 0x24 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M1FAR + M1FAR + RAMECC monitor x failing address + register + 0x28 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M1FDRL + M1FDRL + RAMECC monitor x failing data low + register + 0x2C + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M1FDRH + M1FDRH + RAMECC monitor x failing data high + register + 0x30 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M1FECR + M1FECR + RAMECC monitor x failing ECC error code + register + 0x34 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M2CR + M2CR + RAMECC monitor x configuration + register + 0x40 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M2SR + M2SR + RAMECC monitor x status + register + 0x44 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M2FAR + M2FAR + RAMECC monitor x failing address + register + 0x48 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M2FDRL + M2FDRL + RAMECC monitor x failing data low + register + 0x4C + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M2FDRH + M2FDRH + RAMECC monitor x failing data high + register + 0x50 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M2FECR + M2FECR + RAMECC monitor x failing ECC error code + register + 0x58 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M3CR + M3CR + RAMECC monitor x configuration + register + 0x60 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M3SR + M3SR + RAMECC monitor x status + register + 0x64 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M3FAR + M3FAR + RAMECC monitor x failing address + register + 0x68 + 0x20 + read-write + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M3FDRL + M3FDRL + RAMECC monitor x failing data low + register + 0x6C + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M3FDRH + M3FDRH + RAMECC monitor x failing data high + register + 0x70 + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M3FECR + M3FECR + RAMECC monitor x failing ECC error code + register + 0x7C + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M4CR + M4CR + RAMECC monitor x configuration + register + 0x80 + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M4SR + M4SR + RAMECC monitor x status + register + 0x84 + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M4FAR + M4FAR + RAMECC monitor x failing address + register + 0x88 + 0x20 + read-only + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M4FDRL + M4FDRL + RAMECC monitor x failing data low + register + 0x8C + 0x20 + read-write + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M4FDRH + M4FDRH + RAMECC monitor x failing data high + register + 0x90 + 0x20 + read-only + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M4FECR + M4FECR + RAMECC monitor x failing ECC error code + register + M4FDRH + 0x90 + 0x20 + read-only + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M5CR + M5CR + RAMECC monitor x configuration + register + 0xA0 + 0x20 + read-only + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M5SR + M5SR + RAMECC monitor x status + register + 0xA4 + 0x20 + read-write + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M5FAR + M5FAR + RAMECC monitor x failing address + register + 0xA8 + 0x20 + read-write + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M5FDRL + M5FDRL + RAMECC monitor x failing data low + register + 0xAC + 0x20 + read-only + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M5FDRH + M5FDRH + RAMECC monitor x failing data high + register + 0xB0 + 0x20 + read-only + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M5FECR + M5FECR + RAMECC monitor x failing ECC error code + register + 0xB4 + 0x20 + read-only + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + + + RAMECC2 + ECC controller is associated to each RAM + area + RAMECC + 0x48023000 + + 0x0 + 0x400 + registers + + + + IER + IER + RAMECC interrupt enable + register + 0x0 + 0x20 + read-write + 0x00000000 + + + GIE + Global interrupt enable + 0 + 1 + + + GECCSEIE_ + Global ECC single error interrupt + enable + 1 + 1 + + + GECCDEIE + Global ECC double error interrupt + enable + 2 + 1 + + + GECCDEBWIE + Global ECC double error on byte write + (BW) interrupt enable + 3 + 1 + + + + + M1CR + M1CR + RAMECC monitor x configuration + register + 0x20 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M2CR + M2CR + RAMECC monitor x configuration + register + 0x40 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M3CR + M3CR + RAMECC monitor x configuration + register + 0x60 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M4CR + M4CR + RAMECC monitor x configuration + register + 0x80 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M5CR + M5CR + RAMECC monitor x configuration + register + 0xA0 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M1SR + M1SR + RAMECC monitor x status + register + 0x24 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M2SR + M2SR + RAMECC monitor x status + register + 0x44 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M3SR + M3SR + RAMECC monitor x status + register + 0x64 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M4SR + M4SR + RAMECC monitor x status + register + 0x84 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M5SR + M5SR + RAMECC monitor x status + register + 0xA4 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M1FAR + M1FAR + RAMECC monitor x failing address + register + 0x28 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M2FAR + M2FAR + RAMECC monitor x failing address + register + 0x48 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M3FAR + M3FAR + RAMECC monitor x failing address + register + 0x68 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M4FAR + M4FAR + RAMECC monitor x failing address + register + 0x88 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M5FAR + M5FAR + RAMECC monitor x failing address + register + 0xA8 + 0x20 + read-write + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M1FDRL + M1FDRL + RAMECC monitor x failing data low + register + 0x2C + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M2FDRL + M2FDRL + RAMECC monitor x failing data low + register + 0x4C + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M3FDRL + M3FDRL + RAMECC monitor x failing data low + register + 0x6C + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M4FDRL + M4FDRL + RAMECC monitor x failing data low + register + 0x8C + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M5FDRL + M5FDRL + RAMECC monitor x failing data low + register + 0xAC + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M1FDRH + M1FDRH + RAMECC monitor x failing data high + register + 0x30 + 0x20 + read-only + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M2FDRH + M2FDRH + RAMECC monitor x failing data high + register + 0x50 + 0x20 + read-write + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M3FDRH + M3FDRH + RAMECC monitor x failing data high + register + 0x70 + 0x20 + read-only + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M4FDRH + M4FDRH + RAMECC monitor x failing data high + register + 0x90 + 0x20 + read-only + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M5FDRH + M5FDRH + RAMECC monitor x failing data high + register + 0xB0 + 0x20 + read-only + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M1FECR + M1FECR + RAMECC monitor x failing ECC error code + register + 0x34 + 0x20 + read-write + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M2FECR + M2FECR + RAMECC monitor x failing ECC error code + register + 0x58 + 0x20 + read-write + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M3FECR + M3FECR + RAMECC monitor x failing ECC error code + register + 0x7C + 0x20 + read-only + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M4FECR + M4FECR + RAMECC monitor x failing ECC error code + register + M4FDRH + 0x90 + 0x20 + read-only + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M5FECR + M5FECR + RAMECC monitor x failing ECC error code + register + 0xB4 + 0x20 + read-only + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + + + RAMECC3 + ECC controller is associated to each RAM + area + RAMECC + 0x58027000 + + 0x0 + 0x400 + registers + + + + IER + IER + RAMECC interrupt enable + register + 0x0 + 0x20 + read-write + 0x00000000 + + + GIE + Global interrupt enable + 0 + 1 + + + GECCSEIE_ + Global ECC single error interrupt + enable + 1 + 1 + + + GECCDEIE + Global ECC double error interrupt + enable + 2 + 1 + + + GECCDEBWIE + Global ECC double error on byte write + (BW) interrupt enable + 3 + 1 + + + + + M1CR + M1CR + RAMECC monitor x configuration + register + 0x20 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M2CR + M2CR + RAMECC monitor x configuration + register + 0x40 + 0x20 + read-write + 0x00000000 + + + ECCSEIE + ECC single error interrupt + enable + 2 + 1 + + + ECCDEIE + ECC double error interrupt + enable + 3 + 1 + + + ECCDEBWIE + ECC double error on byte write (BW) + interrupt enable + 4 + 1 + + + ECCELEN + ECC error latching enable + 5 + 1 + + + + + M1SR + M1SR + RAMECC monitor x status + register + 0x24 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M2SR + M2SR + RAMECC monitor x status + register + 0x44 + 0x20 + read-write + 0x00000000 + + + SEDCF + ECC single error detected and corrected + flag + 0 + 1 + + + DEDF + ECC double error detected + flag + 1 + 1 + + + DEBWDF + ECC double error on byte write (BW) + detected flag + 2 + 1 + + + + + M1FAR + M1FAR + RAMECC monitor x failing address + register + 0x28 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M2FAR + M2FAR + RAMECC monitor x failing address + register + 0x48 + 0x20 + read-only + 0x00000000 + + + FADD + ECC error failing address + 0 + 32 + + + + + M1FDRL + M1FDRL + RAMECC monitor x failing data low + register + 0x2C + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M2FDRL + M2FDRL + RAMECC monitor x failing data low + register + 0x4C + 0x20 + read-only + 0x00000000 + + + FDATAL + Failing data low + 0 + 32 + + + + + M1FDRH + M1FDRH + RAMECC monitor x failing data high + register + 0x30 + 0x20 + read-only + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M2FDRH + M2FDRH + RAMECC monitor x failing data high + register + 0x50 + 0x20 + read-write + 0x00000000 + + + FDATAH + Failing data high (64-bit + memory) + 0 + 32 + + + + + M1FECR + M1FECR + RAMECC monitor x failing ECC error code + register + 0x34 + 0x20 + read-write + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + M2FECR + M2FECR + RAMECC monitor x failing ECC error code + register + 0x58 + 0x20 + read-write + 0x00000000 + + + FEC + Failing error code + 0 + 32 + + + + + + + DELAY_Block_SDMMC1 + DELAY_Block_SDMMC1 + DLYB + 0x52008000 + + 0x0 + 0x1000 + registers + + + WKUP + WKUP1 to WKUP6 pins + 149 + + + + CR + CR + DLYB control register + 0x0 + 0x20 + read-write + 0x00000000 + + + DEN + Delay block enable bit + 0 + 1 + + + SEN + Sampler length enable bit + 1 + 1 + + + + + CFGR + CFGR + DLYB configuration register + 0x4 + 0x20 + read-write + 0x00000000 + + + SEL + Select the phase for the Output + clock + 0 + 4 + + + UNIT + Delay Defines the delay of a Unit delay + cell + 8 + 7 + + + LNG + Delay line length value + 16 + 12 + + + LNGF + Length valid flag + 31 + 1 + + + + + + + DELAY_Block_QUADSPI + 0x52006000 + + + DELAY_Block_SDMMC2 + 0x48022800 + + + Flash + Flash + Flash + 0x52002000 + + 0x0 + 0x1000 + registers + + + FLASH + Flash memory + 4 + + + + ACR + ACR + Access control register + 0x0 + 0x20 + read-write + 0x00000037 + + + LATENCY + Read latency + 0 + 4 + + + WRHIGHFREQ + Flash signal delay + 4 + 2 + + + + + KEYR1 + KEYR1 + FLASH key register for bank 1 + 0x4 + 0x20 + read-write + 0x00000000 + + + KEY1R + Bank 1 access configuration unlock + key + 0 + 32 + + + + + OPTKEYR + OPTKEYR + FLASH option key register + 0x8 + 0x20 + read-write + 0x00000000 + + + OPTKEYR + Unlock key option bytes + 0 + 32 + + + + + CR1 + CR1 + FLASH control register for bank + 1 + 0xC + 0x20 + read-write + 0x00000000 + + + LOCK1 + Bank 1 configuration lock + bit + 0 + 1 + + + PG1 + Bank 1 program enable bit + 1 + 1 + + + SER1 + Bank 1 sector erase + request + 2 + 1 + + + BER1 + Bank 1 erase request + 3 + 1 + + + PSIZE1 + Bank 1 program size + 4 + 2 + + + FW1 + Bank 1 write forcing control + bit + 6 + 1 + + + START1 + Bank 1 bank or sector erase start + control bit + 7 + 1 + + + SNB1 + Bank 1 sector erase selection + number + 8 + 3 + + + CRC_EN + Bank 1 CRC control bit + 15 + 1 + + + EOPIE1 + Bank 1 end-of-program interrupt control + bit + 16 + 1 + + + WRPERRIE1 + Bank 1 write protection error interrupt + enable bit + 17 + 1 + + + PGSERRIE1 + Bank 1 programming sequence error + interrupt enable bit + 18 + 1 + + + STRBERRIE1 + Bank 1 strobe error interrupt enable + bit + 19 + 1 + + + INCERRIE1 + Bank 1 inconsistency error interrupt + enable bit + 21 + 1 + + + OPERRIE1 + Bank 1 write/erase error interrupt + enable bit + 22 + 1 + + + RDPERRIE1 + Bank 1 read protection error interrupt + enable bit + 23 + 1 + + + RDSERRIE1 + Bank 1 secure error interrupt enable + bit + 24 + 1 + + + SNECCERR1 + Bank 1 ECC single correction error + interrupt enable bit + 25 + 1 + + + DBECCERRIE1 + Bank 1 ECC double detection error + interrupt enable bit + 26 + 1 + + + CRCENDIE1 + Bank 1 end of CRC calculation interrupt + enable bit + 27 + 1 + + + CRCRDERRIE1 + Bank 1 CRC read error interrupt enable bit +When CRCRDERRIE1 bit is set to 1, an interrupt is generated when a protected area (PCROP or secure-only) has been detected during the last CRC computation on bank 1. CRCRDERRIE1 can be programmed only when LOCK1 is cleared to 0. + 28 + 1 + read-write + + + + + SR1 + SR1 + FLASH status register for bank + 1 + 0x10 + 0x20 + read-write + 0x00000000 + + + BSY1 + Bank 1 ongoing program + flag + 0 + 1 + + + WBNE1 + Bank 1 write buffer not empty + flag + 1 + 1 + + + QW1 + Bank 1 wait queue flag + 2 + 1 + + + CRC_BUSY1 + Bank 1 CRC busy flag + 3 + 1 + + + EOP1 + Bank 1 end-of-program flag + 16 + 1 + + + WRPERR1 + Bank 1 write protection error + flag + 17 + 1 + + + PGSERR1 + Bank 1 programming sequence error + flag + 18 + 1 + + + STRBERR1 + Bank 1 strobe error flag + 19 + 1 + + + INCERR1 + Bank 1 inconsistency error + flag + 21 + 1 + + + OPERR1 + Bank 1 write/erase error + flag + 22 + 1 + + + RDPERR1 + Bank 1 read protection error + flag + 23 + 1 + + + RDSERR1 + Bank 1 secure error flag + 24 + 1 + + + SNECCERR + Bank 1 single correction error + flag + 25 + 1 + + + DBECCERR1 + Bank 1 ECC double detection error + flag + 26 + 1 + + + CRCEND1 + Bank 1 CRC-complete flag + 27 + 1 + + + CRCRDERR1 + Bank 1 CRC read error flag +CRCRDERR1 flag is raised when a word is found read protected during a CRC operation on bank 1. An interrupt is generated if CRCRDIE1 and CRCEND1 are set to 1. Writing 1 to CLR_CRCRDERR1 bit in FLASH_CCR1 register clears CRCRDERR1. +Note: This flag is valid only when CRCEND1 bit is set to 1 + 28 + 1 + read-only + + + + + CCR1 + CCR1 + FLASH clear control register for bank + 1 + 0x14 + 0x20 + read-write + 0x00000000 + + + CLR_EOP1 + Bank 1 EOP1 flag clear bit + 16 + 1 + + + CLR_WRPERR1 + Bank 1 WRPERR1 flag clear + bit + 17 + 1 + + + CLR_PGSERR1 + Bank 1 PGSERR1 flag clear + bi + 18 + 1 + + + CLR_STRBERR1 + Bank 1 STRBERR1 flag clear + bit + 19 + 1 + + + CLR_INCERR1 + Bank 1 INCERR1 flag clear + bit + 21 + 1 + + + CLR_OPERR1 + Bank 1 OPERR1 flag clear + bit + 22 + 1 + + + CLR_RDPERR1 + Bank 1 RDPERR1 flag clear + bit + 23 + 1 + + + CLR_RDSERR1 + Bank 1 RDSERR1 flag clear + bit + 24 + 1 + + + CLR_SNECCERR1 + Bank 1 SNECCERR1 flag clear + bit + 25 + 1 + + + CLR_DBECCERR1 + Bank 1 DBECCERR1 flag clear + bit + 26 + 1 + + + CLR_CRCEND1 + Bank 1 CRCEND1 flag clear + bit + 27 + 1 + + + CLR_CRCRDERR1 + Bank 1 CRCRDERR1 flag clear bit +Setting this bit to 1 resets to 0 CRCRDERR1 flag in FLASH_SR1 register. + 28 + 1 + write-only + + + + + OPTCR + OPTCR + FLASH option control register + 0x18 + 0x20 + read-write + 0x00000000 + + + OPTLOCK + FLASH_OPTCR lock option configuration + bit + 0 + 1 + + + OPTSTART + Option byte start change option + configuration bit + 1 + 1 + + + MER + Flash mass erase enable + bit + 4 + 1 + + + OPTCHANGEERRIE + Option byte change error interrupt + enable bit + 30 + 1 + + + SWAP_BANK + Bank swapping configuration + bit + 31 + 1 + + + + + OPTSR_CUR + OPTSR_CUR + FLASH option status register + 0x1C + 0x20 + read-write + 0x00000000 + + + OPT_BUSY + Option byte change ongoing + flag + 0 + 1 + + + BOR_LEV + Brownout level option status + bit + 2 + 2 + + + IWDG1_SW + IWDG1 control option status + bit + 4 + 1 + + + NRST_STOP_D1 + D1 DStop entry reset option status + bit + 6 + 1 + + + NRST_STBY_D1 + D1 DStandby entry reset option status + bit + 7 + 1 + + + RDP + Readout protection level option status + byte + 8 + 8 + + + IWDG_FZ_STOP + IWDG Stop mode freeze option status + bit + 17 + 1 + + + IWDG_FZ_SDBY + IWDG Standby mode freeze option status + bit + 18 + 1 + + + ST_RAM_SIZE + DTCM RAM size option + status + 19 + 2 + + + SECURITY + Security enable option status + bit + 21 + 1 + + + IO_HSLV + I/O high-speed at low-voltage status bit + (PRODUCT_BELOW_25V) + 29 + 1 + + + OPTCHANGEERR + Option byte change error + flag + 30 + 1 + + + SWAP_BANK_OPT + Bank swapping option status + bit + 31 + 1 + + + + + OPTSR_PRG + OPTSR_PRG + FLASH option status register + 0x20 + 0x20 + read-write + 0x00000000 + + + BOR_LEV + BOR reset level option configuration + bits + 2 + 2 + + + IWDG1_SW + IWDG1 option configuration + bit + 4 + 1 + + + NRST_STOP_D1 + Option byte erase after D1 DStop option + configuration bit + 6 + 1 + + + NRST_STBY_D1 + Option byte erase after D1 DStandby + option configuration bit + 7 + 1 + + + RDP + Readout protection level option + configuration byte + 8 + 8 + + + IWDG_FZ_STOP + IWDG Stop mode freeze option + configuration bit + 17 + 1 + + + IWDG_FZ_SDBY + IWDG Standby mode freeze option + configuration bit + 18 + 1 + + + ST_RAM_SIZE + DTCM size select option configuration + bits + 19 + 2 + + + SECURITY + Security option configuration + bit + 21 + 1 + + + IO_HSLV + I/O high-speed at low-voltage + (PRODUCT_BELOW_25V) + 29 + 1 + + + SWAP_BANK_OPT + Bank swapping option configuration + bit + 31 + 1 + + + + + OPTCCR + OPTCCR + FLASH option clear control + register + 0x24 + 0x20 + write-only + 0x00000000 + + + CLR_OPTCHANGEERR + OPTCHANGEERR reset bit + 30 + 1 + + + + + PRAR_CUR1 + PRAR_CUR1 + FLASH protection address for bank + 1 + 0x28 + 0x20 + read-only + 0x00000000 + + + PROT_AREA_START1 + Bank 1 lowest PCROP protected + address + 0 + 12 + + + PROT_AREA_END1 + Bank 1 highest PCROP protected + address + 16 + 12 + + + DMEP1 + Bank 1 PCROP protected erase enable + option status bit + 31 + 1 + + + + + PRAR_PRG1 + PRAR_PRG1 + FLASH protection address for bank + 1 + 0x2C + 0x20 + read-write + 0x00000000 + + + PROT_AREA_START1 + Bank 1 lowest PCROP protected address + configuration + 0 + 12 + + + PROT_AREA_END1 + Bank 1 highest PCROP protected address + configuration + 16 + 12 + + + DMEP1 + Bank 1 PCROP protected erase enable + option configuration bit + 31 + 1 + + + + + SCAR_CUR1 + SCAR_CUR1 + FLASH secure address for bank + 1 + 0x30 + 0x20 + read-write + 0x00000000 + + + SEC_AREA_START1 + Bank 1 lowest secure protected + address + 0 + 12 + + + SEC_AREA_END1 + Bank 1 highest secure protected + address + 16 + 12 + + + DMES1 + Bank 1 secure protected erase enable + option status bit + 31 + 1 + + + + + SCAR_PRG1 + SCAR_PRG1 + FLASH secure address for bank + 1 + 0x34 + 0x20 + read-write + 0x00000000 + + + SEC_AREA_START1 + Bank 1 lowest secure protected address + configuration + 0 + 12 + + + SEC_AREA_END1 + Bank 1 highest secure protected address + configuration + 16 + 12 + + + DMES1 + Bank 1 secure protected erase enable + option configuration bit + 31 + 1 + + + + + WPSN_CUR1R + WPSN_CUR1R + FLASH write sector protection for bank + 1 + 0x38 + 0x20 + read-only + 0x00000000 + + + WRPSn1 + Bank 1 sector write protection option + status byte + 0 + 8 + + + + + WPSN_PRG1R + WPSN_PRG1R + FLASH write sector protection for bank + 1 + 0x3C + 0x20 + read-write + 0x00000000 + + + WRPSn1 + Bank 1 sector write protection + configuration byte + 0 + 8 + + + + + BOOT_CURR + BOOT_CURR + FLASH register with boot + address + 0x40 + 0x20 + read-only + 0x00000000 + + + BOOT_ADD0 + Boot address 0 + 0 + 16 + + + BOOT_ADD1 + Boot address 1 + 16 + 16 + + + + + BOOT_PRGR + BOOT_PRGR + FLASH register with boot + address + 0x44 + 0x20 + read-only + 0x00000000 + + + BOOT_ADD0 + Boot address 0 + 0 + 16 + + + BOOT_ADD1 + Boot address 1 + 16 + 16 + + + + + CRCCR1 + CRCCR1 + FLASH CRC control register for bank + 1 + 0x50 + 0x20 + read-write + 0x00000000 + + + CRC_SECT + Bank 1 CRC sector number + 0 + 3 + + + ALL_BANK + Bank 1 CRC select bit + 7 + 1 + + + CRC_BY_SECT + Bank 1 CRC sector mode select + bit + 8 + 1 + + + ADD_SECT + Bank 1 CRC sector select + bit + 9 + 1 + + + CLEAN_SECT + Bank 1 CRC sector list clear + bit + 10 + 1 + + + START_CRC + Bank 1 CRC start bit + 16 + 1 + + + CLEAN_CRC + Bank 1 CRC clear bit + 17 + 1 + + + CRC_BURST + Bank 1 CRC burst size + 20 + 2 + + + + + CRCSADD1R + CRCSADD1R + FLASH CRC start address register for bank + 1 + 0x54 + 0x20 + read-write + 0x00000000 + + + CRC_START_ADDR + CRC start address on bank 1 + 2 + 18 + read-write + + + + + CRCEADD1R + CRCEADD1R + FLASH CRC end address register for bank + 1 + 0x58 + 0x20 + read-write + 0x00000000 + + + CRC_END_ADDR + CRC end address on bank 1 + 2 + 18 + read-write + + + + + CRCDATAR + CRCDATAR + FLASH CRC data register + 0x5C + 0x20 + read-write + 0x00000000 + + + CRC_DATA + CRC result + 0 + 32 + + + + + ECC_FA1R + ECC_FA1R + FLASH ECC fail address for bank + 1 + 0x60 + 0x20 + read-only + 0x00000000 + + + FAIL_ECC_ADDR1 + Bank 1 ECC error address + 0 + 15 + + + + + ACR_ + ACR_ + Access control register + 0x100 + 0x20 + read-write + 0x00000037 + + + LATENCY + Read latency + 0 + 4 + + + WRHIGHFREQ + Flash signal delay + 4 + 2 + + + + + KEYR2 + KEYR2 + FLASH key register for bank 2 + 0x104 + 0x20 + read-only + 0x00000000 + + + KEYR2 + Bank 2 access configuration unlock + key + 0 + 32 + + + + + OPTKEYR_ + OPTKEYR_ + FLASH option key register + 0x108 + 0x20 + read-write + 0x00000000 + + + OPTKEYR + Unlock key option bytes + 0 + 32 + + + + + CR2 + CR2 + FLASH control register for bank + 2 + 0x10C + 0x20 + read-write + 0x00000031 + + + LOCK2 + Bank 2 configuration lock + bit + 0 + 1 + + + PG2 + Bank 2 program enable bit + 1 + 1 + + + SER2 + Bank 2 sector erase + request + 2 + 1 + + + BER2 + Bank 2 erase request + 3 + 1 + + + PSIZE2 + Bank 2 program size + 4 + 2 + + + FW2 + Bank 2 write forcing control + bit + 6 + 1 + + + START2 + Bank 2 bank or sector erase start + control bit + 7 + 1 + + + SNB2 + Bank 2 sector erase selection + number + 8 + 3 + + + SPSS2 + Bank 2 special sector selection bit + 14 + 1 + + + CRC_EN + Bank 2 CRC control bit + 15 + 1 + + + EOPIE2 + Bank 2 end-of-program interrupt control + bit + 16 + 1 + + + WRPERRIE2 + Bank 2 write protection error interrupt + enable bit + 17 + 1 + + + PGSERRIE2 + Bank 2 programming sequence error + interrupt enable bit + 18 + 1 + + + STRBERRIE2 + Bank 2 strobe error interrupt enable + bit + 19 + 1 + + + INCERRIE2 + Bank 2 inconsistency error interrupt + enable bit + 21 + 1 + + + OPERRIE2 + Bank 2 write/erase error interrupt + enable bit + 22 + 1 + + + RDPERRIE2 + Bank 2 read protection error interrupt + enable bit + 23 + 1 + + + RDSERRIE2 + Bank 2 secure error interrupt enable + bit + 24 + 1 + + + SNECCERRIE2 + Bank 2 ECC single correction error + interrupt enable bit + 25 + 1 + + + DBECCERRIE2 + Bank 2 ECC double detection error + interrupt enable bit + 26 + 1 + + + CRCENDIE2 + Bank 2 end of CRC calculation interrupt + enable bit + 27 + 1 + + + CRCRDERRIE2 + Bank 2 CRC read error interrupt enable bit + 28 + 1 + + + + + SR2 + SR2 + FLASH status register for bank + 2 + 0x110 + 0x20 + read-write + 0x00000000 + + + BSY2 + Bank 2 ongoing program + flag + 0 + 1 + + + WBNE2 + Bank 2 write buffer not empty + flag + 1 + 1 + + + QW2 + Bank 2 wait queue flag + 2 + 1 + + + CRC_BUSY2 + Bank 2 CRC busy flag + 3 + 1 + + + EOP2 + Bank 2 end-of-program flag + 16 + 1 + + + WRPERR2 + Bank 2 write protection error + flag + 17 + 1 + + + PGSERR2 + Bank 2 programming sequence error + flag + 18 + 1 + + + STRBERR2 + Bank 2 strobe error flag + 19 + 1 + + + INCERR2 + Bank 2 inconsistency error + flag + 21 + 1 + + + OPERR2 + Bank 2 write/erase error + flag + 22 + 1 + + + RDPERR2 + Bank 2 read protection error + flag + 23 + 1 + + + RDSERR2 + Bank 2 secure error flag + 24 + 1 + + + SNECCERR2 + Bank 2 single correction error + flag + 25 + 1 + + + DBECCERR2 + Bank 2 ECC double detection error + flag + 26 + 1 + + + CRCEND2 + Bank 2 CRC-complete flag + 27 + 1 + + + + + CCR2 + CCR2 + FLASH clear control register for bank + 2 + 0x114 + 0x20 + read-write + 0x00000000 + + + CLR_EOP2 + Bank 1 EOP1 flag clear bit + 16 + 1 + + + CLR_WRPERR2 + Bank 2 WRPERR1 flag clear + bit + 17 + 1 + + + CLR_PGSERR2 + Bank 2 PGSERR1 flag clear + bi + 18 + 1 + + + CLR_STRBERR2 + Bank 2 STRBERR1 flag clear + bit + 19 + 1 + + + CLR_INCERR2 + Bank 2 INCERR1 flag clear + bit + 21 + 1 + + + CLR_OPERR2 + Bank 2 OPERR1 flag clear + bit + 22 + 1 + + + CLR_RDPERR2 + Bank 2 RDPERR1 flag clear + bit + 23 + 1 + + + CLR_RDSERR1 + Bank 1 RDSERR1 flag clear + bit + 24 + 1 + + + CLR_SNECCERR2 + Bank 2 SNECCERR1 flag clear + bit + 25 + 1 + + + CLR_DBECCERR1 + Bank 1 DBECCERR1 flag clear + bit + 26 + 1 + + + CLR_CRCEND2 + Bank 2 CRCEND1 flag clear + bit + 27 + 1 + + + + + OPTCR_ + OPTCR_ + FLASH option control register + 0x118 + 0x20 + read-write + 0x00000000 + + + OPTLOCK + FLASH_OPTCR lock option configuration + bit + 0 + 1 + + + OPTSTART + Option byte start change option + configuration bit + 1 + 1 + + + MER + Flash mass erase enable + bit + 4 + 1 + + + OPTCHANGEERRIE + Option byte change error interrupt + enable bit + 30 + 1 + + + SWAP_BANK + Bank swapping configuration + bit + 31 + 1 + + + + + OPTSR_CUR_ + OPTSR_CUR_ + FLASH option status register + 0x11C + 0x20 + read-write + 0x00000000 + + + OPT_BUSY + Option byte change ongoing + flag + 0 + 1 + + + BOR_LEV + Brownout level option status + bit + 2 + 2 + + + IWDG1_SW + IWDG1 control option status + bit + 4 + 1 + + + NRST_STOP_D1 + D1 DStop entry reset option status + bit + 6 + 1 + + + NRST_STBY_D1 + D1 DStandby entry reset option status + bit + 7 + 1 + + + RDP + Readout protection level option status + byte + 8 + 8 + + + IWDG_FZ_STOP + IWDG Stop mode freeze option status + bit + 17 + 1 + + + IWDG_FZ_SDBY + IWDG Standby mode freeze option status + bit + 18 + 1 + + + ST_RAM_SIZE + DTCM RAM size option + status + 19 + 2 + + + SECURITY + Security enable option status + bit + 21 + 1 + + + IO_HSLV + I/O high-speed at low-voltage status bit + (PRODUCT_BELOW_25V) + 29 + 1 + + + OPTCHANGEERR + Option byte change error + flag + 30 + 1 + + + SWAP_BANK_OPT + Bank swapping option status + bit + 31 + 1 + + + + + OPTSR_PRG_ + OPTSR_PRG_ + FLASH option status register + 0x120 + 0x20 + read-write + 0x00000000 + + + BOR_LEV + BOR reset level option configuration + bits + 2 + 2 + + + IWDG1_SW + IWDG1 option configuration + bit + 4 + 1 + + + nRST_STOP + Option byte erase after D1 DStop option + configuration bit + 6 + 1 + + + nRST_STDY + Option byte erase after D1 DStandby + option configuration bit + 7 + 1 + + + RDP + Readout protection level option + configuration byte + 8 + 8 + + + FZ_IWDG_STOP + IWDG Stop mode freeze option + configuration bit + 17 + 1 + + + FZ_IWDG_SDBY + IWDG Standby mode freeze option + configuration bit + 18 + 1 + + + ST_RAM_SIZE + DTCM size select option configuration + bits + 19 + 2 + + + SECURITY + Security option configuration + bit + 21 + 1 + + + IO_HSLV + I/O high-speed at low-voltage + (PRODUCT_BELOW_25V) + 29 + 1 + + + SWAP_BANK_OPT + Bank swapping option configuration + bit + 31 + 1 + + + + + OPTCCR_ + OPTCCR_ + FLASH option clear control + register + 0x124 + 0x20 + write-only + 0x00000000 + + + CLR_OPTCHANGEERR + OPTCHANGEERR reset bit + 30 + 1 + + + + + PRAR_CUR2 + PRAR_CUR2 + FLASH protection address for bank + 1 + 0x128 + 0x20 + read-only + 0x00000000 + + + PROT_AREA_START2 + Bank 2 lowest PCROP protected + address + 0 + 12 + + + PROT_AREA_END2 + Bank 2 highest PCROP protected + address + 16 + 12 + + + DMEP2 + Bank 2 PCROP protected erase enable + option status bit + 31 + 1 + + + + + PRAR_PRG2 + PRAR_PRG2 + FLASH protection address for bank + 2 + PRAR_PRG1 + 0x12C + 0x20 + read-write + 0x00000000 + + + PROT_AREA_START2 + Bank 2 lowest PCROP protected address + configuration + 0 + 12 + + + PROT_AREA_END2 + Bank 2 highest PCROP protected address + configuration + 16 + 12 + + + DMEP2 + Bank 2 PCROP protected erase enable + option configuration bit + 31 + 1 + + + + + SCAR_CUR2 + SCAR_CUR2 + FLASH secure address for bank + 2 + 0x130 + 0x20 + read-write + 0x00000000 + + + SEC_AREA_START2 + Bank 2 lowest secure protected + address + 0 + 12 + + + SEC_AREA_END2 + Bank 2 highest secure protected + address + 16 + 12 + + + DMES2 + Bank 2 secure protected erase enable + option status bit + 31 + 1 + + + + + SCAR_PRG2 + SCAR_PRG2 + FLASH secure address for bank + 2 + 0x134 + 0x20 + read-write + 0x00000000 + + + SEC_AREA_START2 + Bank 2 lowest secure protected address + configuration + 0 + 12 + + + SEC_AREA_END2 + Bank 2 highest secure protected address + configuration + 16 + 12 + + + DMES2 + Bank 2 secure protected erase enable + option configuration bit + 31 + 1 + + + + + WPSN_CUR2R + WPSN_CUR2R + FLASH write sector protection for bank + 2 + 0x138 + 0x20 + read-only + 0x00000000 + + + WRPSn2 + Bank 2 sector write protection option + status byte + 0 + 8 + + + + + WPSN_PRG2R + WPSN_PRG2R + FLASH write sector protection for bank + 2 + 0x13C + 0x20 + read-write + 0x00000000 + + + WRPSn2 + Bank 2 sector write protection + configuration byte + 0 + 8 + + + + + BOOT_CURR_ + BOOT_CURR_ + FLASH register with boot + address + 0x140 + 0x20 + read-only + 0x00000000 + + + BOOT_ADD0 + Boot address 0 + 0 + 16 + + + BOOT_ADD1 + Boot address 1 + 16 + 16 + + + + + BOOT_PRGR_ + BOOT_PRGR_ + FLASH register with boot + address + 0x144 + 0x20 + read-only + 0x00000000 + + + BOOT_ADD0 + Boot address 0 + 0 + 16 + + + BOOT_ADD1 + Boot address 1 + 16 + 16 + + + + + CRCCR2 + CRCCR2 + FLASH CRC control register for bank + 1 + 0x150 + 0x20 + read-write + 0x00000000 + + + CRC_SECT + Bank 2 CRC sector number + 0 + 3 + + + ALL_BANK + Bank 2 CRC select bit + 7 + 1 + + + CRC_BY_SECT + Bank 2 CRC sector mode select + bit + 8 + 1 + + + ADD_SECT + Bank 2 CRC sector select + bit + 9 + 1 + + + CLEAN_SECT + Bank 2 CRC sector list clear + bit + 10 + 1 + + + START_CRC + Bank 2 CRC start bit + 16 + 1 + + + CLEAN_CRC + Bank 2 CRC clear bit + 17 + 1 + + + CRC_BURST + Bank 2 CRC burst size + 20 + 2 + + + + + CRCSADD2R + CRCSADD2R + FLASH CRC start address register for bank + 2 + 0x154 + 0x20 + read-write + 0x00000000 + + + CRC_START_ADDR + CRC start address on bank + 2 + 2 + 18 + + + + + CRCEADD2R + CRCEADD2R + FLASH CRC end address register for bank + 2 + 0x158 + 0x20 + read-write + 0x00000000 + + + CRC_END_ADDR + CRC end address on bank 2 + 2 + 18 + + + + + CRCDATAR_ + CRCDATAR_ + FLASH CRC data register + 0x15C + 0x20 + read-write + 0x00000000 + + + CRC_DATA + CRC result + 0 + 32 + + + + + ECC_FA2R + ECC_FA2R + FLASH ECC fail address for bank + 2 + 0x160 + 0x20 + read-only + 0x00000000 + + + FAIL_ECC_ADDR2 + Bank 2 ECC error address + 0 + 15 + + + + + + + AXI + AXI interconnect registers + AXI + 0x51000000 + + 0x0 + 0x100000 + registers + + + + AXI_PERIPH_ID_4 + AXI_PERIPH_ID_4 + AXI interconnect - peripheral ID4 + register + 0x1FD0 + 0x20 + read-only + 0x00000004 + + + JEP106CON + JEP106 continuation code + 0 + 4 + + + KCOUNT4 + Register file size + 4 + 4 + + + + + AXI_PERIPH_ID_0 + AXI_PERIPH_ID_0 + AXI interconnect - peripheral ID0 + register + 0x1FE0 + 0x20 + read-only + 0x00000004 + + + PARTNUM + Peripheral part number bits 0 to + 7 + 0 + 8 + + + + + AXI_PERIPH_ID_1 + AXI_PERIPH_ID_1 + AXI interconnect - peripheral ID1 + register + 0x1FE4 + 0x20 + read-only + 0x00000004 + + + PARTNUM + Peripheral part number bits 8 to + 11 + 0 + 4 + + + JEP106I + JEP106 identity bits 0 to + 3 + 4 + 4 + + + + + AXI_PERIPH_ID_2 + AXI_PERIPH_ID_2 + AXI interconnect - peripheral ID2 + register + 0x1FE8 + 0x20 + read-only + 0x00000004 + + + JEP106ID + JEP106 Identity bits 4 to + 6 + 0 + 3 + + + JEDEC + JEP106 code flag + 3 + 1 + + + REVISION + Peripheral revision number + 4 + 4 + + + + + AXI_PERIPH_ID_3 + AXI_PERIPH_ID_3 + AXI interconnect - peripheral ID3 + register + 0x1FEC + 0x20 + read-only + 0x00000004 + + + CUST_MOD_NUM + Customer modification + 0 + 4 + + + REV_AND + Customer version + 4 + 4 + + + + + AXI_COMP_ID_0 + AXI_COMP_ID_0 + AXI interconnect - component ID0 + register + 0x1FF0 + 0x20 + read-only + 0x00000004 + + + PREAMBLE + Preamble bits 0 to 7 + 0 + 8 + + + + + AXI_COMP_ID_1 + AXI_COMP_ID_1 + AXI interconnect - component ID1 + register + 0x1FF4 + 0x20 + read-only + 0x00000004 + + + PREAMBLE + Preamble bits 8 to 11 + 0 + 4 + + + CLASS + Component class + 4 + 4 + + + + + AXI_COMP_ID_2 + AXI_COMP_ID_2 + AXI interconnect - component ID2 + register + 0x1FF8 + 0x20 + read-only + 0x00000004 + + + PREAMBLE + Preamble bits 12 to 19 + 0 + 8 + + + + + AXI_COMP_ID_3 + AXI_COMP_ID_3 + AXI interconnect - component ID3 + register + 0x1FFC + 0x20 + read-only + 0x00000004 + + + PREAMBLE + Preamble bits 20 to 27 + 0 + 8 + + + + + AXI_TARG1_FN_MOD_ISS_BM + AXI_TARG1_FN_MOD_ISS_BM + AXI interconnect - TARG x bus matrix issuing + functionality register + 0x2008 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + READ_ISS_OVERRIDE + 0 + 1 + + + WRITE_ISS_OVERRIDE + Switch matrix write issuing override for + target + 1 + 1 + + + + + AXI_TARG2_FN_MOD_ISS_BM + AXI_TARG2_FN_MOD_ISS_BM + AXI interconnect - TARG x bus matrix issuing + functionality register + 0x3008 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + READ_ISS_OVERRIDE + 0 + 1 + + + WRITE_ISS_OVERRIDE + Switch matrix write issuing override for + target + 1 + 1 + + + + + AXI_TARG3_FN_MOD_ISS_BM + AXI_TARG3_FN_MOD_ISS_BM + AXI interconnect - TARG x bus matrix issuing + functionality register + 0x4008 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + READ_ISS_OVERRIDE + 0 + 1 + + + WRITE_ISS_OVERRIDE + Switch matrix write issuing override for + target + 1 + 1 + + + + + AXI_TARG4_FN_MOD_ISS_BM + AXI_TARG4_FN_MOD_ISS_BM + AXI interconnect - TARG x bus matrix issuing + functionality register + 0x5008 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + READ_ISS_OVERRIDE + 0 + 1 + + + WRITE_ISS_OVERRIDE + Switch matrix write issuing override for + target + 1 + 1 + + + + + AXI_TARG5_FN_MOD_ISS_BM + AXI_TARG5_FN_MOD_ISS_BM + AXI interconnect - TARG x bus matrix issuing + functionality register + 0x6008 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + READ_ISS_OVERRIDE + 0 + 1 + + + WRITE_ISS_OVERRIDE + Switch matrix write issuing override for + target + 1 + 1 + + + + + AXI_TARG6_FN_MOD_ISS_BM + AXI_TARG6_FN_MOD_ISS_BM + AXI interconnect - TARG x bus matrix issuing + functionality register + 0x7008 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + READ_ISS_OVERRIDE + 0 + 1 + + + WRITE_ISS_OVERRIDE + Switch matrix write issuing override for + target + 1 + 1 + + + + + AXI_TARG7_FN_MOD_ISS_BM + AXI_TARG7_FN_MOD_ISS_BM + AXI interconnect - TARG x bus matrix issuing + functionality register + 0x800C + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + READ_ISS_OVERRIDE + 0 + 1 + + + WRITE_ISS_OVERRIDE + Switch matrix write issuing override for + target + 1 + 1 + + + + + AXI_TARG1_FN_MOD2 + AXI_TARG1_FN_MOD2 + AXI interconnect - TARG x bus matrix + functionality 2 register + 0x2024 + 0x20 + read-write + 0x00000004 + + + BYPASS_MERGE + Disable packing of beats to match the + output data width + 0 + 1 + + + + + AXI_TARG2_FN_MOD2 + AXI_TARG2_FN_MOD2 + AXI interconnect - TARG x bus matrix + functionality 2 register + 0x3024 + 0x20 + read-write + 0x00000004 + + + BYPASS_MERGE + Disable packing of beats to match the + output data width + 0 + 1 + + + + + AXI_TARG7_FN_MOD2 + AXI_TARG7_FN_MOD2 + AXI interconnect - TARG x bus matrix + functionality 2 register + 0x8024 + 0x20 + read-write + 0x00000004 + + + BYPASS_MERGE + Disable packing of beats to match the + output data width + 0 + 1 + + + + + AXI_TARG1_FN_MOD_LB + AXI_TARG1_FN_MOD_LB + AXI interconnect - TARG x long burst + functionality modification + 0x202C + 0x20 + read-write + 0x00000004 + + + FN_MOD_LB + Controls burst breaking of long + bursts + 0 + 1 + + + + + AXI_TARG2_FN_MOD_LB + AXI_TARG2_FN_MOD_LB + AXI interconnect - TARG x long burst + functionality modification + 0x302C + 0x20 + read-write + 0x00000004 + + + FN_MOD_LB + Controls burst breaking of long + bursts + 0 + 1 + + + + + AXI_TARG1_FN_MOD + AXI_TARG1_FN_MOD + AXI interconnect - TARG x long burst + functionality modification + 0x2108 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + Override AMIB read issuing + capability + 0 + 1 + + + WRITE_ISS_OVERRIDE + Override AMIB write issuing + capability + 1 + 1 + + + + + AXI_TARG2_FN_MOD + AXI_TARG2_FN_MOD + AXI interconnect - TARG x long burst + functionality modification + 0x3108 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + Override AMIB read issuing + capability + 0 + 1 + + + WRITE_ISS_OVERRIDE + Override AMIB write issuing + capability + 1 + 1 + + + + + AXI_TARG7_FN_MOD + AXI_TARG7_FN_MOD + AXI interconnect - TARG x long burst + functionality modification + 0x8108 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + Override AMIB read issuing + capability + 0 + 1 + + + WRITE_ISS_OVERRIDE + Override AMIB write issuing + capability + 1 + 1 + + + + + AXI_INI1_FN_MOD2 + AXI_INI1_FN_MOD2 + AXI interconnect - INI x functionality + modification 2 register + 0x42024 + 0x20 + read-write + 0x00000004 + + + BYPASS_MERGE + Disables alteration of transactions by + the up-sizer unless required by the + protocol + 0 + 1 + + + + + AXI_INI3_FN_MOD2 + AXI_INI3_FN_MOD2 + AXI interconnect - INI x functionality + modification 2 register + 0x44024 + 0x20 + read-write + 0x00000004 + + + BYPASS_MERGE + Disables alteration of transactions by + the up-sizer unless required by the + protocol + 0 + 1 + + + + + AXI_INI1_FN_MOD_AHB + AXI_INI1_FN_MOD_AHB + AXI interconnect - INI x AHB functionality + modification register + 0x42028 + 0x20 + read-write + 0x00000004 + + + RD_INC_OVERRIDE + Converts all AHB-Lite write transactions + to a series of single beat AXI + 0 + 1 + + + WR_INC_OVERRIDE + Converts all AHB-Lite read transactions + to a series of single beat AXI + 1 + 1 + + + + + AXI_INI3_FN_MOD_AHB + AXI_INI3_FN_MOD_AHB + AXI interconnect - INI x AHB functionality + modification register + 0x44028 + 0x20 + read-write + 0x00000004 + + + RD_INC_OVERRIDE + Converts all AHB-Lite write transactions + to a series of single beat AXI + 0 + 1 + + + WR_INC_OVERRIDE + Converts all AHB-Lite read transactions + to a series of single beat AXI + 1 + 1 + + + + + AXI_INI1_READ_QOS + AXI_INI1_READ_QOS + AXI interconnect - INI x read QoS + register + 0x42100 + 0x20 + read-write + 0x00000004 + + + AR_QOS + Read channel QoS setting + 0 + 4 + + + + + AXI_INI2_READ_QOS + AXI_INI2_READ_QOS + AXI interconnect - INI x read QoS + register + 0x43100 + 0x20 + read-write + 0x00000004 + + + AR_QOS + Read channel QoS setting + 0 + 4 + + + + + AXI_INI3_READ_QOS + AXI_INI3_READ_QOS + AXI interconnect - INI x read QoS + register + 0x44100 + 0x20 + read-write + 0x00000004 + + + AR_QOS + Read channel QoS setting + 0 + 4 + + + + + AXI_INI4_READ_QOS + AXI_INI4_READ_QOS + AXI interconnect - INI x read QoS + register + 0x45100 + 0x20 + read-write + 0x00000004 + + + AR_QOS + Read channel QoS setting + 0 + 4 + + + + + AXI_INI5_READ_QOS + AXI_INI5_READ_QOS + AXI interconnect - INI x read QoS + register + 0x46100 + 0x20 + read-write + 0x00000004 + + + AR_QOS + Read channel QoS setting + 0 + 4 + + + + + AXI_INI6_READ_QOS + AXI_INI6_READ_QOS + AXI interconnect - INI x read QoS + register + 0x47100 + 0x20 + read-write + 0x00000004 + + + AR_QOS + Read channel QoS setting + 0 + 4 + + + + + AXI_INI1_WRITE_QOS + AXI_INI1_WRITE_QOS + AXI interconnect - INI x write QoS + register + 0x42104 + 0x20 + read-write + 0x00000004 + + + AW_QOS + Write channel QoS setting + 0 + 4 + + + + + AXI_INI2_WRITE_QOS + AXI_INI2_WRITE_QOS + AXI interconnect - INI x write QoS + register + 0x43104 + 0x20 + read-write + 0x00000004 + + + AW_QOS + Write channel QoS setting + 0 + 4 + + + + + AXI_INI3_WRITE_QOS + AXI_INI3_WRITE_QOS + AXI interconnect - INI x write QoS + register + 0x44104 + 0x20 + read-write + 0x00000004 + + + AW_QOS + Write channel QoS setting + 0 + 4 + + + + + AXI_INI4_WRITE_QOS + AXI_INI4_WRITE_QOS + AXI interconnect - INI x write QoS + register + 0x45104 + 0x20 + read-write + 0x00000004 + + + AW_QOS + Write channel QoS setting + 0 + 4 + + + + + AXI_INI5_WRITE_QOS + AXI_INI5_WRITE_QOS + AXI interconnect - INI x write QoS + register + 0x46104 + 0x20 + read-write + 0x00000004 + + + AW_QOS + Write channel QoS setting + 0 + 4 + + + + + AXI_INI6_WRITE_QOS + AXI_INI6_WRITE_QOS + AXI interconnect - INI x write QoS + register + 0x47104 + 0x20 + read-write + 0x00000004 + + + AW_QOS + Write channel QoS setting + 0 + 4 + + + + + AXI_INI1_FN_MOD + AXI_INI1_FN_MOD + AXI interconnect - INI x issuing + functionality modification register + 0x42108 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + Override ASIB read issuing + capability + 0 + 1 + + + WRITE_ISS_OVERRIDE + Override ASIB write issuing + capability + 1 + 1 + + + + + AXI_INI2_FN_MOD + AXI_INI2_FN_MOD + AXI interconnect - INI x issuing + functionality modification register + 0x43108 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + Override ASIB read issuing + capability + 0 + 1 + + + WRITE_ISS_OVERRIDE + Override ASIB write issuing + capability + 1 + 1 + + + + + AXI_INI3_FN_MOD + AXI_INI3_FN_MOD + AXI interconnect - INI x issuing + functionality modification register + 0x44108 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + Override ASIB read issuing + capability + 0 + 1 + + + WRITE_ISS_OVERRIDE + Override ASIB write issuing + capability + 1 + 1 + + + + + AXI_INI4_FN_MOD + AXI_INI4_FN_MOD + AXI interconnect - INI x issuing + functionality modification register + 0x45108 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + Override ASIB read issuing + capability + 0 + 1 + + + WRITE_ISS_OVERRIDE + Override ASIB write issuing + capability + 1 + 1 + + + + + AXI_INI5_FN_MOD + AXI_INI5_FN_MOD + AXI interconnect - INI x issuing + functionality modification register + 0x46108 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + Override ASIB read issuing + capability + 0 + 1 + + + WRITE_ISS_OVERRIDE + Override ASIB write issuing + capability + 1 + 1 + + + + + AXI_INI6_FN_MOD + AXI_INI6_FN_MOD + AXI interconnect - INI x issuing + functionality modification register + 0x47108 + 0x20 + read-write + 0x00000004 + + + READ_ISS_OVERRIDE + Override ASIB read issuing + capability + 0 + 1 + + + WRITE_ISS_OVERRIDE + Override ASIB write issuing + capability + 1 + 1 + + + + + + + DCMI + Digital camera interface + DCMI + 0x48020000 + + 0x0 + 0x400 + registers + + + DCMI + DCMI global interrupt + 78 + + + + CR + CR + control register 1 + 0x0 + 0x20 + read-write + 0x0000 + + + OELS + Odd/Even Line Select (Line Select + Start) + 20 + 1 + + + LSM + Line Select mode + 19 + 1 + + + OEBS + Odd/Even Byte Select (Byte Select + Start) + 18 + 1 + + + BSM + Byte Select mode + 16 + 2 + + + ENABLE + DCMI enable + 14 + 1 + + + EDM + Extended data mode + 10 + 2 + + + FCRC + Frame capture rate control + 8 + 2 + + + VSPOL + Vertical synchronization + polarity + 7 + 1 + + + HSPOL + Horizontal synchronization + polarity + 6 + 1 + + + PCKPOL + Pixel clock polarity + 5 + 1 + + + ESS + Embedded synchronization + select + 4 + 1 + + + JPEG + JPEG format + 3 + 1 + + + CROP + Crop feature + 2 + 1 + + + CM + Capture mode + 1 + 1 + + + CAPTURE + Capture enable + 0 + 1 + + + + + SR + SR + status register + 0x4 + 0x20 + read-only + 0x0000 + + + FNE + FIFO not empty + 2 + 1 + + + VSYNC + VSYNC + 1 + 1 + + + HSYNC + HSYNC + 0 + 1 + + + + + RIS + RIS + raw interrupt status register + 0x8 + 0x20 + read-only + 0x0000 + + + LINE_RIS + Line raw interrupt status + 4 + 1 + + + VSYNC_RIS + VSYNC raw interrupt status + 3 + 1 + + + ERR_RIS + Synchronization error raw interrupt + status + 2 + 1 + + + OVR_RIS + Overrun raw interrupt + status + 1 + 1 + + + FRAME_RIS + Capture complete raw interrupt + status + 0 + 1 + + + + + IER + IER + interrupt enable register + 0xC + 0x20 + read-write + 0x0000 + + + LINE_IE + Line interrupt enable + 4 + 1 + + + VSYNC_IE + VSYNC interrupt enable + 3 + 1 + + + ERR_IE + Synchronization error interrupt + enable + 2 + 1 + + + OVR_IE + Overrun interrupt enable + 1 + 1 + + + FRAME_IE + Capture complete interrupt + enable + 0 + 1 + + + + + MIS + MIS + masked interrupt status + register + 0x10 + 0x20 + read-only + 0x0000 + + + LINE_MIS + Line masked interrupt + status + 4 + 1 + + + VSYNC_MIS + VSYNC masked interrupt + status + 3 + 1 + + + ERR_MIS + Synchronization error masked interrupt + status + 2 + 1 + + + OVR_MIS + Overrun masked interrupt + status + 1 + 1 + + + FRAME_MIS + Capture complete masked interrupt + status + 0 + 1 + + + + + ICR + ICR + interrupt clear register + 0x14 + 0x20 + write-only + 0x0000 + + + LINE_ISC + line interrupt status + clear + 4 + 1 + + + VSYNC_ISC + Vertical synch interrupt status + clear + 3 + 1 + + + ERR_ISC + Synchronization error interrupt status + clear + 2 + 1 + + + OVR_ISC + Overrun interrupt status + clear + 1 + 1 + + + FRAME_ISC + Capture complete interrupt status + clear + 0 + 1 + + + + + ESCR + ESCR + embedded synchronization code + register + 0x18 + 0x20 + read-write + 0x0000 + + + FEC + Frame end delimiter code + 24 + 8 + + + LEC + Line end delimiter code + 16 + 8 + + + LSC + Line start delimiter code + 8 + 8 + + + FSC + Frame start delimiter code + 0 + 8 + + + + + ESUR + ESUR + embedded synchronization unmask + register + 0x1C + 0x20 + read-write + 0x0000 + + + FEU + Frame end delimiter unmask + 24 + 8 + + + LEU + Line end delimiter unmask + 16 + 8 + + + LSU + Line start delimiter + unmask + 8 + 8 + + + FSU + Frame start delimiter + unmask + 0 + 8 + + + + + CWSTRT + CWSTRT + crop window start + 0x20 + 0x20 + read-write + 0x0000 + + + VST + Vertical start line count + 16 + 13 + + + HOFFCNT + Horizontal offset count + 0 + 14 + + + + + CWSIZE + CWSIZE + crop window size + 0x24 + 0x20 + read-write + 0x0000 + + + VLINE + Vertical line count + 16 + 14 + + + CAPCNT + Capture count + 0 + 14 + + + + + DR + DR + data register + 0x28 + 0x20 + read-only + 0x0000 + + + Byte3 + Data byte 3 + 24 + 8 + + + Byte2 + Data byte 2 + 16 + 8 + + + Byte1 + Data byte 1 + 8 + 8 + + + Byte0 + Data byte 0 + 0 + 8 + + + + + + + OTG1_HS_GLOBAL + USB 1 on the go high speed + USB_OTG_HS + 0x40040000 + + 0x0 + 0x400 + registers + + + OTG_HS_EP1_OUT + OTG_HS out global interrupt + 74 + + + OTG_HS_EP1_IN + OTG_HS in global interrupt + 75 + + + OTG_HS_WKUP + OTG_HS wakeup interrupt + 76 + + + OTG_HS + OTG_HS global interrupt + 77 + + + + OTG_HS_GOTGCTL + OTG_HS_GOTGCTL + OTG_HS control and status + register + 0x0 + 32 + 0x00000800 + + + SRQSCS + Session request success + 0 + 1 + read-only + + + SRQ + Session request + 1 + 1 + read-write + + + VBVALOEN + VBUS valid override enable + 2 + 1 + read-write + + + VBVALOVAL + VBUS valid override value + 3 + 1 + read-write + + + AVALOEN + A-peripheral session valid override enable + 4 + 1 + read-write + + + AVALOVAL + A-peripheral session valid override value + 5 + 1 + read-write + + + BVALOEN + B-peripheral session valid override enable. + 6 + 1 + read-write + + + BVALOVAL + B-peripheral session valid override value + 7 + 1 + read-write + + + HNGSCS + Host negotiation success + 8 + 1 + read-only + + + HNPRQ + HNP request + 9 + 1 + read-write + + + HSHNPEN + Host set HNP enable + 10 + 1 + read-write + + + DHNPEN + Device HNP enabled + 11 + 1 + read-write + + + EHEN + Embedded host enable + 12 + 1 + read-write + + + CIDSTS + Connector ID status + 16 + 1 + read-only + + + DBCT + Long/short debounce time + 17 + 1 + read-only + + + ASVLD + A-session valid + 18 + 1 + read-only + + + BSVLD + B-session valid + 19 + 1 + read-only + + + OTGVER + OTG version + 20 + 1 + read-write + + + CURMOD + Current mode of operation + 21 + 1 + read-only + + + + + OTG_HS_GOTGINT + OTG_HS_GOTGINT + OTG_HS interrupt register + 0x4 + 32 + read-write + 0x0 + + + SEDET + Session end detected + 2 + 1 + + + SRSSCHG + Session request success status + change + 8 + 1 + + + HNSSCHG + Host negotiation success status + change + 9 + 1 + + + HNGDET + Host negotiation detected + 17 + 1 + + + ADTOCHG + A-device timeout change + 18 + 1 + + + DBCDNE + Debounce done + 19 + 1 + + + IDCHNG + ID input pin changed + 20 + 1 + + + + + OTG_HS_GAHBCFG + OTG_HS_GAHBCFG + OTG_HS AHB configuration + register + 0x8 + 32 + read-write + 0x0 + + + GINT + Global interrupt mask + 0 + 1 + + + HBSTLEN + Burst length/type + 1 + 4 + + + DMAEN + DMA enable + 5 + 1 + + + TXFELVL + TxFIFO empty level + 7 + 1 + + + PTXFELVL + Periodic TxFIFO empty + level + 8 + 1 + + + + + OTG_HS_GUSBCFG + OTG_HS_GUSBCFG + OTG_HS USB configuration + register + 0xC + 32 + 0x00000A00 + + + TOCAL + FS timeout calibration + 0 + 3 + read-write + + + PHYSEL + USB 2.0 high-speed ULPI PHY or USB 1.1 + full-speed serial transceiver select + 6 + 1 + write-only + + + SRPCAP + SRP-capable + 8 + 1 + read-write + + + HNPCAP + HNP-capable + 9 + 1 + read-write + + + TRDT + USB turnaround time + 10 + 4 + read-write + + + PHYLPCS + PHY Low-power clock select + 15 + 1 + read-write + + + ULPIFSLS + ULPI FS/LS select + 17 + 1 + read-write + + + ULPIAR + ULPI Auto-resume + 18 + 1 + read-write + + + ULPICSM + ULPI Clock SuspendM + 19 + 1 + read-write + + + ULPIEVBUSD + ULPI External VBUS Drive + 20 + 1 + read-write + + + ULPIEVBUSI + ULPI external VBUS + indicator + 21 + 1 + read-write + + + TSDPS + TermSel DLine pulsing + selection + 22 + 1 + read-write + + + PCCI + Indicator complement + 23 + 1 + read-write + + + PTCI + Indicator pass through + 24 + 1 + read-write + + + ULPIIPD + ULPI interface protect + disable + 25 + 1 + read-write + + + FHMOD + Forced host mode + 29 + 1 + read-write + + + FDMOD + Forced peripheral mode + 30 + 1 + read-write + + + + + OTG_HS_GRSTCTL + OTG_HS_GRSTCTL + OTG_HS reset register + 0x10 + 32 + 0x20000000 + + + CSRST + Core soft reset + 0 + 1 + read-write + + + HSRST + HCLK soft reset + 1 + 1 + read-write + + + FCRST + Host frame counter reset + 2 + 1 + read-write + + + RXFFLSH + RxFIFO flush + 4 + 1 + read-write + + + TXFFLSH + TxFIFO flush + 5 + 1 + read-write + + + TXFNUM + TxFIFO number + 6 + 5 + read-write + + + AHBIDL + AHB master idle + 31 + 1 + read-only + + + DMAREQ + DMA request signal enabled for USB OTG + HS + 30 + 1 + read-only + + + + + OTG_HS_GINTSTS + OTG_HS_GINTSTS + OTG_HS core interrupt register + 0x14 + 32 + 0x04000020 + + + CMOD + Current mode of operation + 0 + 1 + read-only + + + MMIS + Mode mismatch interrupt + 1 + 1 + read-write + + + OTGINT + OTG interrupt + 2 + 1 + read-only + + + SOF + Start of frame + 3 + 1 + read-write + + + RXFLVL + RxFIFO nonempty + 4 + 1 + read-only + + + NPTXFE + Nonperiodic TxFIFO empty + 5 + 1 + read-only + + + GINAKEFF + Global IN nonperiodic NAK + effective + 6 + 1 + read-only + + + BOUTNAKEFF + Global OUT NAK effective + 7 + 1 + read-only + + + ESUSP + Early suspend + 10 + 1 + read-write + + + USBSUSP + USB suspend + 11 + 1 + read-write + + + USBRST + USB reset + 12 + 1 + read-write + + + ENUMDNE + Enumeration done + 13 + 1 + read-write + + + ISOODRP + Isochronous OUT packet dropped + interrupt + 14 + 1 + read-write + + + EOPF + End of periodic frame + interrupt + 15 + 1 + read-write + + + IEPINT + IN endpoint interrupt + 18 + 1 + read-only + + + OEPINT + OUT endpoint interrupt + 19 + 1 + read-only + + + IISOIXFR + Incomplete isochronous IN + transfer + 20 + 1 + read-write + + + PXFR_INCOMPISOOUT + Incomplete periodic + transfer + 21 + 1 + read-write + + + DATAFSUSP + Data fetch suspended + 22 + 1 + read-write + + + HPRTINT + Host port interrupt + 24 + 1 + read-only + + + HCINT + Host channels interrupt + 25 + 1 + read-only + + + PTXFE + Periodic TxFIFO empty + 26 + 1 + read-only + + + CIDSCHG + Connector ID status change + 28 + 1 + read-write + + + DISCINT + Disconnect detected + interrupt + 29 + 1 + read-write + + + SRQINT + Session request/new session detected + interrupt + 30 + 1 + read-write + + + WKUINT + Resume/remote wakeup detected + interrupt + 31 + 1 + read-write + + + + + OTG_HS_GINTMSK + OTG_HS_GINTMSK + OTG_HS interrupt mask register + 0x18 + 32 + 0x0 + + + MMISM + Mode mismatch interrupt + mask + 1 + 1 + read-write + + + OTGINT + OTG interrupt mask + 2 + 1 + read-write + + + SOFM + Start of frame mask + 3 + 1 + read-write + + + RXFLVLM + Receive FIFO nonempty mask + 4 + 1 + read-write + + + NPTXFEM + Nonperiodic TxFIFO empty + mask + 5 + 1 + read-write + + + GINAKEFFM + Global nonperiodic IN NAK effective + mask + 6 + 1 + read-write + + + GONAKEFFM + Global OUT NAK effective + mask + 7 + 1 + read-write + + + ESUSPM + Early suspend mask + 10 + 1 + read-write + + + USBSUSPM + USB suspend mask + 11 + 1 + read-write + + + USBRST + USB reset mask + 12 + 1 + read-write + + + ENUMDNEM + Enumeration done mask + 13 + 1 + read-write + + + ISOODRPM + Isochronous OUT packet dropped interrupt + mask + 14 + 1 + read-write + + + EOPFM + End of periodic frame interrupt + mask + 15 + 1 + read-write + + + IEPINT + IN endpoints interrupt + mask + 18 + 1 + read-write + + + OEPINT + OUT endpoints interrupt + mask + 19 + 1 + read-write + + + IISOIXFRM + Incomplete isochronous IN transfer + mask + 20 + 1 + read-write + + + PXFRM_IISOOXFRM + Incomplete periodic transfer + mask + 21 + 1 + read-write + + + FSUSPM + Data fetch suspended mask + 22 + 1 + read-write + + + PRTIM + Host port interrupt mask + 24 + 1 + read-only + + + HCIM + Host channels interrupt + mask + 25 + 1 + read-write + + + PTXFEM + Periodic TxFIFO empty mask + 26 + 1 + read-write + + + CIDSCHGM + Connector ID status change + mask + 28 + 1 + read-write + + + DISCINT + Disconnect detected interrupt + mask + 29 + 1 + read-write + + + SRQIM + Session request/new session detected + interrupt mask + 30 + 1 + read-write + + + WUIM + Resume/remote wakeup detected interrupt + mask + 31 + 1 + read-write + + + RSTDE + Reset detected interrupt + mask + 23 + 1 + read-write + + + LPMINTM + LPM interrupt mask + 27 + 1 + read-write + + + + + OTG_HS_GRXSTSR_Host + OTG_HS_GRXSTSR_Host + OTG_HS Receive status debug read register + (host mode) + 0x1C + 32 + read-only + 0x0 + + + CHNUM + Channel number + 0 + 4 + + + BCNT + Byte count + 4 + 11 + + + DPID + Data PID + 15 + 2 + + + PKTSTS + Packet status + 17 + 4 + + + + + OTG_HS_GRXSTSP_Host + OTG_HS_GRXSTSP_Host + OTG_HS status read and pop register (host + mode) + 0x20 + 32 + read-only + 0x0 + + + CHNUM + Channel number + 0 + 4 + + + BCNT + Byte count + 4 + 11 + + + DPID + Data PID + 15 + 2 + + + PKTSTS + Packet status + 17 + 4 + + + + + OTG_HS_GRXFSIZ + OTG_HS_GRXFSIZ + OTG_HS Receive FIFO size + register + 0x24 + 32 + read-write + 0x00000200 + + + RXFD + RxFIFO depth + 0 + 16 + + + + + OTG_HS_HNPTXFSIZ_Host + OTG_HS_HNPTXFSIZ_Host + OTG_HS nonperiodic transmit FIFO size + register (host mode) + 0x28 + 32 + read-write + 0x00000200 + + + NPTXFSA + Nonperiodic transmit RAM start + address + 0 + 16 + + + NPTXFD + Nonperiodic TxFIFO depth + 16 + 16 + + + + + OTG_HS_DIEPTXF0_Device + OTG_HS_DIEPTXF0_Device + Endpoint 0 transmit FIFO size (peripheral + mode) + OTG_HS_HNPTXFSIZ_Host + 0x28 + 32 + read-write + 0x00000200 + + + TX0FSA + Endpoint 0 transmit RAM start + address + 0 + 16 + + + TX0FD + Endpoint 0 TxFIFO depth + 16 + 16 + + + + + OTG_HS_GNPTXSTS + OTG_HS_GNPTXSTS + OTG_HS nonperiodic transmit FIFO/queue + status register + 0x2C + 32 + read-only + 0x00080200 + + + NPTXFSAV + Nonperiodic TxFIFO space + available + 0 + 16 + + + NPTQXSAV + Nonperiodic transmit request queue space + available + 16 + 8 + + + NPTXQTOP + Top of the nonperiodic transmit request + queue + 24 + 7 + + + + + OTG_HS_GCCFG + OTG_HS_GCCFG + OTG_HS general core configuration + register + 0x38 + 32 + read-write + 0x0 + + + PWRDWN + Power down + 16 + 1 + + + BCDEN + Battery charging detector (BCD) + enable + 17 + 1 + + + DCDEN + Data contact detection (DCD) mode + enable + 18 + 1 + + + PDEN + Primary detection (PD) mode + enable + 19 + 1 + + + SDEN + Secondary detection (SD) mode + enable + 20 + 1 + + + VBDEN + USB VBUS detection enable + 21 + 1 + + + DCDET + Data contact detection (DCD) + status + 0 + 1 + + + PDET + Primary detection (PD) + status + 1 + 1 + + + SDET + Secondary detection (SD) + status + 2 + 1 + + + PS2DET + DM pull-up detection + status + 3 + 1 + + + + + OTG_HS_CID + OTG_HS_CID + OTG_HS core ID register + 0x3C + 32 + read-write + 0x00001200 + + + PRODUCT_ID + Product ID field + 0 + 32 + + + + + OTG_HS_HPTXFSIZ + OTG_HS_HPTXFSIZ + OTG_HS Host periodic transmit FIFO size + register + 0x100 + 32 + read-write + 0x02000600 + + + PTXSA + Host periodic TxFIFO start + address + 0 + 16 + + + PTXFD + Host periodic TxFIFO depth + 16 + 16 + + + + + OTG_HS_DIEPTXF1 + OTG_HS_DIEPTXF1 + OTG_HS device IN endpoint transmit FIFO size + register + 0x104 + 32 + read-write + 0x02000400 + + + INEPTXSA + IN endpoint FIFOx transmit RAM start + address + 0 + 16 + + + INEPTXFD + IN endpoint TxFIFO depth + 16 + 16 + + + + + OTG_HS_DIEPTXF2 + OTG_HS_DIEPTXF2 + OTG_HS device IN endpoint transmit FIFO size + register + 0x108 + 32 + read-write + 0x02000600 + + + INEPTXSA + IN endpoint FIFOx transmit RAM start + address + 0 + 16 + + + INEPTXFD + IN endpoint TxFIFO depth + 16 + 16 + + + + + OTG_HS_DIEPTXF3 + OTG_HS_DIEPTXF3 + OTG_HS device IN endpoint transmit FIFO size + register + 0x10C + 32 + read-write + 0x02000800 + + + INEPTXSA + IN endpoint FIFOx transmit RAM start + address + 0 + 16 + + + INEPTXFD + IN endpoint TxFIFO depth + 16 + 16 + + + + + OTG_HS_DIEPTXF4 + OTG_HS_DIEPTXF4 + OTG_HS device IN endpoint transmit FIFO size + register + 0x110 + 32 + read-write + 0x02000A00 + + + INEPTXSA + IN endpoint FIFOx transmit RAM start + address + 0 + 16 + + + INEPTXFD + IN endpoint TxFIFO depth + 16 + 16 + + + + + OTG_HS_DIEPTXF5 + OTG_HS_DIEPTXF5 + OTG_HS device IN endpoint transmit FIFO size + register + 0x114 + 32 + read-write + 0x02000C00 + + + INEPTXSA + IN endpoint FIFOx transmit RAM start + address + 0 + 16 + + + INEPTXFD + IN endpoint TxFIFO depth + 16 + 16 + + + + + OTG_HS_DIEPTXF6 + OTG_HS_DIEPTXF6 + OTG_HS device IN endpoint transmit FIFO size + register + 0x118 + 32 + read-write + 0x02000E00 + + + INEPTXSA + IN endpoint FIFOx transmit RAM start + address + 0 + 16 + + + INEPTXFD + IN endpoint TxFIFO depth + 16 + 16 + + + + + OTG_HS_DIEPTXF7 + OTG_HS_DIEPTXF7 + OTG_HS device IN endpoint transmit FIFO size + register + 0x11C + 32 + read-write + 0x02001000 + + + INEPTXSA + IN endpoint FIFOx transmit RAM start + address + 0 + 16 + + + INEPTXFD + IN endpoint TxFIFO depth + 16 + 16 + + + + + OTG_DIEPTXF8 + OTG_DIEPTXF8 + 0x120 + 0x20 + 0x02001200 + 0xFFFFFFFF + + + INEPTXSA + IN endpoint FIFOx transmit RAM start address +This field contains the memory start address for IN endpoint transmit FIFOx. The address must be aligned with a 32-bit memory location. + 0 + 16 + read-write + + + INEPTXFD + IN endpoint Tx FIFO depth +This value is in terms of 32-bit words. +Minimum value is 16 + 16 + 16 + read-write + + + + + OTG_HS_GRXSTSR_Device + OTG_HS_GRXSTSR_Device + OTG_HS Receive status debug read register + (peripheral mode mode) + OTG_HS_GRXSTSR_Host + 0x1C + 32 + read-only + 0x0 + + + EPNUM + Endpoint number + 0 + 4 + + + BCNT + Byte count + 4 + 11 + + + DPID + Data PID + 15 + 2 + + + PKTSTS + Packet status + 17 + 4 + + + FRMNUM + Frame number + 21 + 4 + + + + + OTG_HS_GRXSTSP_Device + OTG_HS_GRXSTSP_Device + OTG_HS status read and pop register + (peripheral mode) + OTG_HS_GRXSTSP_Host + 0x20 + 32 + read-only + 0x0 + + + EPNUM + Endpoint number + 0 + 4 + + + BCNT + Byte count + 4 + 11 + + + DPID + Data PID + 15 + 2 + + + PKTSTS + Packet status + 17 + 4 + + + FRMNUM + Frame number + 21 + 4 + + + + + OTG_HS_GLPMCFG + OTG_HS_GLPMCFG + OTG core LPM configuration + register + 0x54 + 32 + 0x0 + + + LPMEN + LPM support enable + 0 + 1 + read-write + + + LPMACK + LPM token acknowledge + enable + 1 + 1 + read-write + + + BESL + Best effort service + latency + 2 + 4 + read-only + + + REMWAKE + bRemoteWake value + 6 + 1 + read-only + + + L1SSEN + L1 Shallow Sleep enable + 7 + 1 + read-write + + + BESLTHRS + BESL threshold + 8 + 4 + read-write + + + L1DSEN + L1 deep sleep enable + 12 + 1 + read-write + + + LPMRST + LPM response + 13 + 2 + read-only + + + SLPSTS + Port sleep status + 15 + 1 + read-only + + + L1RSMOK + Sleep State Resume OK + 16 + 1 + read-only + + + LPMCHIDX + LPM Channel Index + 17 + 4 + read-write + + + LPMRCNT + LPM retry count + 21 + 3 + read-write + + + SNDLPM + Send LPM transaction + 24 + 1 + read-write + + + LPMRCNTSTS + LPM retry count status + 25 + 3 + read-only + + + ENBESL + Enable best effort service + latency + 28 + 1 + read-write + + + + + + + OTG2_HS_GLOBAL + 0x40080000 + + OTG_FS_EP1_OUT + OTG_FS out global interrupt + 98 + + + OTG_FS_EP1_IN + OTG_FS in global interrupt + 99 + + + OTG_FS_WKUP + OTG_FS wakeup + 100 + + + + OTG1_HS_HOST + USB 1 on the go high speed + USB_OTG_HS + 0x40040400 + + 0x0 + 0x400 + registers + + + OTG_FS + OTG_FS global interrupt + 101 + + + + OTG_HS_HCFG + OTG_HS_HCFG + OTG_HS host configuration + register + 0x0 + 32 + 0x0 + + + FSLSPCS + FS/LS PHY clock select + 0 + 2 + read-write + + + FSLSS + FS- and LS-only support + 2 + 1 + read-only + + + + + OTG_HS_HFIR + OTG_HS_HFIR + OTG_HS Host frame interval + register + 0x4 + 32 + read-write + 0x0000EA60 + + + FRIVL + Frame interval + 0 + 16 + + + + + OTG_HS_HFNUM + OTG_HS_HFNUM + OTG_HS host frame number/frame time + remaining register + 0x8 + 32 + read-only + 0x00003FFF + + + FRNUM + Frame number + 0 + 16 + + + FTREM + Frame time remaining + 16 + 16 + + + + + OTG_HS_HPTXSTS + OTG_HS_HPTXSTS + OTG_HS_Host periodic transmit FIFO/queue + status register + 0x10 + 32 + 0x00080100 + + + PTXFSAVL + Periodic transmit data FIFO space + available + 0 + 16 + read-write + + + PTXQSAV + Periodic transmit request queue space + available + 16 + 8 + read-only + + + PTXQTOP + Top of the periodic transmit request + queue + 24 + 8 + read-only + + + + + OTG_HS_HAINT + OTG_HS_HAINT + OTG_HS Host all channels interrupt + register + 0x14 + 32 + read-only + 0x0 + + + HAINT + Channel interrupts + 0 + 16 + + + + + OTG_HS_HAINTMSK + OTG_HS_HAINTMSK + OTG_HS host all channels interrupt mask + register + 0x18 + 32 + read-write + 0x0 + + + HAINTM + Channel interrupt mask + 0 + 16 + + + + + OTG_HS_HPRT + OTG_HS_HPRT + OTG_HS host port control and status + register + 0x40 + 32 + 0x0 + + + PCSTS + Port connect status + 0 + 1 + read-only + + + PCDET + Port connect detected + 1 + 1 + read-write + + + PENA + Port enable + 2 + 1 + read-write + + + PENCHNG + Port enable/disable change + 3 + 1 + read-write + + + POCA + Port overcurrent active + 4 + 1 + read-only + + + POCCHNG + Port overcurrent change + 5 + 1 + read-write + + + PRES + Port resume + 6 + 1 + read-write + + + PSUSP + Port suspend + 7 + 1 + read-write + + + PRST + Port reset + 8 + 1 + read-write + + + PLSTS + Port line status + 10 + 2 + read-only + + + PPWR + Port power + 12 + 1 + read-write + + + PTCTL + Port test control + 13 + 4 + read-write + + + PSPD + Port speed + 17 + 2 + read-only + + + + + OTG_HS_HCCHAR0 + OTG_HS_HCCHAR0 + OTG_HS host channel-0 characteristics + register + 0x100 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR1 + OTG_HS_HCCHAR1 + OTG_HS host channel-1 characteristics + register + 0x120 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR2 + OTG_HS_HCCHAR2 + OTG_HS host channel-2 characteristics + register + 0x140 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR3 + OTG_HS_HCCHAR3 + OTG_HS host channel-3 characteristics + register + 0x160 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR4 + OTG_HS_HCCHAR4 + OTG_HS host channel-4 characteristics + register + 0x180 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR5 + OTG_HS_HCCHAR5 + OTG_HS host channel-5 characteristics + register + 0x1A0 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR6 + OTG_HS_HCCHAR6 + OTG_HS host channel-6 characteristics + register + 0x1C0 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR7 + OTG_HS_HCCHAR7 + OTG_HS host channel-7 characteristics + register + 0x1E0 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR8 + OTG_HS_HCCHAR8 + OTG_HS host channel-8 characteristics + register + 0x200 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR9 + OTG_HS_HCCHAR9 + OTG_HS host channel-9 characteristics + register + 0x220 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR10 + OTG_HS_HCCHAR10 + OTG_HS host channel-10 characteristics + register + 0x240 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCCHAR11 + OTG_HS_HCCHAR11 + OTG_HS host channel-11 characteristics + register + 0x260 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCSPLT0 + OTG_HS_HCSPLT0 + OTG_HS host channel-0 split control + register + 0x104 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT1 + OTG_HS_HCSPLT1 + OTG_HS host channel-1 split control + register + 0x124 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT2 + OTG_HS_HCSPLT2 + OTG_HS host channel-2 split control + register + 0x144 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT3 + OTG_HS_HCSPLT3 + OTG_HS host channel-3 split control + register + 0x164 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT4 + OTG_HS_HCSPLT4 + OTG_HS host channel-4 split control + register + 0x184 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT5 + OTG_HS_HCSPLT5 + OTG_HS host channel-5 split control + register + 0x1A4 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT6 + OTG_HS_HCSPLT6 + OTG_HS host channel-6 split control + register + 0x1C4 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT7 + OTG_HS_HCSPLT7 + OTG_HS host channel-7 split control + register + 0x1E4 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT8 + OTG_HS_HCSPLT8 + OTG_HS host channel-8 split control + register + 0x204 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT9 + OTG_HS_HCSPLT9 + OTG_HS host channel-9 split control + register + 0x224 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT10 + OTG_HS_HCSPLT10 + OTG_HS host channel-10 split control + register + 0x244 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCSPLT11 + OTG_HS_HCSPLT11 + OTG_HS host channel-11 split control + register + 0x264 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCINT0 + OTG_HS_HCINT0 + OTG_HS host channel-11 interrupt + register + 0x108 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT1 + OTG_HS_HCINT1 + OTG_HS host channel-1 interrupt + register + 0x128 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT2 + OTG_HS_HCINT2 + OTG_HS host channel-2 interrupt + register + 0x148 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT3 + OTG_HS_HCINT3 + OTG_HS host channel-3 interrupt + register + 0x168 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT4 + OTG_HS_HCINT4 + OTG_HS host channel-4 interrupt + register + 0x188 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT5 + OTG_HS_HCINT5 + OTG_HS host channel-5 interrupt + register + 0x1A8 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT6 + OTG_HS_HCINT6 + OTG_HS host channel-6 interrupt + register + 0x1C8 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT7 + OTG_HS_HCINT7 + OTG_HS host channel-7 interrupt + register + 0x1E8 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT8 + OTG_HS_HCINT8 + OTG_HS host channel-8 interrupt + register + 0x208 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT9 + OTG_HS_HCINT9 + OTG_HS host channel-9 interrupt + register + 0x228 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT10 + OTG_HS_HCINT10 + OTG_HS host channel-10 interrupt + register + 0x248 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINT11 + OTG_HS_HCINT11 + OTG_HS host channel-11 interrupt + register + 0x268 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINTMSK0 + OTG_HS_HCINTMSK0 + OTG_HS host channel-11 interrupt mask + register + 0x10C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK1 + OTG_HS_HCINTMSK1 + OTG_HS host channel-1 interrupt mask + register + 0x12C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK2 + OTG_HS_HCINTMSK2 + OTG_HS host channel-2 interrupt mask + register + 0x14C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK3 + OTG_HS_HCINTMSK3 + OTG_HS host channel-3 interrupt mask + register + 0x16C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK4 + OTG_HS_HCINTMSK4 + OTG_HS host channel-4 interrupt mask + register + 0x18C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK5 + OTG_HS_HCINTMSK5 + OTG_HS host channel-5 interrupt mask + register + 0x1AC + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK6 + OTG_HS_HCINTMSK6 + OTG_HS host channel-6 interrupt mask + register + 0x1CC + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK7 + OTG_HS_HCINTMSK7 + OTG_HS host channel-7 interrupt mask + register + 0x1EC + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK8 + OTG_HS_HCINTMSK8 + OTG_HS host channel-8 interrupt mask + register + 0x20C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK9 + OTG_HS_HCINTMSK9 + OTG_HS host channel-9 interrupt mask + register + 0x22C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK10 + OTG_HS_HCINTMSK10 + OTG_HS host channel-10 interrupt mask + register + 0x24C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCINTMSK11 + OTG_HS_HCINTMSK11 + OTG_HS host channel-11 interrupt mask + register + 0x26C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + response received interrupt + mask + 6 + 1 + + + TXERRM + Transaction error mask + 7 + 1 + + + BBERRM + Babble error mask + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCTSIZ0 + OTG_HS_HCTSIZ0 + OTG_HS host channel-11 transfer size + register + 0x110 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ1 + OTG_HS_HCTSIZ1 + OTG_HS host channel-1 transfer size + register + 0x130 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ2 + OTG_HS_HCTSIZ2 + OTG_HS host channel-2 transfer size + register + 0x150 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ3 + OTG_HS_HCTSIZ3 + OTG_HS host channel-3 transfer size + register + 0x170 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ4 + OTG_HS_HCTSIZ4 + OTG_HS host channel-4 transfer size + register + 0x190 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ5 + OTG_HS_HCTSIZ5 + OTG_HS host channel-5 transfer size + register + 0x1B0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ6 + OTG_HS_HCTSIZ6 + OTG_HS host channel-6 transfer size + register + 0x1D0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ7 + OTG_HS_HCTSIZ7 + OTG_HS host channel-7 transfer size + register + 0x1F0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ8 + OTG_HS_HCTSIZ8 + OTG_HS host channel-8 transfer size + register + 0x210 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ9 + OTG_HS_HCTSIZ9 + OTG_HS host channel-9 transfer size + register + 0x230 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ10 + OTG_HS_HCTSIZ10 + OTG_HS host channel-10 transfer size + register + 0x250 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCTSIZ11 + OTG_HS_HCTSIZ11 + OTG_HS host channel-11 transfer size + register + 0x270 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCDMA0 + OTG_HS_HCDMA0 + OTG_HS host channel-0 DMA address + register + 0x114 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA1 + OTG_HS_HCDMA1 + OTG_HS host channel-1 DMA address + register + 0x134 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA2 + OTG_HS_HCDMA2 + OTG_HS host channel-2 DMA address + register + 0x154 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA3 + OTG_HS_HCDMA3 + OTG_HS host channel-3 DMA address + register + 0x174 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA4 + OTG_HS_HCDMA4 + OTG_HS host channel-4 DMA address + register + 0x194 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA5 + OTG_HS_HCDMA5 + OTG_HS host channel-5 DMA address + register + 0x1B4 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA6 + OTG_HS_HCDMA6 + OTG_HS host channel-6 DMA address + register + 0x1D4 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA7 + OTG_HS_HCDMA7 + OTG_HS host channel-7 DMA address + register + 0x1F4 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA8 + OTG_HS_HCDMA8 + OTG_HS host channel-8 DMA address + register + 0x214 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA9 + OTG_HS_HCDMA9 + OTG_HS host channel-9 DMA address + register + 0x234 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA10 + OTG_HS_HCDMA10 + OTG_HS host channel-10 DMA address + register + 0x254 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCDMA11 + OTG_HS_HCDMA11 + OTG_HS host channel-11 DMA address + register + 0x274 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCCHAR12 + OTG_HS_HCCHAR12 + OTG_HS host channel-12 characteristics + register + 0x278 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCSPLT12 + OTG_HS_HCSPLT12 + OTG_HS host channel-12 split control + register + 0x27C + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCINT12 + OTG_HS_HCINT12 + OTG_HS host channel-12 interrupt + register + 0x280 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINTMSK12 + OTG_HS_HCINTMSK12 + OTG_HS host channel-12 interrupt mask + register + 0x284 + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERRM + Transaction error + 7 + 1 + + + BBERRM + Babble error + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCTSIZ12 + OTG_HS_HCTSIZ12 + OTG_HS host channel-12 transfer size + register + 0x288 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCDMA12 + OTG_HS_HCDMA12 + OTG_HS host channel-12 DMA address + register + 0x28C + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCCHAR13 + OTG_HS_HCCHAR13 + OTG_HS host channel-13 characteristics + register + 0x290 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCSPLT13 + OTG_HS_HCSPLT13 + OTG_HS host channel-13 split control + register + 0x294 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCINT13 + OTG_HS_HCINT13 + OTG_HS host channel-13 interrupt + register + 0x298 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINTMSK13 + OTG_HS_HCINTMSK13 + OTG_HS host channel-13 interrupt mask + register + 0x29C + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALLM response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERRM + Transaction error + 7 + 1 + + + BBERRM + Babble error + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCTSIZ13 + OTG_HS_HCTSIZ13 + OTG_HS host channel-13 transfer size + register + 0x2A0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCDMA13 + OTG_HS_HCDMA13 + OTG_HS host channel-13 DMA address + register + 0x2A4 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCCHAR14 + OTG_HS_HCCHAR14 + OTG_HS host channel-14 characteristics + register + 0x2A8 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCSPLT14 + OTG_HS_HCSPLT14 + OTG_HS host channel-14 split control + register + 0x2AC + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCINT14 + OTG_HS_HCINT14 + OTG_HS host channel-14 interrupt + register + 0x2B0 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINTMSK14 + OTG_HS_HCINTMSK14 + OTG_HS host channel-14 interrupt mask + register + 0x2B4 + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALLM + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAKM response received interrupt + mask + 4 + 1 + + + ACKM + ACKM response received/transmitted + interrupt mask + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERRM + Transaction error + 7 + 1 + + + BBERRM + Babble error + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCTSIZ14 + OTG_HS_HCTSIZ14 + OTG_HS host channel-14 transfer size + register + 0x2B8 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCDMA14 + OTG_HS_HCDMA14 + OTG_HS host channel-14 DMA address + register + 0x2BC + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_HCCHAR15 + OTG_HS_HCCHAR15 + OTG_HS host channel-15 characteristics + register + 0x2C0 + 32 + read-write + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + + + EPNUM + Endpoint number + 11 + 4 + + + EPDIR + Endpoint direction + 15 + 1 + + + LSDEV + Low-speed device + 17 + 1 + + + EPTYP + Endpoint type + 18 + 2 + + + MC + Multi Count (MC) / Error Count + (EC) + 20 + 2 + + + DAD + Device address + 22 + 7 + + + ODDFRM + Odd frame + 29 + 1 + + + CHDIS + Channel disable + 30 + 1 + + + CHENA + Channel enable + 31 + 1 + + + + + OTG_HS_HCSPLT15 + OTG_HS_HCSPLT15 + OTG_HS host channel-15 split control + register + 0x2C4 + 32 + read-write + 0x0 + + + PRTADDR + Port address + 0 + 7 + + + HUBADDR + Hub address + 7 + 7 + + + XACTPOS + XACTPOS + 14 + 2 + + + COMPLSPLT + Do complete split + 16 + 1 + + + SPLITEN + Split enable + 31 + 1 + + + + + OTG_HS_HCINT15 + OTG_HS_HCINT15 + OTG_HS host channel-15 interrupt + register + 0x2C8 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + 0 + 1 + + + CHH + Channel halted + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received + interrupt + 3 + 1 + + + NAK + NAK response received + interrupt + 4 + 1 + + + ACK + ACK response received/transmitted + interrupt + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERR + Transaction error + 7 + 1 + + + BBERR + Babble error + 8 + 1 + + + FRMOR + Frame overrun + 9 + 1 + + + DTERR + Data toggle error + 10 + 1 + + + + + OTG_HS_HCINTMSK15 + OTG_HS_HCINTMSK15 + OTG_HS host channel-15 interrupt mask + register + 0x2CC + 32 + read-write + 0x0 + + + XFRCM + Transfer completed mask + 0 + 1 + + + CHHM + Channel halted mask + 1 + 1 + + + AHBERR + AHB error + 2 + 1 + + + STALL + STALL response received interrupt + mask + 3 + 1 + + + NAKM + NAK response received interrupt + mask + 4 + 1 + + + ACKM + ACK response received/transmitted + interrupt mask + 5 + 1 + + + NYET + Response received + interrupt + 6 + 1 + + + TXERRM + Transaction error + 7 + 1 + + + BBERRM + Babble error + 8 + 1 + + + FRMORM + Frame overrun mask + 9 + 1 + + + DTERRM + Data toggle error mask + 10 + 1 + + + + + OTG_HS_HCTSIZ15 + OTG_HS_HCTSIZ15 + OTG_HS host channel-15 transfer size + register + 0x2D0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + DPID + Data PID + 29 + 2 + + + + + OTG_HS_HCDMA15 + OTG_HS_HCDMA15 + OTG_HS host channel-15 DMA address + register + 0x2D4 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + + + OTG2_HS_HOST + 0x40080400 + + + OTG1_HS_DEVICE + USB 1 on the go high speed + USB_OTG_HS + 0x40040800 + + 0x0 + 0x400 + registers + + + + OTG_HS_DCFG + OTG_HS_DCFG + OTG_HS device configuration + register + 0x0 + 32 + read-write + 0x02200000 + + + DSPD + Device speed + 0 + 2 + + + NZLSOHSK + Nonzero-length status OUT + handshake + 2 + 1 + + + DAD + Device address + 4 + 7 + + + PFIVL + Periodic (micro)frame + interval + 11 + 2 + + + PERSCHIVL + Periodic scheduling + interval + 24 + 2 + + + + + OTG_HS_DCTL + OTG_HS_DCTL + OTG_HS device control register + 0x4 + 32 + 0x0 + + + RWUSIG + Remote wakeup signaling + 0 + 1 + read-write + + + SDIS + Soft disconnect + 1 + 1 + read-write + + + GINSTS + Global IN NAK status + 2 + 1 + read-only + + + GONSTS + Global OUT NAK status + 3 + 1 + read-only + + + TCTL + Test control + 4 + 3 + read-write + + + SGINAK + Set global IN NAK + 7 + 1 + write-only + + + CGINAK + Clear global IN NAK + 8 + 1 + write-only + + + SGONAK + Set global OUT NAK + 9 + 1 + write-only + + + CGONAK + Clear global OUT NAK + 10 + 1 + write-only + + + POPRGDNE + Power-on programming done + 11 + 1 + read-write + + + + + OTG_HS_DSTS + OTG_HS_DSTS + OTG_HS device status register + 0x8 + 32 + read-only + 0x00000010 + + + SUSPSTS + Suspend status + 0 + 1 + + + ENUMSPD + Enumerated speed + 1 + 2 + + + EERR + Erratic error + 3 + 1 + + + FNSOF + Frame number of the received + SOF + 8 + 14 + + + + + OTG_HS_DIEPMSK + OTG_HS_DIEPMSK + OTG_HS device IN endpoint common interrupt + mask register + 0x10 + 32 + read-write + 0x0 + + + XFRCM + Transfer completed interrupt + mask + 0 + 1 + + + EPDM + Endpoint disabled interrupt + mask + 1 + 1 + + + TOM + Timeout condition mask (nonisochronous + endpoints) + 3 + 1 + + + ITTXFEMSK + IN token received when TxFIFO empty + mask + 4 + 1 + + + INEPNMM + IN token received with EP mismatch + mask + 5 + 1 + + + INEPNEM + IN endpoint NAK effective + mask + 6 + 1 + + + TXFURM + FIFO underrun mask + 8 + 1 + + + BIM + BNA interrupt mask + 9 + 1 + + + + + OTG_HS_DOEPMSK + OTG_HS_DOEPMSK + OTG_HS device OUT endpoint common interrupt + mask register + 0x14 + 32 + read-write + 0x0 + + + XFRCM + Transfer completed interrupt + mask + 0 + 1 + + + EPDM + Endpoint disabled interrupt + mask + 1 + 1 + + + STUPM + SETUP phase done mask + 3 + 1 + + + OTEPDM + OUT token received when endpoint + disabled mask + 4 + 1 + + + B2BSTUP + Back-to-back SETUP packets received + mask + 6 + 1 + + + OPEM + OUT packet error mask + 8 + 1 + + + BOIM + BNA interrupt mask + 9 + 1 + + + + + OTG_HS_DAINT + OTG_HS_DAINT + OTG_HS device all endpoints interrupt + register + 0x18 + 32 + read-only + 0x0 + + + IEPINT + IN endpoint interrupt bits + 0 + 16 + + + OEPINT + OUT endpoint interrupt + bits + 16 + 16 + + + + + OTG_HS_DAINTMSK + OTG_HS_DAINTMSK + OTG_HS all endpoints interrupt mask + register + 0x1C + 32 + read-write + 0x0 + + + IEPM + IN EP interrupt mask bits + 0 + 16 + + + OEPM + OUT EP interrupt mask bits + 16 + 16 + + + + + OTG_HS_DVBUSDIS + OTG_HS_DVBUSDIS + OTG_HS device VBUS discharge time + register + 0x28 + 32 + read-write + 0x000017D7 + + + VBUSDT + Device VBUS discharge time + 0 + 16 + + + + + OTG_HS_DVBUSPULSE + OTG_HS_DVBUSPULSE + OTG_HS device VBUS pulsing time + register + 0x2C + 32 + read-write + 0x000005B8 + + + DVBUSP + Device VBUS pulsing time + 0 + 12 + + + + + OTG_HS_DTHRCTL + OTG_HS_DTHRCTL + OTG_HS Device threshold control + register + 0x30 + 32 + read-write + 0x0 + + + NONISOTHREN + Nonisochronous IN endpoints threshold + enable + 0 + 1 + + + ISOTHREN + ISO IN endpoint threshold + enable + 1 + 1 + + + TXTHRLEN + Transmit threshold length + 2 + 9 + + + RXTHREN + Receive threshold enable + 16 + 1 + + + RXTHRLEN + Receive threshold length + 17 + 9 + + + ARPEN + Arbiter parking enable + 27 + 1 + + + + + OTG_HS_DIEPEMPMSK + OTG_HS_DIEPEMPMSK + OTG_HS device IN endpoint FIFO empty + interrupt mask register + 0x34 + 32 + read-write + 0x0 + + + INEPTXFEM + IN EP Tx FIFO empty interrupt mask + bits + 0 + 16 + + + + + OTG_HS_DEACHINT + OTG_HS_DEACHINT + OTG_HS device each endpoint interrupt + register + 0x38 + 32 + read-write + 0x0 + + + IEP1INT + IN endpoint 1interrupt bit + 1 + 1 + + + OEP1INT + OUT endpoint 1 interrupt + bit + 17 + 1 + + + + + OTG_HS_DEACHINTMSK + OTG_HS_DEACHINTMSK + OTG_HS device each endpoint interrupt + register mask + 0x3C + 32 + read-write + 0x0 + + + IEP1INTM + IN Endpoint 1 interrupt mask + bit + 1 + 1 + + + OEP1INTM + OUT Endpoint 1 interrupt mask + bit + 17 + 1 + + + + + OTG_HS_DIEPCTL0 + OTG_HS_DIEPCTL0 + OTG device endpoint-0 control + register + 0x100 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even/odd frame + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + TXFNUM + TxFIFO number + 22 + 4 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DIEPCTL1 + OTG_HS_DIEPCTL1 + OTG device endpoint-1 control + register + 0x120 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even/odd frame + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + TXFNUM + TxFIFO number + 22 + 4 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DIEPCTL2 + OTG_HS_DIEPCTL2 + OTG device endpoint-2 control + register + 0x140 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even/odd frame + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + TXFNUM + TxFIFO number + 22 + 4 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DIEPCTL3 + OTG_HS_DIEPCTL3 + OTG device endpoint-3 control + register + 0x160 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even/odd frame + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + TXFNUM + TxFIFO number + 22 + 4 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DIEPCTL4 + OTG_HS_DIEPCTL4 + OTG device endpoint-4 control + register + 0x180 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even/odd frame + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + TXFNUM + TxFIFO number + 22 + 4 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DIEPCTL5 + OTG_HS_DIEPCTL5 + OTG device endpoint-5 control + register + 0x1A0 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even/odd frame + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + TXFNUM + TxFIFO number + 22 + 4 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DIEPCTL6 + OTG_HS_DIEPCTL6 + OTG device endpoint-6 control + register + 0x1C0 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even/odd frame + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + TXFNUM + TxFIFO number + 22 + 4 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DIEPCTL7 + OTG_HS_DIEPCTL7 + OTG device endpoint-7 control + register + 0x1E0 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even/odd frame + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + TXFNUM + TxFIFO number + 22 + 4 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DIEPINT0 + OTG_HS_DIEPINT0 + OTG device endpoint-0 interrupt + register + 0x108 + 32 + 0x00000080 + + + XFRC + Transfer completed + interrupt + 0 + 1 + read-write + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + read-write + + + TOC + Timeout condition + 3 + 1 + read-write + + + ITTXFE + IN token received when TxFIFO is + empty + 4 + 1 + read-write + + + INEPNE + IN endpoint NAK effective + 6 + 1 + read-write + + + TXFE + Transmit FIFO empty + 7 + 1 + read-only + + + TXFIFOUDRN + Transmit Fifo Underrun + 8 + 1 + read-write + + + BNA + Buffer not available + interrupt + 9 + 1 + read-write + + + PKTDRPSTS + Packet dropped status + 11 + 1 + read-write + + + BERR + Babble error interrupt + 12 + 1 + read-write + + + NAK + NAK interrupt + 13 + 1 + read-write + + + + + OTG_HS_DIEPINT1 + OTG_HS_DIEPINT1 + OTG device endpoint-1 interrupt + register + 0x128 + 32 + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + read-write + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + read-write + + + TOC + Timeout condition + 3 + 1 + read-write + + + ITTXFE + IN token received when TxFIFO is + empty + 4 + 1 + read-write + + + INEPNE + IN endpoint NAK effective + 6 + 1 + read-write + + + TXFE + Transmit FIFO empty + 7 + 1 + read-only + + + TXFIFOUDRN + Transmit Fifo Underrun + 8 + 1 + read-write + + + BNA + Buffer not available + interrupt + 9 + 1 + read-write + + + PKTDRPSTS + Packet dropped status + 11 + 1 + read-write + + + BERR + Babble error interrupt + 12 + 1 + read-write + + + NAK + NAK interrupt + 13 + 1 + read-write + + + + + OTG_HS_DIEPINT2 + OTG_HS_DIEPINT2 + OTG device endpoint-2 interrupt + register + 0x148 + 32 + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + read-write + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + read-write + + + TOC + Timeout condition + 3 + 1 + read-write + + + ITTXFE + IN token received when TxFIFO is + empty + 4 + 1 + read-write + + + INEPNE + IN endpoint NAK effective + 6 + 1 + read-write + + + TXFE + Transmit FIFO empty + 7 + 1 + read-only + + + TXFIFOUDRN + Transmit Fifo Underrun + 8 + 1 + read-write + + + BNA + Buffer not available + interrupt + 9 + 1 + read-write + + + PKTDRPSTS + Packet dropped status + 11 + 1 + read-write + + + BERR + Babble error interrupt + 12 + 1 + read-write + + + NAK + NAK interrupt + 13 + 1 + read-write + + + + + OTG_HS_DIEPINT3 + OTG_HS_DIEPINT3 + OTG device endpoint-3 interrupt + register + 0x168 + 32 + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + read-write + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + read-write + + + TOC + Timeout condition + 3 + 1 + read-write + + + ITTXFE + IN token received when TxFIFO is + empty + 4 + 1 + read-write + + + INEPNE + IN endpoint NAK effective + 6 + 1 + read-write + + + TXFE + Transmit FIFO empty + 7 + 1 + read-only + + + TXFIFOUDRN + Transmit Fifo Underrun + 8 + 1 + read-write + + + BNA + Buffer not available + interrupt + 9 + 1 + read-write + + + PKTDRPSTS + Packet dropped status + 11 + 1 + read-write + + + BERR + Babble error interrupt + 12 + 1 + read-write + + + NAK + NAK interrupt + 13 + 1 + read-write + + + + + OTG_HS_DIEPINT4 + OTG_HS_DIEPINT4 + OTG device endpoint-4 interrupt + register + 0x188 + 32 + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + read-write + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + read-write + + + TOC + Timeout condition + 3 + 1 + read-write + + + ITTXFE + IN token received when TxFIFO is + empty + 4 + 1 + read-write + + + INEPNE + IN endpoint NAK effective + 6 + 1 + read-write + + + TXFE + Transmit FIFO empty + 7 + 1 + read-only + + + TXFIFOUDRN + Transmit Fifo Underrun + 8 + 1 + read-write + + + BNA + Buffer not available + interrupt + 9 + 1 + read-write + + + PKTDRPSTS + Packet dropped status + 11 + 1 + read-write + + + BERR + Babble error interrupt + 12 + 1 + read-write + + + NAK + NAK interrupt + 13 + 1 + read-write + + + + + OTG_HS_DIEPINT5 + OTG_HS_DIEPINT5 + OTG device endpoint-5 interrupt + register + 0x1A8 + 32 + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + read-write + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + read-write + + + TOC + Timeout condition + 3 + 1 + read-write + + + ITTXFE + IN token received when TxFIFO is + empty + 4 + 1 + read-write + + + INEPNE + IN endpoint NAK effective + 6 + 1 + read-write + + + TXFE + Transmit FIFO empty + 7 + 1 + read-only + + + TXFIFOUDRN + Transmit Fifo Underrun + 8 + 1 + read-write + + + BNA + Buffer not available + interrupt + 9 + 1 + read-write + + + PKTDRPSTS + Packet dropped status + 11 + 1 + read-write + + + BERR + Babble error interrupt + 12 + 1 + read-write + + + NAK + NAK interrupt + 13 + 1 + read-write + + + + + OTG_HS_DIEPINT6 + OTG_HS_DIEPINT6 + OTG device endpoint-6 interrupt + register + 0x1C8 + 32 + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + read-write + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + read-write + + + TOC + Timeout condition + 3 + 1 + read-write + + + ITTXFE + IN token received when TxFIFO is + empty + 4 + 1 + read-write + + + INEPNE + IN endpoint NAK effective + 6 + 1 + read-write + + + TXFE + Transmit FIFO empty + 7 + 1 + read-only + + + TXFIFOUDRN + Transmit Fifo Underrun + 8 + 1 + read-write + + + BNA + Buffer not available + interrupt + 9 + 1 + read-write + + + PKTDRPSTS + Packet dropped status + 11 + 1 + read-write + + + BERR + Babble error interrupt + 12 + 1 + read-write + + + NAK + NAK interrupt + 13 + 1 + read-write + + + + + OTG_HS_DIEPINT7 + OTG_HS_DIEPINT7 + OTG device endpoint-7 interrupt + register + 0x1E8 + 32 + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + read-write + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + read-write + + + TOC + Timeout condition + 3 + 1 + read-write + + + ITTXFE + IN token received when TxFIFO is + empty + 4 + 1 + read-write + + + INEPNE + IN endpoint NAK effective + 6 + 1 + read-write + + + TXFE + Transmit FIFO empty + 7 + 1 + read-only + + + TXFIFOUDRN + Transmit Fifo Underrun + 8 + 1 + read-write + + + BNA + Buffer not available + interrupt + 9 + 1 + read-write + + + PKTDRPSTS + Packet dropped status + 11 + 1 + read-write + + + BERR + Babble error interrupt + 12 + 1 + read-write + + + NAK + NAK interrupt + 13 + 1 + read-write + + + + + OTG_HS_DIEPTSIZ0 + OTG_HS_DIEPTSIZ0 + OTG_HS device IN endpoint 0 transfer size + register + 0x110 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 7 + + + PKTCNT + Packet count + 19 + 2 + + + + + OTG_HS_DIEPDMA1 + OTG_HS_DIEPDMA1 + OTG_HS device endpoint-1 DMA address + register + 0x114 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_DIEPDMA2 + OTG_HS_DIEPDMA2 + OTG_HS device endpoint-2 DMA address + register + 0x134 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_DIEPDMA3 + OTG_HS_DIEPDMA3 + OTG_HS device endpoint-3 DMA address + register + 0x154 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_DIEPDMA4 + OTG_HS_DIEPDMA4 + OTG_HS device endpoint-4 DMA address + register + 0x174 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_DIEPDMA5 + OTG_HS_DIEPDMA5 + OTG_HS device endpoint-5 DMA address + register + 0x194 + 32 + read-write + 0x0 + + + DMAADDR + DMA address + 0 + 32 + + + + + OTG_HS_DTXFSTS0 + OTG_HS_DTXFSTS0 + OTG_HS device IN endpoint transmit FIFO + status register + 0x118 + 32 + read-only + 0x0 + + + INEPTFSAV + IN endpoint TxFIFO space + avail + 0 + 16 + + + + + OTG_HS_DTXFSTS1 + OTG_HS_DTXFSTS1 + OTG_HS device IN endpoint transmit FIFO + status register + 0x138 + 32 + read-only + 0x0 + + + INEPTFSAV + IN endpoint TxFIFO space + avail + 0 + 16 + + + + + OTG_HS_DTXFSTS2 + OTG_HS_DTXFSTS2 + OTG_HS device IN endpoint transmit FIFO + status register + 0x158 + 32 + read-only + 0x0 + + + INEPTFSAV + IN endpoint TxFIFO space + avail + 0 + 16 + + + + + OTG_HS_DTXFSTS3 + OTG_HS_DTXFSTS3 + OTG_HS device IN endpoint transmit FIFO + status register + 0x178 + 32 + read-only + 0x0 + + + INEPTFSAV + IN endpoint TxFIFO space + avail + 0 + 16 + + + + + OTG_HS_DTXFSTS4 + OTG_HS_DTXFSTS4 + OTG_HS device IN endpoint transmit FIFO + status register + 0x198 + 32 + read-only + 0x0 + + + INEPTFSAV + IN endpoint TxFIFO space + avail + 0 + 16 + + + + + OTG_HS_DTXFSTS5 + OTG_HS_DTXFSTS5 + OTG_HS device IN endpoint transmit FIFO + status register + 0x1B8 + 32 + read-only + 0x0 + + + INEPTFSAV + IN endpoint TxFIFO space + avail + 0 + 16 + + + + + OTG_HS_DIEPTSIZ1 + OTG_HS_DIEPTSIZ1 + OTG_HS device endpoint transfer size + register + 0x130 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + MCNT + Multi count + 29 + 2 + + + + + OTG_HS_DIEPTSIZ2 + OTG_HS_DIEPTSIZ2 + OTG_HS device endpoint transfer size + register + 0x150 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + MCNT + Multi count + 29 + 2 + + + + + OTG_HS_DIEPTSIZ3 + OTG_HS_DIEPTSIZ3 + OTG_HS device endpoint transfer size + register + 0x170 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + MCNT + Multi count + 29 + 2 + + + + + OTG_HS_DIEPTSIZ4 + OTG_HS_DIEPTSIZ4 + OTG_HS device endpoint transfer size + register + 0x190 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + MCNT + Multi count + 29 + 2 + + + + + OTG_HS_DIEPTSIZ5 + OTG_HS_DIEPTSIZ5 + OTG_HS device endpoint transfer size + register + 0x1B0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + MCNT + Multi count + 29 + 2 + + + + + OTG_HS_DOEPCTL0 + OTG_HS_DOEPCTL0 + OTG_HS device control OUT endpoint 0 control + register + 0x300 + 32 + 0x00008000 + + + MPSIZ + Maximum packet size + 0 + 2 + read-only + + + USBAEP + USB active endpoint + 15 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-only + + + SNPM + Snoop mode + 20 + 1 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-only + + + EPENA + Endpoint enable + 31 + 1 + write-only + + + + + OTG_HS_DOEPCTL1 + OTG_HS_DOEPCTL1 + OTG device endpoint-1 control + register + 0x320 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even odd frame/Endpoint data + PID + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + SNPM + Snoop mode + 20 + 1 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID/Set even + frame + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DOEPCTL2 + OTG_HS_DOEPCTL2 + OTG device endpoint-2 control + register + 0x340 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even odd frame/Endpoint data + PID + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + SNPM + Snoop mode + 20 + 1 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID/Set even + frame + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DOEPCTL3 + OTG_HS_DOEPCTL3 + OTG device endpoint-3 control + register + 0x360 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even odd frame/Endpoint data + PID + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + SNPM + Snoop mode + 20 + 1 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID/Set even + frame + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DOEPINT0 + OTG_HS_DOEPINT0 + OTG_HS device endpoint-0 interrupt + register + 0x308 + 32 + read-write + 0x00000080 + + + XFRC + Transfer completed + interrupt + 0 + 1 + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + + + STUP + SETUP phase done + 3 + 1 + + + OTEPDIS + OUT token received when endpoint + disabled + 4 + 1 + + + B2BSTUP + Back-to-back SETUP packets + received + 6 + 1 + + + NYET + NYET interrupt + 14 + 1 + + + + + OTG_HS_DOEPINT1 + OTG_HS_DOEPINT1 + OTG_HS device endpoint-1 interrupt + register + 0x328 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + + + STUP + SETUP phase done + 3 + 1 + + + OTEPDIS + OUT token received when endpoint + disabled + 4 + 1 + + + B2BSTUP + Back-to-back SETUP packets + received + 6 + 1 + + + NYET + NYET interrupt + 14 + 1 + + + + + OTG_HS_DOEPINT2 + OTG_HS_DOEPINT2 + OTG_HS device endpoint-2 interrupt + register + 0x348 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + + + STUP + SETUP phase done + 3 + 1 + + + OTEPDIS + OUT token received when endpoint + disabled + 4 + 1 + + + B2BSTUP + Back-to-back SETUP packets + received + 6 + 1 + + + NYET + NYET interrupt + 14 + 1 + + + + + OTG_HS_DOEPINT3 + OTG_HS_DOEPINT3 + OTG_HS device endpoint-3 interrupt + register + 0x368 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + + + STUP + SETUP phase done + 3 + 1 + + + OTEPDIS + OUT token received when endpoint + disabled + 4 + 1 + + + B2BSTUP + Back-to-back SETUP packets + received + 6 + 1 + + + NYET + NYET interrupt + 14 + 1 + + + + + OTG_HS_DOEPINT4 + OTG_HS_DOEPINT4 + OTG_HS device endpoint-4 interrupt + register + 0x388 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + + + STUP + SETUP phase done + 3 + 1 + + + OTEPDIS + OUT token received when endpoint + disabled + 4 + 1 + + + B2BSTUP + Back-to-back SETUP packets + received + 6 + 1 + + + NYET + NYET interrupt + 14 + 1 + + + + + OTG_HS_DOEPINT5 + OTG_HS_DOEPINT5 + OTG_HS device endpoint-5 interrupt + register + 0x3A8 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + + + STUP + SETUP phase done + 3 + 1 + + + OTEPDIS + OUT token received when endpoint + disabled + 4 + 1 + + + B2BSTUP + Back-to-back SETUP packets + received + 6 + 1 + + + NYET + NYET interrupt + 14 + 1 + + + + + OTG_HS_DOEPINT6 + OTG_HS_DOEPINT6 + OTG_HS device endpoint-6 interrupt + register + 0x3C8 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + + + STUP + SETUP phase done + 3 + 1 + + + OTEPDIS + OUT token received when endpoint + disabled + 4 + 1 + + + B2BSTUP + Back-to-back SETUP packets + received + 6 + 1 + + + NYET + NYET interrupt + 14 + 1 + + + + + OTG_HS_DOEPINT7 + OTG_HS_DOEPINT7 + OTG_HS device endpoint-7 interrupt + register + 0x3E8 + 32 + read-write + 0x0 + + + XFRC + Transfer completed + interrupt + 0 + 1 + + + EPDISD + Endpoint disabled + interrupt + 1 + 1 + + + STUP + SETUP phase done + 3 + 1 + + + OTEPDIS + OUT token received when endpoint + disabled + 4 + 1 + + + B2BSTUP + Back-to-back SETUP packets + received + 6 + 1 + + + NYET + NYET interrupt + 14 + 1 + + + + + OTG_HS_DOEPTSIZ0 + OTG_HS_DOEPTSIZ0 + OTG_HS device endpoint-0 transfer size + register + 0x310 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 7 + + + PKTCNT + Packet count + 19 + 1 + + + STUPCNT + SETUP packet count + 29 + 2 + + + + + OTG_HS_DOEPTSIZ1 + OTG_HS_DOEPTSIZ1 + OTG_HS device endpoint-1 transfer size + register + 0x330 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + RXDPID_STUPCNT + Received data PID/SETUP packet + count + 29 + 2 + + + + + OTG_HS_DOEPTSIZ2 + OTG_HS_DOEPTSIZ2 + OTG_HS device endpoint-2 transfer size + register + 0x350 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + RXDPID_STUPCNT + Received data PID/SETUP packet + count + 29 + 2 + + + + + OTG_HS_DOEPTSIZ3 + OTG_HS_DOEPTSIZ3 + OTG_HS device endpoint-3 transfer size + register + 0x370 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + RXDPID_STUPCNT + Received data PID/SETUP packet + count + 29 + 2 + + + + + OTG_HS_DOEPTSIZ4 + OTG_HS_DOEPTSIZ4 + OTG_HS device endpoint-4 transfer size + register + 0x390 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + RXDPID_STUPCNT + Received data PID/SETUP packet + count + 29 + 2 + + + + + OTG_HS_DIEPTSIZ6 + OTG_HS_DIEPTSIZ6 + OTG_HS device endpoint transfer size + register + OTG_HS_DIEPCTL5 + 0x1A0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + MCNT + Multi count + 29 + 2 + + + + + OTG_HS_DTXFSTS6 + OTG_HS_DTXFSTS6 + OTG_HS device IN endpoint transmit FIFO + status register + 0x1A4 + 32 + read-write + 0x0 + + + INEPTFSAV + IN endpoint TxFIFO space + avail + 0 + 16 + + + + + OTG_HS_DIEPTSIZ7 + OTG_HS_DIEPTSIZ7 + OTG_HS device endpoint transfer size + register + OTG_HS_DIEPINT5 + 0x1A8 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + MCNT + Multi count + 29 + 2 + + + + + OTG_HS_DTXFSTS7 + OTG_HS_DTXFSTS7 + OTG_HS device IN endpoint transmit FIFO + status register + 0x1AC + 32 + read-write + 0x0 + + + INEPTFSAV + IN endpoint TxFIFO space + avail + 0 + 16 + + + + + OTG_HS_DOEPCTL4 + OTG_HS_DOEPCTL4 + OTG device endpoint-4 control + register + 0x380 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even odd frame/Endpoint data + PID + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + SNPM + Snoop mode + 20 + 1 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID/Set even + frame + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DOEPCTL5 + OTG_HS_DOEPCTL5 + OTG device endpoint-5 control + register + 0x3A0 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even odd frame/Endpoint data + PID + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + SNPM + Snoop mode + 20 + 1 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID/Set even + frame + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DOEPCTL6 + OTG_HS_DOEPCTL6 + OTG device endpoint-6 control + register + 0x3C0 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even odd frame/Endpoint data + PID + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + SNPM + Snoop mode + 20 + 1 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID/Set even + frame + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DOEPCTL7 + OTG_HS_DOEPCTL7 + OTG device endpoint-7 control + register + 0x3E0 + 32 + 0x0 + + + MPSIZ + Maximum packet size + 0 + 11 + read-write + + + USBAEP + USB active endpoint + 15 + 1 + read-write + + + EONUM_DPID + Even odd frame/Endpoint data + PID + 16 + 1 + read-only + + + NAKSTS + NAK status + 17 + 1 + read-only + + + EPTYP + Endpoint type + 18 + 2 + read-write + + + SNPM + Snoop mode + 20 + 1 + read-write + + + Stall + STALL handshake + 21 + 1 + read-write + + + CNAK + Clear NAK + 26 + 1 + write-only + + + SNAK + Set NAK + 27 + 1 + write-only + + + SD0PID_SEVNFRM + Set DATA0 PID/Set even + frame + 28 + 1 + write-only + + + SODDFRM + Set odd frame + 29 + 1 + write-only + + + EPDIS + Endpoint disable + 30 + 1 + read-write + + + EPENA + Endpoint enable + 31 + 1 + read-write + + + + + OTG_HS_DOEPTSIZ5 + OTG_HS_DOEPTSIZ5 + OTG_HS device endpoint-5 transfer size + register + 0x3B0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + RXDPID_STUPCNT + Received data PID/SETUP packet + count + 29 + 2 + + + + + OTG_HS_DOEPTSIZ6 + OTG_HS_DOEPTSIZ6 + OTG_HS device endpoint-6 transfer size + register + 0x3D0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + RXDPID_STUPCNT + Received data PID/SETUP packet + count + 29 + 2 + + + + + OTG_HS_DOEPTSIZ7 + OTG_HS_DOEPTSIZ7 + OTG_HS device endpoint-7 transfer size + register + 0x3F0 + 32 + read-write + 0x0 + + + XFRSIZ + Transfer size + 0 + 19 + + + PKTCNT + Packet count + 19 + 10 + + + RXDPID_STUPCNT + Received data PID/SETUP packet + count + 29 + 2 + + + + + + + OTG2_HS_DEVICE + 0x40080800 + + + OTG1_HS_PWRCLK + USB 1 on the go high speed + USB_OTG_HS + 0x40040E00 + + 0x0 + 0x3F200 + registers + + + + OTG_HS_PCGCR + OTG_HS_PCGCR + Power and clock gating control + register + 0x0 + 32 + read-write + 0x0 + + + STPPCLK + Stop PHY clock + 0 + 1 + + + GATEHCLK + Gate HCLK + 1 + 1 + + + PHYSUSP + PHY suspended + 4 + 1 + + + + + + + OTG2_HS_PWRCLK + 0x40080E00 + + + Ethernet_DMA + Ethernet: DMA mode register (DMA) + Ethernet + 0x40029000 + + 0x0 + 0x400 + registers + + + + DMAMR + DMAMR + DMA mode register + 0x0 + 0x20 + 0x00000000 + + + SWR + Software Reset + 0 + 1 + read-write + + + DA + DMA Tx or Rx Arbitration + Scheme + 1 + 1 + read-only + + + TXPR + Transmit priority + 11 + 1 + read-only + + + PR + Priority ratio + 12 + 3 + read-only + + + INTM + Interrupt Mode + 16 + 1 + read-write + + + + + DMASBMR + DMASBMR + System bus mode register + 0x04 + 0x20 + 0x01010000 + + + FB + Fixed Burst Length + 0 + 1 + read-write + + + AAL + Address-Aligned Beats + 12 + 1 + read-write + + + MB + Mixed Burst + 14 + 1 + read-only + + + RB + Rebuild INCRx Burst + 15 + 1 + read-only + + + + + DMAISR + DMAISR + Interrupt status register + 0x08 + 0x20 + read-only + 0x00000000 + + + DC0IS + DMA Channel Interrupt + Status + 0 + 1 + + + MTLIS + MTL Interrupt Status + 16 + 1 + + + MACIS + MAC Interrupt Status + 17 + 1 + + + + + DMADSR + DMADSR + Debug status register + 0x0C + 0x20 + read-only + 0x00000000 + + + AXWHSTS + AHB Master Write Channel + 0 + 1 + + + RPS0 + DMA Channel Receive Process + State + 8 + 4 + + + TPS0 + DMA Channel Transmit Process + State + 12 + 4 + + + + + DMACCR + DMACCR + Channel control register + 0x100 + 0x20 + read-write + 0x00000000 + + + MSS + Maximum Segment Size + 0 + 14 + + + PBLX8 + 8xPBL mode + 16 + 1 + + + DSL + Descriptor Skip Length + 18 + 3 + + + + + DMACTxCR + DMACTxCR + Channel transmit control + register + 0x104 + 0x20 + read-write + 0x00000000 + + + ST + Start or Stop Transmission + Command + 0 + 1 + + + OSF + Operate on Second Packet + 4 + 1 + + + TSE + TCP Segmentation Enabled + 12 + 1 + + + TXPBL + Transmit Programmable Burst + Length + 16 + 6 + + + + + DMACRxCR + DMACRxCR + Channel receive control + register + 0x108 + 0x20 + read-write + 0x00000000 + + + SR + Start or Stop Receive + Command + 0 + 1 + + + RBSZ + Receive Buffer size + 1 + 14 + + + RXPBL + RXPBL + 16 + 6 + + + RPF + DMA Rx Channel Packet + Flush + 31 + 1 + + + + + DMACTxDLAR + DMACTxDLAR + Channel Tx descriptor list address + register + 0x114 + 0x20 + read-write + 0x00000000 + + + TDESLA + Start of Transmit List + 2 + 30 + + + + + DMACRxDLAR + DMACRxDLAR + Channel Rx descriptor list address + register + 0x11C + 0x20 + read-write + 0x00000000 + + + RDESLA + Start of Receive List + 2 + 30 + + + + + DMACTxDTPR + DMACTxDTPR + Channel Tx descriptor tail pointer + register + 0x120 + 0x20 + read-write + 0x00000000 + + + TDT + Transmit Descriptor Tail + Pointer + 2 + 30 + + + + + DMACRxDTPR + DMACRxDTPR + Channel Rx descriptor tail pointer + register + 0x128 + 0x20 + read-write + 0x00000000 + + + RDT + Receive Descriptor Tail + Pointer + 2 + 30 + + + + + DMACTxRLR + DMACTxRLR + Channel Tx descriptor ring length + register + 0x12C + 0x20 + read-write + 0x00000000 + + + TDRL + Transmit Descriptor Ring + Length + 0 + 10 + + + + + DMACRxRLR + DMACRxRLR + Channel Rx descriptor ring length + register + 0x130 + 0x20 + read-write + 0x00000000 + + + RDRL + Receive Descriptor Ring + Length + 0 + 10 + + + + + DMACIER + DMACIER + Channel interrupt enable + register + 0x134 + 0x20 + read-write + 0x00000000 + + + TIE + Transmit Interrupt Enable + 0 + 1 + + + TXSE + Transmit Stopped Enable + 1 + 1 + + + TBUE + Transmit Buffer Unavailable + Enable + 2 + 1 + + + RIE + Receive Interrupt Enable + 6 + 1 + + + RBUE + Receive Buffer Unavailable + Enable + 7 + 1 + + + RSE + Receive Stopped Enable + 8 + 1 + + + RWTE + Receive Watchdog Timeout + Enable + 9 + 1 + + + ETIE + Early Transmit Interrupt + Enable + 10 + 1 + + + ERIE + Early Receive Interrupt + Enable + 11 + 1 + + + FBEE + Fatal Bus Error Enable + 12 + 1 + + + CDEE + Context Descriptor Error + Enable + 13 + 1 + + + AIE + Abnormal Interrupt Summary + Enable + 14 + 1 + + + NIE + Normal Interrupt Summary + Enable + 15 + 1 + + + + + DMACRxIWTR + DMACRxIWTR + Channel Rx interrupt watchdog timer + register + 0x138 + 0x20 + read-write + 0x00000000 + + + RWT + Receive Interrupt Watchdog Timer + Count + 0 + 8 + + + + + DMACCATxDR + DMACCATxDR + Channel current application transmit + descriptor register + 0x144 + 0x20 + read-only + 0x00000000 + + + CURTDESAPTR + Application Transmit Descriptor Address + Pointer + 0 + 32 + + + + + DMACCARxDR + DMACCARxDR + Channel current application receive + descriptor register + 0x14C + 0x20 + read-only + 0x00000000 + + + CURRDESAPTR + Application Receive Descriptor Address + Pointer + 0 + 32 + + + + + DMACCATxBR + DMACCATxBR + Channel current application transmit buffer + register + 0x154 + 0x20 + read-only + 0x00000000 + + + CURTBUFAPTR + Application Transmit Buffer Address + Pointer + 0 + 32 + + + + + DMACCARxBR + DMACCARxBR + Channel current application receive buffer + register + 0x15C + 0x20 + read-only + 0x00000000 + + + CURRBUFAPTR + Application Receive Buffer Address + Pointer + 0 + 32 + + + + + DMACSR + DMACSR + Channel status register + 0x160 + 0x20 + 0x00000000 + + + TI + Transmit Interrupt + 0 + 1 + read-write + + + TPS + Transmit Process Stopped + 1 + 1 + read-write + + + TBU + Transmit Buffer + Unavailable + 2 + 1 + read-write + + + RI + Receive Interrupt + 6 + 1 + read-write + + + RBU + Receive Buffer Unavailable + 7 + 1 + read-write + + + RPS + Receive Process Stopped + 8 + 1 + read-write + + + RWT + Receive Watchdog Timeout + 9 + 1 + read-write + + + ET + Early Transmit Interrupt + 10 + 1 + read-write + + + ER + Early Receive Interrupt + 11 + 1 + read-write + + + FBE + Fatal Bus Error + 12 + 1 + read-write + + + CDE + Context Descriptor Error + 13 + 1 + read-write + + + AIS + Abnormal Interrupt Summary + 14 + 1 + read-write + + + NIS + Normal Interrupt Summary + 15 + 1 + read-write + + + TEB + Tx DMA Error Bits + 16 + 3 + read-only + + + REB + Rx DMA Error Bits + 19 + 3 + read-only + + + + + DMACMFCR + DMACMFCR + Channel missed frame count + register + 0x16C + 0x20 + read-only + 0x00000000 + + + MFC + Dropped Packet Counters + 0 + 11 + + + MFCO + Overflow status of the MFC + Counter + 15 + 1 + + + + + + + Ethernet_MTL + Ethernet: MTL mode register (MTL) + Ethernet + 0x40028C00 + + 0x0 + 0x200 + registers + + + + MTLOMR + MTLOMR + Operating mode Register + 0x0 + 0x20 + read-write + 0x00000000 + + + DTXSTS + DTXSTS + 1 + 1 + + + CNTPRST + CNTPRST + 8 + 1 + + + CNTCLR + CNTCLR + 9 + 1 + + + + + MTLISR + MTLISR + Interrupt status Register + 0x20 + 0x20 + read-only + 0x00000000 + + + Q0IS + Queue interrupt status + 0 + 1 + + + + + MTLTxQOMR + MTLTxQOMR + Tx queue operating mode + Register + 0x100 + 0x20 + 0x00070008 + + + FTQ + Flush Transmit Queue + 0 + 1 + read-write + + + TSF + Transmit Store and Forward + 1 + 1 + read-write + + + TXQEN + Transmit Queue Enable + 2 + 2 + read-only + + + TTC + Transmit Threshold Control + 4 + 3 + read-write + + + TQS + Transmit Queue Size + 16 + 3 + read-write + + + + + MTLTxQUR + MTLTxQUR + Tx queue underflow register + 0x104 + 0x20 + read-only + 0x00000000 + + + UFFRMCNT + Underflow Packet Counter + 0 + 11 + + + UFCNTOVF + UFCNTOVF + 11 + 1 + + + + + MTLTxQDR + MTLTxQDR + Tx queue debug Register + 0x108 + 0x20 + read-only + 0x00000000 + + + TXQPAUSED + TXQPAUSED + 0 + 1 + + + TRCSTS + TRCSTS + 1 + 2 + + + TWCSTS + TWCSTS + 3 + 1 + + + TXQSTS + TXQSTS + 4 + 1 + + + TXSTSFSTS + TXSTSFSTS + 5 + 1 + + + PTXQ + PTXQ + 16 + 3 + + + STXSTSF + STXSTSF + 20 + 3 + + + + + MTLQICSR + MTLQICSR + Queue interrupt control status + Register + 0x12C + 0x20 + read-write + 0x00000000 + + + TXUNFIS + TXUNFIS + 0 + 1 + + + TXUIE + TXUIE + 8 + 1 + + + RXOVFIS + RXOVFIS + 16 + 1 + + + RXOIE + RXOIE + 24 + 1 + + + + + MTLRxQOMR + MTLRxQOMR + Rx queue operating mode + register + 0x130 + 0x20 + 0x00700000 + + + RTC + RTC + 0 + 2 + read-write + + + FUP + FUP + 3 + 1 + read-write + + + FEP + FEP + 4 + 1 + read-write + + + RSF + RSF + 5 + 1 + read-write + + + DIS_TCP_EF + DIS_TCP_EF + 6 + 1 + read-write + + + EHFC + EHFC + 7 + 1 + read-write + + + RFA + RFA + 8 + 3 + read-write + + + RFD + RFD + 14 + 3 + read-write + + + RQS + RQS + 20 + 3 + read-only + + + + + MTLRxQMPOCR + MTLRxQMPOCR + Rx queue missed packet and overflow counter + register + 0x134 + 0x20 + read-only + 0x00000000 + + + OVFPKTCNT + OVFPKTCNT + 0 + 11 + + + OVFCNTOVF + OVFCNTOVF + 11 + 1 + + + MISPKTCNT + MISPKTCNT + 16 + 11 + + + MISCNTOVF + MISCNTOVF + 27 + 1 + + + + + MTLRxQDR + MTLRxQDR + Rx queue debug register + 0x138 + 0x20 + read-only + 0x00000000 + + + RWCSTS + RWCSTS + 0 + 1 + + + RRCSTS + RRCSTS + 1 + 2 + + + RXQSTS + RXQSTS + 4 + 2 + + + PRXQ + PRXQ + 16 + 14 + + + + + + + Ethernet_MAC + Ethernet: media access control (MAC) + Ethernet + 0x40028000 + + 0x0 + 0xBDF + registers + + + ETH + Ethernet global interrupt + 61 + + + + MACCR + MACCR + Operating mode configuration + register + 0x0 + 0x20 + read-write + 0x00000000 + + + RE + Receiver Enable + 0 + 1 + + + TE + TE + 1 + 1 + + + PRELEN + PRELEN + 2 + 2 + + + DC + DC + 4 + 1 + + + BL + BL + 5 + 2 + + + DR + DR + 8 + 1 + + + DCRS + DCRS + 9 + 1 + + + DO + DO + 10 + 1 + + + ECRSFD + ECRSFD + 11 + 1 + + + LM + LM + 12 + 1 + + + DM + DM + 13 + 1 + + + FES + FES + 14 + 1 + + + JE + JE + 16 + 1 + + + JD + JD + 17 + 1 + + + WD + WD + 19 + 1 + + + ACS + ACS + 20 + 1 + + + CST + CST + 21 + 1 + + + S2KP + S2KP + 22 + 1 + + + GPSLCE + GPSLCE + 23 + 1 + + + IPG + IPG + 24 + 3 + + + IPC + IPC + 27 + 1 + + + SARC + SARC + 28 + 3 + + + ARPEN + ARPEN + 31 + 1 + + + + + MACECR + MACECR + Extended operating mode configuration + register + 0x4 + 0x20 + read-write + 0x00000000 + + + GPSL + GPSL + 0 + 14 + + + DCRCC + DCRCC + 16 + 1 + + + SPEN + SPEN + 17 + 1 + + + USP + USP + 18 + 1 + + + EIPGEN + EIPGEN + 24 + 1 + + + EIPG + EIPG + 25 + 5 + + + + + MACPFR + MACPFR + Packet filtering control + register + 0x8 + 0x20 + read-write + 0x00000000 + + + PR + PR + 0 + 1 + + + HUC + HUC + 1 + 1 + + + HMC + HMC + 2 + 1 + + + DAIF + DAIF + 3 + 1 + + + PM + PM + 4 + 1 + + + DBF + DBF + 5 + 1 + + + PCF + PCF + 6 + 2 + + + SAIF + SAIF + 8 + 1 + + + SAF + SAF + 9 + 1 + + + HPF + HPF + 10 + 1 + + + VTFE + VTFE + 16 + 1 + + + IPFE + IPFE + 20 + 1 + + + DNTU + DNTU + 21 + 1 + + + RA + RA + 31 + 1 + + + + + MACWTR + MACWTR + Watchdog timeout register + 0xC + 0x20 + read-write + 0x00000000 + + + WTO + WTO + 0 + 4 + + + PWE + PWE + 8 + 1 + + + + + MACHT0R + MACHT0R + Hash Table 0 register + 0x10 + 0x20 + read-write + 0x00000000 + + + HT31T0 + HT31T0 + 0 + 32 + + + + + MACHT1R + MACHT1R + Hash Table 1 register + 0x14 + 0x20 + read-write + 0x00000000 + + + HT63T32 + HT63T32 + 0 + 32 + + + + + MACVTR + MACVTR + VLAN tag register + 0x50 + 0x20 + read-write + 0x00000000 + + + VL + VL + 0 + 16 + + + ETV + ETV + 16 + 1 + + + VTIM + VTIM + 17 + 1 + + + ESVL + ESVL + 18 + 1 + + + ERSVLM + ERSVLM + 19 + 1 + + + DOVLTC + DOVLTC + 20 + 1 + + + EVLS + EVLS + 21 + 2 + + + EVLRXS + EVLRXS + 24 + 1 + + + VTHM + VTHM + 25 + 1 + + + EDVLP + EDVLP + 26 + 1 + + + ERIVLT + ERIVLT + 27 + 1 + + + EIVLS + EIVLS + 28 + 2 + + + EIVLRXS + EIVLRXS + 31 + 1 + + + + + MACVHTR + MACVHTR + VLAN Hash table register + 0x58 + 0x20 + read-write + 0x00000000 + + + VLHT + VLHT + 0 + 16 + + + + + MACVIR + MACVIR + VLAN inclusion register + 0x60 + 0x20 + read-write + 0x00000000 + + + VLT + VLT + 0 + 16 + + + VLC + VLC + 16 + 2 + + + VLP + VLP + 18 + 1 + + + CSVL + CSVL + 19 + 1 + + + VLTI + VLTI + 20 + 1 + + + + + MACIVIR + MACIVIR + Inner VLAN inclusion register + 0x64 + 0x20 + read-write + 0x00000000 + + + VLT + VLT + 0 + 16 + + + VLC + VLC + 16 + 2 + + + VLP + VLP + 18 + 1 + + + CSVL + CSVL + 19 + 1 + + + VLTI + VLTI + 20 + 1 + + + + + MACQTxFCR + MACQTxFCR + Tx Queue flow control register + 0x70 + 0x20 + read-write + 0x00000000 + + + FCB_BPA + FCB_BPA + 0 + 1 + + + TFE + TFE + 1 + 1 + + + PLT + PLT + 4 + 3 + + + DZPQ + DZPQ + 7 + 1 + + + PT + PT + 16 + 16 + + + + + MACRxFCR + MACRxFCR + Rx flow control register + 0x90 + 0x20 + read-write + 0x00000000 + + + RFE + RFE + 0 + 1 + + + UP + UP + 1 + 1 + + + + + MACISR + MACISR + Interrupt status register + 0xB0 + 0x20 + read-only + 0x00000000 + + + PHYIS + PHYIS + 3 + 1 + + + PMTIS + PMTIS + 4 + 1 + + + LPIIS + LPIIS + 5 + 1 + + + MMCIS + MMCIS + 8 + 1 + + + MMCRXIS + MMCRXIS + 9 + 1 + + + MMCTXIS + MMCTXIS + 10 + 1 + + + TSIS + TSIS + 12 + 1 + + + TXSTSIS + TXSTSIS + 13 + 1 + + + RXSTSIS + RXSTSIS + 14 + 1 + + + + + MACIER + MACIER + Interrupt enable register + 0xB4 + 0x20 + read-write + 0x00000000 + + + PHYIE + PHYIE + 3 + 1 + + + PMTIE + PMTIE + 4 + 1 + + + LPIIE + LPIIE + 5 + 1 + + + TSIE + TSIE + 12 + 1 + + + TXSTSIE + TXSTSIE + 13 + 1 + + + RXSTSIE + RXSTSIE + 14 + 1 + + + + + MACRxTxSR + MACRxTxSR + Rx Tx status register + 0xB8 + 0x20 + read-only + 0x00000000 + + + TJT + TJT + 0 + 1 + + + NCARR + NCARR + 1 + 1 + + + LCARR + LCARR + 2 + 1 + + + EXDEF + EXDEF + 3 + 1 + + + LCOL + LCOL + 4 + 1 + + + EXCOL + LCOL + 5 + 1 + + + RWT + RWT + 8 + 1 + + + + + MACPCSR + MACPCSR + PMT control status register + 0xC0 + 0x20 + 0x00000000 + + + PWRDWN + PWRDWN + 0 + 1 + read-write + + + MGKPKTEN + MGKPKTEN + 1 + 1 + read-write + + + RWKPKTEN + RWKPKTEN + 2 + 1 + read-write + + + MGKPRCVD + MGKPRCVD + 5 + 1 + read-only + + + RWKPRCVD + RWKPRCVD + 6 + 1 + read-only + + + GLBLUCAST + GLBLUCAST + 9 + 1 + read-write + + + RWKPFE + RWKPFE + 10 + 1 + read-write + + + RWKPTR + RWKPTR + 24 + 5 + read-write + + + RWKFILTRST + RWKFILTRST + 31 + 1 + read-write + + + + + MACRWKPFR + MACRWKPFR + Remove wakeup packet filter + register + 0xC4 + 0x20 + read-write + 0x00000000 + + + MACRWKPFR + MACRWKPFR + 0 + 32 + + + + + MACLCSR + MACLCSR + LPI control status register + 0xD0 + 0x20 + 0x00000000 + + + TLPIEN + TLPIEN + 0 + 1 + read-only + + + TLPIEX + TLPIEX + 1 + 1 + read-only + + + RLPIEN + RLPIEN + 2 + 1 + read-only + + + RLPIEX + RLPIEX + 3 + 1 + read-only + + + TLPIST + TLPIST + 8 + 1 + read-only + + + RLPIST + RLPIST + 9 + 1 + read-only + + + LPIEN + LPIEN + 16 + 1 + read-write + + + PLS + PLS + 17 + 1 + read-write + + + PLSEN + PLSEN + 18 + 1 + read-write + + + LPITXA + LPITXA + 19 + 1 + read-write + + + LPITE + LPITE + 20 + 1 + read-write + + + + + MACLTCR + MACLTCR + LPI timers control register + 0xD4 + 0x20 + read-write + 0x03E80000 + + + TWT + TWT + 0 + 16 + + + LST + LST + 16 + 10 + + + + + MACLETR + MACLETR + LPI entry timer register + 0xD8 + 0x20 + read-write + 0x00000000 + + + LPIET + LPIET + 0 + 17 + + + + + MAC1USTCR + MAC1USTCR + 1-microsecond-tick counter + register + 0xDC + 0x20 + read-write + 0x00000000 + + + TIC_1US_CNTR + TIC_1US_CNTR + 0 + 12 + + + + + MACVR + MACVR + Version register + 0x110 + 0x20 + read-only + 0x00003041 + + + SNPSVER + SNPSVER + 0 + 8 + + + USERVER + USERVER + 8 + 8 + + + + + MACDR + MACDR + Debug register + 0x114 + 0x20 + read-only + 0x00000000 + + + RPESTS + RPESTS + 0 + 1 + + + RFCFCSTS + RFCFCSTS + 1 + 2 + + + TPESTS + TPESTS + 16 + 1 + + + TFCSTS + TFCSTS + 17 + 2 + + + + + MACHWF1R + MACHWF1R + HW feature 1 register + 0x120 + 0x20 + read-only + 0x11841904 + + + RXFIFOSIZE + RXFIFOSIZE + 0 + 5 + + + TXFIFOSIZE + TXFIFOSIZE + 6 + 5 + + + OSTEN + OSTEN + 11 + 1 + + + PTOEN + PTOEN + 12 + 1 + + + ADVTHWORD + ADVTHWORD + 13 + 1 + + + ADDR64 + ADDR64 + 14 + 2 + + + DCBEN + DCBEN + 16 + 1 + + + SPHEN + SPHEN + 17 + 1 + + + TSOEN + TSOEN + 18 + 1 + + + DBGMEMA + DBGMEMA + 19 + 1 + + + AVSEL + AVSEL + 20 + 1 + + + HASHTBLSZ + HASHTBLSZ + 24 + 2 + + + L3L4FNUM + L3L4FNUM + 27 + 4 + + + + + MACHWF2R + MACHWF2R + HW feature 2 register + 0x124 + 0x20 + read-only + 0x41000000 + + + RXQCNT + RXQCNT + 0 + 4 + + + TXQCNT + TXQCNT + 6 + 4 + + + RXCHCNT + RXCHCNT + 12 + 4 + + + TXCHCNT + TXCHCNT + 18 + 4 + + + PPSOUTNUM + PPSOUTNUM + 24 + 3 + + + AUXSNAPNUM + AUXSNAPNUM + 28 + 3 + + + + + MACMDIOAR + MACMDIOAR + MDIO address register + 0x200 + 0x20 + read-write + 0x00000000 + + + MB + MB + 0 + 1 + + + C45E + C45E + 1 + 1 + + + GOC + GOC + 2 + 2 + + + SKAP + SKAP + 4 + 1 + + + CR + CR + 8 + 4 + + + NTC + NTC + 12 + 3 + + + RDA + RDA + 16 + 5 + + + PA + PA + 21 + 5 + + + BTB + BTB + 26 + 1 + + + PSE + PSE + 27 + 1 + + + + + MACMDIODR + MACMDIODR + MDIO data register + 0x204 + 0x20 + read-write + 0x00000000 + + + MD + MD + 0 + 16 + + + RA + RA + 16 + 16 + + + + + MACARPAR + MACARPAR + ARP address register + 0xAE0 + 0x20 + read-write + 0x00000000 + + + ARPPA + ARPPA + 0 + 32 + + + + + MACA0HR + MACA0HR + Address 0 high register + 0x300 + 0x20 + 0x8000FFFF + + + ADDRHI + ADDRHI + 0 + 16 + read-write + + + AE + AE + 31 + 1 + read-only + + + + + MACA0LR + MACA0LR + Address 0 low register + 0x304 + 0x20 + read-write + 0xFFFFFFFF + + + ADDRLO + ADDRLO + 0 + 32 + + + + + MACA1LR + MACA1LR + Address 1 low register + 0x30C + 0x20 + read-write + 0xFFFFFFFF + + + ADDRLO + ADDRLO + 0 + 32 + + + + + MACA2LR + MACA2LR + Address 2 low register + 0x314 + 0x20 + read-write + 0xFFFFFFFF + + + ADDRLO + ADDRLO + 0 + 32 + + + + + MACA1HR + MACA1HR + Address 1 high register + 0x308 + 0x20 + read-write + 0x0000FFFF + + + ADDRHI + ADDRHI + 0 + 16 + + + MBC + MBC + 24 + 6 + + + SA + SA + 30 + 1 + + + AE + AE + 31 + 1 + + + + + MACA2HR + MACA2HR + Address 2 high register + 0x310 + 0x20 + read-write + 0x0000FFFF + + + ADDRHI + ADDRHI + 0 + 16 + + + MBC + MBC + 24 + 6 + + + SA + SA + 30 + 1 + + + AE + AE + 31 + 1 + + + + + MACA3HR + MACA3HR + Address 3 high register + 0x318 + 0x20 + read-write + 0x0000FFFF + + + ADDRHI + ADDRHI + 0 + 16 + + + MBC + MBC + 24 + 6 + + + SA + SA + 30 + 1 + + + AE + AE + 31 + 1 + + + + + MACA3LR + MACA3LR + Address 3 low register + 0x31C + 0x20 + read-write + 0xFFFFFFFF + + + ADDRLO + ADDRLO + 0 + 32 + + + + + MMC_CONTROL + MMC_CONTROL + MMC control register + 0x700 + 0x20 + read-write + 0x00000000 + + + CNTRST + CNTRST + 0 + 1 + + + CNTSTOPRO + CNTSTOPRO + 1 + 1 + + + RSTONRD + RSTONRD + 2 + 1 + + + CNTFREEZ + CNTFREEZ + 3 + 1 + + + CNTPRST + CNTPRST + 4 + 1 + + + CNTPRSTLVL + CNTPRSTLVL + 5 + 1 + + + UCDBC + UCDBC + 8 + 1 + + + + + MMC_RX_INTERRUPT + MMC_RX_INTERRUPT + MMC Rx interrupt register + 0x704 + 0x20 + read-only + 0x00000000 + + + RXCRCERPIS + RXCRCERPIS + 5 + 1 + + + RXALGNERPIS + RXALGNERPIS + 6 + 1 + + + RXUCGPIS + RXUCGPIS + 17 + 1 + + + RXLPIUSCIS + RXLPIUSCIS + 26 + 1 + + + RXLPITRCIS + RXLPITRCIS + 27 + 1 + + + + + MMC_TX_INTERRUPT + MMC_TX_INTERRUPT + MMC Tx interrupt register + 0x708 + 0x20 + read-only + 0x00000000 + + + TXSCOLGPIS + TXSCOLGPIS + 14 + 1 + + + TXMCOLGPIS + TXMCOLGPIS + 15 + 1 + + + TXGPKTIS + TXGPKTIS + 21 + 1 + + + TXLPIUSCIS + TXLPIUSCIS + 26 + 1 + + + TXLPITRCIS + TXLPITRCIS + 27 + 1 + + + + + MMC_RX_INTERRUPT_MASK + MMC_RX_INTERRUPT_MASK + MMC Rx interrupt mask register + 0x70C + 0x20 + 0x00000000 + + + RXCRCERPIM + RXCRCERPIM + 5 + 1 + read-write + + + RXALGNERPIM + RXALGNERPIM + 6 + 1 + read-write + + + RXUCGPIM + RXUCGPIM + 17 + 1 + read-write + + + RXLPIUSCIM + RXLPIUSCIM + 26 + 1 + read-write + + + RXLPITRCIM + RXLPITRCIM + 27 + 1 + read-only + + + + + MMC_TX_INTERRUPT_MASK + MMC_TX_INTERRUPT_MASK + MMC Tx interrupt mask register + 0x710 + 0x20 + 0x00000000 + + + TXSCOLGPIM + TXSCOLGPIM + 14 + 1 + read-write + + + TXMCOLGPIM + TXMCOLGPIM + 15 + 1 + read-write + + + TXGPKTIM + TXGPKTIM + 21 + 1 + read-write + + + TXLPIUSCIM + TXLPIUSCIM + 26 + 1 + read-write + + + TXLPITRCIM + TXLPITRCIM + 27 + 1 + read-only + + + + + TX_SINGLE_COLLISION_GOOD_PACKETS + + TX_SINGLE_COLLISION_GOOD_PACKETS + Tx single collision good packets + register + 0x74C + 0x20 + read-only + 0x00000000 + + + TXSNGLCOLG + TXSNGLCOLG + 0 + 32 + + + + + TX_MULTIPLE_COLLISION_GOOD_PACKETS + + TX_MULTIPLE_COLLISION_GOOD_PACKETS + Tx multiple collision good packets + register + 0x750 + 0x20 + read-only + 0x00000000 + + + TXMULTCOLG + TXMULTCOLG + 0 + 32 + + + + + TX_PACKET_COUNT_GOOD + TX_PACKET_COUNT_GOOD + Tx packet count good register + 0x768 + 0x20 + read-only + 0x00000000 + + + TXPKTG + TXPKTG + 0 + 32 + + + + + RX_CRC_ERROR_PACKETS + RX_CRC_ERROR_PACKETS + Rx CRC error packets register + 0x794 + 0x20 + read-only + 0x00000000 + + + RXCRCERR + RXCRCERR + 0 + 32 + + + + + RX_ALIGNMENT_ERROR_PACKETS + RX_ALIGNMENT_ERROR_PACKETS + Rx alignment error packets + register + 0x798 + 0x20 + read-only + 0x00000000 + + + RXALGNERR + RXALGNERR + 0 + 32 + + + + + RX_UNICAST_PACKETS_GOOD + RX_UNICAST_PACKETS_GOOD + Rx unicast packets good + register + 0x7C4 + 0x20 + read-only + 0x00000000 + + + RXUCASTG + RXUCASTG + 0 + 32 + + + + + TX_LPI_USEC_CNTR + TX_LPI_USEC_CNTR + Tx LPI microsecond timer + register + 0x7EC + 0x20 + read-only + 0x00000000 + + + TXLPIUSC + TXLPIUSC + 0 + 32 + + + + + TX_LPI_TRAN_CNTR + TX_LPI_TRAN_CNTR + Tx LPI transition counter + register + 0x7F0 + 0x20 + read-only + 0x00000000 + + + TXLPITRC + TXLPITRC + 0 + 32 + + + + + RX_LPI_USEC_CNTR + RX_LPI_USEC_CNTR + Rx LPI microsecond counter + register + 0x7F4 + 0x20 + read-only + 0x00000000 + + + RXLPIUSC + RXLPIUSC + 0 + 32 + + + + + RX_LPI_TRAN_CNTR + RX_LPI_TRAN_CNTR + Rx LPI transition counter + register + 0x7F8 + 0x20 + read-only + 0x00000000 + + + RXLPITRC + RXLPITRC + 0 + 32 + + + + + MACL3L4C0R + MACL3L4C0R + L3 and L4 control 0 register + 0x900 + 0x20 + read-write + 0x00000000 + + + L3PEN0 + L3PEN0 + 0 + 1 + + + L3SAM0 + L3SAM0 + 2 + 1 + + + L3SAIM0 + L3SAIM0 + 3 + 1 + + + L3DAM0 + L3DAM0 + 4 + 1 + + + L3DAIM0 + L3DAIM0 + 5 + 1 + + + L3HSBM0 + L3HSBM0 + 6 + 5 + + + L3HDBM0 + L3HDBM0 + 11 + 5 + + + L4PEN0 + L4PEN0 + 16 + 1 + + + L4SPM0 + L4SPM0 + 18 + 1 + + + L4SPIM0 + L4SPIM0 + 19 + 1 + + + L4DPM0 + L4DPM0 + 20 + 1 + + + L4DPIM0 + L4DPIM0 + 21 + 1 + + + + + MACL4A0R + MACL4A0R + Layer4 address filter 0 + register + 0x904 + 0x20 + read-write + 0x00000000 + + + L4SP0 + L4SP0 + 0 + 16 + + + L4DP0 + L4DP0 + 16 + 16 + + + + + MACL3A00R + MACL3A00R + MACL3A00R + 0x910 + 0x20 + read-write + 0x00000000 + + + L3A00 + L3A00 + 0 + 32 + + + + + MACL3A10R + MACL3A10R + Layer3 address 1 filter 0 + register + 0x914 + 0x20 + read-write + 0x00000000 + + + L3A10 + L3A10 + 0 + 32 + + + + + MACL3A20 + MACL3A20 + Layer3 Address 2 filter 0 + register + 0x918 + 0x20 + read-write + 0x00000000 + + + L3A20 + L3A20 + 0 + 32 + + + + + MACL3A30 + MACL3A30 + Layer3 Address 3 filter 0 + register + 0x91C + 0x20 + read-write + 0x00000000 + + + L3A30 + L3A30 + 0 + 32 + + + + + MACL3L4C1R + MACL3L4C1R + L3 and L4 control 1 register + 0x930 + 0x20 + read-write + 0x00000000 + + + L3PEN1 + L3PEN1 + 0 + 1 + + + L3SAM1 + L3SAM1 + 2 + 1 + + + L3SAIM1 + L3SAIM1 + 3 + 1 + + + L3DAM1 + L3DAM1 + 4 + 1 + + + L3DAIM1 + L3DAIM1 + 5 + 1 + + + L3HSBM1 + L3HSBM1 + 6 + 5 + + + L3HDBM1 + L3HDBM1 + 11 + 5 + + + L4PEN1 + L4PEN1 + 16 + 1 + + + L4SPM1 + L4SPM1 + 18 + 1 + + + L4SPIM1 + L4SPIM1 + 19 + 1 + + + L4DPM1 + L4DPM1 + 20 + 1 + + + L4DPIM1 + L4DPIM1 + 21 + 1 + + + + + MACL4A1R + MACL4A1R + Layer 4 address filter 1 + register + 0x934 + 0x20 + read-write + 0x00000000 + + + L4SP1 + L4SP1 + 0 + 16 + + + L4DP1 + L4DP1 + 16 + 16 + + + + + MACL3A01R + MACL3A01R + Layer3 address 0 filter 1 + Register + 0x940 + 0x20 + read-write + 0x00000000 + + + L3A01 + L3A01 + 0 + 32 + + + + + MACL3A11R + MACL3A11R + Layer3 address 1 filter 1 + register + 0x944 + 0x20 + read-write + 0x00000000 + + + L3A11 + L3A11 + 0 + 32 + + + + + MACL3A21R + MACL3A21R + Layer3 address 2 filter 1 + Register + 0x948 + 0x20 + read-write + 0x00000000 + + + L3A21 + L3A21 + 0 + 32 + + + + + MACL3A31R + MACL3A31R + Layer3 address 3 filter 1 + register + 0x94C + 0x20 + read-write + 0x00000000 + + + L3A31 + L3A31 + 0 + 32 + + + + + MACTSCR + MACTSCR + Timestamp control Register + 0xB00 + 0x20 + 0x00000200 + + + TSENA + TSENA + 0 + 1 + read-write + + + TSCFUPDT + TSCFUPDT + 1 + 1 + read-write + + + TSINIT + TSINIT + 2 + 1 + read-write + + + TSUPDT + TSUPDT + 3 + 1 + read-write + + + TSADDREG + TSADDREG + 5 + 1 + read-write + + + TSENALL + TSENALL + 8 + 1 + read-write + + + TSCTRLSSR + TSCTRLSSR + 9 + 1 + read-write + + + TSVER2ENA + TSVER2ENA + 10 + 1 + read-write + + + TSIPENA + TSIPENA + 11 + 1 + read-write + + + TSIPV6ENA + TSIPV6ENA + 12 + 1 + read-write + + + TSIPV4ENA + TSIPV4ENA + 13 + 1 + read-write + + + TSEVNTENA + TSEVNTENA + 14 + 1 + read-write + + + TSMSTRENA + TSMSTRENA + 15 + 1 + read-write + + + SNAPTYPSEL + SNAPTYPSEL + 16 + 2 + read-write + + + TSENMACADDR + TSENMACADDR + 18 + 1 + read-write + + + CSC + CSC + 19 + 1 + read-only + + + TXTSSTSM + TXTSSTSM + 24 + 1 + read-write + + + + + MACSSIR + MACSSIR + Sub-second increment register + 0xB04 + 0x20 + read-write + 0x00000000 + + + SNSINC + SNSINC + 8 + 8 + + + SSINC + SSINC + 16 + 8 + + + + + MACSTSR + MACSTSR + System time seconds register + 0xB08 + 0x20 + read-only + 0x00000000 + + + TSS + TSS + 0 + 32 + + + + + MACSTNR + MACSTNR + System time nanoseconds + register + 0xB0C + 0x20 + read-only + 0x00000000 + + + TSSS + TSSS + 0 + 31 + + + + + MACSTSUR + MACSTSUR + System time seconds update + register + 0xB10 + 0x20 + read-write + 0x00000000 + + + TSS + TSS + 0 + 32 + + + + + MACSTNUR + MACSTNUR + System time nanoseconds update + register + 0xB14 + 0x20 + read-write + 0x00000000 + + + TSSS + TSSS + 0 + 31 + + + ADDSUB + ADDSUB + 31 + 1 + + + + + MACTSAR + MACTSAR + Timestamp addend register + 0xB18 + 0x20 + read-write + 0x00000000 + + + TSAR + TSAR + 0 + 32 + + + + + MACTSSR + MACTSSR + Timestamp status register + 0xB20 + 0x20 + read-only + 0x00000000 + + + TSSOVF + TSSOVF + 0 + 1 + + + TSTARGT0 + TSTARGT0 + 1 + 1 + + + AUXTSTRIG + AUXTSTRIG + 2 + 1 + + + TSTRGTERR0 + TSTRGTERR0 + 3 + 1 + + + TXTSSIS + TXTSSIS + 15 + 1 + + + ATSSTN + ATSSTN + 16 + 4 + + + ATSSTM + ATSSTM + 24 + 1 + + + ATSNS + ATSNS + 25 + 5 + + + + + MACTxTSSNR + MACTxTSSNR + Tx timestamp status nanoseconds + register + 0xB30 + 0x20 + read-only + 0x00000000 + + + TXTSSLO + TXTSSLO + 0 + 31 + + + TXTSSMIS + TXTSSMIS + 31 + 1 + + + + + MACTxTSSSR + MACTxTSSSR + Tx timestamp status seconds + register + 0xB34 + 0x20 + read-only + 0x00000000 + + + TXTSSHI + TXTSSHI + 0 + 32 + + + + + MACACR + MACACR + Auxiliary control register + 0xB40 + 0x20 + read-write + 0x00000000 + + + ATSFC + ATSFC + 0 + 1 + + + ATSEN0 + ATSEN0 + 4 + 1 + + + ATSEN1 + ATSEN1 + 5 + 1 + + + ATSEN2 + ATSEN2 + 6 + 1 + + + ATSEN3 + ATSEN3 + 7 + 1 + + + + + MACATSNR + MACATSNR + Auxiliary timestamp nanoseconds + register + 0xB48 + 0x20 + read-only + 0x00000000 + + + AUXTSLO + AUXTSLO + 0 + 31 + + + + + MACATSSR + MACATSSR + Auxiliary timestamp seconds + register + 0xB4C + 0x20 + read-only + 0x00000000 + + + AUXTSHI + AUXTSHI + 0 + 32 + + + + + MACTSIACR + MACTSIACR + Timestamp Ingress asymmetric correction + register + 0xB50 + 0x20 + read-write + 0x00000000 + + + OSTIAC + OSTIAC + 0 + 32 + + + + + MACTSEACR + MACTSEACR + Timestamp Egress asymmetric correction + register + 0xB54 + 0x20 + read-write + 0x00000000 + + + OSTEAC + OSTEAC + 0 + 32 + + + + + MACTSICNR + MACTSICNR + Timestamp Ingress correction nanosecond + register + 0xB58 + 0x20 + read-write + 0x00000000 + + + TSIC + TSIC + 0 + 32 + + + + + MACTSECNR + MACTSECNR + Timestamp Egress correction nanosecond + register + 0xB5C + 0x20 + read-write + 0x00000000 + + + TSEC + TSEC + 0 + 32 + + + + + MACPPSCR + MACPPSCR + PPS control register + 0xB70 + 0x20 + read-write + 0x00000000 + + + PPSCTRL + PPSCTRL + 0 + 4 + + + PPSEN0 + PPSEN0 + 4 + 1 + + + TRGTMODSEL0 + TRGTMODSEL0 + 5 + 2 + + + + + MACPPSTTSR + MACPPSTTSR + PPS target time seconds + register + 0xB80 + 0x20 + read-write + 0x00000000 + + + TSTRH0 + TSTRH0 + 0 + 31 + + + + + MACPPSTTNR + MACPPSTTNR + PPS target time nanoseconds + register + 0xB84 + 0x20 + read-write + 0x00000000 + + + TTSL0 + TTSL0 + 0 + 31 + + + TRGTBUSY0 + TRGTBUSY0 + 31 + 1 + + + + + MACPPSIR + MACPPSIR + PPS interval register + 0xB88 + 0x20 + read-write + 0x00000000 + + + PPSINT0 + PPSINT0 + 0 + 32 + + + + + MACPPSWR + MACPPSWR + PPS width register + 0xB8C + 0x20 + read-write + 0x00000000 + + + PPSWIDTH0 + PPSWIDTH0 + 0 + 32 + + + + + MACPOCR + MACPOCR + PTP Offload control register + 0xBC0 + 0x20 + read-write + 0x00000000 + + + PTOEN + PTOEN + 0 + 1 + + + ASYNCEN + ASYNCEN + 1 + 1 + + + APDREQEN + APDREQEN + 2 + 1 + + + ASYNCTRIG + ASYNCTRIG + 4 + 1 + + + APDREQTRIG + APDREQTRIG + 5 + 1 + + + DRRDIS + DRRDIS + 6 + 1 + + + DN + DN + 8 + 8 + + + + + MACSPI0R + MACSPI0R + PTP Source Port Identity 0 + Register + 0xBC4 + 0x20 + read-write + 0x00000000 + + + SPI0 + SPI0 + 0 + 32 + + + + + MACSPI1R + MACSPI1R + PTP Source port identity 1 + register + 0xBC8 + 0x20 + read-write + 0x00000000 + + + SPI1 + SPI1 + 0 + 32 + + + + + MACSPI2R + MACSPI2R + PTP Source port identity 2 + register + 0xBCC + 0x20 + read-write + 0x00000000 + + + SPI2 + SPI2 + 0 + 16 + + + + + MACLMIR + MACLMIR + Log message interval register + 0xBD0 + 0x20 + read-write + 0x00000000 + + + LSI + LSI + 0 + 8 + + + DRSYNCR + DRSYNCR + 8 + 3 + + + LMPDRI + LMPDRI + 24 + 8 + + + + + + + DMA1 + DMA controller + DMA + 0x40020000 + + 0x0 + 0x400 + registers + + + DMA_STR0 + DMA1 Stream0 + 11 + + + DMA_STR1 + DMA1 Stream1 + 12 + + + DMA1_STR7 + DMA1 Stream7 + 47 + + + + LISR + LISR + low interrupt status register + 0x0 + 0x20 + read-only + 0x00000000 + + + TCIF3 + Stream x transfer complete interrupt + flag (x = 3..0) + 27 + 1 + + + HTIF3 + Stream x half transfer interrupt flag + (x=3..0) + 26 + 1 + + + TEIF3 + Stream x transfer error interrupt flag + (x=3..0) + 25 + 1 + + + DMEIF3 + Stream x direct mode error interrupt + flag (x=3..0) + 24 + 1 + + + FEIF3 + Stream x FIFO error interrupt flag + (x=3..0) + 22 + 1 + + + TCIF2 + Stream x transfer complete interrupt + flag (x = 3..0) + 21 + 1 + + + HTIF2 + Stream x half transfer interrupt flag + (x=3..0) + 20 + 1 + + + TEIF2 + Stream x transfer error interrupt flag + (x=3..0) + 19 + 1 + + + DMEIF2 + Stream x direct mode error interrupt + flag (x=3..0) + 18 + 1 + + + FEIF2 + Stream x FIFO error interrupt flag + (x=3..0) + 16 + 1 + + + TCIF1 + Stream x transfer complete interrupt + flag (x = 3..0) + 11 + 1 + + + HTIF1 + Stream x half transfer interrupt flag + (x=3..0) + 10 + 1 + + + TEIF1 + Stream x transfer error interrupt flag + (x=3..0) + 9 + 1 + + + DMEIF1 + Stream x direct mode error interrupt + flag (x=3..0) + 8 + 1 + + + FEIF1 + Stream x FIFO error interrupt flag + (x=3..0) + 6 + 1 + + + TCIF0 + Stream x transfer complete interrupt + flag (x = 3..0) + 5 + 1 + + + HTIF0 + Stream x half transfer interrupt flag + (x=3..0) + 4 + 1 + + + TEIF0 + Stream x transfer error interrupt flag + (x=3..0) + 3 + 1 + + + DMEIF0 + Stream x direct mode error interrupt + flag (x=3..0) + 2 + 1 + + + FEIF0 + Stream x FIFO error interrupt flag + (x=3..0) + 0 + 1 + + + + + HISR + HISR + high interrupt status register + 0x4 + 0x20 + read-only + 0x00000000 + + + TCIF7 + Stream x transfer complete interrupt + flag (x=7..4) + 27 + 1 + + + HTIF7 + Stream x half transfer interrupt flag + (x=7..4) + 26 + 1 + + + TEIF7 + Stream x transfer error interrupt flag + (x=7..4) + 25 + 1 + + + DMEIF7 + Stream x direct mode error interrupt + flag (x=7..4) + 24 + 1 + + + FEIF7 + Stream x FIFO error interrupt flag + (x=7..4) + 22 + 1 + + + TCIF6 + Stream x transfer complete interrupt + flag (x=7..4) + 21 + 1 + + + HTIF6 + Stream x half transfer interrupt flag + (x=7..4) + 20 + 1 + + + TEIF6 + Stream x transfer error interrupt flag + (x=7..4) + 19 + 1 + + + DMEIF6 + Stream x direct mode error interrupt + flag (x=7..4) + 18 + 1 + + + FEIF6 + Stream x FIFO error interrupt flag + (x=7..4) + 16 + 1 + + + TCIF5 + Stream x transfer complete interrupt + flag (x=7..4) + 11 + 1 + + + HTIF5 + Stream x half transfer interrupt flag + (x=7..4) + 10 + 1 + + + TEIF5 + Stream x transfer error interrupt flag + (x=7..4) + 9 + 1 + + + DMEIF5 + Stream x direct mode error interrupt + flag (x=7..4) + 8 + 1 + + + FEIF5 + Stream x FIFO error interrupt flag + (x=7..4) + 6 + 1 + + + TCIF4 + Stream x transfer complete interrupt + flag (x=7..4) + 5 + 1 + + + HTIF4 + Stream x half transfer interrupt flag + (x=7..4) + 4 + 1 + + + TEIF4 + Stream x transfer error interrupt flag + (x=7..4) + 3 + 1 + + + DMEIF4 + Stream x direct mode error interrupt + flag (x=7..4) + 2 + 1 + + + FEIF4 + Stream x FIFO error interrupt flag + (x=7..4) + 0 + 1 + + + + + LIFCR + LIFCR + low interrupt flag clear + register + 0x8 + 0x20 + read-write + 0x00000000 + + + CTCIF3 + Stream x clear transfer complete + interrupt flag (x = 3..0) + 27 + 1 + + + CHTIF3 + Stream x clear half transfer interrupt + flag (x = 3..0) + 26 + 1 + + + CTEIF3 + Stream x clear transfer error interrupt + flag (x = 3..0) + 25 + 1 + + + CDMEIF3 + Stream x clear direct mode error + interrupt flag (x = 3..0) + 24 + 1 + + + CFEIF3 + Stream x clear FIFO error interrupt flag + (x = 3..0) + 22 + 1 + + + CTCIF2 + Stream x clear transfer complete + interrupt flag (x = 3..0) + 21 + 1 + + + CHTIF2 + Stream x clear half transfer interrupt + flag (x = 3..0) + 20 + 1 + + + CTEIF2 + Stream x clear transfer error interrupt + flag (x = 3..0) + 19 + 1 + + + CDMEIF2 + Stream x clear direct mode error + interrupt flag (x = 3..0) + 18 + 1 + + + CFEIF2 + Stream x clear FIFO error interrupt flag + (x = 3..0) + 16 + 1 + + + CTCIF1 + Stream x clear transfer complete + interrupt flag (x = 3..0) + 11 + 1 + + + CHTIF1 + Stream x clear half transfer interrupt + flag (x = 3..0) + 10 + 1 + + + CTEIF1 + Stream x clear transfer error interrupt + flag (x = 3..0) + 9 + 1 + + + CDMEIF1 + Stream x clear direct mode error + interrupt flag (x = 3..0) + 8 + 1 + + + CFEIF1 + Stream x clear FIFO error interrupt flag + (x = 3..0) + 6 + 1 + + + CTCIF0 + Stream x clear transfer complete + interrupt flag (x = 3..0) + 5 + 1 + + + CHTIF0 + Stream x clear half transfer interrupt + flag (x = 3..0) + 4 + 1 + + + CTEIF0 + Stream x clear transfer error interrupt + flag (x = 3..0) + 3 + 1 + + + CDMEIF0 + Stream x clear direct mode error + interrupt flag (x = 3..0) + 2 + 1 + + + CFEIF0 + Stream x clear FIFO error interrupt flag + (x = 3..0) + 0 + 1 + + + + + HIFCR + HIFCR + high interrupt flag clear + register + 0xC + 0x20 + read-write + 0x00000000 + + + CTCIF7 + Stream x clear transfer complete + interrupt flag (x = 7..4) + 27 + 1 + + + CHTIF7 + Stream x clear half transfer interrupt + flag (x = 7..4) + 26 + 1 + + + CTEIF7 + Stream x clear transfer error interrupt + flag (x = 7..4) + 25 + 1 + + + CDMEIF7 + Stream x clear direct mode error + interrupt flag (x = 7..4) + 24 + 1 + + + CFEIF7 + Stream x clear FIFO error interrupt flag + (x = 7..4) + 22 + 1 + + + CTCIF6 + Stream x clear transfer complete + interrupt flag (x = 7..4) + 21 + 1 + + + CHTIF6 + Stream x clear half transfer interrupt + flag (x = 7..4) + 20 + 1 + + + CTEIF6 + Stream x clear transfer error interrupt + flag (x = 7..4) + 19 + 1 + + + CDMEIF6 + Stream x clear direct mode error + interrupt flag (x = 7..4) + 18 + 1 + + + CFEIF6 + Stream x clear FIFO error interrupt flag + (x = 7..4) + 16 + 1 + + + CTCIF5 + Stream x clear transfer complete + interrupt flag (x = 7..4) + 11 + 1 + + + CHTIF5 + Stream x clear half transfer interrupt + flag (x = 7..4) + 10 + 1 + + + CTEIF5 + Stream x clear transfer error interrupt + flag (x = 7..4) + 9 + 1 + + + CDMEIF5 + Stream x clear direct mode error + interrupt flag (x = 7..4) + 8 + 1 + + + CFEIF5 + Stream x clear FIFO error interrupt flag + (x = 7..4) + 6 + 1 + + + CTCIF4 + Stream x clear transfer complete + interrupt flag (x = 7..4) + 5 + 1 + + + CHTIF4 + Stream x clear half transfer interrupt + flag (x = 7..4) + 4 + 1 + + + CTEIF4 + Stream x clear transfer error interrupt + flag (x = 7..4) + 3 + 1 + + + CDMEIF4 + Stream x clear direct mode error + interrupt flag (x = 7..4) + 2 + 1 + + + CFEIF4 + Stream x clear FIFO error interrupt flag + (x = 7..4) + 0 + 1 + + + + + S0CR + S0CR + stream x configuration + register + 0x10 + 0x20 + read-write + 0x00000000 + + + MBURST + Memory burst transfer + configuration + 23 + 2 + + + PBURST + Peripheral burst transfer + configuration + 21 + 2 + + + CT + Current target (only in double buffer + mode) + 19 + 1 + + + DBM + Double buffer mode + 18 + 1 + + + PL + Priority level + 16 + 2 + + + PINCOS + Peripheral increment offset + size + 15 + 1 + + + MSIZE + Memory data size + 13 + 2 + + + PSIZE + Peripheral data size + 11 + 2 + + + MINC + Memory increment mode + 10 + 1 + + + PINC + Peripheral increment mode + 9 + 1 + + + CIRC + Circular mode + 8 + 1 + + + DIR + Data transfer direction + 6 + 2 + + + PFCTRL + Peripheral flow controller + 5 + 1 + + + TCIE + Transfer complete interrupt + enable + 4 + 1 + + + HTIE + Half transfer interrupt + enable + 3 + 1 + + + TEIE + Transfer error interrupt + enable + 2 + 1 + + + DMEIE + Direct mode error interrupt + enable + 1 + 1 + + + EN + Stream enable / flag stream ready when + read low + 0 + 1 + + + + + S0NDTR + S0NDTR + stream x number of data + register + 0x14 + 0x20 + read-write + 0x00000000 + + + NDT + Number of data items to + transfer + 0 + 16 + + + + + S0PAR + S0PAR + stream x peripheral address + register + 0x18 + 0x20 + read-write + 0x00000000 + + + PA + Peripheral address + 0 + 32 + + + + + S0M0AR + S0M0AR + stream x memory 0 address + register + 0x1C + 0x20 + read-write + 0x00000000 + + + M0A + Memory 0 address + 0 + 32 + + + + + S0M1AR + S0M1AR + stream x memory 1 address + register + 0x20 + 0x20 + read-write + 0x00000000 + + + M1A + Memory 1 address (used in case of Double + buffer mode) + 0 + 32 + + + + + S0FCR + S0FCR + stream x FIFO control register + 0x24 + 0x20 + 0x00000021 + + + FEIE + FIFO error interrupt + enable + 7 + 1 + read-write + + + FS + FIFO status + 3 + 3 + read-only + + + DMDIS + Direct mode disable + 2 + 1 + read-write + + + FTH + FIFO threshold selection + 0 + 2 + read-write + + + + + S1CR + S1CR + stream x configuration + register + 0x28 + 0x20 + read-write + 0x00000000 + + + MBURST + Memory burst transfer + configuration + 23 + 2 + + + PBURST + Peripheral burst transfer + configuration + 21 + 2 + + + ACK + ACK + 20 + 1 + + + CT + Current target (only in double buffer + mode) + 19 + 1 + + + DBM + Double buffer mode + 18 + 1 + + + PL + Priority level + 16 + 2 + + + PINCOS + Peripheral increment offset + size + 15 + 1 + + + MSIZE + Memory data size + 13 + 2 + + + PSIZE + Peripheral data size + 11 + 2 + + + MINC + Memory increment mode + 10 + 1 + + + PINC + Peripheral increment mode + 9 + 1 + + + CIRC + Circular mode + 8 + 1 + + + DIR + Data transfer direction + 6 + 2 + + + PFCTRL + Peripheral flow controller + 5 + 1 + + + TCIE + Transfer complete interrupt + enable + 4 + 1 + + + HTIE + Half transfer interrupt + enable + 3 + 1 + + + TEIE + Transfer error interrupt + enable + 2 + 1 + + + DMEIE + Direct mode error interrupt + enable + 1 + 1 + + + EN + Stream enable / flag stream ready when + read low + 0 + 1 + + + + + S1NDTR + S1NDTR + stream x number of data + register + 0x2C + 0x20 + read-write + 0x00000000 + + + NDT + Number of data items to + transfer + 0 + 16 + + + + + S1PAR + S1PAR + stream x peripheral address + register + 0x30 + 0x20 + read-write + 0x00000000 + + + PA + Peripheral address + 0 + 32 + + + + + S1M0AR + S1M0AR + stream x memory 0 address + register + 0x34 + 0x20 + read-write + 0x00000000 + + + M0A + Memory 0 address + 0 + 32 + + + + + S1M1AR + S1M1AR + stream x memory 1 address + register + 0x38 + 0x20 + read-write + 0x00000000 + + + M1A + Memory 1 address (used in case of Double + buffer mode) + 0 + 32 + + + + + S1FCR + S1FCR + stream x FIFO control register + 0x3C + 0x20 + 0x00000021 + + + FEIE + FIFO error interrupt + enable + 7 + 1 + read-write + + + FS + FIFO status + 3 + 3 + read-only + + + DMDIS + Direct mode disable + 2 + 1 + read-write + + + FTH + FIFO threshold selection + 0 + 2 + read-write + + + + + S2CR + S2CR + stream x configuration + register + 0x40 + 0x20 + read-write + 0x00000000 + + + MBURST + Memory burst transfer + configuration + 23 + 2 + + + PBURST + Peripheral burst transfer + configuration + 21 + 2 + + + ACK + ACK + 20 + 1 + + + CT + Current target (only in double buffer + mode) + 19 + 1 + + + DBM + Double buffer mode + 18 + 1 + + + PL + Priority level + 16 + 2 + + + PINCOS + Peripheral increment offset + size + 15 + 1 + + + MSIZE + Memory data size + 13 + 2 + + + PSIZE + Peripheral data size + 11 + 2 + + + MINC + Memory increment mode + 10 + 1 + + + PINC + Peripheral increment mode + 9 + 1 + + + CIRC + Circular mode + 8 + 1 + + + DIR + Data transfer direction + 6 + 2 + + + PFCTRL + Peripheral flow controller + 5 + 1 + + + TCIE + Transfer complete interrupt + enable + 4 + 1 + + + HTIE + Half transfer interrupt + enable + 3 + 1 + + + TEIE + Transfer error interrupt + enable + 2 + 1 + + + DMEIE + Direct mode error interrupt + enable + 1 + 1 + + + EN + Stream enable / flag stream ready when + read low + 0 + 1 + + + + + S2NDTR + S2NDTR + stream x number of data + register + 0x44 + 0x20 + read-write + 0x00000000 + + + NDT + Number of data items to + transfer + 0 + 16 + + + + + S2PAR + S2PAR + stream x peripheral address + register + 0x48 + 0x20 + read-write + 0x00000000 + + + PA + Peripheral address + 0 + 32 + + + + + S2M0AR + S2M0AR + stream x memory 0 address + register + 0x4C + 0x20 + read-write + 0x00000000 + + + M0A + Memory 0 address + 0 + 32 + + + + + S2M1AR + S2M1AR + stream x memory 1 address + register + 0x50 + 0x20 + read-write + 0x00000000 + + + M1A + Memory 1 address (used in case of Double + buffer mode) + 0 + 32 + + + + + S2FCR + S2FCR + stream x FIFO control register + 0x54 + 0x20 + 0x00000021 + + + FEIE + FIFO error interrupt + enable + 7 + 1 + read-write + + + FS + FIFO status + 3 + 3 + read-only + + + DMDIS + Direct mode disable + 2 + 1 + read-write + + + FTH + FIFO threshold selection + 0 + 2 + read-write + + + + + S3CR + S3CR + stream x configuration + register + 0x58 + 0x20 + read-write + 0x00000000 + + + MBURST + Memory burst transfer + configuration + 23 + 2 + + + PBURST + Peripheral burst transfer + configuration + 21 + 2 + + + ACK + ACK + 20 + 1 + + + CT + Current target (only in double buffer + mode) + 19 + 1 + + + DBM + Double buffer mode + 18 + 1 + + + PL + Priority level + 16 + 2 + + + PINCOS + Peripheral increment offset + size + 15 + 1 + + + MSIZE + Memory data size + 13 + 2 + + + PSIZE + Peripheral data size + 11 + 2 + + + MINC + Memory increment mode + 10 + 1 + + + PINC + Peripheral increment mode + 9 + 1 + + + CIRC + Circular mode + 8 + 1 + + + DIR + Data transfer direction + 6 + 2 + + + PFCTRL + Peripheral flow controller + 5 + 1 + + + TCIE + Transfer complete interrupt + enable + 4 + 1 + + + HTIE + Half transfer interrupt + enable + 3 + 1 + + + TEIE + Transfer error interrupt + enable + 2 + 1 + + + DMEIE + Direct mode error interrupt + enable + 1 + 1 + + + EN + Stream enable / flag stream ready when + read low + 0 + 1 + + + + + S3NDTR + S3NDTR + stream x number of data + register + 0x5C + 0x20 + read-write + 0x00000000 + + + NDT + Number of data items to + transfer + 0 + 16 + + + + + S3PAR + S3PAR + stream x peripheral address + register + 0x60 + 0x20 + read-write + 0x00000000 + + + PA + Peripheral address + 0 + 32 + + + + + S3M0AR + S3M0AR + stream x memory 0 address + register + 0x64 + 0x20 + read-write + 0x00000000 + + + M0A + Memory 0 address + 0 + 32 + + + + + S3M1AR + S3M1AR + stream x memory 1 address + register + 0x68 + 0x20 + read-write + 0x00000000 + + + M1A + Memory 1 address (used in case of Double + buffer mode) + 0 + 32 + + + + + S3FCR + S3FCR + stream x FIFO control register + 0x6C + 0x20 + 0x00000021 + + + FEIE + FIFO error interrupt + enable + 7 + 1 + read-write + + + FS + FIFO status + 3 + 3 + read-only + + + DMDIS + Direct mode disable + 2 + 1 + read-write + + + FTH + FIFO threshold selection + 0 + 2 + read-write + + + + + S4CR + S4CR + stream x configuration + register + 0x70 + 0x20 + read-write + 0x00000000 + + + MBURST + Memory burst transfer + configuration + 23 + 2 + + + PBURST + Peripheral burst transfer + configuration + 21 + 2 + + + ACK + ACK + 20 + 1 + + + CT + Current target (only in double buffer + mode) + 19 + 1 + + + DBM + Double buffer mode + 18 + 1 + + + PL + Priority level + 16 + 2 + + + PINCOS + Peripheral increment offset + size + 15 + 1 + + + MSIZE + Memory data size + 13 + 2 + + + PSIZE + Peripheral data size + 11 + 2 + + + MINC + Memory increment mode + 10 + 1 + + + PINC + Peripheral increment mode + 9 + 1 + + + CIRC + Circular mode + 8 + 1 + + + DIR + Data transfer direction + 6 + 2 + + + PFCTRL + Peripheral flow controller + 5 + 1 + + + TCIE + Transfer complete interrupt + enable + 4 + 1 + + + HTIE + Half transfer interrupt + enable + 3 + 1 + + + TEIE + Transfer error interrupt + enable + 2 + 1 + + + DMEIE + Direct mode error interrupt + enable + 1 + 1 + + + EN + Stream enable / flag stream ready when + read low + 0 + 1 + + + + + S4NDTR + S4NDTR + stream x number of data + register + 0x74 + 0x20 + read-write + 0x00000000 + + + NDT + Number of data items to + transfer + 0 + 16 + + + + + S4PAR + S4PAR + stream x peripheral address + register + 0x78 + 0x20 + read-write + 0x00000000 + + + PA + Peripheral address + 0 + 32 + + + + + S4M0AR + S4M0AR + stream x memory 0 address + register + 0x7C + 0x20 + read-write + 0x00000000 + + + M0A + Memory 0 address + 0 + 32 + + + + + S4M1AR + S4M1AR + stream x memory 1 address + register + 0x80 + 0x20 + read-write + 0x00000000 + + + M1A + Memory 1 address (used in case of Double + buffer mode) + 0 + 32 + + + + + S4FCR + S4FCR + stream x FIFO control register + 0x84 + 0x20 + 0x00000021 + + + FEIE + FIFO error interrupt + enable + 7 + 1 + read-write + + + FS + FIFO status + 3 + 3 + read-only + + + DMDIS + Direct mode disable + 2 + 1 + read-write + + + FTH + FIFO threshold selection + 0 + 2 + read-write + + + + + S5CR + S5CR + stream x configuration + register + 0x88 + 0x20 + read-write + 0x00000000 + + + MBURST + Memory burst transfer + configuration + 23 + 2 + + + PBURST + Peripheral burst transfer + configuration + 21 + 2 + + + ACK + ACK + 20 + 1 + + + CT + Current target (only in double buffer + mode) + 19 + 1 + + + DBM + Double buffer mode + 18 + 1 + + + PL + Priority level + 16 + 2 + + + PINCOS + Peripheral increment offset + size + 15 + 1 + + + MSIZE + Memory data size + 13 + 2 + + + PSIZE + Peripheral data size + 11 + 2 + + + MINC + Memory increment mode + 10 + 1 + + + PINC + Peripheral increment mode + 9 + 1 + + + CIRC + Circular mode + 8 + 1 + + + DIR + Data transfer direction + 6 + 2 + + + PFCTRL + Peripheral flow controller + 5 + 1 + + + TCIE + Transfer complete interrupt + enable + 4 + 1 + + + HTIE + Half transfer interrupt + enable + 3 + 1 + + + TEIE + Transfer error interrupt + enable + 2 + 1 + + + DMEIE + Direct mode error interrupt + enable + 1 + 1 + + + EN + Stream enable / flag stream ready when + read low + 0 + 1 + + + + + S5NDTR + S5NDTR + stream x number of data + register + 0x8C + 0x20 + read-write + 0x00000000 + + + NDT + Number of data items to + transfer + 0 + 16 + + + + + S5PAR + S5PAR + stream x peripheral address + register + 0x90 + 0x20 + read-write + 0x00000000 + + + PA + Peripheral address + 0 + 32 + + + + + S5M0AR + S5M0AR + stream x memory 0 address + register + 0x94 + 0x20 + read-write + 0x00000000 + + + M0A + Memory 0 address + 0 + 32 + + + + + S5M1AR + S5M1AR + stream x memory 1 address + register + 0x98 + 0x20 + read-write + 0x00000000 + + + M1A + Memory 1 address (used in case of Double + buffer mode) + 0 + 32 + + + + + S5FCR + S5FCR + stream x FIFO control register + 0x9C + 0x20 + 0x00000021 + + + FEIE + FIFO error interrupt + enable + 7 + 1 + read-write + + + FS + FIFO status + 3 + 3 + read-only + + + DMDIS + Direct mode disable + 2 + 1 + read-write + + + FTH + FIFO threshold selection + 0 + 2 + read-write + + + + + S6CR + S6CR + stream x configuration + register + 0xA0 + 0x20 + read-write + 0x00000000 + + + MBURST + Memory burst transfer + configuration + 23 + 2 + + + PBURST + Peripheral burst transfer + configuration + 21 + 2 + + + ACK + ACK + 20 + 1 + + + CT + Current target (only in double buffer + mode) + 19 + 1 + + + DBM + Double buffer mode + 18 + 1 + + + PL + Priority level + 16 + 2 + + + PINCOS + Peripheral increment offset + size + 15 + 1 + + + MSIZE + Memory data size + 13 + 2 + + + PSIZE + Peripheral data size + 11 + 2 + + + MINC + Memory increment mode + 10 + 1 + + + PINC + Peripheral increment mode + 9 + 1 + + + CIRC + Circular mode + 8 + 1 + + + DIR + Data transfer direction + 6 + 2 + + + PFCTRL + Peripheral flow controller + 5 + 1 + + + TCIE + Transfer complete interrupt + enable + 4 + 1 + + + HTIE + Half transfer interrupt + enable + 3 + 1 + + + TEIE + Transfer error interrupt + enable + 2 + 1 + + + DMEIE + Direct mode error interrupt + enable + 1 + 1 + + + EN + Stream enable / flag stream ready when + read low + 0 + 1 + + + + + S6NDTR + S6NDTR + stream x number of data + register + 0xA4 + 0x20 + read-write + 0x00000000 + + + NDT + Number of data items to + transfer + 0 + 16 + + + + + S6PAR + S6PAR + stream x peripheral address + register + 0xA8 + 0x20 + read-write + 0x00000000 + + + PA + Peripheral address + 0 + 32 + + + + + S6M0AR + S6M0AR + stream x memory 0 address + register + 0xAC + 0x20 + read-write + 0x00000000 + + + M0A + Memory 0 address + 0 + 32 + + + + + S6M1AR + S6M1AR + stream x memory 1 address + register + 0xB0 + 0x20 + read-write + 0x00000000 + + + M1A + Memory 1 address (used in case of Double + buffer mode) + 0 + 32 + + + + + S6FCR + S6FCR + stream x FIFO control register + 0xB4 + 0x20 + 0x00000021 + + + FEIE + FIFO error interrupt + enable + 7 + 1 + read-write + + + FS + FIFO status + 3 + 3 + read-only + + + DMDIS + Direct mode disable + 2 + 1 + read-write + + + FTH + FIFO threshold selection + 0 + 2 + read-write + + + + + S7CR + S7CR + stream x configuration + register + 0xB8 + 0x20 + read-write + 0x00000000 + + + MBURST + Memory burst transfer + configuration + 23 + 2 + + + PBURST + Peripheral burst transfer + configuration + 21 + 2 + + + ACK + ACK + 20 + 1 + + + CT + Current target (only in double buffer + mode) + 19 + 1 + + + DBM + Double buffer mode + 18 + 1 + + + PL + Priority level + 16 + 2 + + + PINCOS + Peripheral increment offset + size + 15 + 1 + + + MSIZE + Memory data size + 13 + 2 + + + PSIZE + Peripheral data size + 11 + 2 + + + MINC + Memory increment mode + 10 + 1 + + + PINC + Peripheral increment mode + 9 + 1 + + + CIRC + Circular mode + 8 + 1 + + + DIR + Data transfer direction + 6 + 2 + + + PFCTRL + Peripheral flow controller + 5 + 1 + + + TCIE + Transfer complete interrupt + enable + 4 + 1 + + + HTIE + Half transfer interrupt + enable + 3 + 1 + + + TEIE + Transfer error interrupt + enable + 2 + 1 + + + DMEIE + Direct mode error interrupt + enable + 1 + 1 + + + EN + Stream enable / flag stream ready when + read low + 0 + 1 + + + + + S7NDTR + S7NDTR + stream x number of data + register + 0xBC + 0x20 + read-write + 0x00000000 + + + NDT + Number of data items to + transfer + 0 + 16 + + + + + S7PAR + S7PAR + stream x peripheral address + register + 0xC0 + 0x20 + read-write + 0x00000000 + + + PA + Peripheral address + 0 + 32 + + + + + S7M0AR + S7M0AR + stream x memory 0 address + register + 0xC4 + 0x20 + read-write + 0x00000000 + + + M0A + Memory 0 address + 0 + 32 + + + + + S7M1AR + S7M1AR + stream x memory 1 address + register + 0xC8 + 0x20 + read-write + 0x00000000 + + + M1A + Memory 1 address (used in case of Double + buffer mode) + 0 + 32 + + + + + S7FCR + S7FCR + stream x FIFO control register + 0xCC + 0x20 + 0x00000021 + + + FEIE + FIFO error interrupt + enable + 7 + 1 + read-write + + + FS + FIFO status + 3 + 3 + read-only + + + DMDIS + Direct mode disable + 2 + 1 + read-write + + + FTH + FIFO threshold selection + 0 + 2 + read-write + + + + + + + DMA2 + 0x40020400 + + DMA_STR2 + DMA1 Stream2 + 13 + + + DMA_STR3 + DMA1 Stream3 + 14 + + + DMA_STR4 + DMA1 Stream4 + 15 + + + DMA_STR5 + DMA1 Stream5 + 16 + + + DMA_STR6 + DMA1 Stream6 + 17 + + + DMA2_STR0 + DMA2 Stream0 interrupt + 56 + + + DMA2_STR1 + DMA2 Stream1 interrupt + 57 + + + DMA2_STR2 + DMA2 Stream2 interrupt + 58 + + + DMA2_STR3 + DMA2 Stream3 interrupt + 59 + + + DMA2_STR4 + DMA2 Stream4 interrupt + 60 + + + DMA2_STR5 + DMA2 Stream5 interrupt + 68 + + + DMA2_STR6 + DMA2 Stream6 interrupt + 69 + + + DMA2_STR7 + DMA2 Stream7 interrupt + 70 + + + + HRTIM_Master + High Resolution Timer: Master + Timers + HRTIM + 0x40017400 + + 0x0 + 0x80 + registers + + + + MCR + MCR + Master Timer Control Register + 0x0 + 0x20 + read-write + 0x00000000 + + + BRSTDMA + Burst DMA Update + 30 + 2 + + + MREPU + Master Timer Repetition + update + 29 + 1 + + + PREEN + Preload enable + 27 + 1 + + + DACSYNC + AC Synchronization + 25 + 2 + + + TECEN + Timer E counter enable + 21 + 1 + + + TDCEN + Timer D counter enable + 20 + 1 + + + TCCEN + Timer C counter enable + 19 + 1 + + + TBCEN + Timer B counter enable + 18 + 1 + + + TACEN + Timer A counter enable + 17 + 1 + + + MCEN + Master Counter enable + 16 + 1 + + + SYNC_SRC + Synchronization source + 14 + 2 + + + SYNC_OUT + Synchronization output + 12 + 2 + + + SYNCSTRTM + Synchronization Starts + Master + 11 + 1 + + + SYNCRSTM + Synchronization Resets + Master + 10 + 1 + + + SYNC_IN + ynchronization input + 8 + 2 + + + HALF + Half mode enable + 5 + 1 + + + RETRIG + Master Re-triggerable mode + 4 + 1 + + + CONT + Master Continuous mode + 3 + 1 + + + CK_PSC + HRTIM Master Clock + prescaler + 0 + 3 + + + + + MISR + MISR + Master Timer Interrupt Status + Register + 0x4 + 0x20 + read-only + 0x00000000 + + + MUPD + Master Update Interrupt + Flag + 6 + 1 + + + SYNC + Sync Input Interrupt Flag + 5 + 1 + + + MREP + Master Repetition Interrupt + Flag + 4 + 1 + + + MCMP4 + Master Compare 4 Interrupt + Flag + 3 + 1 + + + MCMP3 + Master Compare 3 Interrupt + Flag + 2 + 1 + + + MCMP2 + Master Compare 2 Interrupt + Flag + 1 + 1 + + + MCMP1 + Master Compare 1 Interrupt + Flag + 0 + 1 + + + + + MICR + MICR + Master Timer Interrupt Clear + Register + 0x8 + 0x20 + write-only + 0x00000000 + + + MUPDC + Master update Interrupt flag + clear + 6 + 1 + + + SYNCC + Sync Input Interrupt flag + clear + 5 + 1 + + + MREPC + Repetition Interrupt flag + clear + 4 + 1 + + + MCMP4C + Master Compare 4 Interrupt flag + clear + 3 + 1 + + + MCMP3C + Master Compare 3 Interrupt flag + clear + 2 + 1 + + + MCMP2C + Master Compare 2 Interrupt flag + clear + 1 + 1 + + + MCMP1C + Master Compare 1 Interrupt flag + clear + 0 + 1 + + + + + MDIER4 + MDIER4 + MDIER4 + 0xC + 0x20 + read-write + 0x00000000 + + + MUPDDE + MUPDDE + 22 + 1 + + + SYNCDE + SYNCDE + 21 + 1 + + + MREPDE + MREPDE + 20 + 1 + + + MCMP4DE + MCMP4DE + 19 + 1 + + + MCMP3DE + MCMP3DE + 18 + 1 + + + MCMP2DE + MCMP2DE + 17 + 1 + + + MCMP1DE + MCMP1DE + 16 + 1 + + + MUPDIE + MUPDIE + 6 + 1 + + + SYNCIE + SYNCIE + 5 + 1 + + + MREPIE + MREPIE + 4 + 1 + + + MCMP4IE + MCMP4IE + 3 + 1 + + + MCMP3IE + MCMP3IE + 2 + 1 + + + MCMP2IE + MCMP2IE + 1 + 1 + + + MCMP1IE + MCMP1IE + 0 + 1 + + + + + MCNTR + MCNTR + Master Timer Counter Register + 0x10 + 0x20 + read-write + 0x00000000 + + + MCNT + Counter value + 0 + 16 + + + + + MPER + MPER + Master Timer Period Register + 0x14 + 0x20 + read-write + 0x0000FFFF + + + MPER + Master Timer Period value + 0 + 16 + + + + + MREP + MREP + Master Timer Repetition + Register + 0x18 + 0x20 + read-write + 0x00000000 + + + MREP + Master Timer Repetition counter + value + 0 + 8 + + + + + MCMP1R + MCMP1R + Master Timer Compare 1 + Register + 0x1C + 0x20 + read-write + 0x00000000 + + + MCMP1 + Master Timer Compare 1 + value + 0 + 16 + + + + + MCMP2R + MCMP2R + Master Timer Compare 2 + Register + 0x24 + 0x20 + read-write + 0x00000000 + + + MCMP2 + Master Timer Compare 2 + value + 0 + 16 + + + + + MCMP3R + MCMP3R + Master Timer Compare 3 + Register + 0x28 + 0x20 + read-write + 0x00000000 + + + MCMP3 + Master Timer Compare 3 + value + 0 + 16 + + + + + MCMP4R + MCMP4R + Master Timer Compare 4 + Register + 0x2C + 0x20 + read-write + 0x00000000 + + + MCMP4 + Master Timer Compare 4 + value + 0 + 16 + + + + + + + HRTIM_TIMA + High Resolution Timer: TIMA + HRTIM + 0x40017480 + + 0x0 + 0x80 + registers + + + HRTIM1_MST + HRTIM1 master timer interrupt + 103 + + + HRTIM1_FLT + HRTIM1 fault interrupt + 109 + + + + TIMACR + TIMACR + Timerx Control Register + 0x0 + 0x20 + read-write + 0x00000000 + + + UPDGAT + Update Gating + 28 + 4 + + + PREEN + Preload enable + 27 + 1 + + + DACSYNC + AC Synchronization + 25 + 2 + + + MSTU + Master Timer update + 24 + 1 + + + TEU + TEU + 23 + 1 + + + TDU + TDU + 22 + 1 + + + TCU + TCU + 21 + 1 + + + TBU + TBU + 20 + 1 + + + TxRSTU + Timerx reset update + 18 + 1 + + + TxREPU + Timer x Repetition update + 17 + 1 + + + DELCMP4 + Delayed CMP4 mode + 14 + 2 + + + DELCMP2 + Delayed CMP2 mode + 12 + 2 + + + SYNCSTRTx + Synchronization Starts Timer + x + 11 + 1 + + + SYNCRSTx + Synchronization Resets Timer + x + 10 + 1 + + + PSHPLL + Push-Pull mode enable + 6 + 1 + + + HALF + Half mode enable + 5 + 1 + + + RETRIG + Re-triggerable mode + 4 + 1 + + + CONT + Continuous mode + 3 + 1 + + + CK_PSCx + HRTIM Timer x Clock + prescaler + 0 + 3 + + + + + TIMAISR + TIMAISR + Timerx Interrupt Status + Register + 0x4 + 0x20 + read-only + 0x00000000 + + + O2STAT + Output 2 State + 19 + 1 + + + O1STAT + Output 1 State + 18 + 1 + + + IPPSTAT + Idle Push Pull Status + 17 + 1 + + + CPPSTAT + Current Push Pull Status + 16 + 1 + + + DLYPRT + Delayed Protection Flag + 14 + 1 + + + RST + Reset Interrupt Flag + 13 + 1 + + + RSTx2 + Output 2 Reset Interrupt + Flag + 12 + 1 + + + SETx2 + Output 2 Set Interrupt + Flag + 11 + 1 + + + RSTx1 + Output 1 Reset Interrupt + Flag + 10 + 1 + + + SETx1 + Output 1 Set Interrupt + Flag + 9 + 1 + + + CPT2 + Capture2 Interrupt Flag + 8 + 1 + + + CPT1 + Capture1 Interrupt Flag + 7 + 1 + + + UPD + Update Interrupt Flag + 6 + 1 + + + REP + Repetition Interrupt Flag + 4 + 1 + + + CMP4 + Compare 4 Interrupt Flag + 3 + 1 + + + CMP3 + Compare 3 Interrupt Flag + 2 + 1 + + + CMP2 + Compare 2 Interrupt Flag + 1 + 1 + + + CMP1 + Compare 1 Interrupt Flag + 0 + 1 + + + + + TIMAICR + TIMAICR + Timerx Interrupt Clear + Register + 0x8 + 0x20 + write-only + 0x00000000 + + + DLYPRTC + Delayed Protection Flag + Clear + 14 + 1 + + + RSTC + Reset Interrupt flag Clear + 13 + 1 + + + RSTx2C + Output 2 Reset flag Clear + 12 + 1 + + + SET2xC + Output 2 Set flag Clear + 11 + 1 + + + RSTx1C + Output 1 Reset flag Clear + 10 + 1 + + + SET1xC + Output 1 Set flag Clear + 9 + 1 + + + CPT2C + Capture2 Interrupt flag + Clear + 8 + 1 + + + CPT1C + Capture1 Interrupt flag + Clear + 7 + 1 + + + UPDC + Update Interrupt flag + Clear + 6 + 1 + + + REPC + Repetition Interrupt flag + Clear + 4 + 1 + + + CMP4C + Compare 4 Interrupt flag + Clear + 3 + 1 + + + CMP3C + Compare 3 Interrupt flag + Clear + 2 + 1 + + + CMP2C + Compare 2 Interrupt flag + Clear + 1 + 1 + + + CMP1C + Compare 1 Interrupt flag + Clear + 0 + 1 + + + + + TIMADIER5 + TIMADIER5 + TIMxDIER5 + 0xC + 0x20 + read-write + 0x00000000 + + + DLYPRTDE + DLYPRTDE + 30 + 1 + + + RSTDE + RSTDE + 29 + 1 + + + RSTx2DE + RSTx2DE + 28 + 1 + + + SETx2DE + SETx2DE + 27 + 1 + + + RSTx1DE + RSTx1DE + 26 + 1 + + + SET1xDE + SET1xDE + 25 + 1 + + + CPT2DE + CPT2DE + 24 + 1 + + + CPT1DE + CPT1DE + 23 + 1 + + + UPDDE + UPDDE + 22 + 1 + + + REPDE + REPDE + 20 + 1 + + + CMP4DE + CMP4DE + 19 + 1 + + + CMP3DE + CMP3DE + 18 + 1 + + + CMP2DE + CMP2DE + 17 + 1 + + + CMP1DE + CMP1DE + 16 + 1 + + + DLYPRTIE + DLYPRTIE + 14 + 1 + + + RSTIE + RSTIE + 13 + 1 + + + RSTx2IE + RSTx2IE + 12 + 1 + + + SETx2IE + SETx2IE + 11 + 1 + + + RSTx1IE + RSTx1IE + 10 + 1 + + + SET1xIE + SET1xIE + 9 + 1 + + + CPT2IE + CPT2IE + 8 + 1 + + + CPT1IE + CPT1IE + 7 + 1 + + + UPDIE + UPDIE + 6 + 1 + + + REPIE + REPIE + 4 + 1 + + + CMP4IE + CMP4IE + 3 + 1 + + + CMP3IE + CMP3IE + 2 + 1 + + + CMP2IE + CMP2IE + 1 + 1 + + + CMP1IE + CMP1IE + 0 + 1 + + + + + CNTAR + CNTAR + Timerx Counter Register + 0x10 + 0x20 + read-write + 0x00000000 + + + CNTx + Timerx Counter value + 0 + 16 + + + + + PERAR + PERAR + Timerx Period Register + 0x14 + 0x20 + read-write + 0x0000FFFF + + + PERx + Timerx Period value + 0 + 16 + + + + + REPAR + REPAR + Timerx Repetition Register + 0x18 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition counter + value + 0 + 8 + + + + + CMP1AR + CMP1AR + Timerx Compare 1 Register + 0x1C + 0x20 + read-write + 0x00000000 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP1CAR + CMP1CAR + Timerx Compare 1 Compound + Register + 0x20 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition value (aliased from + HRTIM_REPx register) + 16 + 8 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP2AR + CMP2AR + Timerx Compare 2 Register + 0x24 + 0x20 + read-write + 0x00000000 + + + CMP2x + Timerx Compare 2 value + 0 + 16 + + + + + CMP3AR + CMP3AR + Timerx Compare 3 Register + 0x28 + 0x20 + read-write + 0x00000000 + + + CMP3x + Timerx Compare 3 value + 0 + 16 + + + + + CMP4AR + CMP4AR + Timerx Compare 4 Register + 0x2C + 0x20 + read-write + 0x00000000 + + + CMP4x + Timerx Compare 4 value + 0 + 16 + + + + + CPT1AR + CPT1AR + Timerx Capture 1 Register + 0x30 + 0x20 + read-only + 0x00000000 + + + CPT1x + Timerx Capture 1 value + 0 + 16 + + + + + CPT2AR + CPT2AR + Timerx Capture 2 Register + 0x34 + 0x20 + read-only + 0x00000000 + + + CPT2x + Timerx Capture 2 value + 0 + 16 + + + + + DTAR + DTAR + Timerx Deadtime Register + 0x38 + 0x20 + read-write + 0x00000000 + + + DTFLKx + Deadtime Falling Lock + 31 + 1 + + + DTFSLKx + Deadtime Falling Sign Lock + 30 + 1 + + + SDTFx + Sign Deadtime Falling + value + 25 + 1 + + + DTFx + Deadtime Falling value + 16 + 9 + + + DTRLKx + Deadtime Rising Lock + 15 + 1 + + + DTRSLKx + Deadtime Rising Sign Lock + 14 + 1 + + + DTPRSC + Deadtime Prescaler + 10 + 3 + + + SDTRx + Sign Deadtime Rising value + 9 + 1 + + + DTRx + Deadtime Rising value + 0 + 9 + + + + + SETA1R + SETA1R + Timerx Output1 Set Register + 0x3C + 0x20 + read-write + 0x00000000 + + + UPDATE + Registers update (transfer preload to + active) + 31 + 1 + + + EXTEVNT10 + External Event 10 + 30 + 1 + + + EXTEVNT9 + External Event 9 + 29 + 1 + + + EXTEVNT8 + External Event 8 + 28 + 1 + + + EXTEVNT7 + External Event 7 + 27 + 1 + + + EXTEVNT6 + External Event 6 + 26 + 1 + + + EXTEVNT5 + External Event 5 + 25 + 1 + + + EXTEVNT4 + External Event 4 + 24 + 1 + + + EXTEVNT3 + External Event 3 + 23 + 1 + + + EXTEVNT2 + External Event 2 + 22 + 1 + + + EXTEVNT1 + External Event 1 + 21 + 1 + + + TIMEVNT9 + Timer Event 9 + 20 + 1 + + + TIMEVNT8 + Timer Event 8 + 19 + 1 + + + TIMEVNT7 + Timer Event 7 + 18 + 1 + + + TIMEVNT6 + Timer Event 6 + 17 + 1 + + + TIMEVNT5 + Timer Event 5 + 16 + 1 + + + TIMEVNT4 + Timer Event 4 + 15 + 1 + + + TIMEVNT3 + Timer Event 3 + 14 + 1 + + + TIMEVNT2 + Timer Event 2 + 13 + 1 + + + TIMEVNT1 + Timer Event 1 + 12 + 1 + + + MSTCMP4 + Master Compare 4 + 11 + 1 + + + MSTCMP3 + Master Compare 3 + 10 + 1 + + + MSTCMP2 + Master Compare 2 + 9 + 1 + + + MSTCMP1 + Master Compare 1 + 8 + 1 + + + MSTPER + Master Period + 7 + 1 + + + CMP4 + Timer A compare 4 + 6 + 1 + + + CMP3 + Timer A compare 3 + 5 + 1 + + + CMP2 + Timer A compare 2 + 4 + 1 + + + CMP1 + Timer A compare 1 + 3 + 1 + + + PER + Timer A Period + 2 + 1 + + + RESYNC + Timer A resynchronizaton + 1 + 1 + + + SST + Software Set trigger + 0 + 1 + + + + + RSTA1R + RSTA1R + Timerx Output1 Reset Register + 0x40 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + SETA2R + SETA2R + Timerx Output2 Set Register + 0x44 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SST + SST + 0 + 1 + + + + + RSTA2R + RSTA2R + Timerx Output2 Reset Register + 0x48 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + EEFAR1 + EEFAR1 + Timerx External Event Filtering Register + 1 + 0x4C + 0x20 + read-write + 0x00000000 + + + EE5FLTR + External Event 5 filter + 25 + 4 + + + EE5LTCH + External Event 5 latch + 24 + 1 + + + EE4FLTR + External Event 4 filter + 19 + 4 + + + EE4LTCH + External Event 4 latch + 18 + 1 + + + EE3FLTR + External Event 3 filter + 13 + 4 + + + EE3LTCH + External Event 3 latch + 12 + 1 + + + EE2FLTR + External Event 2 filter + 7 + 4 + + + EE2LTCH + External Event 2 latch + 6 + 1 + + + EE1FLTR + External Event 1 filter + 1 + 4 + + + EE1LTCH + External Event 1 latch + 0 + 1 + + + + + EEFAR2 + EEFAR2 + Timerx External Event Filtering Register + 2 + 0x50 + 0x20 + read-write + 0x00000000 + + + EE10FLTR + External Event 10 filter + 25 + 4 + + + EE10LTCH + External Event 10 latch + 24 + 1 + + + EE9FLTR + External Event 9 filter + 19 + 4 + + + EE9LTCH + External Event 9 latch + 18 + 1 + + + EE8FLTR + External Event 8 filter + 13 + 4 + + + EE8LTCH + External Event 8 latch + 12 + 1 + + + EE7FLTR + External Event 7 filter + 7 + 4 + + + EE7LTCH + External Event 7 latch + 6 + 1 + + + EE6FLTR + External Event 6 filter + 1 + 4 + + + EE6LTCH + External Event 6 latch + 0 + 1 + + + + + RSTAR + RSTAR + TimerA Reset Register + 0x54 + 0x20 + read-write + 0x00000000 + + + TIMECMP4 + Timer E Compare 4 + 30 + 1 + + + TIMECMP2 + Timer E Compare 2 + 29 + 1 + + + TIMECMP1 + Timer E Compare 1 + 28 + 1 + + + TIMDCMP4 + Timer D Compare 4 + 27 + 1 + + + TIMDCMP2 + Timer D Compare 2 + 26 + 1 + + + TIMDCMP1 + Timer D Compare 1 + 25 + 1 + + + TIMCCMP4 + Timer C Compare 4 + 24 + 1 + + + TIMCCMP2 + Timer C Compare 2 + 23 + 1 + + + TIMCCMP1 + Timer C Compare 1 + 22 + 1 + + + TIMBCMP4 + Timer B Compare 4 + 21 + 1 + + + TIMBCMP2 + Timer B Compare 2 + 20 + 1 + + + TIMBCMP1 + Timer B Compare 1 + 19 + 1 + + + EXTEVNT10 + External Event 10 + 18 + 1 + + + EXTEVNT9 + External Event 9 + 17 + 1 + + + EXTEVNT8 + External Event 8 + 16 + 1 + + + EXTEVNT7 + External Event 7 + 15 + 1 + + + EXTEVNT6 + External Event 6 + 14 + 1 + + + EXTEVNT5 + External Event 5 + 13 + 1 + + + EXTEVNT4 + External Event 4 + 12 + 1 + + + EXTEVNT3 + External Event 3 + 11 + 1 + + + EXTEVNT2 + External Event 2 + 10 + 1 + + + EXTEVNT1 + External Event 1 + 9 + 1 + + + MSTCMP4 + Master compare 4 + 8 + 1 + + + MSTCMP3 + Master compare 3 + 7 + 1 + + + MSTCMP2 + Master compare 2 + 6 + 1 + + + MSTCMP1 + Master compare 1 + 5 + 1 + + + MSTPER + Master timer Period + 4 + 1 + + + CMP4 + Timer A compare 4 reset + 3 + 1 + + + CMP2 + Timer A compare 2 reset + 2 + 1 + + + UPDT + Timer A Update reset + 1 + 1 + + + + + CHPAR + CHPAR + Timerx Chopper Register + 0x58 + 0x20 + read-write + 0x00000000 + + + STRTPW + STRTPW + 7 + 4 + + + CHPDTY + Timerx chopper duty cycle + value + 4 + 3 + + + CHPFRQ + Timerx carrier frequency + value + 0 + 4 + + + + + CPT1ACR + CPT1ACR + Timerx Capture 2 Control + Register + 0x5C + 0x20 + read-write + 0x00000000 + + + TECMP2 + Timer E Compare 2 + 31 + 1 + + + TECMP1 + Timer E Compare 1 + 30 + 1 + + + TE1RST + Timer E output 1 Reset + 29 + 1 + + + TE1SET + Timer E output 1 Set + 28 + 1 + + + TDCMP2 + Timer D Compare 2 + 27 + 1 + + + TDCMP1 + Timer D Compare 1 + 26 + 1 + + + TD1RST + Timer D output 1 Reset + 25 + 1 + + + TD1SET + Timer D output 1 Set + 24 + 1 + + + TCCMP2 + Timer C Compare 2 + 23 + 1 + + + TCCMP1 + Timer C Compare 1 + 22 + 1 + + + TC1RST + Timer C output 1 Reset + 21 + 1 + + + TC1SET + Timer C output 1 Set + 20 + 1 + + + TBCMP2 + Timer B Compare 2 + 19 + 1 + + + TBCMP1 + Timer B Compare 1 + 18 + 1 + + + TB1RST + Timer B output 1 Reset + 17 + 1 + + + TB1SET + Timer B output 1 Set + 16 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + CPT2ACR + CPT2ACR + CPT2xCR + 0x60 + 0x20 + read-write + 0x00000000 + + + TECMP2 + Timer E Compare 2 + 31 + 1 + + + TECMP1 + Timer E Compare 1 + 30 + 1 + + + TE1RST + Timer E output 1 Reset + 29 + 1 + + + TE1SET + Timer E output 1 Set + 28 + 1 + + + TDCMP2 + Timer D Compare 2 + 27 + 1 + + + TDCMP1 + Timer D Compare 1 + 26 + 1 + + + TD1RST + Timer D output 1 Reset + 25 + 1 + + + TD1SET + Timer D output 1 Set + 24 + 1 + + + TCCMP2 + Timer C Compare 2 + 23 + 1 + + + TCCMP1 + Timer C Compare 1 + 22 + 1 + + + TC1RST + Timer C output 1 Reset + 21 + 1 + + + TC1SET + Timer C output 1 Set + 20 + 1 + + + TBCMP2 + Timer B Compare 2 + 19 + 1 + + + TBCMP1 + Timer B Compare 1 + 18 + 1 + + + TB1RST + Timer B output 1 Reset + 17 + 1 + + + TB1SET + Timer B output 1 Set + 16 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + OUTAR + OUTAR + Timerx Output Register + 0x64 + 0x20 + read-write + 0x00000000 + + + DIDL2 + Output 2 Deadtime upon burst mode Idle + entry + 23 + 1 + + + CHP2 + Output 2 Chopper enable + 22 + 1 + + + FAULT2 + Output 2 Fault state + 20 + 2 + + + IDLES2 + Output 2 Idle State + 19 + 1 + + + IDLEM2 + Output 2 Idle mode + 18 + 1 + + + POL2 + Output 2 polarity + 17 + 1 + + + DLYPRT + Delayed Protection + 10 + 3 + + + DLYPRTEN + Delayed Protection Enable + 9 + 1 + + + DTEN + Deadtime enable + 8 + 1 + + + DIDL1 + Output 1 Deadtime upon burst mode Idle + entry + 7 + 1 + + + CHP1 + Output 1 Chopper enable + 6 + 1 + + + FAULT1 + Output 1 Fault state + 4 + 2 + + + IDLES1 + Output 1 Idle State + 3 + 1 + + + IDLEM1 + Output 1 Idle mode + 2 + 1 + + + POL1 + Output 1 polarity + 1 + 1 + + + + + FLTAR + FLTAR + Timerx Fault Register + 0x68 + 0x20 + read-write + 0x00000000 + + + FLTLCK + Fault sources Lock + 31 + 1 + + + FLT5EN + Fault 5 enable + 4 + 1 + + + FLT4EN + Fault 4 enable + 3 + 1 + + + FLT3EN + Fault 3 enable + 2 + 1 + + + FLT2EN + Fault 2 enable + 1 + 1 + + + FLT1EN + Fault 1 enable + 0 + 1 + + + + + + + HRTIM_TIMB + High Resolution Timer: TIMB + HRTIM + 0x40017500 + + 0x0 + 0x80 + registers + + + HRTIM1_TIMA + HRTIM1 timer A interrupt + 104 + + + + TIMBCR + TIMBCR + Timerx Control Register + 0x0 + 0x20 + read-write + 0x00000000 + + + UPDGAT + Update Gating + 28 + 4 + + + PREEN + Preload enable + 27 + 1 + + + DACSYNC + AC Synchronization + 25 + 2 + + + MSTU + Master Timer update + 24 + 1 + + + TEU + TEU + 23 + 1 + + + TDU + TDU + 22 + 1 + + + TCU + TCU + 21 + 1 + + + TBU + TBU + 20 + 1 + + + TxRSTU + Timerx reset update + 18 + 1 + + + TxREPU + Timer x Repetition update + 17 + 1 + + + DELCMP4 + Delayed CMP4 mode + 14 + 2 + + + DELCMP2 + Delayed CMP2 mode + 12 + 2 + + + SYNCSTRTx + Synchronization Starts Timer + x + 11 + 1 + + + SYNCRSTx + Synchronization Resets Timer + x + 10 + 1 + + + PSHPLL + Push-Pull mode enable + 6 + 1 + + + HALF + Half mode enable + 5 + 1 + + + RETRIG + Re-triggerable mode + 4 + 1 + + + CONT + Continuous mode + 3 + 1 + + + CK_PSCx + HRTIM Timer x Clock + prescaler + 0 + 3 + + + + + TIMBISR + TIMBISR + Timerx Interrupt Status + Register + 0x4 + 0x20 + read-only + 0x00000000 + + + O2STAT + Output 2 State + 19 + 1 + + + O1STAT + Output 1 State + 18 + 1 + + + IPPSTAT + Idle Push Pull Status + 17 + 1 + + + CPPSTAT + Current Push Pull Status + 16 + 1 + + + DLYPRT + Delayed Protection Flag + 14 + 1 + + + RST + Reset Interrupt Flag + 13 + 1 + + + RSTx2 + Output 2 Reset Interrupt + Flag + 12 + 1 + + + SETx2 + Output 2 Set Interrupt + Flag + 11 + 1 + + + RSTx1 + Output 1 Reset Interrupt + Flag + 10 + 1 + + + SETx1 + Output 1 Set Interrupt + Flag + 9 + 1 + + + CPT2 + Capture2 Interrupt Flag + 8 + 1 + + + CPT1 + Capture1 Interrupt Flag + 7 + 1 + + + UPD + Update Interrupt Flag + 6 + 1 + + + REP + Repetition Interrupt Flag + 4 + 1 + + + CMP4 + Compare 4 Interrupt Flag + 3 + 1 + + + CMP3 + Compare 3 Interrupt Flag + 2 + 1 + + + CMP2 + Compare 2 Interrupt Flag + 1 + 1 + + + CMP1 + Compare 1 Interrupt Flag + 0 + 1 + + + + + TIMBICR + TIMBICR + Timerx Interrupt Clear + Register + 0x8 + 0x20 + write-only + 0x00000000 + + + DLYPRTC + Delayed Protection Flag + Clear + 14 + 1 + + + RSTC + Reset Interrupt flag Clear + 13 + 1 + + + RSTx2C + Output 2 Reset flag Clear + 12 + 1 + + + SET2xC + Output 2 Set flag Clear + 11 + 1 + + + RSTx1C + Output 1 Reset flag Clear + 10 + 1 + + + SET1xC + Output 1 Set flag Clear + 9 + 1 + + + CPT2C + Capture2 Interrupt flag + Clear + 8 + 1 + + + CPT1C + Capture1 Interrupt flag + Clear + 7 + 1 + + + UPDC + Update Interrupt flag + Clear + 6 + 1 + + + REPC + Repetition Interrupt flag + Clear + 4 + 1 + + + CMP4C + Compare 4 Interrupt flag + Clear + 3 + 1 + + + CMP3C + Compare 3 Interrupt flag + Clear + 2 + 1 + + + CMP2C + Compare 2 Interrupt flag + Clear + 1 + 1 + + + CMP1C + Compare 1 Interrupt flag + Clear + 0 + 1 + + + + + TIMBDIER5 + TIMBDIER5 + TIMxDIER5 + 0xC + 0x20 + read-write + 0x00000000 + + + DLYPRTDE + DLYPRTDE + 30 + 1 + + + RSTDE + RSTDE + 29 + 1 + + + RSTx2DE + RSTx2DE + 28 + 1 + + + SETx2DE + SETx2DE + 27 + 1 + + + RSTx1DE + RSTx1DE + 26 + 1 + + + SET1xDE + SET1xDE + 25 + 1 + + + CPT2DE + CPT2DE + 24 + 1 + + + CPT1DE + CPT1DE + 23 + 1 + + + UPDDE + UPDDE + 22 + 1 + + + REPDE + REPDE + 20 + 1 + + + CMP4DE + CMP4DE + 19 + 1 + + + CMP3DE + CMP3DE + 18 + 1 + + + CMP2DE + CMP2DE + 17 + 1 + + + CMP1DE + CMP1DE + 16 + 1 + + + DLYPRTIE + DLYPRTIE + 14 + 1 + + + RSTIE + RSTIE + 13 + 1 + + + RSTx2IE + RSTx2IE + 12 + 1 + + + SETx2IE + SETx2IE + 11 + 1 + + + RSTx1IE + RSTx1IE + 10 + 1 + + + SET1xIE + SET1xIE + 9 + 1 + + + CPT2IE + CPT2IE + 8 + 1 + + + CPT1IE + CPT1IE + 7 + 1 + + + UPDIE + UPDIE + 6 + 1 + + + REPIE + REPIE + 4 + 1 + + + CMP4IE + CMP4IE + 3 + 1 + + + CMP3IE + CMP3IE + 2 + 1 + + + CMP2IE + CMP2IE + 1 + 1 + + + CMP1IE + CMP1IE + 0 + 1 + + + + + CNTR + CNTR + Timerx Counter Register + 0x10 + 0x20 + read-write + 0x00000000 + + + CNTx + Timerx Counter value + 0 + 16 + + + + + PERBR + PERBR + Timerx Period Register + 0x14 + 0x20 + read-write + 0x0000FFFF + + + PERx + Timerx Period value + 0 + 16 + + + + + REPBR + REPBR + Timerx Repetition Register + 0x18 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition counter + value + 0 + 8 + + + + + CMP1BR + CMP1BR + Timerx Compare 1 Register + 0x1C + 0x20 + read-write + 0x00000000 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP1CBR + CMP1CBR + Timerx Compare 1 Compound + Register + 0x20 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition value (aliased from + HRTIM_REPx register) + 16 + 8 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP2BR + CMP2BR + Timerx Compare 2 Register + 0x24 + 0x20 + read-write + 0x00000000 + + + CMP2x + Timerx Compare 2 value + 0 + 16 + + + + + CMP3BR + CMP3BR + Timerx Compare 3 Register + 0x28 + 0x20 + read-write + 0x00000000 + + + CMP3x + Timerx Compare 3 value + 0 + 16 + + + + + CMP4BR + CMP4BR + Timerx Compare 4 Register + 0x2C + 0x20 + read-write + 0x00000000 + + + CMP4x + Timerx Compare 4 value + 0 + 16 + + + + + CPT1BR + CPT1BR + Timerx Capture 1 Register + 0x30 + 0x20 + read-only + 0x00000000 + + + CPT1x + Timerx Capture 1 value + 0 + 16 + + + + + CPT2BR + CPT2BR + Timerx Capture 2 Register + 0x34 + 0x20 + read-only + 0x00000000 + + + CPT2x + Timerx Capture 2 value + 0 + 16 + + + + + DTBR + DTBR + Timerx Deadtime Register + 0x38 + 0x20 + read-write + 0x00000000 + + + DTFLKx + Deadtime Falling Lock + 31 + 1 + + + DTFSLKx + Deadtime Falling Sign Lock + 30 + 1 + + + SDTFx + Sign Deadtime Falling + value + 25 + 1 + + + DTFx + Deadtime Falling value + 16 + 9 + + + DTRLKx + Deadtime Rising Lock + 15 + 1 + + + DTRSLKx + Deadtime Rising Sign Lock + 14 + 1 + + + DTPRSC + Deadtime Prescaler + 10 + 3 + + + SDTRx + Sign Deadtime Rising value + 9 + 1 + + + DTRx + Deadtime Rising value + 0 + 9 + + + + + SETB1R + SETB1R + Timerx Output1 Set Register + 0x3C + 0x20 + read-write + 0x00000000 + + + UPDATE + Registers update (transfer preload to + active) + 31 + 1 + + + EXTEVNT10 + External Event 10 + 30 + 1 + + + EXTEVNT9 + External Event 9 + 29 + 1 + + + EXTEVNT8 + External Event 8 + 28 + 1 + + + EXTEVNT7 + External Event 7 + 27 + 1 + + + EXTEVNT6 + External Event 6 + 26 + 1 + + + EXTEVNT5 + External Event 5 + 25 + 1 + + + EXTEVNT4 + External Event 4 + 24 + 1 + + + EXTEVNT3 + External Event 3 + 23 + 1 + + + EXTEVNT2 + External Event 2 + 22 + 1 + + + EXTEVNT1 + External Event 1 + 21 + 1 + + + TIMEVNT9 + Timer Event 9 + 20 + 1 + + + TIMEVNT8 + Timer Event 8 + 19 + 1 + + + TIMEVNT7 + Timer Event 7 + 18 + 1 + + + TIMEVNT6 + Timer Event 6 + 17 + 1 + + + TIMEVNT5 + Timer Event 5 + 16 + 1 + + + TIMEVNT4 + Timer Event 4 + 15 + 1 + + + TIMEVNT3 + Timer Event 3 + 14 + 1 + + + TIMEVNT2 + Timer Event 2 + 13 + 1 + + + TIMEVNT1 + Timer Event 1 + 12 + 1 + + + MSTCMP4 + Master Compare 4 + 11 + 1 + + + MSTCMP3 + Master Compare 3 + 10 + 1 + + + MSTCMP2 + Master Compare 2 + 9 + 1 + + + MSTCMP1 + Master Compare 1 + 8 + 1 + + + MSTPER + Master Period + 7 + 1 + + + CMP4 + Timer A compare 4 + 6 + 1 + + + CMP3 + Timer A compare 3 + 5 + 1 + + + CMP2 + Timer A compare 2 + 4 + 1 + + + CMP1 + Timer A compare 1 + 3 + 1 + + + PER + Timer A Period + 2 + 1 + + + RESYNC + Timer A resynchronizaton + 1 + 1 + + + SST + Software Set trigger + 0 + 1 + + + + + RSTB1R + RSTB1R + Timerx Output1 Reset Register + 0x40 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + SETB2R + SETB2R + Timerx Output2 Set Register + 0x44 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SST + SST + 0 + 1 + + + + + RSTB2R + RSTB2R + Timerx Output2 Reset Register + 0x48 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + EEFBR1 + EEFBR1 + Timerx External Event Filtering Register + 1 + 0x4C + 0x20 + read-write + 0x00000000 + + + EE5FLTR + External Event 5 filter + 25 + 4 + + + EE5LTCH + External Event 5 latch + 24 + 1 + + + EE4FLTR + External Event 4 filter + 19 + 4 + + + EE4LTCH + External Event 4 latch + 18 + 1 + + + EE3FLTR + External Event 3 filter + 13 + 4 + + + EE3LTCH + External Event 3 latch + 12 + 1 + + + EE2FLTR + External Event 2 filter + 7 + 4 + + + EE2LTCH + External Event 2 latch + 6 + 1 + + + EE1FLTR + External Event 1 filter + 1 + 4 + + + EE1LTCH + External Event 1 latch + 0 + 1 + + + + + EEFBR2 + EEFBR2 + Timerx External Event Filtering Register + 2 + 0x50 + 0x20 + read-write + 0x00000000 + + + EE10FLTR + External Event 10 filter + 25 + 4 + + + EE10LTCH + External Event 10 latch + 24 + 1 + + + EE9FLTR + External Event 9 filter + 19 + 4 + + + EE9LTCH + External Event 9 latch + 18 + 1 + + + EE8FLTR + External Event 8 filter + 13 + 4 + + + EE8LTCH + External Event 8 latch + 12 + 1 + + + EE7FLTR + External Event 7 filter + 7 + 4 + + + EE7LTCH + External Event 7 latch + 6 + 1 + + + EE6FLTR + External Event 6 filter + 1 + 4 + + + EE6LTCH + External Event 6 latch + 0 + 1 + + + + + RSTBR + RSTBR + TimerA Reset Register + 0x54 + 0x20 + read-write + 0x00000000 + + + TIMECMP4 + Timer E Compare 4 + 30 + 1 + + + TIMECMP2 + Timer E Compare 2 + 29 + 1 + + + TIMECMP1 + Timer E Compare 1 + 28 + 1 + + + TIMDCMP4 + Timer D Compare 4 + 27 + 1 + + + TIMDCMP2 + Timer D Compare 2 + 26 + 1 + + + TIMDCMP1 + Timer D Compare 1 + 25 + 1 + + + TIMCCMP4 + Timer C Compare 4 + 24 + 1 + + + TIMCCMP2 + Timer C Compare 2 + 23 + 1 + + + TIMCCMP1 + Timer C Compare 1 + 22 + 1 + + + TIMACMP4 + Timer A Compare 4 + 21 + 1 + + + TIMACMP2 + Timer A Compare 2 + 20 + 1 + + + TIMACMP1 + Timer A Compare 1 + 19 + 1 + + + EXTEVNT10 + External Event 10 + 18 + 1 + + + EXTEVNT9 + External Event 9 + 17 + 1 + + + EXTEVNT8 + External Event 8 + 16 + 1 + + + EXTEVNT7 + External Event 7 + 15 + 1 + + + EXTEVNT6 + External Event 6 + 14 + 1 + + + EXTEVNT5 + External Event 5 + 13 + 1 + + + EXTEVNT4 + External Event 4 + 12 + 1 + + + EXTEVNT3 + External Event 3 + 11 + 1 + + + EXTEVNT2 + External Event 2 + 10 + 1 + + + EXTEVNT1 + External Event 1 + 9 + 1 + + + MSTCMP4 + Master compare 4 + 8 + 1 + + + MSTCMP3 + Master compare 3 + 7 + 1 + + + MSTCMP2 + Master compare 2 + 6 + 1 + + + MSTCMP1 + Master compare 1 + 5 + 1 + + + MSTPER + Master timer Period + 4 + 1 + + + CMP4 + Timer A compare 4 reset + 3 + 1 + + + CMP2 + Timer A compare 2 reset + 2 + 1 + + + UPDT + Timer A Update reset + 1 + 1 + + + + + CHPBR + CHPBR + Timerx Chopper Register + 0x58 + 0x20 + read-write + 0x00000000 + + + STRTPW + STRTPW + 7 + 4 + + + CHPDTY + Timerx chopper duty cycle + value + 4 + 3 + + + CHPFRQ + Timerx carrier frequency + value + 0 + 4 + + + + + CPT1BCR + CPT1BCR + Timerx Capture 2 Control + Register + 0x5C + 0x20 + read-write + 0x00000000 + + + TECMP2 + Timer E Compare 2 + 31 + 1 + + + TECMP1 + Timer E Compare 1 + 30 + 1 + + + TE1RST + Timer E output 1 Reset + 29 + 1 + + + TE1SET + Timer E output 1 Set + 28 + 1 + + + TDCMP2 + Timer D Compare 2 + 27 + 1 + + + TDCMP1 + Timer D Compare 1 + 26 + 1 + + + TD1RST + Timer D output 1 Reset + 25 + 1 + + + TD1SET + Timer D output 1 Set + 24 + 1 + + + TCCMP2 + Timer C Compare 2 + 23 + 1 + + + TCCMP1 + Timer C Compare 1 + 22 + 1 + + + TC1RST + Timer C output 1 Reset + 21 + 1 + + + TC1SET + Timer C output 1 Set + 20 + 1 + + + TACMP2 + Timer A Compare 2 + 15 + 1 + + + TACMP1 + Timer A Compare 1 + 14 + 1 + + + TA1RST + Timer A output 1 Reset + 13 + 1 + + + TA1SET + Timer A output 1 Set + 12 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + CPT2BCR + CPT2BCR + CPT2xCR + 0x60 + 0x20 + read-write + 0x00000000 + + + TECMP2 + Timer E Compare 2 + 31 + 1 + + + TECMP1 + Timer E Compare 1 + 30 + 1 + + + TE1RST + Timer E output 1 Reset + 29 + 1 + + + TE1SET + Timer E output 1 Set + 28 + 1 + + + TDCMP2 + Timer D Compare 2 + 27 + 1 + + + TDCMP1 + Timer D Compare 1 + 26 + 1 + + + TD1RST + Timer D output 1 Reset + 25 + 1 + + + TD1SET + Timer D output 1 Set + 24 + 1 + + + TCCMP2 + Timer C Compare 2 + 23 + 1 + + + TCCMP1 + Timer C Compare 1 + 22 + 1 + + + TC1RST + Timer C output 1 Reset + 21 + 1 + + + TC1SET + Timer C output 1 Set + 20 + 1 + + + TACMP2 + Timer A Compare 2 + 15 + 1 + + + TACMP1 + Timer A Compare 1 + 14 + 1 + + + TA1RST + Timer A output 1 Reset + 13 + 1 + + + TA1SET + Timer A output 1 Set + 12 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + OUTBR + OUTBR + Timerx Output Register + 0x64 + 0x20 + read-write + 0x00000000 + + + DIDL2 + Output 2 Deadtime upon burst mode Idle + entry + 23 + 1 + + + CHP2 + Output 2 Chopper enable + 22 + 1 + + + FAULT2 + Output 2 Fault state + 20 + 2 + + + IDLES2 + Output 2 Idle State + 19 + 1 + + + IDLEM2 + Output 2 Idle mode + 18 + 1 + + + POL2 + Output 2 polarity + 17 + 1 + + + DLYPRT + Delayed Protection + 10 + 3 + + + DLYPRTEN + Delayed Protection Enable + 9 + 1 + + + DTEN + Deadtime enable + 8 + 1 + + + DIDL1 + Output 1 Deadtime upon burst mode Idle + entry + 7 + 1 + + + CHP1 + Output 1 Chopper enable + 6 + 1 + + + FAULT1 + Output 1 Fault state + 4 + 2 + + + IDLES1 + Output 1 Idle State + 3 + 1 + + + IDLEM1 + Output 1 Idle mode + 2 + 1 + + + POL1 + Output 1 polarity + 1 + 1 + + + + + FLTBR + FLTBR + Timerx Fault Register + 0x68 + 0x20 + read-write + 0x00000000 + + + FLTLCK + Fault sources Lock + 31 + 1 + + + FLT5EN + Fault 5 enable + 4 + 1 + + + FLT4EN + Fault 4 enable + 3 + 1 + + + FLT3EN + Fault 3 enable + 2 + 1 + + + FLT2EN + Fault 2 enable + 1 + 1 + + + FLT1EN + Fault 1 enable + 0 + 1 + + + + + + + HRTIM_TIMC + High Resolution Timer: TIMC + HRTIM + 0x40017580 + + 0x0 + 0x80 + registers + + + HRTIM_TIMB + HRTIM1 timer B interrupt + 105 + + + + TIMCCR + TIMCCR + Timerx Control Register + 0x0 + 0x20 + read-write + 0x00000000 + + + UPDGAT + Update Gating + 28 + 4 + + + PREEN + Preload enable + 27 + 1 + + + DACSYNC + AC Synchronization + 25 + 2 + + + MSTU + Master Timer update + 24 + 1 + + + TEU + TEU + 23 + 1 + + + TDU + TDU + 22 + 1 + + + TCU + TCU + 21 + 1 + + + TBU + TBU + 20 + 1 + + + TxRSTU + Timerx reset update + 18 + 1 + + + TxREPU + Timer x Repetition update + 17 + 1 + + + DELCMP4 + Delayed CMP4 mode + 14 + 2 + + + DELCMP2 + Delayed CMP2 mode + 12 + 2 + + + SYNCSTRTx + Synchronization Starts Timer + x + 11 + 1 + + + SYNCRSTx + Synchronization Resets Timer + x + 10 + 1 + + + PSHPLL + Push-Pull mode enable + 6 + 1 + + + HALF + Half mode enable + 5 + 1 + + + RETRIG + Re-triggerable mode + 4 + 1 + + + CONT + Continuous mode + 3 + 1 + + + CK_PSCx + HRTIM Timer x Clock + prescaler + 0 + 3 + + + + + TIMCISR + TIMCISR + Timerx Interrupt Status + Register + 0x4 + 0x20 + read-only + 0x00000000 + + + O2STAT + Output 2 State + 19 + 1 + + + O1STAT + Output 1 State + 18 + 1 + + + IPPSTAT + Idle Push Pull Status + 17 + 1 + + + CPPSTAT + Current Push Pull Status + 16 + 1 + + + DLYPRT + Delayed Protection Flag + 14 + 1 + + + RST + Reset Interrupt Flag + 13 + 1 + + + RSTx2 + Output 2 Reset Interrupt + Flag + 12 + 1 + + + SETx2 + Output 2 Set Interrupt + Flag + 11 + 1 + + + RSTx1 + Output 1 Reset Interrupt + Flag + 10 + 1 + + + SETx1 + Output 1 Set Interrupt + Flag + 9 + 1 + + + CPT2 + Capture2 Interrupt Flag + 8 + 1 + + + CPT1 + Capture1 Interrupt Flag + 7 + 1 + + + UPD + Update Interrupt Flag + 6 + 1 + + + REP + Repetition Interrupt Flag + 4 + 1 + + + CMP4 + Compare 4 Interrupt Flag + 3 + 1 + + + CMP3 + Compare 3 Interrupt Flag + 2 + 1 + + + CMP2 + Compare 2 Interrupt Flag + 1 + 1 + + + CMP1 + Compare 1 Interrupt Flag + 0 + 1 + + + + + TIMCICR + TIMCICR + Timerx Interrupt Clear + Register + 0x8 + 0x20 + write-only + 0x00000000 + + + DLYPRTC + Delayed Protection Flag + Clear + 14 + 1 + + + RSTC + Reset Interrupt flag Clear + 13 + 1 + + + RSTx2C + Output 2 Reset flag Clear + 12 + 1 + + + SET2xC + Output 2 Set flag Clear + 11 + 1 + + + RSTx1C + Output 1 Reset flag Clear + 10 + 1 + + + SET1xC + Output 1 Set flag Clear + 9 + 1 + + + CPT2C + Capture2 Interrupt flag + Clear + 8 + 1 + + + CPT1C + Capture1 Interrupt flag + Clear + 7 + 1 + + + UPDC + Update Interrupt flag + Clear + 6 + 1 + + + REPC + Repetition Interrupt flag + Clear + 4 + 1 + + + CMP4C + Compare 4 Interrupt flag + Clear + 3 + 1 + + + CMP3C + Compare 3 Interrupt flag + Clear + 2 + 1 + + + CMP2C + Compare 2 Interrupt flag + Clear + 1 + 1 + + + CMP1C + Compare 1 Interrupt flag + Clear + 0 + 1 + + + + + TIMCDIER5 + TIMCDIER5 + TIMxDIER5 + 0xC + 0x20 + read-write + 0x00000000 + + + DLYPRTDE + DLYPRTDE + 30 + 1 + + + RSTDE + RSTDE + 29 + 1 + + + RSTx2DE + RSTx2DE + 28 + 1 + + + SETx2DE + SETx2DE + 27 + 1 + + + RSTx1DE + RSTx1DE + 26 + 1 + + + SET1xDE + SET1xDE + 25 + 1 + + + CPT2DE + CPT2DE + 24 + 1 + + + CPT1DE + CPT1DE + 23 + 1 + + + UPDDE + UPDDE + 22 + 1 + + + REPDE + REPDE + 20 + 1 + + + CMP4DE + CMP4DE + 19 + 1 + + + CMP3DE + CMP3DE + 18 + 1 + + + CMP2DE + CMP2DE + 17 + 1 + + + CMP1DE + CMP1DE + 16 + 1 + + + DLYPRTIE + DLYPRTIE + 14 + 1 + + + RSTIE + RSTIE + 13 + 1 + + + RSTx2IE + RSTx2IE + 12 + 1 + + + SETx2IE + SETx2IE + 11 + 1 + + + RSTx1IE + RSTx1IE + 10 + 1 + + + SET1xIE + SET1xIE + 9 + 1 + + + CPT2IE + CPT2IE + 8 + 1 + + + CPT1IE + CPT1IE + 7 + 1 + + + UPDIE + UPDIE + 6 + 1 + + + REPIE + REPIE + 4 + 1 + + + CMP4IE + CMP4IE + 3 + 1 + + + CMP3IE + CMP3IE + 2 + 1 + + + CMP2IE + CMP2IE + 1 + 1 + + + CMP1IE + CMP1IE + 0 + 1 + + + + + CNTCR + CNTCR + Timerx Counter Register + 0x10 + 0x20 + read-write + 0x00000000 + + + CNTx + Timerx Counter value + 0 + 16 + + + + + PERCR + PERCR + Timerx Period Register + 0x14 + 0x20 + read-write + 0x0000FFFF + + + PERx + Timerx Period value + 0 + 16 + + + + + REPCR + REPCR + Timerx Repetition Register + 0x18 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition counter + value + 0 + 8 + + + + + CMP1CR + CMP1CR + Timerx Compare 1 Register + 0x1C + 0x20 + read-write + 0x00000000 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP1CCR + CMP1CCR + Timerx Compare 1 Compound + Register + 0x20 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition value (aliased from + HRTIM_REPx register) + 16 + 8 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP2CR + CMP2CR + Timerx Compare 2 Register + 0x24 + 0x20 + read-write + 0x00000000 + + + CMP2x + Timerx Compare 2 value + 0 + 16 + + + + + CMP3CR + CMP3CR + Timerx Compare 3 Register + 0x28 + 0x20 + read-write + 0x00000000 + + + CMP3x + Timerx Compare 3 value + 0 + 16 + + + + + CMP4CR + CMP4CR + Timerx Compare 4 Register + 0x2C + 0x20 + read-write + 0x00000000 + + + CMP4x + Timerx Compare 4 value + 0 + 16 + + + + + CPT1CR + CPT1CR + Timerx Capture 1 Register + 0x30 + 0x20 + read-only + 0x00000000 + + + CPT1x + Timerx Capture 1 value + 0 + 16 + + + + + CPT2CR + CPT2CR + Timerx Capture 2 Register + 0x34 + 0x20 + read-only + 0x00000000 + + + CPT2x + Timerx Capture 2 value + 0 + 16 + + + + + DTCR + DTCR + Timerx Deadtime Register + 0x38 + 0x20 + read-write + 0x00000000 + + + DTFLKx + Deadtime Falling Lock + 31 + 1 + + + DTFSLKx + Deadtime Falling Sign Lock + 30 + 1 + + + SDTFx + Sign Deadtime Falling + value + 25 + 1 + + + DTFx + Deadtime Falling value + 16 + 9 + + + DTRLKx + Deadtime Rising Lock + 15 + 1 + + + DTRSLKx + Deadtime Rising Sign Lock + 14 + 1 + + + DTPRSC + Deadtime Prescaler + 10 + 3 + + + SDTRx + Sign Deadtime Rising value + 9 + 1 + + + DTRx + Deadtime Rising value + 0 + 9 + + + + + SETC1R + SETC1R + Timerx Output1 Set Register + 0x3C + 0x20 + read-write + 0x00000000 + + + UPDATE + Registers update (transfer preload to + active) + 31 + 1 + + + EXTEVNT10 + External Event 10 + 30 + 1 + + + EXTEVNT9 + External Event 9 + 29 + 1 + + + EXTEVNT8 + External Event 8 + 28 + 1 + + + EXTEVNT7 + External Event 7 + 27 + 1 + + + EXTEVNT6 + External Event 6 + 26 + 1 + + + EXTEVNT5 + External Event 5 + 25 + 1 + + + EXTEVNT4 + External Event 4 + 24 + 1 + + + EXTEVNT3 + External Event 3 + 23 + 1 + + + EXTEVNT2 + External Event 2 + 22 + 1 + + + EXTEVNT1 + External Event 1 + 21 + 1 + + + TIMEVNT9 + Timer Event 9 + 20 + 1 + + + TIMEVNT8 + Timer Event 8 + 19 + 1 + + + TIMEVNT7 + Timer Event 7 + 18 + 1 + + + TIMEVNT6 + Timer Event 6 + 17 + 1 + + + TIMEVNT5 + Timer Event 5 + 16 + 1 + + + TIMEVNT4 + Timer Event 4 + 15 + 1 + + + TIMEVNT3 + Timer Event 3 + 14 + 1 + + + TIMEVNT2 + Timer Event 2 + 13 + 1 + + + TIMEVNT1 + Timer Event 1 + 12 + 1 + + + MSTCMP4 + Master Compare 4 + 11 + 1 + + + MSTCMP3 + Master Compare 3 + 10 + 1 + + + MSTCMP2 + Master Compare 2 + 9 + 1 + + + MSTCMP1 + Master Compare 1 + 8 + 1 + + + MSTPER + Master Period + 7 + 1 + + + CMP4 + Timer A compare 4 + 6 + 1 + + + CMP3 + Timer A compare 3 + 5 + 1 + + + CMP2 + Timer A compare 2 + 4 + 1 + + + CMP1 + Timer A compare 1 + 3 + 1 + + + PER + Timer A Period + 2 + 1 + + + RESYNC + Timer A resynchronizaton + 1 + 1 + + + SST + Software Set trigger + 0 + 1 + + + + + RSTC1R + RSTC1R + Timerx Output1 Reset Register + 0x40 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + SETC2R + SETC2R + Timerx Output2 Set Register + 0x44 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SST + SST + 0 + 1 + + + + + RSTC2R + RSTC2R + Timerx Output2 Reset Register + 0x48 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + EEFCR1 + EEFCR1 + Timerx External Event Filtering Register + 1 + 0x4C + 0x20 + read-write + 0x00000000 + + + EE5FLTR + External Event 5 filter + 25 + 4 + + + EE5LTCH + External Event 5 latch + 24 + 1 + + + EE4FLTR + External Event 4 filter + 19 + 4 + + + EE4LTCH + External Event 4 latch + 18 + 1 + + + EE3FLTR + External Event 3 filter + 13 + 4 + + + EE3LTCH + External Event 3 latch + 12 + 1 + + + EE2FLTR + External Event 2 filter + 7 + 4 + + + EE2LTCH + External Event 2 latch + 6 + 1 + + + EE1FLTR + External Event 1 filter + 1 + 4 + + + EE1LTCH + External Event 1 latch + 0 + 1 + + + + + EEFCR2 + EEFCR2 + Timerx External Event Filtering Register + 2 + 0x50 + 0x20 + read-write + 0x00000000 + + + EE10FLTR + External Event 10 filter + 25 + 4 + + + EE10LTCH + External Event 10 latch + 24 + 1 + + + EE9FLTR + External Event 9 filter + 19 + 4 + + + EE9LTCH + External Event 9 latch + 18 + 1 + + + EE8FLTR + External Event 8 filter + 13 + 4 + + + EE8LTCH + External Event 8 latch + 12 + 1 + + + EE7FLTR + External Event 7 filter + 7 + 4 + + + EE7LTCH + External Event 7 latch + 6 + 1 + + + EE6FLTR + External Event 6 filter + 1 + 4 + + + EE6LTCH + External Event 6 latch + 0 + 1 + + + + + RSTCR + RSTCR + TimerA Reset Register + 0x54 + 0x20 + read-write + 0x00000000 + + + TIMECMP4 + Timer E Compare 4 + 30 + 1 + + + TIMECMP2 + Timer E Compare 2 + 29 + 1 + + + TIMECMP1 + Timer E Compare 1 + 28 + 1 + + + TIMDCMP4 + Timer D Compare 4 + 27 + 1 + + + TIMDCMP2 + Timer D Compare 2 + 26 + 1 + + + TIMDCMP1 + Timer D Compare 1 + 25 + 1 + + + TIMBCMP4 + Timer B Compare 4 + 24 + 1 + + + TIMBCMP2 + Timer B Compare 2 + 23 + 1 + + + TIMBCMP1 + Timer B Compare 1 + 22 + 1 + + + TIMACMP4 + Timer A Compare 4 + 21 + 1 + + + TIMACMP2 + Timer A Compare 2 + 20 + 1 + + + TIMACMP1 + Timer A Compare 1 + 19 + 1 + + + EXTEVNT10 + External Event 10 + 18 + 1 + + + EXTEVNT9 + External Event 9 + 17 + 1 + + + EXTEVNT8 + External Event 8 + 16 + 1 + + + EXTEVNT7 + External Event 7 + 15 + 1 + + + EXTEVNT6 + External Event 6 + 14 + 1 + + + EXTEVNT5 + External Event 5 + 13 + 1 + + + EXTEVNT4 + External Event 4 + 12 + 1 + + + EXTEVNT3 + External Event 3 + 11 + 1 + + + EXTEVNT2 + External Event 2 + 10 + 1 + + + EXTEVNT1 + External Event 1 + 9 + 1 + + + MSTCMP4 + Master compare 4 + 8 + 1 + + + MSTCMP3 + Master compare 3 + 7 + 1 + + + MSTCMP2 + Master compare 2 + 6 + 1 + + + MSTCMP1 + Master compare 1 + 5 + 1 + + + MSTPER + Master timer Period + 4 + 1 + + + CMP4 + Timer A compare 4 reset + 3 + 1 + + + CMP2 + Timer A compare 2 reset + 2 + 1 + + + UPDT + Timer A Update reset + 1 + 1 + + + + + CHPCR + CHPCR + Timerx Chopper Register + 0x58 + 0x20 + read-write + 0x00000000 + + + STRTPW + STRTPW + 7 + 4 + + + CHPDTY + Timerx chopper duty cycle + value + 4 + 3 + + + CHPFRQ + Timerx carrier frequency + value + 0 + 4 + + + + + CPT1CCR + CPT1CCR + Timerx Capture 2 Control + Register + 0x5C + 0x20 + read-write + 0x00000000 + + + TECMP2 + Timer E Compare 2 + 31 + 1 + + + TECMP1 + Timer E Compare 1 + 30 + 1 + + + TE1RST + Timer E output 1 Reset + 29 + 1 + + + TE1SET + Timer E output 1 Set + 28 + 1 + + + TDCMP2 + Timer D Compare 2 + 27 + 1 + + + TDCMP1 + Timer D Compare 1 + 26 + 1 + + + TD1RST + Timer D output 1 Reset + 25 + 1 + + + TD1SET + Timer D output 1 Set + 24 + 1 + + + TBCMP2 + Timer B Compare 2 + 19 + 1 + + + TBCMP1 + Timer B Compare 1 + 18 + 1 + + + TB1RST + Timer B output 1 Reset + 17 + 1 + + + TB1SET + Timer B output 1 Set + 16 + 1 + + + TACMP2 + Timer A Compare 2 + 15 + 1 + + + TACMP1 + Timer A Compare 1 + 14 + 1 + + + TA1RST + Timer A output 1 Reset + 13 + 1 + + + TA1SET + Timer A output 1 Set + 12 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + CPT2CCR + CPT2CCR + CPT2xCR + 0x60 + 0x20 + read-write + 0x00000000 + + + TECMP2 + Timer E Compare 2 + 31 + 1 + + + TECMP1 + Timer E Compare 1 + 30 + 1 + + + TE1RST + Timer E output 1 Reset + 29 + 1 + + + TE1SET + Timer E output 1 Set + 28 + 1 + + + TDCMP2 + Timer D Compare 2 + 27 + 1 + + + TDCMP1 + Timer D Compare 1 + 26 + 1 + + + TD1RST + Timer D output 1 Reset + 25 + 1 + + + TD1SET + Timer D output 1 Set + 24 + 1 + + + TBCMP2 + Timer B Compare 2 + 19 + 1 + + + TBCMP1 + Timer B Compare 1 + 18 + 1 + + + TB1RST + Timer B output 1 Reset + 17 + 1 + + + TB1SET + Timer B output 1 Set + 16 + 1 + + + TACMP2 + Timer A Compare 2 + 15 + 1 + + + TACMP1 + Timer A Compare 1 + 14 + 1 + + + TA1RST + Timer A output 1 Reset + 13 + 1 + + + TA1SET + Timer A output 1 Set + 12 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + OUTCR + OUTCR + Timerx Output Register + 0x64 + 0x20 + read-write + 0x00000000 + + + DIDL2 + Output 2 Deadtime upon burst mode Idle + entry + 23 + 1 + + + CHP2 + Output 2 Chopper enable + 22 + 1 + + + FAULT2 + Output 2 Fault state + 20 + 2 + + + IDLES2 + Output 2 Idle State + 19 + 1 + + + IDLEM2 + Output 2 Idle mode + 18 + 1 + + + POL2 + Output 2 polarity + 17 + 1 + + + DLYPRT + Delayed Protection + 10 + 3 + + + DLYPRTEN + Delayed Protection Enable + 9 + 1 + + + DTEN + Deadtime enable + 8 + 1 + + + DIDL1 + Output 1 Deadtime upon burst mode Idle + entry + 7 + 1 + + + CHP1 + Output 1 Chopper enable + 6 + 1 + + + FAULT1 + Output 1 Fault state + 4 + 2 + + + IDLES1 + Output 1 Idle State + 3 + 1 + + + IDLEM1 + Output 1 Idle mode + 2 + 1 + + + POL1 + Output 1 polarity + 1 + 1 + + + + + FLTCR + FLTCR + Timerx Fault Register + 0x68 + 0x20 + read-write + 0x00000000 + + + FLTLCK + Fault sources Lock + 31 + 1 + + + FLT5EN + Fault 5 enable + 4 + 1 + + + FLT4EN + Fault 4 enable + 3 + 1 + + + FLT3EN + Fault 3 enable + 2 + 1 + + + FLT2EN + Fault 2 enable + 1 + 1 + + + FLT1EN + Fault 1 enable + 0 + 1 + + + + + + + HRTIM_TIMD + High Resolution Timer: TIMD + HRTIM + 0x40017600 + + 0x0 + 0x80 + registers + + + HRTIM1_TIMC + HRTIM1 timer C interrupt + 106 + + + + TIMDCR + TIMDCR + Timerx Control Register + 0x0 + 0x20 + read-write + 0x00000000 + + + UPDGAT + Update Gating + 28 + 4 + + + PREEN + Preload enable + 27 + 1 + + + DACSYNC + AC Synchronization + 25 + 2 + + + MSTU + Master Timer update + 24 + 1 + + + TEU + TEU + 23 + 1 + + + TDU + TDU + 22 + 1 + + + TCU + TCU + 21 + 1 + + + TBU + TBU + 20 + 1 + + + TxRSTU + Timerx reset update + 18 + 1 + + + TxREPU + Timer x Repetition update + 17 + 1 + + + DELCMP4 + Delayed CMP4 mode + 14 + 2 + + + DELCMP2 + Delayed CMP2 mode + 12 + 2 + + + SYNCSTRTx + Synchronization Starts Timer + x + 11 + 1 + + + SYNCRSTx + Synchronization Resets Timer + x + 10 + 1 + + + PSHPLL + Push-Pull mode enable + 6 + 1 + + + HALF + Half mode enable + 5 + 1 + + + RETRIG + Re-triggerable mode + 4 + 1 + + + CONT + Continuous mode + 3 + 1 + + + CK_PSCx + HRTIM Timer x Clock + prescaler + 0 + 3 + + + + + TIMDISR + TIMDISR + Timerx Interrupt Status + Register + 0x4 + 0x20 + read-only + 0x00000000 + + + O2STAT + Output 2 State + 19 + 1 + + + O1STAT + Output 1 State + 18 + 1 + + + IPPSTAT + Idle Push Pull Status + 17 + 1 + + + CPPSTAT + Current Push Pull Status + 16 + 1 + + + DLYPRT + Delayed Protection Flag + 14 + 1 + + + RST + Reset Interrupt Flag + 13 + 1 + + + RSTx2 + Output 2 Reset Interrupt + Flag + 12 + 1 + + + SETx2 + Output 2 Set Interrupt + Flag + 11 + 1 + + + RSTx1 + Output 1 Reset Interrupt + Flag + 10 + 1 + + + SETx1 + Output 1 Set Interrupt + Flag + 9 + 1 + + + CPT2 + Capture2 Interrupt Flag + 8 + 1 + + + CPT1 + Capture1 Interrupt Flag + 7 + 1 + + + UPD + Update Interrupt Flag + 6 + 1 + + + REP + Repetition Interrupt Flag + 4 + 1 + + + CMP4 + Compare 4 Interrupt Flag + 3 + 1 + + + CMP3 + Compare 3 Interrupt Flag + 2 + 1 + + + CMP2 + Compare 2 Interrupt Flag + 1 + 1 + + + CMP1 + Compare 1 Interrupt Flag + 0 + 1 + + + + + TIMDICR + TIMDICR + Timerx Interrupt Clear + Register + 0x8 + 0x20 + write-only + 0x00000000 + + + DLYPRTC + Delayed Protection Flag + Clear + 14 + 1 + + + RSTC + Reset Interrupt flag Clear + 13 + 1 + + + RSTx2C + Output 2 Reset flag Clear + 12 + 1 + + + SET2xC + Output 2 Set flag Clear + 11 + 1 + + + RSTx1C + Output 1 Reset flag Clear + 10 + 1 + + + SET1xC + Output 1 Set flag Clear + 9 + 1 + + + CPT2C + Capture2 Interrupt flag + Clear + 8 + 1 + + + CPT1C + Capture1 Interrupt flag + Clear + 7 + 1 + + + UPDC + Update Interrupt flag + Clear + 6 + 1 + + + REPC + Repetition Interrupt flag + Clear + 4 + 1 + + + CMP4C + Compare 4 Interrupt flag + Clear + 3 + 1 + + + CMP3C + Compare 3 Interrupt flag + Clear + 2 + 1 + + + CMP2C + Compare 2 Interrupt flag + Clear + 1 + 1 + + + CMP1C + Compare 1 Interrupt flag + Clear + 0 + 1 + + + + + TIMDDIER5 + TIMDDIER5 + TIMxDIER5 + 0xC + 0x20 + read-write + 0x00000000 + + + DLYPRTDE + DLYPRTDE + 30 + 1 + + + RSTDE + RSTDE + 29 + 1 + + + RSTx2DE + RSTx2DE + 28 + 1 + + + SETx2DE + SETx2DE + 27 + 1 + + + RSTx1DE + RSTx1DE + 26 + 1 + + + SET1xDE + SET1xDE + 25 + 1 + + + CPT2DE + CPT2DE + 24 + 1 + + + CPT1DE + CPT1DE + 23 + 1 + + + UPDDE + UPDDE + 22 + 1 + + + REPDE + REPDE + 20 + 1 + + + CMP4DE + CMP4DE + 19 + 1 + + + CMP3DE + CMP3DE + 18 + 1 + + + CMP2DE + CMP2DE + 17 + 1 + + + CMP1DE + CMP1DE + 16 + 1 + + + DLYPRTIE + DLYPRTIE + 14 + 1 + + + RSTIE + RSTIE + 13 + 1 + + + RSTx2IE + RSTx2IE + 12 + 1 + + + SETx2IE + SETx2IE + 11 + 1 + + + RSTx1IE + RSTx1IE + 10 + 1 + + + SET1xIE + SET1xIE + 9 + 1 + + + CPT2IE + CPT2IE + 8 + 1 + + + CPT1IE + CPT1IE + 7 + 1 + + + UPDIE + UPDIE + 6 + 1 + + + REPIE + REPIE + 4 + 1 + + + CMP4IE + CMP4IE + 3 + 1 + + + CMP3IE + CMP3IE + 2 + 1 + + + CMP2IE + CMP2IE + 1 + 1 + + + CMP1IE + CMP1IE + 0 + 1 + + + + + CNTDR + CNTDR + Timerx Counter Register + 0x10 + 0x20 + read-write + 0x00000000 + + + CNTx + Timerx Counter value + 0 + 16 + + + + + PERDR + PERDR + Timerx Period Register + 0x14 + 0x20 + read-write + 0x0000FFFF + + + PERx + Timerx Period value + 0 + 16 + + + + + REPDR + REPDR + Timerx Repetition Register + 0x18 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition counter + value + 0 + 8 + + + + + CMP1DR + CMP1DR + Timerx Compare 1 Register + 0x1C + 0x20 + read-write + 0x00000000 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP1CDR + CMP1CDR + Timerx Compare 1 Compound + Register + 0x20 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition value (aliased from + HRTIM_REPx register) + 16 + 8 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP2DR + CMP2DR + Timerx Compare 2 Register + 0x24 + 0x20 + read-write + 0x00000000 + + + CMP2x + Timerx Compare 2 value + 0 + 16 + + + + + CMP3DR + CMP3DR + Timerx Compare 3 Register + 0x28 + 0x20 + read-write + 0x00000000 + + + CMP3x + Timerx Compare 3 value + 0 + 16 + + + + + CMP4DR + CMP4DR + Timerx Compare 4 Register + 0x2C + 0x20 + read-write + 0x00000000 + + + CMP4x + Timerx Compare 4 value + 0 + 16 + + + + + CPT1DR + CPT1DR + Timerx Capture 1 Register + 0x30 + 0x20 + read-only + 0x00000000 + + + CPT1x + Timerx Capture 1 value + 0 + 16 + + + + + CPT2DR + CPT2DR + Timerx Capture 2 Register + 0x34 + 0x20 + read-only + 0x00000000 + + + CPT2x + Timerx Capture 2 value + 0 + 16 + + + + + DTDR + DTDR + Timerx Deadtime Register + 0x38 + 0x20 + read-write + 0x00000000 + + + DTFLKx + Deadtime Falling Lock + 31 + 1 + + + DTFSLKx + Deadtime Falling Sign Lock + 30 + 1 + + + SDTFx + Sign Deadtime Falling + value + 25 + 1 + + + DTFx + Deadtime Falling value + 16 + 9 + + + DTRLKx + Deadtime Rising Lock + 15 + 1 + + + DTRSLKx + Deadtime Rising Sign Lock + 14 + 1 + + + DTPRSC + Deadtime Prescaler + 10 + 3 + + + SDTRx + Sign Deadtime Rising value + 9 + 1 + + + DTRx + Deadtime Rising value + 0 + 9 + + + + + SETD1R + SETD1R + Timerx Output1 Set Register + 0x3C + 0x20 + read-write + 0x00000000 + + + UPDATE + Registers update (transfer preload to + active) + 31 + 1 + + + EXTEVNT10 + External Event 10 + 30 + 1 + + + EXTEVNT9 + External Event 9 + 29 + 1 + + + EXTEVNT8 + External Event 8 + 28 + 1 + + + EXTEVNT7 + External Event 7 + 27 + 1 + + + EXTEVNT6 + External Event 6 + 26 + 1 + + + EXTEVNT5 + External Event 5 + 25 + 1 + + + EXTEVNT4 + External Event 4 + 24 + 1 + + + EXTEVNT3 + External Event 3 + 23 + 1 + + + EXTEVNT2 + External Event 2 + 22 + 1 + + + EXTEVNT1 + External Event 1 + 21 + 1 + + + TIMEVNT9 + Timer Event 9 + 20 + 1 + + + TIMEVNT8 + Timer Event 8 + 19 + 1 + + + TIMEVNT7 + Timer Event 7 + 18 + 1 + + + TIMEVNT6 + Timer Event 6 + 17 + 1 + + + TIMEVNT5 + Timer Event 5 + 16 + 1 + + + TIMEVNT4 + Timer Event 4 + 15 + 1 + + + TIMEVNT3 + Timer Event 3 + 14 + 1 + + + TIMEVNT2 + Timer Event 2 + 13 + 1 + + + TIMEVNT1 + Timer Event 1 + 12 + 1 + + + MSTCMP4 + Master Compare 4 + 11 + 1 + + + MSTCMP3 + Master Compare 3 + 10 + 1 + + + MSTCMP2 + Master Compare 2 + 9 + 1 + + + MSTCMP1 + Master Compare 1 + 8 + 1 + + + MSTPER + Master Period + 7 + 1 + + + CMP4 + Timer A compare 4 + 6 + 1 + + + CMP3 + Timer A compare 3 + 5 + 1 + + + CMP2 + Timer A compare 2 + 4 + 1 + + + CMP1 + Timer A compare 1 + 3 + 1 + + + PER + Timer A Period + 2 + 1 + + + RESYNC + Timer A resynchronizaton + 1 + 1 + + + SST + Software Set trigger + 0 + 1 + + + + + RSTD1R + RSTD1R + Timerx Output1 Reset Register + 0x40 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + SETD2R + SETD2R + Timerx Output2 Set Register + 0x44 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SST + SST + 0 + 1 + + + + + RSTD2R + RSTD2R + Timerx Output2 Reset Register + 0x48 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + EEFDR1 + EEFDR1 + Timerx External Event Filtering Register + 1 + 0x4C + 0x20 + read-write + 0x00000000 + + + EE5FLTR + External Event 5 filter + 25 + 4 + + + EE5LTCH + External Event 5 latch + 24 + 1 + + + EE4FLTR + External Event 4 filter + 19 + 4 + + + EE4LTCH + External Event 4 latch + 18 + 1 + + + EE3FLTR + External Event 3 filter + 13 + 4 + + + EE3LTCH + External Event 3 latch + 12 + 1 + + + EE2FLTR + External Event 2 filter + 7 + 4 + + + EE2LTCH + External Event 2 latch + 6 + 1 + + + EE1FLTR + External Event 1 filter + 1 + 4 + + + EE1LTCH + External Event 1 latch + 0 + 1 + + + + + EEFDR2 + EEFDR2 + Timerx External Event Filtering Register + 2 + 0x50 + 0x20 + read-write + 0x00000000 + + + EE10FLTR + External Event 10 filter + 25 + 4 + + + EE10LTCH + External Event 10 latch + 24 + 1 + + + EE9FLTR + External Event 9 filter + 19 + 4 + + + EE9LTCH + External Event 9 latch + 18 + 1 + + + EE8FLTR + External Event 8 filter + 13 + 4 + + + EE8LTCH + External Event 8 latch + 12 + 1 + + + EE7FLTR + External Event 7 filter + 7 + 4 + + + EE7LTCH + External Event 7 latch + 6 + 1 + + + EE6FLTR + External Event 6 filter + 1 + 4 + + + EE6LTCH + External Event 6 latch + 0 + 1 + + + + + RSTDR + RSTDR + TimerA Reset Register + 0x54 + 0x20 + read-write + 0x00000000 + + + TIMECMP4 + Timer E Compare 4 + 30 + 1 + + + TIMECMP2 + Timer E Compare 2 + 29 + 1 + + + TIMECMP1 + Timer E Compare 1 + 28 + 1 + + + TIMCCMP4 + Timer C Compare 4 + 27 + 1 + + + TIMCCMP2 + Timer C Compare 2 + 26 + 1 + + + TIMCCMP1 + Timer C Compare 1 + 25 + 1 + + + TIMBCMP4 + Timer B Compare 4 + 24 + 1 + + + TIMBCMP2 + Timer B Compare 2 + 23 + 1 + + + TIMBCMP1 + Timer B Compare 1 + 22 + 1 + + + TIMACMP4 + Timer A Compare 4 + 21 + 1 + + + TIMACMP2 + Timer A Compare 2 + 20 + 1 + + + TIMACMP1 + Timer A Compare 1 + 19 + 1 + + + EXTEVNT10 + External Event 10 + 18 + 1 + + + EXTEVNT9 + External Event 9 + 17 + 1 + + + EXTEVNT8 + External Event 8 + 16 + 1 + + + EXTEVNT7 + External Event 7 + 15 + 1 + + + EXTEVNT6 + External Event 6 + 14 + 1 + + + EXTEVNT5 + External Event 5 + 13 + 1 + + + EXTEVNT4 + External Event 4 + 12 + 1 + + + EXTEVNT3 + External Event 3 + 11 + 1 + + + EXTEVNT2 + External Event 2 + 10 + 1 + + + EXTEVNT1 + External Event 1 + 9 + 1 + + + MSTCMP4 + Master compare 4 + 8 + 1 + + + MSTCMP3 + Master compare 3 + 7 + 1 + + + MSTCMP2 + Master compare 2 + 6 + 1 + + + MSTCMP1 + Master compare 1 + 5 + 1 + + + MSTPER + Master timer Period + 4 + 1 + + + CMP4 + Timer A compare 4 reset + 3 + 1 + + + CMP2 + Timer A compare 2 reset + 2 + 1 + + + UPDT + Timer A Update reset + 1 + 1 + + + + + CHPDR + CHPDR + Timerx Chopper Register + 0x58 + 0x20 + read-write + 0x00000000 + + + STRTPW + STRTPW + 7 + 4 + + + CHPDTY + Timerx chopper duty cycle + value + 4 + 3 + + + CHPFRQ + Timerx carrier frequency + value + 0 + 4 + + + + + CPT1DCR + CPT1DCR + Timerx Capture 2 Control + Register + 0x5C + 0x20 + read-write + 0x00000000 + + + TECMP2 + Timer E Compare 2 + 31 + 1 + + + TECMP1 + Timer E Compare 1 + 30 + 1 + + + TE1RST + Timer E output 1 Reset + 29 + 1 + + + TE1SET + Timer E output 1 Set + 28 + 1 + + + TCCMP2 + Timer C Compare 2 + 23 + 1 + + + TCCMP1 + Timer C Compare 1 + 22 + 1 + + + TC1RST + Timer C output 1 Reset + 21 + 1 + + + TC1SET + Timer C output 1 Set + 20 + 1 + + + TBCMP2 + Timer B Compare 2 + 19 + 1 + + + TBCMP1 + Timer B Compare 1 + 18 + 1 + + + TB1RST + Timer B output 1 Reset + 17 + 1 + + + TB1SET + Timer B output 1 Set + 16 + 1 + + + TACMP2 + Timer A Compare 2 + 15 + 1 + + + TACMP1 + Timer A Compare 1 + 14 + 1 + + + TA1RST + Timer A output 1 Reset + 13 + 1 + + + TA1SET + Timer A output 1 Set + 12 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + CPT2DCR + CPT2DCR + CPT2xCR + 0x60 + 0x20 + read-write + 0x00000000 + + + TECMP2 + Timer E Compare 2 + 31 + 1 + + + TECMP1 + Timer E Compare 1 + 30 + 1 + + + TE1RST + Timer E output 1 Reset + 29 + 1 + + + TE1SET + Timer E output 1 Set + 28 + 1 + + + TCCMP2 + Timer C Compare 2 + 23 + 1 + + + TCCMP1 + Timer C Compare 1 + 22 + 1 + + + TC1RST + Timer C output 1 Reset + 21 + 1 + + + TC1SET + Timer C output 1 Set + 20 + 1 + + + TBCMP2 + Timer B Compare 2 + 19 + 1 + + + TBCMP1 + Timer B Compare 1 + 18 + 1 + + + TB1RST + Timer B output 1 Reset + 17 + 1 + + + TB1SET + Timer B output 1 Set + 16 + 1 + + + TACMP2 + Timer A Compare 2 + 15 + 1 + + + TACMP1 + Timer A Compare 1 + 14 + 1 + + + TA1RST + Timer A output 1 Reset + 13 + 1 + + + TA1SET + Timer A output 1 Set + 12 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + OUTDR + OUTDR + Timerx Output Register + 0x64 + 0x20 + read-write + 0x00000000 + + + DIDL2 + Output 2 Deadtime upon burst mode Idle + entry + 23 + 1 + + + CHP2 + Output 2 Chopper enable + 22 + 1 + + + FAULT2 + Output 2 Fault state + 20 + 2 + + + IDLES2 + Output 2 Idle State + 19 + 1 + + + IDLEM2 + Output 2 Idle mode + 18 + 1 + + + POL2 + Output 2 polarity + 17 + 1 + + + DLYPRT + Delayed Protection + 10 + 3 + + + DLYPRTEN + Delayed Protection Enable + 9 + 1 + + + DTEN + Deadtime enable + 8 + 1 + + + DIDL1 + Output 1 Deadtime upon burst mode Idle + entry + 7 + 1 + + + CHP1 + Output 1 Chopper enable + 6 + 1 + + + FAULT1 + Output 1 Fault state + 4 + 2 + + + IDLES1 + Output 1 Idle State + 3 + 1 + + + IDLEM1 + Output 1 Idle mode + 2 + 1 + + + POL1 + Output 1 polarity + 1 + 1 + + + + + FLTDR + FLTDR + Timerx Fault Register + 0x68 + 0x20 + read-write + 0x00000000 + + + FLTLCK + Fault sources Lock + 31 + 1 + + + FLT5EN + Fault 5 enable + 4 + 1 + + + FLT4EN + Fault 4 enable + 3 + 1 + + + FLT3EN + Fault 3 enable + 2 + 1 + + + FLT2EN + Fault 2 enable + 1 + 1 + + + FLT1EN + Fault 1 enable + 0 + 1 + + + + + + + HRTIM_TIME + High Resolution Timer: TIME + HRTIM + 0x40017680 + + 0x0 + 0x80 + registers + + + HRTIM1_TIMD + HRTIM1 timer D interrupt + 107 + + + + TIMECR + TIMECR + Timerx Control Register + 0x0 + 0x20 + read-write + 0x00000000 + + + UPDGAT + Update Gating + 28 + 4 + + + PREEN + Preload enable + 27 + 1 + + + DACSYNC + AC Synchronization + 25 + 2 + + + MSTU + Master Timer update + 24 + 1 + + + TEU + TEU + 23 + 1 + + + TDU + TDU + 22 + 1 + + + TCU + TCU + 21 + 1 + + + TBU + TBU + 20 + 1 + + + TxRSTU + Timerx reset update + 18 + 1 + + + TxREPU + Timer x Repetition update + 17 + 1 + + + DELCMP4 + Delayed CMP4 mode + 14 + 2 + + + DELCMP2 + Delayed CMP2 mode + 12 + 2 + + + SYNCSTRTx + Synchronization Starts Timer + x + 11 + 1 + + + SYNCRSTx + Synchronization Resets Timer + x + 10 + 1 + + + PSHPLL + Push-Pull mode enable + 6 + 1 + + + HALF + Half mode enable + 5 + 1 + + + RETRIG + Re-triggerable mode + 4 + 1 + + + CONT + Continuous mode + 3 + 1 + + + CK_PSCx + HRTIM Timer x Clock + prescaler + 0 + 3 + + + + + TIMEISR + TIMEISR + Timerx Interrupt Status + Register + 0x4 + 0x20 + read-only + 0x00000000 + + + O2STAT + Output 2 State + 19 + 1 + + + O1STAT + Output 1 State + 18 + 1 + + + IPPSTAT + Idle Push Pull Status + 17 + 1 + + + CPPSTAT + Current Push Pull Status + 16 + 1 + + + DLYPRT + Delayed Protection Flag + 14 + 1 + + + RST + Reset Interrupt Flag + 13 + 1 + + + RSTx2 + Output 2 Reset Interrupt + Flag + 12 + 1 + + + SETx2 + Output 2 Set Interrupt + Flag + 11 + 1 + + + RSTx1 + Output 1 Reset Interrupt + Flag + 10 + 1 + + + SETx1 + Output 1 Set Interrupt + Flag + 9 + 1 + + + CPT2 + Capture2 Interrupt Flag + 8 + 1 + + + CPT1 + Capture1 Interrupt Flag + 7 + 1 + + + UPD + Update Interrupt Flag + 6 + 1 + + + REP + Repetition Interrupt Flag + 4 + 1 + + + CMP4 + Compare 4 Interrupt Flag + 3 + 1 + + + CMP3 + Compare 3 Interrupt Flag + 2 + 1 + + + CMP2 + Compare 2 Interrupt Flag + 1 + 1 + + + CMP1 + Compare 1 Interrupt Flag + 0 + 1 + + + + + TIMEICR + TIMEICR + Timerx Interrupt Clear + Register + 0x8 + 0x20 + write-only + 0x00000000 + + + DLYPRTC + Delayed Protection Flag + Clear + 14 + 1 + + + RSTC + Reset Interrupt flag Clear + 13 + 1 + + + RSTx2C + Output 2 Reset flag Clear + 12 + 1 + + + SET2xC + Output 2 Set flag Clear + 11 + 1 + + + RSTx1C + Output 1 Reset flag Clear + 10 + 1 + + + SET1xC + Output 1 Set flag Clear + 9 + 1 + + + CPT2C + Capture2 Interrupt flag + Clear + 8 + 1 + + + CPT1C + Capture1 Interrupt flag + Clear + 7 + 1 + + + UPDC + Update Interrupt flag + Clear + 6 + 1 + + + REPC + Repetition Interrupt flag + Clear + 4 + 1 + + + CMP4C + Compare 4 Interrupt flag + Clear + 3 + 1 + + + CMP3C + Compare 3 Interrupt flag + Clear + 2 + 1 + + + CMP2C + Compare 2 Interrupt flag + Clear + 1 + 1 + + + CMP1C + Compare 1 Interrupt flag + Clear + 0 + 1 + + + + + TIMEDIER5 + TIMEDIER5 + TIMxDIER5 + 0xC + 0x20 + read-write + 0x00000000 + + + DLYPRTDE + DLYPRTDE + 30 + 1 + + + RSTDE + RSTDE + 29 + 1 + + + RSTx2DE + RSTx2DE + 28 + 1 + + + SETx2DE + SETx2DE + 27 + 1 + + + RSTx1DE + RSTx1DE + 26 + 1 + + + SET1xDE + SET1xDE + 25 + 1 + + + CPT2DE + CPT2DE + 24 + 1 + + + CPT1DE + CPT1DE + 23 + 1 + + + UPDDE + UPDDE + 22 + 1 + + + REPDE + REPDE + 20 + 1 + + + CMP4DE + CMP4DE + 19 + 1 + + + CMP3DE + CMP3DE + 18 + 1 + + + CMP2DE + CMP2DE + 17 + 1 + + + CMP1DE + CMP1DE + 16 + 1 + + + DLYPRTIE + DLYPRTIE + 14 + 1 + + + RSTIE + RSTIE + 13 + 1 + + + RSTx2IE + RSTx2IE + 12 + 1 + + + SETx2IE + SETx2IE + 11 + 1 + + + RSTx1IE + RSTx1IE + 10 + 1 + + + SET1xIE + SET1xIE + 9 + 1 + + + CPT2IE + CPT2IE + 8 + 1 + + + CPT1IE + CPT1IE + 7 + 1 + + + UPDIE + UPDIE + 6 + 1 + + + REPIE + REPIE + 4 + 1 + + + CMP4IE + CMP4IE + 3 + 1 + + + CMP3IE + CMP3IE + 2 + 1 + + + CMP2IE + CMP2IE + 1 + 1 + + + CMP1IE + CMP1IE + 0 + 1 + + + + + CNTER + CNTER + Timerx Counter Register + 0x10 + 0x20 + read-write + 0x00000000 + + + CNTx + Timerx Counter value + 0 + 16 + + + + + PERER + PERER + Timerx Period Register + 0x14 + 0x20 + read-write + 0x0000FFFF + + + PERx + Timerx Period value + 0 + 16 + + + + + REPER + REPER + Timerx Repetition Register + 0x18 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition counter + value + 0 + 8 + + + + + CMP1ER + CMP1ER + Timerx Compare 1 Register + 0x1C + 0x20 + read-write + 0x00000000 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP1CER + CMP1CER + Timerx Compare 1 Compound + Register + 0x20 + 0x20 + read-write + 0x00000000 + + + REPx + Timerx Repetition value (aliased from + HRTIM_REPx register) + 16 + 8 + + + CMP1x + Timerx Compare 1 value + 0 + 16 + + + + + CMP2ER + CMP2ER + Timerx Compare 2 Register + 0x24 + 0x20 + read-write + 0x00000000 + + + CMP2x + Timerx Compare 2 value + 0 + 16 + + + + + CMP3ER + CMP3ER + Timerx Compare 3 Register + 0x28 + 0x20 + read-write + 0x00000000 + + + CMP3x + Timerx Compare 3 value + 0 + 16 + + + + + CMP4ER + CMP4ER + Timerx Compare 4 Register + 0x2C + 0x20 + read-write + 0x00000000 + + + CMP4x + Timerx Compare 4 value + 0 + 16 + + + + + CPT1ER + CPT1ER + Timerx Capture 1 Register + 0x30 + 0x20 + read-only + 0x00000000 + + + CPT1x + Timerx Capture 1 value + 0 + 16 + + + + + CPT2ER + CPT2ER + Timerx Capture 2 Register + 0x34 + 0x20 + read-only + 0x00000000 + + + CPT2x + Timerx Capture 2 value + 0 + 16 + + + + + DTER + DTER + Timerx Deadtime Register + 0x38 + 0x20 + read-write + 0x00000000 + + + DTFLKx + Deadtime Falling Lock + 31 + 1 + + + DTFSLKx + Deadtime Falling Sign Lock + 30 + 1 + + + SDTFx + Sign Deadtime Falling + value + 25 + 1 + + + DTFx + Deadtime Falling value + 16 + 9 + + + DTRLKx + Deadtime Rising Lock + 15 + 1 + + + DTRSLKx + Deadtime Rising Sign Lock + 14 + 1 + + + DTPRSC + Deadtime Prescaler + 10 + 3 + + + SDTRx + Sign Deadtime Rising value + 9 + 1 + + + DTRx + Deadtime Rising value + 0 + 9 + + + + + SETE1R + SETE1R + Timerx Output1 Set Register + 0x3C + 0x20 + read-write + 0x00000000 + + + UPDATE + Registers update (transfer preload to + active) + 31 + 1 + + + EXTEVNT10 + External Event 10 + 30 + 1 + + + EXTEVNT9 + External Event 9 + 29 + 1 + + + EXTEVNT8 + External Event 8 + 28 + 1 + + + EXTEVNT7 + External Event 7 + 27 + 1 + + + EXTEVNT6 + External Event 6 + 26 + 1 + + + EXTEVNT5 + External Event 5 + 25 + 1 + + + EXTEVNT4 + External Event 4 + 24 + 1 + + + EXTEVNT3 + External Event 3 + 23 + 1 + + + EXTEVNT2 + External Event 2 + 22 + 1 + + + EXTEVNT1 + External Event 1 + 21 + 1 + + + TIMEVNT9 + Timer Event 9 + 20 + 1 + + + TIMEVNT8 + Timer Event 8 + 19 + 1 + + + TIMEVNT7 + Timer Event 7 + 18 + 1 + + + TIMEVNT6 + Timer Event 6 + 17 + 1 + + + TIMEVNT5 + Timer Event 5 + 16 + 1 + + + TIMEVNT4 + Timer Event 4 + 15 + 1 + + + TIMEVNT3 + Timer Event 3 + 14 + 1 + + + TIMEVNT2 + Timer Event 2 + 13 + 1 + + + TIMEVNT1 + Timer Event 1 + 12 + 1 + + + MSTCMP4 + Master Compare 4 + 11 + 1 + + + MSTCMP3 + Master Compare 3 + 10 + 1 + + + MSTCMP2 + Master Compare 2 + 9 + 1 + + + MSTCMP1 + Master Compare 1 + 8 + 1 + + + MSTPER + Master Period + 7 + 1 + + + CMP4 + Timer A compare 4 + 6 + 1 + + + CMP3 + Timer A compare 3 + 5 + 1 + + + CMP2 + Timer A compare 2 + 4 + 1 + + + CMP1 + Timer A compare 1 + 3 + 1 + + + PER + Timer A Period + 2 + 1 + + + RESYNC + Timer A resynchronizaton + 1 + 1 + + + SST + Software Set trigger + 0 + 1 + + + + + RSTE1R + RSTE1R + Timerx Output1 Reset Register + 0x40 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + SETE2R + SETE2R + Timerx Output2 Set Register + 0x44 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SST + SST + 0 + 1 + + + + + RSTE2R + RSTE2R + Timerx Output2 Reset Register + 0x48 + 0x20 + read-write + 0x00000000 + + + UPDATE + UPDATE + 31 + 1 + + + EXTEVNT10 + EXTEVNT10 + 30 + 1 + + + EXTEVNT9 + EXTEVNT9 + 29 + 1 + + + EXTEVNT8 + EXTEVNT8 + 28 + 1 + + + EXTEVNT7 + EXTEVNT7 + 27 + 1 + + + EXTEVNT6 + EXTEVNT6 + 26 + 1 + + + EXTEVNT5 + EXTEVNT5 + 25 + 1 + + + EXTEVNT4 + EXTEVNT4 + 24 + 1 + + + EXTEVNT3 + EXTEVNT3 + 23 + 1 + + + EXTEVNT2 + EXTEVNT2 + 22 + 1 + + + EXTEVNT1 + EXTEVNT1 + 21 + 1 + + + TIMEVNT9 + TIMEVNT9 + 20 + 1 + + + TIMEVNT8 + TIMEVNT8 + 19 + 1 + + + TIMEVNT7 + TIMEVNT7 + 18 + 1 + + + TIMEVNT6 + TIMEVNT6 + 17 + 1 + + + TIMEVNT5 + TIMEVNT5 + 16 + 1 + + + TIMEVNT4 + TIMEVNT4 + 15 + 1 + + + TIMEVNT3 + TIMEVNT3 + 14 + 1 + + + TIMEVNT2 + TIMEVNT2 + 13 + 1 + + + TIMEVNT1 + TIMEVNT1 + 12 + 1 + + + MSTCMP4 + MSTCMP4 + 11 + 1 + + + MSTCMP3 + MSTCMP3 + 10 + 1 + + + MSTCMP2 + MSTCMP2 + 9 + 1 + + + MSTCMP1 + MSTCMP1 + 8 + 1 + + + MSTPER + MSTPER + 7 + 1 + + + CMP4 + CMP4 + 6 + 1 + + + CMP3 + CMP3 + 5 + 1 + + + CMP2 + CMP2 + 4 + 1 + + + CMP1 + CMP1 + 3 + 1 + + + PER + PER + 2 + 1 + + + RESYNC + RESYNC + 1 + 1 + + + SRT + SRT + 0 + 1 + + + + + EEFER1 + EEFER1 + Timerx External Event Filtering Register + 1 + 0x4C + 0x20 + read-write + 0x00000000 + + + EE5FLTR + External Event 5 filter + 25 + 4 + + + EE5LTCH + External Event 5 latch + 24 + 1 + + + EE4FLTR + External Event 4 filter + 19 + 4 + + + EE4LTCH + External Event 4 latch + 18 + 1 + + + EE3FLTR + External Event 3 filter + 13 + 4 + + + EE3LTCH + External Event 3 latch + 12 + 1 + + + EE2FLTR + External Event 2 filter + 7 + 4 + + + EE2LTCH + External Event 2 latch + 6 + 1 + + + EE1FLTR + External Event 1 filter + 1 + 4 + + + EE1LTCH + External Event 1 latch + 0 + 1 + + + + + EEFER2 + EEFER2 + Timerx External Event Filtering Register + 2 + 0x50 + 0x20 + read-write + 0x00000000 + + + EE10FLTR + External Event 10 filter + 25 + 4 + + + EE10LTCH + External Event 10 latch + 24 + 1 + + + EE9FLTR + External Event 9 filter + 19 + 4 + + + EE9LTCH + External Event 9 latch + 18 + 1 + + + EE8FLTR + External Event 8 filter + 13 + 4 + + + EE8LTCH + External Event 8 latch + 12 + 1 + + + EE7FLTR + External Event 7 filter + 7 + 4 + + + EE7LTCH + External Event 7 latch + 6 + 1 + + + EE6FLTR + External Event 6 filter + 1 + 4 + + + EE6LTCH + External Event 6 latch + 0 + 1 + + + + + RSTER + RSTER + TimerA Reset Register + 0x54 + 0x20 + read-write + 0x00000000 + + + TIMDCMP4 + Timer D Compare 4 + 30 + 1 + + + TIMDCMP2 + Timer D Compare 2 + 29 + 1 + + + TIMDCMP1 + Timer D Compare 1 + 28 + 1 + + + TIMCCMP4 + Timer C Compare 4 + 27 + 1 + + + TIMCCMP2 + Timer C Compare 2 + 26 + 1 + + + TIMCCMP1 + Timer C Compare 1 + 25 + 1 + + + TIMBCMP4 + Timer B Compare 4 + 24 + 1 + + + TIMBCMP2 + Timer B Compare 2 + 23 + 1 + + + TIMBCMP1 + Timer B Compare 1 + 22 + 1 + + + TIMACMP4 + Timer A Compare 4 + 21 + 1 + + + TIMACMP2 + Timer A Compare 2 + 20 + 1 + + + TIMACMP1 + Timer A Compare 1 + 19 + 1 + + + EXTEVNT10 + External Event 10 + 18 + 1 + + + EXTEVNT9 + External Event 9 + 17 + 1 + + + EXTEVNT8 + External Event 8 + 16 + 1 + + + EXTEVNT7 + External Event 7 + 15 + 1 + + + EXTEVNT6 + External Event 6 + 14 + 1 + + + EXTEVNT5 + External Event 5 + 13 + 1 + + + EXTEVNT4 + External Event 4 + 12 + 1 + + + EXTEVNT3 + External Event 3 + 11 + 1 + + + EXTEVNT2 + External Event 2 + 10 + 1 + + + EXTEVNT1 + External Event 1 + 9 + 1 + + + MSTCMP4 + Master compare 4 + 8 + 1 + + + MSTCMP3 + Master compare 3 + 7 + 1 + + + MSTCMP2 + Master compare 2 + 6 + 1 + + + MSTCMP1 + Master compare 1 + 5 + 1 + + + MSTPER + Master timer Period + 4 + 1 + + + CMP4 + Timer A compare 4 reset + 3 + 1 + + + CMP2 + Timer A compare 2 reset + 2 + 1 + + + UPDT + Timer A Update reset + 1 + 1 + + + + + CHPER + CHPER + Timerx Chopper Register + 0x58 + 0x20 + read-write + 0x00000000 + + + STRTPW + STRTPW + 7 + 4 + + + CHPDTY + Timerx chopper duty cycle + value + 4 + 3 + + + CHPFRQ + Timerx carrier frequency + value + 0 + 4 + + + + + CPT1ECR + CPT1ECR + Timerx Capture 2 Control + Register + 0x5C + 0x20 + read-write + 0x00000000 + + + TDCMP2 + Timer D Compare 2 + 27 + 1 + + + TDCMP1 + Timer D Compare 1 + 26 + 1 + + + TD1RST + Timer D output 1 Reset + 25 + 1 + + + TD1SET + Timer D output 1 Set + 24 + 1 + + + TCCMP2 + Timer C Compare 2 + 23 + 1 + + + TCCMP1 + Timer C Compare 1 + 22 + 1 + + + TC1RST + Timer C output 1 Reset + 21 + 1 + + + TC1SET + Timer C output 1 Set + 20 + 1 + + + TBCMP2 + Timer B Compare 2 + 19 + 1 + + + TBCMP1 + Timer B Compare 1 + 18 + 1 + + + TB1RST + Timer B output 1 Reset + 17 + 1 + + + TB1SET + Timer B output 1 Set + 16 + 1 + + + TACMP2 + Timer A Compare 2 + 15 + 1 + + + TACMP1 + Timer A Compare 1 + 14 + 1 + + + TA1RST + Timer A output 1 Reset + 13 + 1 + + + TA1SET + Timer A output 1 Set + 12 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + CPT2ECR + CPT2ECR + CPT2xCR + 0x60 + 0x20 + read-write + 0x00000000 + + + TDCMP2 + Timer D Compare 2 + 27 + 1 + + + TDCMP1 + Timer D Compare 1 + 26 + 1 + + + TD1RST + Timer D output 1 Reset + 25 + 1 + + + TD1SET + Timer D output 1 Set + 24 + 1 + + + TCCMP2 + Timer C Compare 2 + 23 + 1 + + + TCCMP1 + Timer C Compare 1 + 22 + 1 + + + TC1RST + Timer C output 1 Reset + 21 + 1 + + + TC1SET + Timer C output 1 Set + 20 + 1 + + + TBCMP2 + Timer B Compare 2 + 19 + 1 + + + TBCMP1 + Timer B Compare 1 + 18 + 1 + + + TB1RST + Timer B output 1 Reset + 17 + 1 + + + TB1SET + Timer B output 1 Set + 16 + 1 + + + TACMP2 + Timer A Compare 2 + 15 + 1 + + + TACMP1 + Timer A Compare 1 + 14 + 1 + + + TA1RST + Timer A output 1 Reset + 13 + 1 + + + TA1SET + Timer A output 1 Set + 12 + 1 + + + EXEV10CPT + External Event 10 Capture + 11 + 1 + + + EXEV9CPT + External Event 9 Capture + 10 + 1 + + + EXEV8CPT + External Event 8 Capture + 9 + 1 + + + EXEV7CPT + External Event 7 Capture + 8 + 1 + + + EXEV6CPT + External Event 6 Capture + 7 + 1 + + + EXEV5CPT + External Event 5 Capture + 6 + 1 + + + EXEV4CPT + External Event 4 Capture + 5 + 1 + + + EXEV3CPT + External Event 3 Capture + 4 + 1 + + + EXEV2CPT + External Event 2 Capture + 3 + 1 + + + EXEV1CPT + External Event 1 Capture + 2 + 1 + + + UDPCPT + Update Capture + 1 + 1 + + + SWCPT + Software Capture + 0 + 1 + + + + + OUTER + OUTER + Timerx Output Register + 0x64 + 0x20 + read-write + 0x00000000 + + + DIDL2 + Output 2 Deadtime upon burst mode Idle + entry + 23 + 1 + + + CHP2 + Output 2 Chopper enable + 22 + 1 + + + FAULT2 + Output 2 Fault state + 20 + 2 + + + IDLES2 + Output 2 Idle State + 19 + 1 + + + IDLEM2 + Output 2 Idle mode + 18 + 1 + + + POL2 + Output 2 polarity + 17 + 1 + + + DLYPRT + Delayed Protection + 10 + 3 + + + DLYPRTEN + Delayed Protection Enable + 9 + 1 + + + DTEN + Deadtime enable + 8 + 1 + + + DIDL1 + Output 1 Deadtime upon burst mode Idle + entry + 7 + 1 + + + CHP1 + Output 1 Chopper enable + 6 + 1 + + + FAULT1 + Output 1 Fault state + 4 + 2 + + + IDLES1 + Output 1 Idle State + 3 + 1 + + + IDLEM1 + Output 1 Idle mode + 2 + 1 + + + POL1 + Output 1 polarity + 1 + 1 + + + + + FLTER + FLTER + Timerx Fault Register + 0x68 + 0x20 + read-write + 0x00000000 + + + FLTLCK + Fault sources Lock + 31 + 1 + + + FLT5EN + Fault 5 enable + 4 + 1 + + + FLT4EN + Fault 4 enable + 3 + 1 + + + FLT3EN + Fault 3 enable + 2 + 1 + + + FLT2EN + Fault 2 enable + 1 + 1 + + + FLT1EN + Fault 1 enable + 0 + 1 + + + + + + + HRTIM_Common + High Resolution Timer: Common + functions + HRTIM + 0x40017780 + + 0x0 + 0x80 + registers + + + HRTIM_TIME + HRTIM1 timer E interrupt + 108 + + + + CR1 + CR1 + Control Register 1 + 0x0 + 0x20 + read-write + 0x00000000 + + + AD4USRC + ADC Trigger 4 Update + Source + 25 + 3 + + + AD3USRC + ADC Trigger 3 Update + Source + 22 + 3 + + + AD2USRC + ADC Trigger 2 Update + Source + 19 + 3 + + + AD1USRC + ADC Trigger 1 Update + Source + 16 + 3 + + + TEUDIS + Timer E Update Disable + 5 + 1 + + + TDUDIS + Timer D Update Disable + 4 + 1 + + + TCUDIS + Timer C Update Disable + 3 + 1 + + + TBUDIS + Timer B Update Disable + 2 + 1 + + + TAUDIS + Timer A Update Disable + 1 + 1 + + + MUDIS + Master Update Disable + 0 + 1 + + + + + CR2 + CR2 + Control Register 2 + 0x4 + 0x20 + read-write + 0x00000000 + + + TERST + Timer E counter software + reset + 13 + 1 + + + TDRST + Timer D counter software + reset + 12 + 1 + + + TCRST + Timer C counter software + reset + 11 + 1 + + + TBRST + Timer B counter software + reset + 10 + 1 + + + TARST + Timer A counter software + reset + 9 + 1 + + + MRST + Master Counter software + reset + 8 + 1 + + + TESWU + Timer E Software Update + 5 + 1 + + + TDSWU + Timer D Software Update + 4 + 1 + + + TCSWU + Timer C Software Update + 3 + 1 + + + TBSWU + Timer B Software Update + 2 + 1 + + + TASWU + Timer A Software update + 1 + 1 + + + MSWU + Master Timer Software + update + 0 + 1 + + + + + ISR + ISR + Interrupt Status Register + 0x8 + 0x20 + 0x00000000 + + + BMPER + Burst mode Period Interrupt + Flag + 17 + 1 + read-only + + + DLLRDY + DLL Ready Interrupt Flag + 16 + 1 + read-only + + + SYSFLT + System Fault Interrupt + Flag + 5 + 1 + read-write + + + FLT5 + Fault 5 Interrupt Flag + 4 + 1 + read-only + + + FLT4 + Fault 4 Interrupt Flag + 3 + 1 + read-only + + + FLT3 + Fault 3 Interrupt Flag + 2 + 1 + read-only + + + FLT2 + Fault 2 Interrupt Flag + 1 + 1 + read-only + + + FLT1 + Fault 1 Interrupt Flag + 0 + 1 + read-only + + + + + ICR + ICR + Interrupt Clear Register + 0xC + 0x20 + 0x00000000 + + + BMPERC + Burst mode period flag + Clear + 17 + 1 + write-only + + + DLLRDYC + DLL Ready Interrupt flag + Clear + 16 + 1 + write-only + + + SYSFLTC + System Fault Interrupt Flag + Clear + 5 + 1 + read-write + + + FLT5C + Fault 5 Interrupt Flag + Clear + 4 + 1 + write-only + + + FLT4C + Fault 4 Interrupt Flag + Clear + 3 + 1 + write-only + + + FLT3C + Fault 3 Interrupt Flag + Clear + 2 + 1 + write-only + + + FLT2C + Fault 2 Interrupt Flag + Clear + 1 + 1 + write-only + + + FLT1C + Fault 1 Interrupt Flag + Clear + 0 + 1 + write-only + + + + + IER + IER + Interrupt Enable Register + 0x10 + 0x20 + read-write + 0x00000000 + + + BMPERIE + Burst mode period Interrupt + Enable + 17 + 1 + + + DLLRDYIE + DLL Ready Interrupt Enable + 16 + 1 + + + SYSFLTE + System Fault Interrupt + Enable + 5 + 1 + + + FLT5IE + Fault 5 Interrupt Enable + 4 + 1 + + + FLT4IE + Fault 4 Interrupt Enable + 3 + 1 + + + FLT3IE + Fault 3 Interrupt Enable + 2 + 1 + + + FLT2IE + Fault 2 Interrupt Enable + 1 + 1 + + + FLT1IE + Fault 1 Interrupt Enable + 0 + 1 + + + + + OENR + OENR + Output Enable Register + 0x14 + 0x20 + write-only + 0x00000000 + + + TE2OEN + Timer E Output 2 Enable + 9 + 1 + + + TE1OEN + Timer E Output 1 Enable + 8 + 1 + + + TD2OEN + Timer D Output 2 Enable + 7 + 1 + + + TD1OEN + Timer D Output 1 Enable + 6 + 1 + + + TC2OEN + Timer C Output 2 Enable + 5 + 1 + + + TC1OEN + Timer C Output 1 Enable + 4 + 1 + + + TB2OEN + Timer B Output 2 Enable + 3 + 1 + + + TB1OEN + Timer B Output 1 Enable + 2 + 1 + + + TA2OEN + Timer A Output 2 Enable + 1 + 1 + + + TA1OEN + Timer A Output 1 Enable + 0 + 1 + + + + + DISR + DISR + DISR + 0x18 + 0x20 + read-write + 0x00000000 + + + TE2ODIS + TE2ODIS + 9 + 1 + + + TE1ODIS + TE1ODIS + 8 + 1 + + + TD2ODIS + TD2ODIS + 7 + 1 + + + TD1ODIS + TD1ODIS + 6 + 1 + + + TC2ODIS + TC2ODIS + 5 + 1 + + + TC1ODIS + TC1ODIS + 4 + 1 + + + TB2ODIS + TB2ODIS + 3 + 1 + + + TB1ODIS + TB1ODIS + 2 + 1 + + + TA2ODIS + TA2ODIS + 1 + 1 + + + TA1ODIS + TA1ODIS + 0 + 1 + + + + + ODSR + ODSR + Output Disable Status Register + 0x1C + 0x20 + read-only + 0x00000000 + + + TE2ODS + Timer E Output 2 disable + status + 9 + 1 + + + TE1ODS + Timer E Output 1 disable + status + 8 + 1 + + + TD2ODS + Timer D Output 2 disable + status + 7 + 1 + + + TD1ODS + Timer D Output 1 disable + status + 6 + 1 + + + TC2ODS + Timer C Output 2 disable + status + 5 + 1 + + + TC1ODS + Timer C Output 1 disable + status + 4 + 1 + + + TB2ODS + Timer B Output 2 disable + status + 3 + 1 + + + TB1ODS + Timer B Output 1 disable + status + 2 + 1 + + + TA2ODS + Timer A Output 2 disable + status + 1 + 1 + + + TA1ODS + Timer A Output 1 disable + status + 0 + 1 + + + + + BMCR + BMCR + Burst Mode Control Register + 0x20 + 0x20 + read-write + 0x00000000 + + + BMSTAT + Burst Mode Status + 31 + 1 + + + TEBM + Timer E Burst Mode + 21 + 1 + + + TDBM + Timer D Burst Mode + 20 + 1 + + + TCBM + Timer C Burst Mode + 19 + 1 + + + TBBM + Timer B Burst Mode + 18 + 1 + + + TABM + Timer A Burst Mode + 17 + 1 + + + MTBM + Master Timer Burst Mode + 16 + 1 + + + BMPREN + Burst Mode Preload Enable + 10 + 1 + + + BMPRSC + Burst Mode Prescaler + 6 + 4 + + + BMCLK + Burst Mode Clock source + 2 + 4 + + + BMOM + Burst Mode operating mode + 1 + 1 + + + BME + Burst Mode enable + 0 + 1 + + + + + BMTRG + BMTRG + BMTRG + 0x24 + 0x20 + read-write + 0x00000000 + + + OCHPEV + OCHPEV + 31 + 1 + + + TECMP2 + TECMP2 + 26 + 1 + + + TECMP1 + TECMP1 + 25 + 1 + + + TEREP + TEREP + 24 + 1 + + + TERST + TERST + 23 + 1 + + + TDCMP2 + TDCMP2 + 22 + 1 + + + TDCMP1 + TDCMP1 + 21 + 1 + + + TDREP + TDREP + 20 + 1 + + + TDRST + TDRST + 19 + 1 + + + TCCMP2 + TCCMP2 + 18 + 1 + + + TCCMP1 + TCCMP1 + 17 + 1 + + + TCREP + TCREP + 16 + 1 + + + TCRST + TCRST + 15 + 1 + + + TBCMP2 + TBCMP2 + 14 + 1 + + + TBCMP1 + TBCMP1 + 13 + 1 + + + TBREP + TBREP + 12 + 1 + + + TBRST + TBRST + 11 + 1 + + + TACMP2 + TACMP2 + 10 + 1 + + + TACMP1 + TACMP1 + 9 + 1 + + + TAREP + TAREP + 8 + 1 + + + TARST + TARST + 7 + 1 + + + MSTCMP4 + MSTCMP4 + 6 + 1 + + + MSTCMP3 + MSTCMP3 + 5 + 1 + + + MSTCMP2 + MSTCMP2 + 4 + 1 + + + MSTCMP1 + MSTCMP1 + 3 + 1 + + + MSTREP + MSTREP + 2 + 1 + + + MSTRST + MSTRST + 1 + 1 + + + SW + SW + 0 + 1 + + + + + BMCMPR6 + BMCMPR6 + BMCMPR6 + 0x28 + 0x20 + read-write + 0x00000000 + + + BMCMP + BMCMP + 0 + 16 + + + + + BMPER + BMPER + Burst Mode Period Register + 0x2C + 0x20 + read-write + 0x00000000 + + + BMPER + Burst mode Period + 0 + 16 + + + + + EECR1 + EECR1 + Timer External Event Control Register + 1 + 0x30 + 0x20 + read-write + 0x00000000 + + + EE5FAST + External Event 5 Fast mode + 29 + 1 + + + EE5SNS + External Event 5 + Sensitivity + 27 + 2 + + + EE5POL + External Event 5 Polarity + 26 + 1 + + + EE5SRC + External Event 5 Source + 24 + 2 + + + EE4FAST + External Event 4 Fast mode + 23 + 1 + + + EE4SNS + External Event 4 + Sensitivity + 21 + 2 + + + EE4POL + External Event 4 Polarity + 20 + 1 + + + EE4SRC + External Event 4 Source + 18 + 2 + + + EE3FAST + External Event 3 Fast mode + 17 + 1 + + + EE3SNS + External Event 3 + Sensitivity + 15 + 2 + + + EE3POL + External Event 3 Polarity + 14 + 1 + + + EE3SRC + External Event 3 Source + 12 + 2 + + + EE2FAST + External Event 2 Fast mode + 11 + 1 + + + EE2SNS + External Event 2 + Sensitivity + 9 + 2 + + + EE2POL + External Event 2 Polarity + 8 + 1 + + + EE2SRC + External Event 2 Source + 6 + 2 + + + EE1FAST + External Event 1 Fast mode + 5 + 1 + + + EE1SNS + External Event 1 + Sensitivity + 3 + 2 + + + EE1POL + External Event 1 Polarity + 2 + 1 + + + EE1SRC + External Event 1 Source + 0 + 2 + + + + + EECR2 + EECR2 + Timer External Event Control Register + 2 + 0x34 + 0x20 + read-write + 0x00000000 + + + EE10SNS + External Event 10 + Sensitivity + 27 + 2 + + + EE10POL + External Event 10 Polarity + 26 + 1 + + + EE10SRC + External Event 10 Source + 24 + 2 + + + EE9SNS + External Event 9 + Sensitivity + 21 + 2 + + + EE9POL + External Event 9 Polarity + 20 + 1 + + + EE9SRC + External Event 9 Source + 18 + 2 + + + EE8SNS + External Event 8 + Sensitivity + 15 + 2 + + + EE8POL + External Event 8 Polarity + 14 + 1 + + + EE8SRC + External Event 8 Source + 12 + 2 + + + EE7SNS + External Event 7 + Sensitivity + 9 + 2 + + + EE7POL + External Event 7 Polarity + 8 + 1 + + + EE7SRC + External Event 7 Source + 6 + 2 + + + EE6SNS + External Event 6 + Sensitivity + 3 + 2 + + + EE6POL + External Event 6 Polarity + 2 + 1 + + + EE6SRC + External Event 6 Source + 0 + 2 + + + + + EECR3 + EECR3 + Timer External Event Control Register + 3 + 0x38 + 0x20 + read-write + 0x00000000 + + + EE10SNS + EE10SNS + 27 + 2 + + + EE10POL + EE10POL + 26 + 1 + + + EE10SRC + EE10SRC + 24 + 2 + + + EE9SNS + EE9SNS + 21 + 2 + + + EE9POL + EE9POL + 20 + 1 + + + EE9SRC + EE9SRC + 18 + 2 + + + EE8SNS + EE8SNS + 15 + 2 + + + EE8POL + EE8POL + 14 + 1 + + + EE8SRC + EE8SRC + 12 + 2 + + + EE7SNS + EE7SNS + 9 + 2 + + + EE7POL + EE7POL + 8 + 1 + + + EE7SRC + EE7SRC + 6 + 2 + + + EE6SNS + EE6SNS + 3 + 2 + + + EE6POL + EE6POL + 2 + 1 + + + EE6SRC + EE6SRC + 0 + 2 + + + + + ADC1R + ADC1R + ADC Trigger 1 Register + 0x3C + 0x20 + read-write + 0x00000000 + + + AD1TEPER + ADC trigger 1 on Timer E + Period + 31 + 1 + + + AD1TEC4 + ADC trigger 1 on Timer E compare + 4 + 30 + 1 + + + AD1TEC3 + ADC trigger 1 on Timer E compare + 3 + 29 + 1 + + + AD1TEC2 + ADC trigger 1 on Timer E compare + 2 + 28 + 1 + + + AD1TDPER + ADC trigger 1 on Timer D + Period + 27 + 1 + + + AD1TDC4 + ADC trigger 1 on Timer D compare + 4 + 26 + 1 + + + AD1TDC3 + ADC trigger 1 on Timer D compare + 3 + 25 + 1 + + + AD1TDC2 + ADC trigger 1 on Timer D compare + 2 + 24 + 1 + + + AD1TCPER + ADC trigger 1 on Timer C + Period + 23 + 1 + + + AD1TCC4 + ADC trigger 1 on Timer C compare + 4 + 22 + 1 + + + AD1TCC3 + ADC trigger 1 on Timer C compare + 3 + 21 + 1 + + + AD1TCC2 + ADC trigger 1 on Timer C compare + 2 + 20 + 1 + + + AD1TBRST + ADC trigger 1 on Timer B + Reset + 19 + 1 + + + AD1TBPER + ADC trigger 1 on Timer B + Period + 18 + 1 + + + AD1TBC4 + ADC trigger 1 on Timer B compare + 4 + 17 + 1 + + + AD1TBC3 + ADC trigger 1 on Timer B compare + 3 + 16 + 1 + + + AD1TBC2 + ADC trigger 1 on Timer B compare + 2 + 15 + 1 + + + AD1TARST + ADC trigger 1 on Timer A + Reset + 14 + 1 + + + AD1TAPER + ADC trigger 1 on Timer A + Period + 13 + 1 + + + AD1TAC4 + ADC trigger 1 on Timer A compare + 4 + 12 + 1 + + + AD1TAC3 + ADC trigger 1 on Timer A compare + 3 + 11 + 1 + + + AD1TAC2 + ADC trigger 1 on Timer A compare + 2 + 10 + 1 + + + AD1EEV5 + ADC trigger 1 on External Event + 5 + 9 + 1 + + + AD1EEV4 + ADC trigger 1 on External Event + 4 + 8 + 1 + + + AD1EEV3 + ADC trigger 1 on External Event + 3 + 7 + 1 + + + AD1EEV2 + ADC trigger 1 on External Event + 2 + 6 + 1 + + + AD1EEV1 + ADC trigger 1 on External Event + 1 + 5 + 1 + + + AD1MPER + ADC trigger 1 on Master + Period + 4 + 1 + + + AD1MC4 + ADC trigger 1 on Master Compare + 4 + 3 + 1 + + + AD1MC3 + ADC trigger 1 on Master Compare + 3 + 2 + 1 + + + AD1MC2 + ADC trigger 1 on Master Compare + 2 + 1 + 1 + + + AD1MC1 + ADC trigger 1 on Master Compare + 1 + 0 + 1 + + + + + ADC2R + ADC2R + ADC Trigger 2 Register + 0x40 + 0x20 + read-write + 0x00000000 + + + AD2TERST + ADC trigger 2 on Timer E + Reset + 31 + 1 + + + AD2TEC4 + ADC trigger 2 on Timer E compare + 4 + 30 + 1 + + + AD2TEC3 + ADC trigger 2 on Timer E compare + 3 + 29 + 1 + + + AD2TEC2 + ADC trigger 2 on Timer E compare + 2 + 28 + 1 + + + AD2TDRST + ADC trigger 2 on Timer D + Reset + 27 + 1 + + + AD2TDPER + ADC trigger 2 on Timer D + Period + 26 + 1 + + + AD2TDC4 + ADC trigger 2 on Timer D compare + 4 + 25 + 1 + + + AD2TDC3 + ADC trigger 2 on Timer D compare + 3 + 24 + 1 + + + AD2TDC2 + ADC trigger 2 on Timer D compare + 2 + 23 + 1 + + + AD2TCRST + ADC trigger 2 on Timer C + Reset + 22 + 1 + + + AD2TCPER + ADC trigger 2 on Timer C + Period + 21 + 1 + + + AD2TCC4 + ADC trigger 2 on Timer C compare + 4 + 20 + 1 + + + AD2TCC3 + ADC trigger 2 on Timer C compare + 3 + 19 + 1 + + + AD2TCC2 + ADC trigger 2 on Timer C compare + 2 + 18 + 1 + + + AD2TBPER + ADC trigger 2 on Timer B + Period + 17 + 1 + + + AD2TBC4 + ADC trigger 2 on Timer B compare + 4 + 16 + 1 + + + AD2TBC3 + ADC trigger 2 on Timer B compare + 3 + 15 + 1 + + + AD2TBC2 + ADC trigger 2 on Timer B compare + 2 + 14 + 1 + + + AD2TAPER + ADC trigger 2 on Timer A + Period + 13 + 1 + + + AD2TAC4 + ADC trigger 2 on Timer A compare + 4 + 12 + 1 + + + AD2TAC3 + ADC trigger 2 on Timer A compare + 3 + 11 + 1 + + + AD2TAC2 + ADC trigger 2 on Timer A compare + 2 + 10 + 1 + + + AD2EEV10 + ADC trigger 2 on External Event + 10 + 9 + 1 + + + AD2EEV9 + ADC trigger 2 on External Event + 9 + 8 + 1 + + + AD2EEV8 + ADC trigger 2 on External Event + 8 + 7 + 1 + + + AD2EEV7 + ADC trigger 2 on External Event + 7 + 6 + 1 + + + AD2EEV6 + ADC trigger 2 on External Event + 6 + 5 + 1 + + + AD2MPER + ADC trigger 2 on Master + Period + 4 + 1 + + + AD2MC4 + ADC trigger 2 on Master Compare + 4 + 3 + 1 + + + AD2MC3 + ADC trigger 2 on Master Compare + 3 + 2 + 1 + + + AD2MC2 + ADC trigger 2 on Master Compare + 2 + 1 + 1 + + + AD2MC1 + ADC trigger 2 on Master Compare + 1 + 0 + 1 + + + + + ADC3R + ADC3R + ADC Trigger 3 Register + 0x44 + 0x20 + read-write + 0x00000000 + + + AD1TEPER + AD1TEPER + 31 + 1 + + + AD1TEC4 + AD1TEC4 + 30 + 1 + + + AD1TEC3 + AD1TEC3 + 29 + 1 + + + AD1TEC2 + AD1TEC2 + 28 + 1 + + + AD1TDPER + AD1TDPER + 27 + 1 + + + AD1TDC4 + AD1TDC4 + 26 + 1 + + + AD1TDC3 + AD1TDC3 + 25 + 1 + + + AD1TDC2 + AD1TDC2 + 24 + 1 + + + AD1TCPER + AD1TCPER + 23 + 1 + + + AD1TCC4 + AD1TCC4 + 22 + 1 + + + AD1TCC3 + AD1TCC3 + 21 + 1 + + + AD1TCC2 + AD1TCC2 + 20 + 1 + + + AD1TBRST + AD1TBRST + 19 + 1 + + + AD1TBPER + AD1TBPER + 18 + 1 + + + AD1TBC4 + AD1TBC4 + 17 + 1 + + + AD1TBC3 + AD1TBC3 + 16 + 1 + + + AD1TBC2 + AD1TBC2 + 15 + 1 + + + AD1TARST + AD1TARST + 14 + 1 + + + AD1TAPER + AD1TAPER + 13 + 1 + + + AD1TAC4 + AD1TAC4 + 12 + 1 + + + AD1TAC3 + AD1TAC3 + 11 + 1 + + + AD1TAC2 + AD1TAC2 + 10 + 1 + + + AD1EEV5 + AD1EEV5 + 9 + 1 + + + AD1EEV4 + AD1EEV4 + 8 + 1 + + + AD1EEV3 + AD1EEV3 + 7 + 1 + + + AD1EEV2 + AD1EEV2 + 6 + 1 + + + AD1EEV1 + AD1EEV1 + 5 + 1 + + + AD1MPER + AD1MPER + 4 + 1 + + + AD1MC4 + AD1MC4 + 3 + 1 + + + AD1MC3 + AD1MC3 + 2 + 1 + + + AD1MC2 + AD1MC2 + 1 + 1 + + + AD1MC1 + AD1MC1 + 0 + 1 + + + + + ADC4R + ADC4R + ADC Trigger 4 Register + 0x48 + 0x20 + read-write + 0x00000000 + + + AD2TERST + AD2TERST + 31 + 1 + + + AD2TEC4 + AD2TEC4 + 30 + 1 + + + AD2TEC3 + AD2TEC3 + 29 + 1 + + + AD2TEC2 + AD2TEC2 + 28 + 1 + + + AD2TDRST + AD2TDRST + 27 + 1 + + + AD2TDPER + AD2TDPER + 26 + 1 + + + AD2TDC4 + AD2TDC4 + 25 + 1 + + + AD2TDC3 + AD2TDC3 + 24 + 1 + + + AD2TDC2 + AD2TDC2 + 23 + 1 + + + AD2TCRST + AD2TCRST + 22 + 1 + + + AD2TCPER + AD2TCPER + 21 + 1 + + + AD2TCC4 + AD2TCC4 + 20 + 1 + + + AD2TCC3 + AD2TCC3 + 19 + 1 + + + AD2TCC2 + AD2TCC2 + 18 + 1 + + + AD2TBPER + AD2TBPER + 17 + 1 + + + AD2TBC4 + AD2TBC4 + 16 + 1 + + + AD2TBC3 + AD2TBC3 + 15 + 1 + + + AD2TBC2 + AD2TBC2 + 14 + 1 + + + AD2TAPER + AD2TAPER + 13 + 1 + + + AD2TAC4 + AD2TAC4 + 12 + 1 + + + AD2TAC3 + AD2TAC3 + 11 + 1 + + + AD2TAC2 + AD2TAC2 + 10 + 1 + + + AD2EEV10 + AD2EEV10 + 9 + 1 + + + AD2EEV9 + AD2EEV9 + 8 + 1 + + + AD2EEV8 + AD2EEV8 + 7 + 1 + + + AD2EEV7 + AD2EEV7 + 6 + 1 + + + AD2EEV6 + AD2EEV6 + 5 + 1 + + + AD2MPER + AD2MPER + 4 + 1 + + + AD2MC4 + AD2MC4 + 3 + 1 + + + AD2MC3 + AD2MC3 + 2 + 1 + + + AD2MC2 + AD2MC2 + 1 + 1 + + + AD2MC1 + AD2MC1 + 0 + 1 + + + + + DLLCR + DLLCR + DLL Control Register + 0x4C + 0x20 + read-write + 0x00000000 + + + CALRTE + DLL Calibration rate + 2 + 2 + + + CALEN + DLL Calibration Enable + 1 + 1 + + + CAL + DLL Calibration Start + 0 + 1 + + + + + FLTINR1 + FLTINR1 + HRTIM Fault Input Register 1 + 0x50 + 0x20 + read-write + 0x00000000 + + + FLT4LCK + FLT4LCK + 31 + 1 + + + FLT4F + FLT4F + 27 + 4 + + + FLT4SRC + FLT4SRC + 26 + 1 + + + FLT4P + FLT4P + 25 + 1 + + + FLT4E + FLT4E + 24 + 1 + + + FLT3LCK + FLT3LCK + 23 + 1 + + + FLT3F + FLT3F + 19 + 4 + + + FLT3SRC + FLT3SRC + 18 + 1 + + + FLT3P + FLT3P + 17 + 1 + + + FLT3E + FLT3E + 16 + 1 + + + FLT2LCK + FLT2LCK + 15 + 1 + + + FLT2F + FLT2F + 11 + 4 + + + FLT2SRC + FLT2SRC + 10 + 1 + + + FLT2P + FLT2P + 9 + 1 + + + FLT2E + FLT2E + 8 + 1 + + + FLT1LCK + FLT1LCK + 7 + 1 + + + FLT1F + FLT1F + 3 + 4 + + + FLT1SRC + FLT1SRC + 2 + 1 + + + FLT1P + FLT1P + 1 + 1 + + + FLT1E + FLT1E + 0 + 1 + + + + + FLTINR2 + FLTINR2 + HRTIM Fault Input Register 2 + 0x54 + 0x20 + read-write + 0x00000000 + + + FLTSD + FLTSD + 24 + 2 + + + FLT5LCK + FLT5LCK + 7 + 1 + + + FLT5F + FLT5F + 3 + 4 + + + FLT5SRC + FLT5SRC + 2 + 1 + + + FLT5P + FLT5P + 1 + 1 + + + FLT5E + FLT5E + 0 + 1 + + + + + BDMUPDR + BDMUPDR + BDMUPDR + 0x58 + 0x20 + read-write + 0x00000000 + + + MCMP4 + MCMP4 + 9 + 1 + + + MCMP3 + MCMP3 + 8 + 1 + + + MCMP2 + MCMP2 + 7 + 1 + + + MCMP1 + MCMP1 + 6 + 1 + + + MREP + MREP + 5 + 1 + + + MPER + MPER + 4 + 1 + + + MCNT + MCNT + 3 + 1 + + + MDIER + MDIER + 2 + 1 + + + MICR + MICR + 1 + 1 + + + MCR + MCR + 0 + 1 + + + + + BDTxUPR + BDTxUPR + Burst DMA Timerx update + Register + 0x5C + 0x20 + read-write + 0x00000000 + + + TIMxFLTR + HRTIM_FLTxR register update + enable + 20 + 1 + + + TIMxOUTR + HRTIM_OUTxR register update + enable + 19 + 1 + + + TIMxCHPR + HRTIM_CHPxR register update + enable + 18 + 1 + + + TIMxRSTR + HRTIM_RSTxR register update + enable + 17 + 1 + + + TIMxEEFR2 + HRTIM_EEFxR2 register update + enable + 16 + 1 + + + TIMxEEFR1 + HRTIM_EEFxR1 register update + enable + 15 + 1 + + + TIMxRST2R + HRTIM_RST2xR register update + enable + 14 + 1 + + + TIMxSET2R + HRTIM_SET2xR register update + enable + 13 + 1 + + + TIMxRST1R + HRTIM_RST1xR register update + enable + 12 + 1 + + + TIMxSET1R + HRTIM_SET1xR register update + enable + 11 + 1 + + + TIMx_DTxR + HRTIM_DTxR register update + enable + 10 + 1 + + + TIMxCMP4 + HRTIM_CMP4xR register update + enable + 9 + 1 + + + TIMxCMP3 + HRTIM_CMP3xR register update + enable + 8 + 1 + + + TIMxCMP2 + HRTIM_CMP2xR register update + enable + 7 + 1 + + + TIMxCMP1 + HRTIM_CMP1xR register update + enable + 6 + 1 + + + TIMxREP + HRTIM_REPxR register update + enable + 5 + 1 + + + TIMxPER + HRTIM_PERxR register update + enable + 4 + 1 + + + TIMxCNT + HRTIM_CNTxR register update + enable + 3 + 1 + + + TIMxDIER + HRTIM_TIMxDIER register update + enable + 2 + 1 + + + TIMxICR + HRTIM_TIMxICR register update + enable + 1 + 1 + + + TIMxCR + HRTIM_TIMxCR register update + enable + 0 + 1 + + + + + BDMADR + BDMADR + Burst DMA Data Register + 0x60 + 0x20 + read-write + 0x00000000 + + + BDMADR + Burst DMA Data register + 0 + 32 + + + + + + + DFSDM + Digital filter for sigma delta + modulators + DFSDM + 0x40017000 + + 0x0 + 0x400 + registers + + + DFSDM1_FLT0 + DFSDM1 filter 0 interrupt + 110 + + + DFSDM1_FLT1 + DFSDM1 filter 1 interrupt + 111 + + + DFSDM1_FLT2 + DFSDM1 filter 2 interrupt + 112 + + + DFSDM1_FLT3 + DFSDM1 filter 3 interrupt + 113 + + + + DFSDM_CHCFG0R1 + DFSDM_CHCFG0R1 + DFSDM channel configuration 0 register + 1 + 0x0 + 0x20 + read-write + 0x00000000 + + + SITP + Serial interface type for channel + 0 + 0 + 2 + + + SPICKSEL + SPI clock select for channel + 0 + 2 + 2 + + + SCDEN + Short-circuit detector enable on channel + 0 + 5 + 1 + + + CKABEN + Clock absence detector enable on channel + 0 + 6 + 1 + + + CHEN + Channel 0 enable + 7 + 1 + + + CHINSEL + Channel inputs selection + 8 + 1 + + + DATMPX + Input data multiplexer for channel + 0 + 12 + 2 + + + DATPACK + Data packing mode in DFSDM_CHDATINyR + register + 14 + 2 + + + CKOUTDIV + Output serial clock + divider + 16 + 8 + + + CKOUTSRC + Output serial clock source + selection + 30 + 1 + + + DFSDMEN + Global enable for DFSDM + interface + 31 + 1 + + + + + DFSDM_CHCFG1R1 + DFSDM_CHCFG1R1 + DFSDM channel configuration 1 register + 1 + 0x4 + 0x20 + read-write + 0x00000000 + + + SITP + Serial interface type for channel + 1 + 0 + 2 + + + SPICKSEL + SPI clock select for channel + 1 + 2 + 2 + + + SCDEN + Short-circuit detector enable on channel + 1 + 5 + 1 + + + CKABEN + Clock absence detector enable on channel + 1 + 6 + 1 + + + CHEN + Channel 1 enable + 7 + 1 + + + CHINSEL + Channel inputs selection + 8 + 1 + + + DATMPX + Input data multiplexer for channel + 1 + 12 + 2 + + + DATPACK + Data packing mode in DFSDM_CHDATINyR + register + 14 + 2 + + + CKOUTDIV + Output serial clock + divider + 16 + 8 + + + CKOUTSRC + Output serial clock source + selection + 30 + 1 + + + DFSDMEN + Global enable for DFSDM + interface + 31 + 1 + + + + + DFSDM_CHCFG2R1 + DFSDM_CHCFG2R1 + DFSDM channel configuration 2 register + 1 + 0x8 + 0x20 + read-write + 0x00000000 + + + SITP + Serial interface type for channel + 2 + 0 + 2 + + + SPICKSEL + SPI clock select for channel + 2 + 2 + 2 + + + SCDEN + Short-circuit detector enable on channel + 2 + 5 + 1 + + + CKABEN + Clock absence detector enable on channel + 2 + 6 + 1 + + + CHEN + Channel 2 enable + 7 + 1 + + + CHINSEL + Channel inputs selection + 8 + 1 + + + DATMPX + Input data multiplexer for channel + 2 + 12 + 2 + + + DATPACK + Data packing mode in DFSDM_CHDATINyR + register + 14 + 2 + + + CKOUTDIV + Output serial clock + divider + 16 + 8 + + + CKOUTSRC + Output serial clock source + selection + 30 + 1 + + + DFSDMEN + Global enable for DFSDM + interface + 31 + 1 + + + + + DFSDM_CHCFG3R1 + DFSDM_CHCFG3R1 + DFSDM channel configuration 3 register + 1 + 0xC + 0x20 + read-write + 0x00000000 + + + SITP + Serial interface type for channel + 3 + 0 + 2 + + + SPICKSEL + SPI clock select for channel + 3 + 2 + 2 + + + SCDEN + Short-circuit detector enable on channel + 3 + 5 + 1 + + + CKABEN + Clock absence detector enable on channel + 3 + 6 + 1 + + + CHEN + Channel 3 enable + 7 + 1 + + + CHINSEL + Channel inputs selection + 8 + 1 + + + DATMPX + Input data multiplexer for channel + 3 + 12 + 2 + + + DATPACK + Data packing mode in DFSDM_CHDATINyR + register + 14 + 2 + + + CKOUTDIV + Output serial clock + divider + 16 + 8 + + + CKOUTSRC + Output serial clock source + selection + 30 + 1 + + + DFSDMEN + Global enable for DFSDM + interface + 31 + 1 + + + + + DFSDM_CHCFG4R1 + DFSDM_CHCFG4R1 + DFSDM channel configuration 4 register + 1 + 0x10 + 0x20 + read-write + 0x00000000 + + + SITP + Serial interface type for channel + 4 + 0 + 2 + + + SPICKSEL + SPI clock select for channel + 4 + 2 + 2 + + + SCDEN + Short-circuit detector enable on channel + 4 + 5 + 1 + + + CKABEN + Clock absence detector enable on channel + 4 + 6 + 1 + + + CHEN + Channel 4 enable + 7 + 1 + + + CHINSEL + Channel inputs selection + 8 + 1 + + + DATMPX + Input data multiplexer for channel + 4 + 12 + 2 + + + DATPACK + Data packing mode in DFSDM_CHDATINyR + register + 14 + 2 + + + CKOUTDIV + Output serial clock + divider + 16 + 8 + + + CKOUTSRC + Output serial clock source + selection + 30 + 1 + + + DFSDMEN + Global enable for DFSDM + interface + 31 + 1 + + + + + DFSDM_CHCFG5R1 + DFSDM_CHCFG5R1 + DFSDM channel configuration 5 register + 1 + 0x14 + 0x20 + read-write + 0x00000000 + + + SITP + Serial interface type for channel + 5 + 0 + 2 + + + SPICKSEL + SPI clock select for channel + 5 + 2 + 2 + + + SCDEN + Short-circuit detector enable on channel + 5 + 5 + 1 + + + CKABEN + Clock absence detector enable on channel + 5 + 6 + 1 + + + CHEN + Channel 5 enable + 7 + 1 + + + CHINSEL + Channel inputs selection + 8 + 1 + + + DATMPX + Input data multiplexer for channel + 5 + 12 + 2 + + + DATPACK + Data packing mode in DFSDM_CHDATINyR + register + 14 + 2 + + + CKOUTDIV + Output serial clock + divider + 16 + 8 + + + CKOUTSRC + Output serial clock source + selection + 30 + 1 + + + DFSDMEN + Global enable for DFSDM + interface + 31 + 1 + + + + + DFSDM_CHCFG6R1 + DFSDM_CHCFG6R1 + DFSDM channel configuration 6 register + 1 + 0x18 + 0x20 + read-write + 0x00000000 + + + SITP + Serial interface type for channel + 6 + 0 + 2 + + + SPICKSEL + SPI clock select for channel + 6 + 2 + 2 + + + SCDEN + Short-circuit detector enable on channel + 6 + 5 + 1 + + + CKABEN + Clock absence detector enable on channel + 6 + 6 + 1 + + + CHEN + Channel 6 enable + 7 + 1 + + + CHINSEL + Channel inputs selection + 8 + 1 + + + DATMPX + Input data multiplexer for channel + 6 + 12 + 2 + + + DATPACK + Data packing mode in DFSDM_CHDATINyR + register + 14 + 2 + + + CKOUTDIV + Output serial clock + divider + 16 + 8 + + + CKOUTSRC + Output serial clock source + selection + 30 + 1 + + + DFSDMEN + Global enable for DFSDM + interface + 31 + 1 + + + + + DFSDM_CHCFG7R1 + DFSDM_CHCFG7R1 + DFSDM channel configuration 7 register + 1 + 0x1C + 0x20 + read-write + 0x00000000 + + + SITP + Serial interface type for channel + 7 + 0 + 2 + + + SPICKSEL + SPI clock select for channel + 7 + 2 + 2 + + + SCDEN + Short-circuit detector enable on channel + 7 + 5 + 1 + + + CKABEN + Clock absence detector enable on channel + 7 + 6 + 1 + + + CHEN + Channel 7 enable + 7 + 1 + + + CHINSEL + Channel inputs selection + 8 + 1 + + + DATMPX + Input data multiplexer for channel + 7 + 12 + 2 + + + DATPACK + Data packing mode in DFSDM_CHDATINyR + register + 14 + 2 + + + CKOUTDIV + Output serial clock + divider + 16 + 8 + + + CKOUTSRC + Output serial clock source + selection + 30 + 1 + + + DFSDMEN + Global enable for DFSDM + interface + 31 + 1 + + + + + DFSDM_CHCFG0R2 + DFSDM_CHCFG0R2 + DFSDM channel configuration 0 register + 2 + 0x20 + 0x20 + read-write + 0x00000000 + + + DTRBS + Data right bit-shift for channel + 0 + 3 + 5 + + + OFFSET + 24-bit calibration offset for channel + 0 + 8 + 24 + + + + + DFSDM_CHCFG1R2 + DFSDM_CHCFG1R2 + DFSDM channel configuration 1 register + 2 + 0x24 + 0x20 + read-write + 0x00000000 + + + DTRBS + Data right bit-shift for channel + 1 + 3 + 5 + + + OFFSET + 24-bit calibration offset for channel + 1 + 8 + 24 + + + + + DFSDM_CHCFG2R2 + DFSDM_CHCFG2R2 + DFSDM channel configuration 2 register + 2 + 0x28 + 0x20 + read-write + 0x00000000 + + + DTRBS + Data right bit-shift for channel + 2 + 3 + 5 + + + OFFSET + 24-bit calibration offset for channel + 2 + 8 + 24 + + + + + DFSDM_CHCFG3R2 + DFSDM_CHCFG3R2 + DFSDM channel configuration 3 register + 2 + 0x2C + 0x20 + read-write + 0x00000000 + + + DTRBS + Data right bit-shift for channel + 3 + 3 + 5 + + + OFFSET + 24-bit calibration offset for channel + 3 + 8 + 24 + + + + + DFSDM_CHCFG4R2 + DFSDM_CHCFG4R2 + DFSDM channel configuration 4 register + 2 + 0x30 + 0x20 + read-write + 0x00000000 + + + DTRBS + Data right bit-shift for channel + 4 + 3 + 5 + + + OFFSET + 24-bit calibration offset for channel + 4 + 8 + 24 + + + + + DFSDM_CHCFG5R2 + DFSDM_CHCFG5R2 + DFSDM channel configuration 5 register + 2 + 0x34 + 0x20 + read-write + 0x00000000 + + + DTRBS + Data right bit-shift for channel + 5 + 3 + 5 + + + OFFSET + 24-bit calibration offset for channel + 5 + 8 + 24 + + + + + DFSDM_CHCFG6R2 + DFSDM_CHCFG6R2 + DFSDM channel configuration 6 register + 2 + 0x38 + 0x20 + read-write + 0x00000000 + + + DTRBS + Data right bit-shift for channel + 6 + 3 + 5 + + + OFFSET + 24-bit calibration offset for channel + 6 + 8 + 24 + + + + + DFSDM_CHCFG7R2 + DFSDM_CHCFG7R2 + DFSDM channel configuration 7 register + 2 + 0x3C + 0x20 + read-write + 0x00000000 + + + DTRBS + Data right bit-shift for channel + 7 + 3 + 5 + + + OFFSET + 24-bit calibration offset for channel + 7 + 8 + 24 + + + + + DFSDM_AWSCD0R + DFSDM_AWSCD0R + DFSDM analog watchdog and short-circuit + detector register + 0x40 + 0x20 + read-write + 0x00000000 + + + SCDT + short-circuit detector threshold for + channel 0 + 0 + 8 + + + BKSCD + Break signal assignment for + short-circuit detector on channel 0 + 12 + 4 + + + AWFOSR + Analog watchdog filter oversampling + ratio (decimation rate) on channel 0 + 16 + 5 + + + AWFORD + Analog watchdog Sinc filter order on + channel 0 + 22 + 2 + + + + + DFSDM_AWSCD1R + DFSDM_AWSCD1R + DFSDM analog watchdog and short-circuit + detector register + 0x44 + 0x20 + read-write + 0x00000000 + + + SCDT + short-circuit detector threshold for + channel 1 + 0 + 8 + + + BKSCD + Break signal assignment for + short-circuit detector on channel 1 + 12 + 4 + + + AWFOSR + Analog watchdog filter oversampling + ratio (decimation rate) on channel 1 + 16 + 5 + + + AWFORD + Analog watchdog Sinc filter order on + channel 1 + 22 + 2 + + + + + DFSDM_AWSCD2R + DFSDM_AWSCD2R + DFSDM analog watchdog and short-circuit + detector register + 0x48 + 0x20 + read-write + 0x00000000 + + + SCDT + short-circuit detector threshold for + channel 2 + 0 + 8 + + + BKSCD + Break signal assignment for + short-circuit detector on channel 2 + 12 + 4 + + + AWFOSR + Analog watchdog filter oversampling + ratio (decimation rate) on channel 2 + 16 + 5 + + + AWFORD + Analog watchdog Sinc filter order on + channel 2 + 22 + 2 + + + + + DFSDM_AWSCD3R + DFSDM_AWSCD3R + DFSDM analog watchdog and short-circuit + detector register + 0x4C + 0x20 + read-write + 0x00000000 + + + SCDT + short-circuit detector threshold for + channel 3 + 0 + 8 + + + BKSCD + Break signal assignment for + short-circuit detector on channel 3 + 12 + 4 + + + AWFOSR + Analog watchdog filter oversampling + ratio (decimation rate) on channel 3 + 16 + 5 + + + AWFORD + Analog watchdog Sinc filter order on + channel 3 + 22 + 2 + + + + + DFSDM_AWSCD4R + DFSDM_AWSCD4R + DFSDM analog watchdog and short-circuit + detector register + 0x50 + 0x20 + read-write + 0x00000000 + + + SCDT + short-circuit detector threshold for + channel 4 + 0 + 8 + + + BKSCD + Break signal assignment for + short-circuit detector on channel 4 + 12 + 4 + + + AWFOSR + Analog watchdog filter oversampling + ratio (decimation rate) on channel 4 + 16 + 5 + + + AWFORD + Analog watchdog Sinc filter order on + channel 4 + 22 + 2 + + + + + DFSDM_AWSCD5R + DFSDM_AWSCD5R + DFSDM analog watchdog and short-circuit + detector register + 0x54 + 0x20 + read-write + 0x00000000 + + + SCDT + short-circuit detector threshold for + channel 5 + 0 + 8 + + + BKSCD + Break signal assignment for + short-circuit detector on channel 5 + 12 + 4 + + + AWFOSR + Analog watchdog filter oversampling + ratio (decimation rate) on channel 5 + 16 + 5 + + + AWFORD + Analog watchdog Sinc filter order on + channel 5 + 22 + 2 + + + + + DFSDM_AWSCD6R + DFSDM_AWSCD6R + DFSDM analog watchdog and short-circuit + detector register + 0x58 + 0x20 + read-write + 0x00000000 + + + SCDT + short-circuit detector threshold for + channel 6 + 0 + 8 + + + BKSCD + Break signal assignment for + short-circuit detector on channel 6 + 12 + 4 + + + AWFOSR + Analog watchdog filter oversampling + ratio (decimation rate) on channel 6 + 16 + 5 + + + AWFORD + Analog watchdog Sinc filter order on + channel 6 + 22 + 2 + + + + + DFSDM_AWSCD7R + DFSDM_AWSCD7R + DFSDM analog watchdog and short-circuit + detector register + 0x5C + 0x20 + read-write + 0x00000000 + + + SCDT + short-circuit detector threshold for + channel 7 + 0 + 8 + + + BKSCD + Break signal assignment for + short-circuit detector on channel 7 + 12 + 4 + + + AWFOSR + Analog watchdog filter oversampling + ratio (decimation rate) on channel 7 + 16 + 5 + + + AWFORD + Analog watchdog Sinc filter order on + channel 7 + 22 + 2 + + + + + DFSDM_CHWDAT0R + DFSDM_CHWDAT0R + DFSDM channel watchdog filter data + register + 0x60 + 0x20 + read-only + 0x00000000 + + + WDATA + Input channel y watchdog + data + 0 + 16 + + + + + DFSDM_CHWDAT1R + DFSDM_CHWDAT1R + DFSDM channel watchdog filter data + register + 0x64 + 0x20 + read-only + 0x00000000 + + + WDATA + Input channel y watchdog + data + 0 + 16 + + + + + DFSDM_CHWDAT2R + DFSDM_CHWDAT2R + DFSDM channel watchdog filter data + register + 0x68 + 0x20 + read-only + 0x00000000 + + + WDATA + Input channel y watchdog + data + 0 + 16 + + + + + DFSDM_CHWDAT3R + DFSDM_CHWDAT3R + DFSDM channel watchdog filter data + register + 0x6C + 0x20 + read-only + 0x00000000 + + + WDATA + Input channel y watchdog + data + 0 + 16 + + + + + DFSDM_CHWDAT4R + DFSDM_CHWDAT4R + DFSDM channel watchdog filter data + register + 0x70 + 0x20 + read-only + 0x00000000 + + + WDATA + Input channel y watchdog + data + 0 + 16 + + + + + DFSDM_CHWDAT5R + DFSDM_CHWDAT5R + DFSDM channel watchdog filter data + register + 0x74 + 0x20 + read-only + 0x00000000 + + + WDATA + Input channel y watchdog + data + 0 + 16 + + + + + DFSDM_CHWDAT6R + DFSDM_CHWDAT6R + DFSDM channel watchdog filter data + register + 0x78 + 0x20 + read-only + 0x00000000 + + + WDATA + Input channel y watchdog + data + 0 + 16 + + + + + DFSDM_CHWDAT7R + DFSDM_CHWDAT7R + DFSDM channel watchdog filter data + register + 0x7C + 0x20 + read-only + 0x00000000 + + + WDATA + Input channel y watchdog + data + 0 + 16 + + + + + DFSDM_CHDATIN0R + DFSDM_CHDATIN0R + DFSDM channel data input + register + 0x80 + 0x20 + read-write + 0x00000000 + + + INDAT0 + Input data for channel 0 + 0 + 16 + + + INDAT1 + Input data for channel 1 + 16 + 16 + + + + + DFSDM_CHDATIN1R + DFSDM_CHDATIN1R + DFSDM channel data input + register + 0x84 + 0x20 + read-write + 0x00000000 + + + INDAT0 + Input data for channel 1 + 0 + 16 + + + INDAT1 + Input data for channel 2 + 16 + 16 + + + + + DFSDM_CHDATIN2R + DFSDM_CHDATIN2R + DFSDM channel data input + register + 0x88 + 0x20 + read-write + 0x00000000 + + + INDAT0 + Input data for channel 2 + 0 + 16 + + + INDAT1 + Input data for channel 3 + 16 + 16 + + + + + DFSDM_CHDATIN3R + DFSDM_CHDATIN3R + DFSDM channel data input + register + 0x8C + 0x20 + read-write + 0x00000000 + + + INDAT0 + Input data for channel 3 + 0 + 16 + + + INDAT1 + Input data for channel 4 + 16 + 16 + + + + + DFSDM_CHDATIN4R + DFSDM_CHDATIN4R + DFSDM channel data input + register + 0x90 + 0x20 + read-write + 0x00000000 + + + INDAT0 + Input data for channel 4 + 0 + 16 + + + INDAT1 + Input data for channel 5 + 16 + 16 + + + + + DFSDM_CHDATIN5R + DFSDM_CHDATIN5R + DFSDM channel data input + register + 0x94 + 0x20 + read-write + 0x00000000 + + + INDAT0 + Input data for channel 5 + 0 + 16 + + + INDAT1 + Input data for channel 6 + 16 + 16 + + + + + DFSDM_CHDATIN6R + DFSDM_CHDATIN6R + DFSDM channel data input + register + 0x98 + 0x20 + read-write + 0x00000000 + + + INDAT0 + Input data for channel 6 + 0 + 16 + + + INDAT1 + Input data for channel 7 + 16 + 16 + + + + + DFSDM_CHDATIN7R + DFSDM_CHDATIN7R + DFSDM channel data input + register + 0x9C + 0x20 + read-write + 0x00000000 + + + INDAT0 + Input data for channel 7 + 0 + 16 + + + INDAT1 + Input data for channel 8 + 16 + 16 + + + + + DFSDM0_CR1 + DFSDM0_CR1 + DFSDM control register 1 + 0xA0 + 0x20 + read-write + 0x00000000 + + + DFEN + DFSDM enable + 0 + 1 + + + JSWSTART + Start a conversion of the injected group + of channels + 1 + 1 + + + JSYNC + Launch an injected conversion + synchronously with the DFSDM0 JSWSTART + trigger + 3 + 1 + + + JSCAN + Scanning conversion mode for injected + conversions + 4 + 1 + + + JDMAEN + DMA channel enabled to read data for the + injected channel group + 5 + 1 + + + JEXTSEL + Trigger signal selection for launching + injected conversions + 8 + 5 + + + JEXTEN + Trigger enable and trigger edge + selection for injected conversions + 13 + 2 + + + RSWSTART + Software start of a conversion on the + regular channel + 17 + 1 + + + RCONT + Continuous mode selection for regular + conversions + 18 + 1 + + + RSYNC + Launch regular conversion synchronously + with DFSDM0 + 19 + 1 + + + RDMAEN + DMA channel enabled to read data for the + regular conversion + 21 + 1 + + + RCH + Regular channel selection + 24 + 3 + + + FAST + Fast conversion mode selection for + regular conversions + 29 + 1 + + + AWFSEL + Analog watchdog fast mode + select + 30 + 1 + + + + + DFSDM1_CR1 + DFSDM1_CR1 + DFSDM control register 1 + 0xA4 + 0x20 + read-write + 0x00000000 + + + DFEN + DFSDM enable + 0 + 1 + + + JSWSTART + Start a conversion of the injected group + of channels + 1 + 1 + + + JSYNC + Launch an injected conversion + synchronously with the DFSDM0 JSWSTART + trigger + 3 + 1 + + + JSCAN + Scanning conversion mode for injected + conversions + 4 + 1 + + + JDMAEN + DMA channel enabled to read data for the + injected channel group + 5 + 1 + + + JEXTSEL + Trigger signal selection for launching + injected conversions + 8 + 5 + + + JEXTEN + Trigger enable and trigger edge + selection for injected conversions + 13 + 2 + + + RSWSTART + Software start of a conversion on the + regular channel + 17 + 1 + + + RCONT + Continuous mode selection for regular + conversions + 18 + 1 + + + RSYNC + Launch regular conversion synchronously + with DFSDM0 + 19 + 1 + + + RDMAEN + DMA channel enabled to read data for the + regular conversion + 21 + 1 + + + RCH + Regular channel selection + 24 + 3 + + + FAST + Fast conversion mode selection for + regular conversions + 29 + 1 + + + AWFSEL + Analog watchdog fast mode + select + 30 + 1 + + + + + DFSDM2_CR1 + DFSDM2_CR1 + DFSDM control register 1 + 0xA8 + 0x20 + read-write + 0x00000000 + + + DFEN + DFSDM enable + 0 + 1 + + + JSWSTART + Start a conversion of the injected group + of channels + 1 + 1 + + + JSYNC + Launch an injected conversion + synchronously with the DFSDM0 JSWSTART + trigger + 3 + 1 + + + JSCAN + Scanning conversion mode for injected + conversions + 4 + 1 + + + JDMAEN + DMA channel enabled to read data for the + injected channel group + 5 + 1 + + + JEXTSEL + Trigger signal selection for launching + injected conversions + 8 + 5 + + + JEXTEN + Trigger enable and trigger edge + selection for injected conversions + 13 + 2 + + + RSWSTART + Software start of a conversion on the + regular channel + 17 + 1 + + + RCONT + Continuous mode selection for regular + conversions + 18 + 1 + + + RSYNC + Launch regular conversion synchronously + with DFSDM0 + 19 + 1 + + + RDMAEN + DMA channel enabled to read data for the + regular conversion + 21 + 1 + + + RCH + Regular channel selection + 24 + 3 + + + FAST + Fast conversion mode selection for + regular conversions + 29 + 1 + + + AWFSEL + Analog watchdog fast mode + select + 30 + 1 + + + + + DFSDM3_CR1 + DFSDM3_CR1 + DFSDM control register 1 + 0xAC + 0x20 + read-write + 0x00000000 + + + DFEN + DFSDM enable + 0 + 1 + + + JSWSTART + Start a conversion of the injected group + of channels + 1 + 1 + + + JSYNC + Launch an injected conversion + synchronously with the DFSDM0 JSWSTART + trigger + 3 + 1 + + + JSCAN + Scanning conversion mode for injected + conversions + 4 + 1 + + + JDMAEN + DMA channel enabled to read data for the + injected channel group + 5 + 1 + + + JEXTSEL + Trigger signal selection for launching + injected conversions + 8 + 5 + + + JEXTEN + Trigger enable and trigger edge + selection for injected conversions + 13 + 2 + + + RSWSTART + Software start of a conversion on the + regular channel + 17 + 1 + + + RCONT + Continuous mode selection for regular + conversions + 18 + 1 + + + RSYNC + Launch regular conversion synchronously + with DFSDM0 + 19 + 1 + + + RDMAEN + DMA channel enabled to read data for the + regular conversion + 21 + 1 + + + RCH + Regular channel selection + 24 + 3 + + + FAST + Fast conversion mode selection for + regular conversions + 29 + 1 + + + AWFSEL + Analog watchdog fast mode + select + 30 + 1 + + + + + DFSDM0_CR2 + DFSDM0_CR2 + DFSDM control register 2 + 0xB0 + 0x20 + read-write + 0x00000000 + + + JEOCIE + Injected end of conversion interrupt + enable + 0 + 1 + + + REOCIE + Regular end of conversion interrupt + enable + 1 + 1 + + + JOVRIE + Injected data overrun interrupt + enable + 2 + 1 + + + ROVRIE + Regular data overrun interrupt + enable + 3 + 1 + + + AWDIE + Analog watchdog interrupt + enable + 4 + 1 + + + SCDIE + Short-circuit detector interrupt + enable + 5 + 1 + + + CKABIE + Clock absence interrupt + enable + 6 + 1 + + + EXCH + Extremes detector channel + selection + 8 + 8 + + + AWDCH + Analog watchdog channel + selection + 16 + 8 + + + + + DFSDM1_CR2 + DFSDM1_CR2 + DFSDM control register 2 + 0xB4 + 0x20 + read-write + 0x00000000 + + + JEOCIE + Injected end of conversion interrupt + enable + 0 + 1 + + + REOCIE + Regular end of conversion interrupt + enable + 1 + 1 + + + JOVRIE + Injected data overrun interrupt + enable + 2 + 1 + + + ROVRIE + Regular data overrun interrupt + enable + 3 + 1 + + + AWDIE + Analog watchdog interrupt + enable + 4 + 1 + + + SCDIE + Short-circuit detector interrupt + enable + 5 + 1 + + + CKABIE + Clock absence interrupt + enable + 6 + 1 + + + EXCH + Extremes detector channel + selection + 8 + 8 + + + AWDCH + Analog watchdog channel + selection + 16 + 8 + + + + + DFSDM2_CR2 + DFSDM2_CR2 + DFSDM control register 2 + 0xB8 + 0x20 + read-write + 0x00000000 + + + JEOCIE + Injected end of conversion interrupt + enable + 0 + 1 + + + REOCIE + Regular end of conversion interrupt + enable + 1 + 1 + + + JOVRIE + Injected data overrun interrupt + enable + 2 + 1 + + + ROVRIE + Regular data overrun interrupt + enable + 3 + 1 + + + AWDIE + Analog watchdog interrupt + enable + 4 + 1 + + + SCDIE + Short-circuit detector interrupt + enable + 5 + 1 + + + CKABIE + Clock absence interrupt + enable + 6 + 1 + + + EXCH + Extremes detector channel + selection + 8 + 8 + + + AWDCH + Analog watchdog channel + selection + 16 + 8 + + + + + DFSDM3_CR2 + DFSDM3_CR2 + DFSDM control register 2 + 0xBC + 0x20 + read-write + 0x00000000 + + + JEOCIE + Injected end of conversion interrupt + enable + 0 + 1 + + + REOCIE + Regular end of conversion interrupt + enable + 1 + 1 + + + JOVRIE + Injected data overrun interrupt + enable + 2 + 1 + + + ROVRIE + Regular data overrun interrupt + enable + 3 + 1 + + + AWDIE + Analog watchdog interrupt + enable + 4 + 1 + + + SCDIE + Short-circuit detector interrupt + enable + 5 + 1 + + + CKABIE + Clock absence interrupt + enable + 6 + 1 + + + EXCH + Extremes detector channel + selection + 8 + 8 + + + AWDCH + Analog watchdog channel + selection + 16 + 8 + + + + + DFSDM0_ISR + DFSDM0_ISR + DFSDM interrupt and status + register + 0xC0 + 0x20 + read-only + 0x00000000 + + + JEOCF + End of injected conversion + flag + 0 + 1 + + + REOCF + End of regular conversion + flag + 1 + 1 + + + JOVRF + Injected conversion overrun + flag + 2 + 1 + + + ROVRF + Regular conversion overrun + flag + 3 + 1 + + + AWDF + Analog watchdog + 4 + 1 + + + JCIP + Injected conversion in progress + status + 13 + 1 + + + RCIP + Regular conversion in progress + status + 14 + 1 + + + CKABF + Clock absence flag + 16 + 8 + + + SCDF + short-circuit detector + flag + 24 + 8 + + + + + DFSDM1_ISR + DFSDM1_ISR + DFSDM interrupt and status + register + 0xC4 + 0x20 + read-only + 0x00000000 + + + JEOCF + End of injected conversion + flag + 0 + 1 + + + REOCF + End of regular conversion + flag + 1 + 1 + + + JOVRF + Injected conversion overrun + flag + 2 + 1 + + + ROVRF + Regular conversion overrun + flag + 3 + 1 + + + AWDF + Analog watchdog + 4 + 1 + + + JCIP + Injected conversion in progress + status + 13 + 1 + + + RCIP + Regular conversion in progress + status + 14 + 1 + + + CKABF + Clock absence flag + 16 + 8 + + + SCDF + short-circuit detector + flag + 24 + 8 + + + + + DFSDM2_ISR + DFSDM2_ISR + DFSDM interrupt and status + register + 0xC8 + 0x20 + read-only + 0x00000000 + + + JEOCF + End of injected conversion + flag + 0 + 1 + + + REOCF + End of regular conversion + flag + 1 + 1 + + + JOVRF + Injected conversion overrun + flag + 2 + 1 + + + ROVRF + Regular conversion overrun + flag + 3 + 1 + + + AWDF + Analog watchdog + 4 + 1 + + + JCIP + Injected conversion in progress + status + 13 + 1 + + + RCIP + Regular conversion in progress + status + 14 + 1 + + + CKABF + Clock absence flag + 16 + 8 + + + SCDF + short-circuit detector + flag + 24 + 8 + + + + + DFSDM3_ISR + DFSDM3_ISR + DFSDM interrupt and status + register + 0xCC + 0x20 + read-only + 0x00000000 + + + JEOCF + End of injected conversion + flag + 0 + 1 + + + REOCF + End of regular conversion + flag + 1 + 1 + + + JOVRF + Injected conversion overrun + flag + 2 + 1 + + + ROVRF + Regular conversion overrun + flag + 3 + 1 + + + AWDF + Analog watchdog + 4 + 1 + + + JCIP + Injected conversion in progress + status + 13 + 1 + + + RCIP + Regular conversion in progress + status + 14 + 1 + + + CKABF + Clock absence flag + 16 + 8 + + + SCDF + short-circuit detector + flag + 24 + 8 + + + + + DFSDM0_ICR + DFSDM0_ICR + DFSDM interrupt flag clear + register + 0xD0 + 0x20 + read-write + 0x00000000 + + + CLRJOVRF + Clear the injected conversion overrun + flag + 2 + 1 + + + CLRROVRF + Clear the regular conversion overrun + flag + 3 + 1 + + + CLRCKABF + Clear the clock absence + flag + 16 + 8 + + + CLRSCDF + Clear the short-circuit detector + flag + 24 + 8 + + + + + DFSDM1_ICR + DFSDM1_ICR + DFSDM interrupt flag clear + register + 0xD4 + 0x20 + read-write + 0x00000000 + + + CLRJOVRF + Clear the injected conversion overrun + flag + 2 + 1 + + + CLRROVRF + Clear the regular conversion overrun + flag + 3 + 1 + + + CLRCKABF + Clear the clock absence + flag + 16 + 8 + + + CLRSCDF + Clear the short-circuit detector + flag + 24 + 8 + + + + + DFSDM2_ICR + DFSDM2_ICR + DFSDM interrupt flag clear + register + 0xD8 + 0x20 + read-write + 0x00000000 + + + CLRJOVRF + Clear the injected conversion overrun + flag + 2 + 1 + + + CLRROVRF + Clear the regular conversion overrun + flag + 3 + 1 + + + CLRCKABF + Clear the clock absence + flag + 16 + 8 + + + CLRSCDF + Clear the short-circuit detector + flag + 24 + 8 + + + + + DFSDM3_ICR + DFSDM3_ICR + DFSDM interrupt flag clear + register + 0xDC + 0x20 + read-write + 0x00000000 + + + CLRJOVRF + Clear the injected conversion overrun + flag + 2 + 1 + + + CLRROVRF + Clear the regular conversion overrun + flag + 3 + 1 + + + CLRCKABF + Clear the clock absence + flag + 16 + 8 + + + CLRSCDF + Clear the short-circuit detector + flag + 24 + 8 + + + + + DFSDM0_JCHGR + DFSDM0_JCHGR + DFSDM injected channel group selection + register + 0xE0 + 0x20 + read-write + 0x00000000 + + + JCHG + Injected channel group + selection + 0 + 8 + + + + + DFSDM1_JCHGR + DFSDM1_JCHGR + DFSDM injected channel group selection + register + 0xE4 + 0x20 + read-write + 0x00000000 + + + JCHG + Injected channel group + selection + 0 + 8 + + + + + DFSDM2_JCHGR + DFSDM2_JCHGR + DFSDM injected channel group selection + register + 0xE8 + 0x20 + read-write + 0x00000000 + + + JCHG + Injected channel group + selection + 0 + 8 + + + + + DFSDM3_JCHGR + DFSDM3_JCHGR + DFSDM injected channel group selection + register + 0xEC + 0x20 + read-write + 0x00000000 + + + JCHG + Injected channel group + selection + 0 + 8 + + + + + DFSDM0_FCR + DFSDM0_FCR + DFSDM filter control register + 0xF0 + 0x20 + read-write + 0x00000000 + + + IOSR + Integrator oversampling ratio (averaging + length) + 0 + 8 + + + FOSR + Sinc filter oversampling ratio + (decimation rate) + 16 + 10 + + + FORD + Sinc filter order + 29 + 3 + + + + + DFSDM1_FCR + DFSDM1_FCR + DFSDM filter control register + 0xF4 + 0x20 + read-write + 0x00000000 + + + IOSR + Integrator oversampling ratio (averaging + length) + 0 + 8 + + + FOSR + Sinc filter oversampling ratio + (decimation rate) + 16 + 10 + + + FORD + Sinc filter order + 29 + 3 + + + + + DFSDM2_FCR + DFSDM2_FCR + DFSDM filter control register + 0xF8 + 0x20 + read-write + 0x00000000 + + + IOSR + Integrator oversampling ratio (averaging + length) + 0 + 8 + + + FOSR + Sinc filter oversampling ratio + (decimation rate) + 16 + 10 + + + FORD + Sinc filter order + 29 + 3 + + + + + DFSDM3_FCR + DFSDM3_FCR + DFSDM filter control register + 0xFC + 0x20 + read-write + 0x00000000 + + + IOSR + Integrator oversampling ratio (averaging + length) + 0 + 8 + + + FOSR + Sinc filter oversampling ratio + (decimation rate) + 16 + 10 + + + FORD + Sinc filter order + 29 + 3 + + + + + DFSDM0_JDATAR + DFSDM0_JDATAR + DFSDM data register for injected + group + 0x100 + 0x20 + read-only + 0x00000000 + + + JDATACH + Injected channel most recently + converted + 0 + 3 + + + JDATA + Injected group conversion + data + 8 + 24 + + + + + DFSDM1_JDATAR + DFSDM1_JDATAR + DFSDM data register for injected + group + 0x104 + 0x20 + read-only + 0x00000000 + + + JDATACH + Injected channel most recently + converted + 0 + 3 + + + JDATA + Injected group conversion + data + 8 + 24 + + + + + DFSDM2_JDATAR + DFSDM2_JDATAR + DFSDM data register for injected + group + 0x108 + 0x20 + read-only + 0x00000000 + + + JDATACH + Injected channel most recently + converted + 0 + 3 + + + JDATA + Injected group conversion + data + 8 + 24 + + + + + DFSDM3_JDATAR + DFSDM3_JDATAR + DFSDM data register for injected + group + 0x10C + 0x20 + read-only + 0x00000000 + + + JDATACH + Injected channel most recently + converted + 0 + 3 + + + JDATA + Injected group conversion + data + 8 + 24 + + + + + DFSDM0_RDATAR + DFSDM0_RDATAR + DFSDM data register for the regular + channel + 0x110 + 0x20 + read-only + 0x00000000 + + + RDATACH + Regular channel most recently + converted + 0 + 3 + + + RPEND + Regular channel pending + data + 4 + 1 + + + RDATA + Regular channel conversion + data + 8 + 24 + + + + + DFSDM1_RDATAR + DFSDM1_RDATAR + DFSDM data register for the regular + channel + 0x114 + 0x20 + read-only + 0x00000000 + + + RDATACH + Regular channel most recently + converted + 0 + 3 + + + RPEND + Regular channel pending + data + 4 + 1 + + + RDATA + Regular channel conversion + data + 8 + 24 + + + + + DFSDM2_RDATAR + DFSDM2_RDATAR + DFSDM data register for the regular + channel + 0x118 + 0x20 + read-only + 0x00000000 + + + RDATACH + Regular channel most recently + converted + 0 + 3 + + + RPEND + Regular channel pending + data + 4 + 1 + + + RDATA + Regular channel conversion + data + 8 + 24 + + + + + DFSDM3_RDATAR + DFSDM3_RDATAR + DFSDM data register for the regular + channel + 0x11C + 0x20 + read-only + 0x00000000 + + + RDATACH + Regular channel most recently + converted + 0 + 3 + + + RPEND + Regular channel pending + data + 4 + 1 + + + RDATA + Regular channel conversion + data + 8 + 24 + + + + + DFSDM0_AWHTR + DFSDM0_AWHTR + DFSDM analog watchdog high threshold + register + 0x120 + 0x20 + read-write + 0x00000000 + + + BKAWH + Break signal assignment to analog + watchdog high threshold event + 0 + 4 + + + AWHT + Analog watchdog high + threshold + 8 + 24 + + + + + DFSDM1_AWHTR + DFSDM1_AWHTR + DFSDM analog watchdog high threshold + register + 0x124 + 0x20 + read-write + 0x00000000 + + + BKAWH + Break signal assignment to analog + watchdog high threshold event + 0 + 4 + + + AWHT + Analog watchdog high + threshold + 8 + 24 + + + + + DFSDM2_AWHTR + DFSDM2_AWHTR + DFSDM analog watchdog high threshold + register + 0x128 + 0x20 + read-write + 0x00000000 + + + BKAWH + Break signal assignment to analog + watchdog high threshold event + 0 + 4 + + + AWHT + Analog watchdog high + threshold + 8 + 24 + + + + + DFSDM3_AWHTR + DFSDM3_AWHTR + DFSDM analog watchdog high threshold + register + 0x12C + 0x20 + read-write + 0x00000000 + + + BKAWH + Break signal assignment to analog + watchdog high threshold event + 0 + 4 + + + AWHT + Analog watchdog high + threshold + 8 + 24 + + + + + DFSDM0_AWLTR + DFSDM0_AWLTR + DFSDM analog watchdog low threshold + register + 0x130 + 0x20 + read-write + 0x00000000 + + + BKAWL + Break signal assignment to analog + watchdog low threshold event + 0 + 4 + + + AWLT + Analog watchdog low + threshold + 8 + 24 + + + + + DFSDM1_AWLTR + DFSDM1_AWLTR + DFSDM analog watchdog low threshold + register + 0x134 + 0x20 + read-write + 0x00000000 + + + BKAWL + Break signal assignment to analog + watchdog low threshold event + 0 + 4 + + + AWLT + Analog watchdog low + threshold + 8 + 24 + + + + + DFSDM2_AWLTR + DFSDM2_AWLTR + DFSDM analog watchdog low threshold + register + 0x138 + 0x20 + read-write + 0x00000000 + + + BKAWL + Break signal assignment to analog + watchdog low threshold event + 0 + 4 + + + AWLT + Analog watchdog low + threshold + 8 + 24 + + + + + DFSDM3_AWLTR + DFSDM3_AWLTR + DFSDM analog watchdog low threshold + register + 0x13C + 0x20 + read-write + 0x00000000 + + + BKAWL + Break signal assignment to analog + watchdog low threshold event + 0 + 4 + + + AWLT + Analog watchdog low + threshold + 8 + 24 + + + + + DFSDM0_AWSR + DFSDM0_AWSR + DFSDM analog watchdog status + register + 0x140 + 0x20 + read-only + 0x00000000 + + + AWLTF + Analog watchdog low threshold + flag + 0 + 8 + + + AWHTF + Analog watchdog high threshold + flag + 8 + 8 + + + + + DFSDM1_AWSR + DFSDM1_AWSR + DFSDM analog watchdog status + register + 0x144 + 0x20 + read-only + 0x00000000 + + + AWLTF + Analog watchdog low threshold + flag + 0 + 8 + + + AWHTF + Analog watchdog high threshold + flag + 8 + 8 + + + + + DFSDM2_AWSR + DFSDM2_AWSR + DFSDM analog watchdog status + register + 0x148 + 0x20 + read-only + 0x00000000 + + + AWLTF + Analog watchdog low threshold + flag + 0 + 8 + + + AWHTF + Analog watchdog high threshold + flag + 8 + 8 + + + + + DFSDM3_AWSR + DFSDM3_AWSR + DFSDM analog watchdog status + register + 0x14C + 0x20 + read-only + 0x00000000 + + + AWLTF + Analog watchdog low threshold + flag + 0 + 8 + + + AWHTF + Analog watchdog high threshold + flag + 8 + 8 + + + + + DFSDM0_AWCFR + DFSDM0_AWCFR + DFSDM analog watchdog clear flag + register + 0x150 + 0x20 + read-write + 0x00000000 + + + CLRAWLTF + Clear the analog watchdog low threshold + flag + 0 + 8 + + + CLRAWHTF + Clear the analog watchdog high threshold + flag + 8 + 8 + + + + + DFSDM1_AWCFR + DFSDM1_AWCFR + DFSDM analog watchdog clear flag + register + 0x154 + 0x20 + read-write + 0x00000000 + + + CLRAWLTF + Clear the analog watchdog low threshold + flag + 0 + 8 + + + CLRAWHTF + Clear the analog watchdog high threshold + flag + 8 + 8 + + + + + DFSDM2_AWCFR + DFSDM2_AWCFR + DFSDM analog watchdog clear flag + register + 0x158 + 0x20 + read-write + 0x00000000 + + + CLRAWLTF + Clear the analog watchdog low threshold + flag + 0 + 8 + + + CLRAWHTF + Clear the analog watchdog high threshold + flag + 8 + 8 + + + + + DFSDM3_AWCFR + DFSDM3_AWCFR + DFSDM analog watchdog clear flag + register + 0x15C + 0x20 + read-write + 0x00000000 + + + CLRAWLTF + Clear the analog watchdog low threshold + flag + 0 + 8 + + + CLRAWHTF + Clear the analog watchdog high threshold + flag + 8 + 8 + + + + + DFSDM0_EXMAX + DFSDM0_EXMAX + DFSDM Extremes detector maximum + register + 0x160 + 0x20 + read-only + 0x00000000 + + + EXMAXCH + Extremes detector maximum data + channel + 0 + 3 + + + EXMAX + Extremes detector maximum + value + 8 + 24 + + + + + DFSDM1_EXMAX + DFSDM1_EXMAX + DFSDM Extremes detector maximum + register + 0x164 + 0x20 + read-only + 0x00000000 + + + EXMAXCH + Extremes detector maximum data + channel + 0 + 3 + + + EXMAX + Extremes detector maximum + value + 8 + 24 + + + + + DFSDM2_EXMAX + DFSDM2_EXMAX + DFSDM Extremes detector maximum + register + 0x168 + 0x20 + read-only + 0x00000000 + + + EXMAXCH + Extremes detector maximum data + channel + 0 + 3 + + + EXMAX + Extremes detector maximum + value + 8 + 24 + + + + + DFSDM3_EXMAX + DFSDM3_EXMAX + DFSDM Extremes detector maximum + register + 0x16C + 0x20 + read-only + 0x00000000 + + + EXMAXCH + Extremes detector maximum data + channel + 0 + 3 + + + EXMAX + Extremes detector maximum + value + 8 + 24 + + + + + DFSDM0_EXMIN + DFSDM0_EXMIN + DFSDM Extremes detector minimum + register + 0x170 + 0x20 + read-only + 0x00000000 + + + EXMINCH + Extremes detector minimum data + channel + 0 + 3 + + + EXMIN + Extremes detector minimum + value + 8 + 24 + + + + + DFSDM1_EXMIN + DFSDM1_EXMIN + DFSDM Extremes detector minimum + register + 0x174 + 0x20 + read-only + 0x00000000 + + + EXMINCH + Extremes detector minimum data + channel + 0 + 3 + + + EXMIN + Extremes detector minimum + value + 8 + 24 + + + + + DFSDM2_EXMIN + DFSDM2_EXMIN + DFSDM Extremes detector minimum + register + 0x178 + 0x20 + read-only + 0x00000000 + + + EXMINCH + Extremes detector minimum data + channel + 0 + 3 + + + EXMIN + Extremes detector minimum + value + 8 + 24 + + + + + DFSDM3_EXMIN + DFSDM3_EXMIN + DFSDM Extremes detector minimum + register + 0x17C + 0x20 + read-only + 0x00000000 + + + EXMINCH + Extremes detector minimum data + channel + 0 + 3 + + + EXMIN + Extremes detector minimum + value + 8 + 24 + + + + + DFSDM0_CNVTIMR + DFSDM0_CNVTIMR + DFSDM conversion timer + register + 0x180 + 0x20 + read-only + 0x00000000 + + + CNVCNT + 28-bit timer counting conversion + time + 4 + 28 + + + + + DFSDM1_CNVTIMR + DFSDM1_CNVTIMR + DFSDM conversion timer + register + 0x184 + 0x20 + read-only + 0x00000000 + + + CNVCNT + 28-bit timer counting conversion + time + 4 + 28 + + + + + DFSDM2_CNVTIMR + DFSDM2_CNVTIMR + DFSDM conversion timer + register + 0x188 + 0x20 + read-only + 0x00000000 + + + CNVCNT + 28-bit timer counting conversion + time + 4 + 28 + + + + + DFSDM3_CNVTIMR + DFSDM3_CNVTIMR + DFSDM conversion timer + register + 0x18C + 0x20 + read-only + 0x00000000 + + + CNVCNT + 28-bit timer counting conversion + time + 4 + 28 + + + + + + + TIM16 + General-purpose-timers + TIMs + 0x40014400 + + 0x0 + 0x400 + registers + + + TIM16 + TIM16 global interrupt + 117 + + + + CR1 + CR1 + control register 1 + 0x0 + 0x20 + read-write + 0x0000 + + + CEN + Counter enable + 0 + 1 + + + UDIS + Update disable + 1 + 1 + + + URS + Update request source + 2 + 1 + + + OPM + One-pulse mode + 3 + 1 + + + ARPE + Auto-reload preload enable + 7 + 1 + + + CKD + Clock division + 8 + 2 + + + UIFREMAP + UIF status bit remapping + 11 + 1 + + + + + CR2 + CR2 + control register 2 + 0x4 + 0x20 + read-write + 0x0000 + + + OIS1N + Output Idle state 1 + 9 + 1 + + + OIS1 + Output Idle state 1 + 8 + 1 + + + CCDS + Capture/compare DMA + selection + 3 + 1 + + + CCUS + Capture/compare control update + selection + 2 + 1 + + + CCPC + Capture/compare preloaded + control + 0 + 1 + + + + + DIER + DIER + DMA/Interrupt enable register + 0xC + 0x20 + read-write + 0x0000 + + + UIE + Update interrupt enable + 0 + 1 + + + CC1IE + Capture/Compare 1 interrupt + enable + 1 + 1 + + + COMIE + COM interrupt enable + 5 + 1 + + + BIE + Break interrupt enable + 7 + 1 + + + UDE + Update DMA request enable + 8 + 1 + + + CC1DE + Capture/Compare 1 DMA request + enable + 9 + 1 + + + COMDE + COM DMA request enable + 13 + 1 + + + + + SR + SR + status register + 0x10 + 0x20 + read-write + 0x0000 + + + CC1OF + Capture/Compare 1 overcapture + flag + 9 + 1 + + + BIF + Break interrupt flag + 7 + 1 + + + COMIF + COM interrupt flag + 5 + 1 + + + CC1IF + Capture/compare 1 interrupt + flag + 1 + 1 + + + UIF + Update interrupt flag + 0 + 1 + + + + + EGR + EGR + event generation register + 0x14 + 0x20 + write-only + 0x0000 + + + BG + Break generation + 7 + 1 + + + COMG + Capture/Compare control update + generation + 5 + 1 + + + CC1G + Capture/compare 1 + generation + 1 + 1 + + + UG + Update generation + 0 + 1 + + + + + CCMR1_Output + CCMR1_Output + capture/compare mode register (output + mode) + 0x18 + 0x20 + read-write + 0x00000000 + + + CC1S + Capture/Compare 1 + selection + 0 + 2 + + + OC1FE + Output Compare 1 fast + enable + 2 + 1 + + + OC1PE + Output Compare 1 preload + enable + 3 + 1 + + + OC1M + Output Compare 1 mode + 4 + 3 + + + OC1M_3 + Output Compare 1 mode + 16 + 1 + + + + + CCMR1_Input + CCMR1_Input + capture/compare mode register 1 (input + mode) + CCMR1_Output + 0x18 + 0x20 + read-write + 0x00000000 + + + IC1F + Input capture 1 filter + 4 + 4 + + + IC1PSC + Input capture 1 prescaler + 2 + 2 + + + CC1S + Capture/Compare 1 + selection + 0 + 2 + + + + + CCER + CCER + capture/compare enable + register + 0x20 + 0x20 + read-write + 0x0000 + + + CC1NP + Capture/Compare 1 output + Polarity + 3 + 1 + + + CC1NE + Capture/Compare 1 complementary output + enable + 2 + 1 + + + CC1P + Capture/Compare 1 output + Polarity + 1 + 1 + + + CC1E + Capture/Compare 1 output + enable + 0 + 1 + + + + + CNT + CNT + counter + 0x24 + 0x20 + 0x00000000 + + + CNT + counter value + 0 + 16 + read-write + + + UIFCPY + UIF Copy + 31 + 1 + read-only + + + + + PSC + PSC + prescaler + 0x28 + 0x20 + read-write + 0x0000 + + + PSC + Prescaler value + 0 + 16 + + + + + ARR + ARR + auto-reload register + 0x2C + 0x20 + read-write + 0x00000000 + + + ARR + Auto-reload value + 0 + 16 + + + + + RCR + RCR + repetition counter register + 0x30 + 0x20 + read-write + 0x0000 + + + REP + Repetition counter value + 0 + 8 + + + + + CCR1 + CCR1 + capture/compare register 1 + 0x34 + 0x20 + read-write + 0x00000000 + + + CCR1 + Capture/Compare 1 value + 0 + 16 + + + + + BDTR + BDTR + break and dead-time register + 0x44 + 0x20 + read-write + 0x0000 + + + DTG + Dead-time generator setup + 0 + 8 + + + LOCK + Lock configuration + 8 + 2 + + + OSSI + Off-state selection for Idle + mode + 10 + 1 + + + OSSR + Off-state selection for Run + mode + 11 + 1 + + + BKE + Break enable + 12 + 1 + + + BKP + Break polarity + 13 + 1 + + + AOE + Automatic output enable + 14 + 1 + + + MOE + Main output enable + 15 + 1 + + + BKF + Break filter + 16 + 4 + + + + + DCR + DCR + DMA control register + 0x48 + 0x20 + read-write + 0x0000 + + + DBL + DMA burst length + 8 + 5 + + + DBA + DMA base address + 0 + 5 + + + + + DMAR + DMAR + DMA address for full transfer + 0x4C + 0x20 + read-write + 0x0000 + + + DMAB + DMA register for burst + accesses + 0 + 16 + + + + + TIM16_AF1 + TIM16_AF1 + TIM16 alternate function register + 1 + 0x60 + 0x20 + read-write + 0x0000 + + + BKINE + BRK BKIN input enable + 0 + 1 + + + BKCMP1E + BRK COMP1 enable + 1 + 1 + + + BKCMP2E + BRK COMP2 enable + 2 + 1 + + + BKDFBK1E + BRK dfsdm1_break[1] enable + 8 + 1 + + + BKINP + BRK BKIN input polarity + 9 + 1 + + + BKCMP1P + BRK COMP1 input polarity + 10 + 1 + + + BKCMP2P + BRK COMP2 input polarity + 11 + 1 + + + + + TIM16_TISEL + TIM16_TISEL + TIM16 input selection register + 0x68 + 0x20 + read-write + 0x0000 + + + TI1SEL + selects TI1[0] to TI1[15] + input + 0 + 4 + + + + + + + TIM17 + General-purpose-timers + TIMs + 0x40014800 + + 0x0 + 0x400 + registers + + + TIM17 + TIM17 global interrupt + 118 + + + + CR1 + CR1 + control register 1 + 0x0 + 0x20 + read-write + 0x0000 + + + CEN + Counter enable + 0 + 1 + + + UDIS + Update disable + 1 + 1 + + + URS + Update request source + 2 + 1 + + + OPM + One-pulse mode + 3 + 1 + + + ARPE + Auto-reload preload enable + 7 + 1 + + + CKD + Clock division + 8 + 2 + + + UIFREMAP + UIF status bit remapping + 11 + 1 + + + + + CR2 + CR2 + control register 2 + 0x4 + 0x20 + read-write + 0x0000 + + + OIS1N + Output Idle state 1 + 9 + 1 + + + OIS1 + Output Idle state 1 + 8 + 1 + + + CCDS + Capture/compare DMA + selection + 3 + 1 + + + CCUS + Capture/compare control update + selection + 2 + 1 + + + CCPC + Capture/compare preloaded + control + 0 + 1 + + + + + DIER + DIER + DMA/Interrupt enable register + 0xC + 0x20 + read-write + 0x0000 + + + UIE + Update interrupt enable + 0 + 1 + + + CC1IE + Capture/Compare 1 interrupt + enable + 1 + 1 + + + COMIE + COM interrupt enable + 5 + 1 + + + BIE + Break interrupt enable + 7 + 1 + + + UDE + Update DMA request enable + 8 + 1 + + + CC1DE + Capture/Compare 1 DMA request + enable + 9 + 1 + + + COMDE + COM DMA request enable + 13 + 1 + + + + + SR + SR + status register + 0x10 + 0x20 + read-write + 0x0000 + + + CC1OF + Capture/Compare 1 overcapture + flag + 9 + 1 + + + BIF + Break interrupt flag + 7 + 1 + + + COMIF + COM interrupt flag + 5 + 1 + + + CC1IF + Capture/compare 1 interrupt + flag + 1 + 1 + + + UIF + Update interrupt flag + 0 + 1 + + + + + EGR + EGR + event generation register + 0x14 + 0x20 + write-only + 0x0000 + + + BG + Break generation + 7 + 1 + + + COMG + Capture/Compare control update + generation + 5 + 1 + + + CC1G + Capture/compare 1 + generation + 1 + 1 + + + UG + Update generation + 0 + 1 + + + + + CCMR1_Output + CCMR1_Output + capture/compare mode register (output + mode) + 0x18 + 0x20 + read-write + 0x00000000 + + + CC1S + Capture/Compare 1 + selection + 0 + 2 + + + OC1FE + Output Compare 1 fast + enable + 2 + 1 + + + OC1PE + Output Compare 1 preload + enable + 3 + 1 + + + OC1M + Output Compare 1 mode + 4 + 3 + + + OC1M_3 + Output Compare 1 mode + 16 + 1 + + + + + CCMR1_Input + CCMR1_Input + capture/compare mode register 1 (input + mode) + CCMR1_Output + 0x18 + 0x20 + read-write + 0x00000000 + + + IC1F + Input capture 1 filter + 4 + 4 + + + IC1PSC + Input capture 1 prescaler + 2 + 2 + + + CC1S + Capture/Compare 1 + selection + 0 + 2 + + + + + CCER + CCER + capture/compare enable + register + 0x20 + 0x20 + read-write + 0x0000 + + + CC1NP + Capture/Compare 1 output + Polarity + 3 + 1 + + + CC1NE + Capture/Compare 1 complementary output + enable + 2 + 1 + + + CC1P + Capture/Compare 1 output + Polarity + 1 + 1 + + + CC1E + Capture/Compare 1 output + enable + 0 + 1 + + + + + CNT + CNT + counter + 0x24 + 0x20 + 0x00000000 + + + CNT + counter value + 0 + 16 + read-write + + + UIFCPY + UIF Copy + 31 + 1 + read-only + + + + + PSC + PSC + prescaler + 0x28 + 0x20 + read-write + 0x0000 + + + PSC + Prescaler value + 0 + 16 + + + + + ARR + ARR + auto-reload register + 0x2C + 0x20 + read-write + 0x00000000 + + + ARR + Auto-reload value + 0 + 16 + + + + + RCR + RCR + repetition counter register + 0x30 + 0x20 + read-write + 0x0000 + + + REP + Repetition counter value + 0 + 8 + + + + + CCR1 + CCR1 + capture/compare register 1 + 0x34 + 0x20 + read-write + 0x00000000 + + + CCR1 + Capture/Compare 1 value + 0 + 16 + + + + + BDTR + BDTR + break and dead-time register + 0x44 + 0x20 + read-write + 0x0000 + + + DTG + Dead-time generator setup + 0 + 8 + + + LOCK + Lock configuration + 8 + 2 + + + OSSI + Off-state selection for Idle + mode + 10 + 1 + + + OSSR + Off-state selection for Run + mode + 11 + 1 + + + BKE + Break enable + 12 + 1 + + + BKP + Break polarity + 13 + 1 + + + AOE + Automatic output enable + 14 + 1 + + + MOE + Main output enable + 15 + 1 + + + BKF + Break filter + 16 + 4 + + + + + DCR + DCR + DMA control register + 0x48 + 0x20 + read-write + 0x0000 + + + DBL + DMA burst length + 8 + 5 + + + DBA + DMA base address + 0 + 5 + + + + + DMAR + DMAR + DMA address for full transfer + 0x4C + 0x20 + read-write + 0x0000 + + + DMAB + DMA register for burst + accesses + 0 + 16 + + + + + TIM17_AF1 + TIM17_AF1 + TIM17 alternate function register + 1 + 0x60 + 0x20 + read-write + 0x0000 + + + BKINE + BRK BKIN input enable + 0 + 1 + + + BKCMP1E + BRK COMP1 enable + 1 + 1 + + + BKCMP2E + BRK COMP2 enable + 2 + 1 + + + BKDFBK1E + BRK dfsdm1_break[1] enable + 8 + 1 + + + BKINP + BRK BKIN input polarity + 9 + 1 + + + BKCMP1P + BRK COMP1 input polarity + 10 + 1 + + + BKCMP2P + BRK COMP2 input polarity + 11 + 1 + + + + + TIM17_TISEL + TIM17_TISEL + TIM17 input selection register + 0x68 + 0x20 + read-write + 0x0000 + + + TI1SEL + selects TI1[0] to TI1[15] + input + 0 + 4 + + + + + + + TIM15 + General purpose timers + TIMs + 0x40014000 + + 0x0 + 0x400 + registers + + + TIM15 + TIM15 global interrupt + 116 + + + + CR1 + CR1 + control register 1 + 0x0 + 0x20 + read-write + 0x0000 + + + CEN + Counter enable + 0 + 1 + + + UDIS + Update disable + 1 + 1 + + + URS + Update request source + 2 + 1 + + + OPM + One-pulse mode + 3 + 1 + + + ARPE + Auto-reload preload enable + 7 + 1 + + + CKD + Clock division + 8 + 2 + + + UIFREMAP + UIF status bit remapping + 11 + 1 + + + + + CR2 + CR2 + control register 2 + 0x4 + 0x20 + read-write + 0x0000 + + + CCPC + Capture/compare preloaded + control + 0 + 1 + + + CCUS + Capture/compare control update + selection + 2 + 1 + + + CCDS + Capture/compare DMA + selection + 3 + 1 + + + MMS + Master mode selection + 4 + 3 + + + TI1S + TI1 selection + 7 + 1 + + + OIS1 + Output Idle state 1 + 8 + 1 + + + OIS1N + Output Idle state 1 + 9 + 1 + + + OIS2 + Output Idle state 2 + 10 + 1 + + + + + SMCR + SMCR + slave mode control register + 0x8 + 0x20 + read-write + 0x0000 + + + SMS + Slave mode selection + 0 + 3 + + + TS_2_0 + Trigger selection + 4 + 3 + + + MSM + Master/Slave mode + 7 + 1 + + + SMS_3 + Slave mode selection bit 3 + 16 + 1 + + + TS_4_3 + Trigger selection - bit + 4:3 + 20 + 2 + + + + + DIER + DIER + DMA/Interrupt enable register + 0xC + 0x20 + read-write + 0x0000 + + + UIE + Update interrupt enable + 0 + 1 + + + CC1IE + Capture/Compare 1 interrupt + enable + 1 + 1 + + + CC2IE + Capture/Compare 2 interrupt + enable + 2 + 1 + + + COMIE + COM interrupt enable + 5 + 1 + + + TIE + Trigger interrupt enable + 6 + 1 + + + BIE + Break interrupt enable + 7 + 1 + + + UDE + Update DMA request enable + 8 + 1 + + + CC1DE + Capture/Compare 1 DMA request + enable + 9 + 1 + + + CC2DE + Capture/Compare 2 DMA request + enable + 10 + 1 + + + COMDE + COM DMA request enable + 13 + 1 + + + TDE + Trigger DMA request enable + 14 + 1 + + + + + SR + SR + status register + 0x10 + 0x20 + read-write + 0x0000 + + + CC2OF + Capture/compare 2 overcapture + flag + 10 + 1 + + + CC1OF + Capture/Compare 1 overcapture + flag + 9 + 1 + + + BIF + Break interrupt flag + 7 + 1 + + + TIF + Trigger interrupt flag + 6 + 1 + + + COMIF + COM interrupt flag + 5 + 1 + + + CC2IF + Capture/Compare 2 interrupt + flag + 2 + 1 + + + CC1IF + Capture/compare 1 interrupt + flag + 1 + 1 + + + UIF + Update interrupt flag + 0 + 1 + + + + + EGR + EGR + event generation register + 0x14 + 0x20 + write-only + 0x0000 + + + BG + Break generation + 7 + 1 + + + TG + Trigger generation + 6 + 1 + + + COMG + Capture/Compare control update + generation + 5 + 1 + + + CC2G + Capture/compare 2 + generation + 2 + 1 + + + CC1G + Capture/compare 1 + generation + 1 + 1 + + + UG + Update generation + 0 + 1 + + + + + CCMR1_Output + CCMR1_Output + capture/compare mode register (output + mode) + 0x18 + 0x20 + read-write + 0x00000000 + + + CC1S + Capture/Compare 1 + selection + 0 + 2 + + + OC1FE + Output Compare 1 fast + enable + 2 + 1 + + + OC1PE + Output Compare 1 preload + enable + 3 + 1 + + + OC1M + Output Compare 1 mode + 4 + 3 + + + CC2S + Capture/Compare 2 + selection + 8 + 2 + + + OC2FE + Output Compare 2 fast + enable + 10 + 1 + + + OC2PE + Output Compare 2 preload + enable + 11 + 1 + + + OC2M + Output Compare 2 mode + 12 + 3 + + + OC1M_3 + Output Compare 1 mode bit + 3 + 16 + 1 + + + OC2M_3 + Output Compare 2 mode bit + 3 + 24 + 1 + + + + + CCMR1_Input + CCMR1_Input + capture/compare mode register 1 (input + mode) + CCMR1_Output + 0x18 + 0x20 + read-write + 0x00000000 + + + IC2F + Input capture 2 filter + 12 + 4 + + + IC2PSC + Input capture 2 prescaler + 10 + 2 + + + CC2S + Capture/Compare 2 + selection + 8 + 2 + + + IC1F + Input capture 1 filter + 4 + 4 + + + IC1PSC + Input capture 1 prescaler + 2 + 2 + + + CC1S + Capture/Compare 1 + selection + 0 + 2 + + + + + CCER + CCER + capture/compare enable + register + 0x20 + 0x20 + read-write + 0x0000 + + + CC2NP + Capture/Compare 2 output + Polarity + 7 + 1 + + + CC2P + Capture/Compare 2 output + Polarity + 5 + 1 + + + CC2E + Capture/Compare 2 output + enable + 4 + 1 + + + CC1NP + Capture/Compare 1 output + Polarity + 3 + 1 + + + CC1NE + Capture/Compare 1 complementary output + enable + 2 + 1 + + + CC1P + Capture/Compare 1 output + Polarity + 1 + 1 + + + CC1E + Capture/Compare 1 output + enable + 0 + 1 + + + + + CNT + CNT + counter + 0x24 + 0x20 + 0x00000000 + + + CNT + counter value + 0 + 16 + read-write + + + UIFCPY + UIF copy + 31 + 1 + read-only + + + + + PSC + PSC + prescaler + 0x28 + 0x20 + read-write + 0x0000 + + + PSC + Prescaler value + 0 + 16 + + + + + ARR + ARR + auto-reload register + 0x2C + 0x20 + read-write + 0x00000000 + + + ARR + Auto-reload value + 0 + 16 + + + + + RCR + RCR + repetition counter register + 0x30 + 0x20 + read-write + 0x0000 + + + REP + Repetition counter value + 0 + 8 + + + + + CCR1 + CCR1 + capture/compare register 1 + 0x34 + 0x20 + read-write + 0x00000000 + + + CCR1 + Capture/Compare 1 value + 0 + 16 + + + + + CCR2 + CCR2 + capture/compare register 2 + 0x38 + 0x20 + read-write + 0x00000000 + + + CCR2 + Capture/Compare 2 value + 0 + 16 + + + + + BDTR + BDTR + break and dead-time register + 0x44 + 0x20 + read-write + 0x0000 + + + MOE + Main output enable + 15 + 1 + + + AOE + Automatic output enable + 14 + 1 + + + BKP + Break polarity + 13 + 1 + + + BKE + Break enable + 12 + 1 + + + OSSR + Off-state selection for Run + mode + 11 + 1 + + + OSSI + Off-state selection for Idle + mode + 10 + 1 + + + LOCK + Lock configuration + 8 + 2 + + + DTG + Dead-time generator setup + 0 + 8 + + + BKF + Break filter + 16 + 4 + + + + + DCR + DCR + DMA control register + 0x48 + 0x20 + read-write + 0x0000 + + + DBL + DMA burst length + 8 + 5 + + + DBA + DMA base address + 0 + 5 + + + + + DMAR + DMAR + DMA address for full transfer + 0x4C + 0x20 + read-write + 0x0000 + + + DMAB + DMA register for burst + accesses + 0 + 16 + + + + + AF1 + AF1 + TIM15 alternate fdfsdm1_breakon register + 1 + 0x60 + 0x20 + read-write + 0x0000 + + + BKINE + BRK BKIN input enable + 0 + 1 + + + BKCMP1E + BRK COMP1 enable + 1 + 1 + + + BKCMP2E + BRK COMP2 enable + 2 + 1 + + + BKDF1BK0E + BRK dfsdm1_break[0] enable + 8 + 1 + + + BKINP + BRK BKIN input polarity + 9 + 1 + + + BKCMP1P + BRK COMP1 input polarity + 10 + 1 + + + BKCMP2P + BRK COMP2 input polarity + 11 + 1 + + + + + TISEL + TISEL + TIM15 input selection register + 0x68 + 0x20 + read-write + 0x0000 + + + TI1SEL + selects TI1[0] to TI1[15] + input + 0 + 4 + + + TI2SEL + selects TI2[0] to TI2[15] + input + 8 + 4 + + + + + + + USART1 + Universal synchronous asynchronous receiver + transmitter + USART + 0x40011000 + + 0x0 + 0x400 + registers + + + + CR1 + CR1 + Control register 1 + 0x0 + 0x20 + read-write + 0x0000 + + + RXFFIE + RXFIFO Full interrupt + enable + 31 + 1 + + + TXFEIE + TXFIFO empty interrupt + enable + 30 + 1 + + + FIFOEN + FIFO mode enable + 29 + 1 + + + M1 + Word length + 28 + 1 + + + EOBIE + End of Block interrupt + enable + 27 + 1 + + + RTOIE + Receiver timeout interrupt + enable + 26 + 1 + + + DEAT4 + Driver Enable assertion + time + 25 + 1 + + + DEAT3 + DEAT3 + 24 + 1 + + + DEAT2 + DEAT2 + 23 + 1 + + + DEAT1 + DEAT1 + 22 + 1 + + + DEAT0 + DEAT0 + 21 + 1 + + + DEDT4 + Driver Enable de-assertion + time + 20 + 1 + + + DEDT3 + DEDT3 + 19 + 1 + + + DEDT2 + DEDT2 + 18 + 1 + + + DEDT1 + DEDT1 + 17 + 1 + + + DEDT0 + DEDT0 + 16 + 1 + + + OVER8 + Oversampling mode + 15 + 1 + + + CMIE + Character match interrupt + enable + 14 + 1 + + + MME + Mute mode enable + 13 + 1 + + + M0 + Word length + 12 + 1 + + + WAKE + Receiver wakeup method + 11 + 1 + + + PCE + Parity control enable + 10 + 1 + + + PS + Parity selection + 9 + 1 + + + PEIE + PE interrupt enable + 8 + 1 + + + TXEIE + interrupt enable + 7 + 1 + + + TCIE + Transmission complete interrupt + enable + 6 + 1 + + + RXNEIE + RXNE interrupt enable + 5 + 1 + + + IDLEIE + IDLE interrupt enable + 4 + 1 + + + TE + Transmitter enable + 3 + 1 + + + RE + Receiver enable + 2 + 1 + + + UESM + USART enable in Stop mode + 1 + 1 + + + UE + USART enable + 0 + 1 + + + + + CR2 + CR2 + Control register 2 + 0x4 + 0x20 + read-write + 0x0000 + + + ADD4_7 + Address of the USART node + 28 + 4 + + + ADD0_3 + Address of the USART node + 24 + 4 + + + RTOEN + Receiver timeout enable + 23 + 1 + + + ABRMOD1 + Auto baud rate mode + 22 + 1 + + + ABRMOD0 + ABRMOD0 + 21 + 1 + + + ABREN + Auto baud rate enable + 20 + 1 + + + MSBFIRST + Most significant bit first + 19 + 1 + + + TAINV + Binary data inversion + 18 + 1 + + + TXINV + TX pin active level + inversion + 17 + 1 + + + RXINV + RX pin active level + inversion + 16 + 1 + + + SWAP + Swap TX/RX pins + 15 + 1 + + + LINEN + LIN mode enable + 14 + 1 + + + STOP + STOP bits + 12 + 2 + + + CLKEN + Clock enable + 11 + 1 + + + CPOL + Clock polarity + 10 + 1 + + + CPHA + Clock phase + 9 + 1 + + + LBCL + Last bit clock pulse + 8 + 1 + + + LBDIE + LIN break detection interrupt + enable + 6 + 1 + + + LBDL + LIN break detection length + 5 + 1 + + + ADDM7 + 7-bit Address Detection/4-bit Address + Detection + 4 + 1 + + + DIS_NSS + When the DSI_NSS bit is set, the NSS pin + input is ignored + 3 + 1 + + + SLVEN + Synchronous Slave mode + enable + 0 + 1 + + + + + CR3 + CR3 + Control register 3 + 0x8 + 0x20 + read-write + 0x0000 + + + TXFTCFG + TXFIFO threshold + configuration + 29 + 3 + + + RXFTIE + RXFIFO threshold interrupt + enable + 28 + 1 + + + RXFTCFG + Receive FIFO threshold + configuration + 25 + 3 + + + TCBGTIE + Transmission Complete before guard time, + interrupt enable + 24 + 1 + + + TXFTIE + TXFIFO threshold interrupt + enable + 23 + 1 + + + WUFIE + Wakeup from Stop mode interrupt + enable + 22 + 1 + + + WUS + Wakeup from Stop mode interrupt flag + selection + 20 + 2 + + + SCARCNT + Smartcard auto-retry count + 17 + 3 + + + DEP + Driver enable polarity + selection + 15 + 1 + + + DEM + Driver enable mode + 14 + 1 + + + DDRE + DMA Disable on Reception + Error + 13 + 1 + + + OVRDIS + Overrun Disable + 12 + 1 + + + ONEBIT + One sample bit method + enable + 11 + 1 + + + CTSIE + CTS interrupt enable + 10 + 1 + + + CTSE + CTS enable + 9 + 1 + + + RTSE + RTS enable + 8 + 1 + + + DMAT + DMA enable transmitter + 7 + 1 + + + DMAR + DMA enable receiver + 6 + 1 + + + SCEN + Smartcard mode enable + 5 + 1 + + + NACK + Smartcard NACK enable + 4 + 1 + + + HDSEL + Half-duplex selection + 3 + 1 + + + IRLP + Ir low-power + 2 + 1 + + + IREN + Ir mode enable + 1 + 1 + + + EIE + Error interrupt enable + 0 + 1 + + + + + BRR + BRR + Baud rate register + 0xC + 0x20 + read-write + 0x0000 + + + BRR_4_15 + DIV_Mantissa + 4 + 12 + + + BRR_0_3 + DIV_Fraction + 0 + 4 + + + + + GTPR + GTPR + Guard time and prescaler + register + 0x10 + 0x20 + read-write + 0x0000 + + + GT + Guard time value + 8 + 8 + + + PSC + Prescaler value + 0 + 8 + + + + + RTOR + RTOR + Receiver timeout register + 0x14 + 0x20 + read-write + 0x0000 + + + BLEN + Block Length + 24 + 8 + + + RTO + Receiver timeout value + 0 + 24 + + + + + RQR + RQR + Request register + 0x18 + 0x20 + write-only + 0x0000 + + + TXFRQ + Transmit data flush + request + 4 + 1 + + + RXFRQ + Receive data flush request + 3 + 1 + + + MMRQ + Mute mode request + 2 + 1 + + + SBKRQ + Send break request + 1 + 1 + + + ABRRQ + Auto baud rate request + 0 + 1 + + + + + ISR + ISR + Interrupt & status + register + 0x1C + 0x20 + read-only + 0x00C0 + + + TXFT + TXFIFO threshold flag + 27 + 1 + + + RXFT + RXFIFO threshold flag + 26 + 1 + + + TCBGT + Transmission complete before guard time + flag + 25 + 1 + + + RXFF + RXFIFO Full + 24 + 1 + + + TXFE + TXFIFO Empty + 23 + 1 + + + REACK + REACK + 22 + 1 + + + TEACK + TEACK + 21 + 1 + + + WUF + WUF + 20 + 1 + + + RWU + RWU + 19 + 1 + + + SBKF + SBKF + 18 + 1 + + + CMF + CMF + 17 + 1 + + + BUSY + BUSY + 16 + 1 + + + ABRF + ABRF + 15 + 1 + + + ABRE + ABRE + 14 + 1 + + + UDR + SPI slave underrun error + flag + 13 + 1 + + + EOBF + EOBF + 12 + 1 + + + RTOF + RTOF + 11 + 1 + + + CTS + CTS + 10 + 1 + + + CTSIF + CTSIF + 9 + 1 + + + LBDF + LBDF + 8 + 1 + + + TXE + TXE + 7 + 1 + + + TC + TC + 6 + 1 + + + RXNE + RXNE + 5 + 1 + + + IDLE + IDLE + 4 + 1 + + + ORE + ORE + 3 + 1 + + + NF + NF + 2 + 1 + + + FE + FE + 1 + 1 + + + PE + PE + 0 + 1 + + + + + ICR + ICR + Interrupt flag clear register + 0x20 + 0x20 + write-only + 0x0000 + + + WUCF + Wakeup from Stop mode clear + flag + 20 + 1 + + + CMCF + Character match clear flag + 17 + 1 + + + UDRCF + SPI slave underrun clear + flag + 13 + 1 + + + EOBCF + End of block clear flag + 12 + 1 + + + RTOCF + Receiver timeout clear + flag + 11 + 1 + + + CTSCF + CTS clear flag + 9 + 1 + + + LBDCF + LIN break detection clear + flag + 8 + 1 + + + TCBGTC + Transmission complete before Guard time + clear flag + 7 + 1 + + + TCCF + Transmission complete clear + flag + 6 + 1 + + + TXFECF + TXFIFO empty clear flag + 5 + 1 + + + IDLECF + Idle line detected clear + flag + 4 + 1 + + + ORECF + Overrun error clear flag + 3 + 1 + + + NCF + Noise detected clear flag + 2 + 1 + + + FECF + Framing error clear flag + 1 + 1 + + + PECF + Parity error clear flag + 0 + 1 + + + + + RDR + RDR + Receive data register + 0x24 + 0x20 + read-only + 0x0000 + + + RDR + Receive data value + 0 + 9 + + + + + TDR + TDR + Transmit data register + 0x28 + 0x20 + read-write + 0x0000 + + + TDR + Transmit data value + 0 + 9 + + + + + PRESC + PRESC + USART prescaler register + 0x2C + 0x20 + read-write + 0x0000 + + + PRESCALER + Clock prescaler + 0 + 4 + + + + + + + USART2 + 0x40004400 + + USART1 + USART1 global interrupt + 37 + + + + USART3 + 0x40004800 + + USART2 + USART2 global interrupt + 38 + + + + UART4 + 0x40004C00 + + USART3 + USART3 global interrupt + 39 + + + UART4 + UART4 global interrupt + 52 + + + + UART5 + 0x40005000 + + UART5 + UART5 global interrupt + 53 + + + + USART6 + 0x40011400 + + USART6 + USART6 global interrupt + 71 + + + + UART7 + 0x40007800 + + UART7 + UART7 global interrupt + 82 + + + + UART8 + 0x40007C00 + + UART8 + UART8 global interrupt + 83 + + + + TIM1 + Advanced-timers + TIM + 0x40010000 + + 0x0 + 0x400 + registers + + + TIM1_BRK + TIM1 break interrupt + 24 + + + TIM1_UP + TIM1 update interrupt + 25 + + + TIM1_TRG_COM + TIM1 trigger and commutation + 26 + + + TIM_CC + TIM1 capture / compare + 27 + + + + CR1 + CR1 + control register 1 + 0x0 + 0x20 + read-write + 0x0000 + + + CEN + Counter enable + 0 + 1 + + + UDIS + Update disable + 1 + 1 + + + URS + Update request source + 2 + 1 + + + OPM + One-pulse mode + 3 + 1 + + + DIR + Direction + 4 + 1 + + + CMS + Center-aligned mode + selection + 5 + 2 + + + ARPE + Auto-reload preload enable + 7 + 1 + + + CKD + Clock division + 8 + 2 + + + UIFREMAP + UIF status bit remapping + 11 + 1 + + + + + CR2 + CR2 + control register 2 + 0x4 + 0x20 + read-write + 0x0000 + + + MMS2 + Master mode selection 2 + 20 + 4 + + + OIS6 + Output Idle state 6 + 18 + 1 + + + OIS5 + Output Idle state 5 + 16 + 1 + + + OIS4 + Output Idle state 4 + 14 + 1 + + + OIS3N + Output Idle state 3 + 13 + 1 + + + OIS3 + Output Idle state 3 + 12 + 1 + + + OIS2N + Output Idle state 2 + 11 + 1 + + + OIS2 + Output Idle state 2 + 10 + 1 + + + OIS1N + Output Idle state 1 + 9 + 1 + + + OIS1 + Output Idle state 1 + 8 + 1 + + + TI1S + TI1 selection + 7 + 1 + + + MMS + Master mode selection + 4 + 3 + + + CCDS + Capture/compare DMA + selection + 3 + 1 + + + CCUS + Capture/compare control update + selection + 2 + 1 + + + CCPC + Capture/compare preloaded + control + 0 + 1 + + + + + SMCR + SMCR + slave mode control register + 0x8 + 0x20 + read-write + 0x0000 + + + SMS + Slave mode selection + 0 + 3 + + + TS + Trigger selection + 4 + 3 + + + MSM + Master/Slave mode + 7 + 1 + + + ETF + External trigger filter + 8 + 4 + + + ETPS + External trigger prescaler + 12 + 2 + + + ECE + External clock enable + 14 + 1 + + + ETP + External trigger polarity + 15 + 1 + + + SMS_3 + Slave mode selection - bit + 3 + 16 + 1 + + + TS_4_3 + Trigger selection - bit + 4:3 + 20 + 2 + + + + + DIER + DIER + DMA/Interrupt enable register + 0xC + 0x20 + read-write + 0x0000 + + + TDE + Trigger DMA request enable + 14 + 1 + + + COMDE + COM DMA request enable + 13 + 1 + + + CC4DE + Capture/Compare 4 DMA request + enable + 12 + 1 + + + CC3DE + Capture/Compare 3 DMA request + enable + 11 + 1 + + + CC2DE + Capture/Compare 2 DMA request + enable + 10 + 1 + + + CC1DE + Capture/Compare 1 DMA request + enable + 9 + 1 + + + UDE + Update DMA request enable + 8 + 1 + + + TIE + Trigger interrupt enable + 6 + 1 + + + CC4IE + Capture/Compare 4 interrupt + enable + 4 + 1 + + + CC3IE + Capture/Compare 3 interrupt + enable + 3 + 1 + + + CC2IE + Capture/Compare 2 interrupt + enable + 2 + 1 + + + CC1IE + Capture/Compare 1 interrupt + enable + 1 + 1 + + + UIE + Update interrupt enable + 0 + 1 + + + BIE + Break interrupt enable + 7 + 1 + + + COMIE + COM interrupt enable + 5 + 1 + + + + + SR + SR + status register + 0x10 + 0x20 + read-write + 0x0000 + + + CC6IF + Compare 6 interrupt flag + 17 + 1 + + + CC5IF + Compare 5 interrupt flag + 16 + 1 + + + SBIF + System Break interrupt + flag + 13 + 1 + + + CC4OF + Capture/Compare 4 overcapture + flag + 12 + 1 + + + CC3OF + Capture/Compare 3 overcapture + flag + 11 + 1 + + + CC2OF + Capture/compare 2 overcapture + flag + 10 + 1 + + + CC1OF + Capture/Compare 1 overcapture + flag + 9 + 1 + + + B2IF + Break 2 interrupt flag + 8 + 1 + + + BIF + Break interrupt flag + 7 + 1 + + + TIF + Trigger interrupt flag + 6 + 1 + + + COMIF + COM interrupt flag + 5 + 1 + + + CC4IF + Capture/Compare 4 interrupt + flag + 4 + 1 + + + CC3IF + Capture/Compare 3 interrupt + flag + 3 + 1 + + + CC2IF + Capture/Compare 2 interrupt + flag + 2 + 1 + + + CC1IF + Capture/compare 1 interrupt + flag + 1 + 1 + + + UIF + Update interrupt flag + 0 + 1 + + + + + EGR + EGR + event generation register + 0x14 + 0x20 + write-only + 0x0000 + + + UG + Update generation + 0 + 1 + + + CC1G + Capture/compare 1 + generation + 1 + 1 + + + CC2G + Capture/compare 2 + generation + 2 + 1 + + + CC3G + Capture/compare 3 + generation + 3 + 1 + + + CC4G + Capture/compare 4 + generation + 4 + 1 + + + COMG + Capture/Compare control update + generation + 5 + 1 + + + TG + Trigger generation + 6 + 1 + + + BG + Break generation + 7 + 1 + + + B2G + Break 2 generation + 8 + 1 + + + + + CCMR1_Output + CCMR1_Output + capture/compare mode register 1 (output + mode) + 0x18 + 0x20 + read-write + 0x00000000 + + + CC1S + Capture/Compare 1 + selection + 0 + 2 + + + OC1FE + Output Compare 1 fast + enable + 2 + 1 + + + OC1PE + Output Compare 1 preload + enable + 3 + 1 + + + OC1M + Output Compare 1 mode + 4 + 3 + + + OC1CE + Output Compare 1 clear + enable + 7 + 1 + + + CC2S + Capture/Compare 2 + selection + 8 + 2 + + + OC2FE + Output Compare 2 fast + enable + 10 + 1 + + + OC2PE + Output Compare 2 preload + enable + 11 + 1 + + + OC2M + Output Compare 2 mode + 12 + 3 + + + OC2CE + Output Compare 2 clear + enable + 15 + 1 + + + OC1M_3 + Output Compare 1 mode - bit + 3 + 16 + 1 + + + OC2M_3 + Output Compare 2 mode - bit + 3 + 24 + 1 + + + + + CCMR1_Input + CCMR1_Input + capture/compare mode register 1 (input + mode) + CCMR1_Output + 0x18 + 0x20 + read-write + 0x00000000 + + + IC2F + Input capture 2 filter + 12 + 4 + + + IC2PCS + Input capture 2 prescaler + 10 + 2 + + + CC2S + Capture/Compare 2 + selection + 8 + 2 + + + IC1F + Input capture 1 filter + 4 + 4 + + + ICPCS + Input capture 1 prescaler + 2 + 2 + + + CC1S + Capture/Compare 1 + selection + 0 + 2 + + + + + CCMR2_Output + CCMR2_Output + capture/compare mode register 2 (output + mode) + 0x1C + 0x20 + read-write + 0x00000000 + + + CC3S + Capture/Compare 3 + selection + 0 + 2 + + + OC3FE + Output compare 3 fast + enable + 2 + 1 + + + OC3PE + Output compare 3 preload + enable + 3 + 1 + + + OC3M + Output compare 3 mode + 4 + 3 + + + OC3CE + Output compare 3 clear + enable + 7 + 1 + + + CC4S + Capture/Compare 4 + selection + 8 + 2 + + + OC4FE + Output compare 4 fast + enable + 10 + 1 + + + OC4PE + Output compare 4 preload + enable + 11 + 1 + + + OC4M + Output compare 4 mode + 12 + 3 + + + OC4CE + Output compare 4 clear + enable + 15 + 1 + + + OC3M_3 + Output Compare 3 mode - bit + 3 + 16 + 1 + + + OC4M_4 + Output Compare 4 mode - bit + 3 + 24 + 1 + + + + + CCMR2_Input + CCMR2_Input + capture/compare mode register 2 (input + mode) + CCMR2_Output + 0x1C + 0x20 + read-write + 0x00000000 + + + IC4F + Input capture 4 filter + 12 + 4 + + + IC4PSC + Input capture 4 prescaler + 10 + 2 + + + CC4S + Capture/Compare 4 + selection + 8 + 2 + + + IC3F + Input capture 3 filter + 4 + 4 + + + IC3PSC + Input capture 3 prescaler + 2 + 2 + + + CC3S + Capture/compare 3 + selection + 0 + 2 + + + + + CCER + CCER + capture/compare enable + register + 0x20 + 0x20 + read-write + 0x0000 + + + CC1E + Capture/Compare 1 output + enable + 0 + 1 + + + CC1P + Capture/Compare 1 output + Polarity + 1 + 1 + + + CC1NE + Capture/Compare 1 complementary output + enable + 2 + 1 + + + CC1NP + Capture/Compare 1 output + Polarity + 3 + 1 + + + CC2E + Capture/Compare 2 output + enable + 4 + 1 + + + CC2P + Capture/Compare 2 output + Polarity + 5 + 1 + + + CC2NE + Capture/Compare 2 complementary output + enable + 6 + 1 + + + CC2NP + Capture/Compare 2 output + Polarity + 7 + 1 + + + CC3E + Capture/Compare 3 output + enable + 8 + 1 + + + CC3P + Capture/Compare 3 output + Polarity + 9 + 1 + + + CC3NE + Capture/Compare 3 complementary output + enable + 10 + 1 + + + CC3NP + Capture/Compare 3 output + Polarity + 11 + 1 + + + CC4E + Capture/Compare 4 output + enable + 12 + 1 + + + CC4P + Capture/Compare 3 output + Polarity + 13 + 1 + + + CC4NP + Capture/Compare 4 complementary output + polarity + 15 + 1 + + + CC5E + Capture/Compare 5 output + enable + 16 + 1 + + + CC5P + Capture/Compare 5 output + polarity + 17 + 1 + + + CC6E + Capture/Compare 6 output + enable + 20 + 1 + + + CC6P + Capture/Compare 6 output + polarity + 21 + 1 + + + + + CNT + CNT + counter + 0x24 + 0x20 + 0x00000000 + + + CNT + counter value + 0 + 16 + read-write + + + UIFCPY + UIF copy + 31 + 1 + read-only + + + + + PSC + PSC + prescaler + 0x28 + 0x20 + read-write + 0x0000 + + + PSC + Prescaler value + 0 + 16 + + + + + ARR + ARR + auto-reload register + 0x2C + 0x20 + read-write + 0x00000000 + + + ARR + Auto-reload value + 0 + 16 + + + + + CCR1 + CCR1 + capture/compare register 1 + 0x34 + 0x20 + read-write + 0x00000000 + + + CCR1 + Capture/Compare 1 value + 0 + 16 + + + + + CCR2 + CCR2 + capture/compare register 2 + 0x38 + 0x20 + read-write + 0x00000000 + + + CCR2 + Capture/Compare 2 value + 0 + 16 + + + + + CCR3 + CCR3 + capture/compare register 3 + 0x3C + 0x20 + read-write + 0x00000000 + + + CCR3 + Capture/Compare value + 0 + 16 + + + + + CCR4 + CCR4 + capture/compare register 4 + 0x40 + 0x20 + read-write + 0x00000000 + + + CCR4 + Capture/Compare value + 0 + 16 + + + + + DCR + DCR + DMA control register + 0x48 + 0x20 + read-write + 0x0000 + + + DBL + DMA burst length + 8 + 5 + + + DBA + DMA base address + 0 + 5 + + + + + DMAR + DMAR + DMA address for full transfer + 0x4C + 0x20 + read-write + 0x0000 + + + DMAB + DMA register for burst + accesses + 0 + 16 + + + + + RCR + RCR + repetition counter register + 0x30 + 0x20 + read-write + 0x0000 + + + REP + Repetition counter value + 0 + 8 + + + + + BDTR + BDTR + break and dead-time register + 0x44 + 0x20 + read-write + 0x0000 + + + DTG + Dead-time generator setup + 0 + 8 + + + LOCK + Lock configuration + 8 + 2 + + + OSSI + Off-state selection for Idle + mode + 10 + 1 + + + OSSR + Off-state selection for Run + mode + 11 + 1 + + + BKE + Break enable + 12 + 1 + + + BKP + Break polarity + 13 + 1 + + + AOE + Automatic output enable + 14 + 1 + + + MOE + Main output enable + 15 + 1 + + + BKF + Break filter + 16 + 4 + + + BK2F + Break 2 filter + 20 + 4 + + + BK2E + Break 2 enable + 24 + 1 + + + BK2P + Break 2 polarity + 25 + 1 + + + + + CCMR3_Output + CCMR3_Output + capture/compare mode register 3 (output + mode) + 0x54 + 0x20 + read-write + 0x0000 + + + OC5FE + Output compare 5 fast + enable + 2 + 1 + + + OC5PE + Output compare 5 preload + enable + 3 + 1 + + + OC5M + Output compare 5 mode + 4 + 3 + + + OC5CE + Output compare 5 clear + enable + 7 + 1 + + + OC6FE + Output compare 6 fast + enable + 10 + 1 + + + OC6PE + Output compare 6 preload + enable + 11 + 1 + + + OC6M + Output compare 6 mode + 12 + 3 + + + OC6CE + Output compare 6 clear + enable + 15 + 1 + + + OC5M3 + Output Compare 5 mode + 16 + 1 + + + OC6M3 + Output Compare 6 mode + 24 + 1 + + + + + CCR5 + CCR5 + capture/compare register 5 + 0x58 + 0x20 + read-write + 0x0000 + + + CCR5 + Capture/Compare 5 value + 0 + 16 + + + GC5C1 + Group Channel 5 and Channel + 1 + 29 + 1 + + + GC5C2 + Group Channel 5 and Channel + 2 + 30 + 1 + + + GC5C3 + Group Channel 5 and Channel + 3 + 31 + 1 + + + + + CCR6 + CCR6 + capture/compare register 6 + 0x5C + 0x20 + read-write + 0x0000 + + + CCR6 + Capture/Compare 6 value + 0 + 16 + + + + + AF1 + AF1 + TIM1 alternate function option register + 1 + 0x60 + 0x20 + read-write + 0x0000 + + + BKINE + BRK BKIN input enable + 0 + 1 + + + BKCMP1E + BRK COMP1 enable + 1 + 1 + + + BKCMP2E + BRK COMP2 enable + 2 + 1 + + + BKDF1BK0E + BRK dfsdm1_break[0] enable + 8 + 1 + + + BKINP + BRK BKIN input polarity + 9 + 1 + + + BKCMP1P + BRK COMP1 input polarity + 10 + 1 + + + BKCMP2P + BRK COMP2 input polarity + 11 + 1 + + + ETRSEL + ETR source selection + 14 + 4 + + + + + AF2 + AF2 + TIM1 Alternate function odfsdm1_breakster + 2 + 0x64 + 0x20 + read-write + 0x0000 + + + BK2INE + BRK2 BKIN input enable + 0 + 1 + + + BK2CMP1E + BRK2 COMP1 enable + 1 + 1 + + + BK2CMP2E + BRK2 COMP2 enable + 2 + 1 + + + BK2DF1BK1E + BRK2 dfsdm1_break[1] + enable + 8 + 1 + + + BK2INP + BRK2 BKIN2 input polarity + 9 + 1 + + + BK2CMP1P + BRK2 COMP1 input polarit + 10 + 1 + + + BK2CMP2P + BRK2 COMP2 input polarity + 11 + 1 + + + + + TISEL + TISEL + TIM1 timer input selection + register + 0x68 + 0x20 + read-write + 0x0000 + + + TI1SEL + selects TI1[0] to TI1[15] + input + 0 + 4 + + + TI2SEL + selects TI2[0] to TI2[15] + input + 8 + 4 + + + TI3SEL + selects TI3[0] to TI3[15] + input + 16 + 4 + + + TI4SEL + selects TI4[0] to TI4[15] + input + 24 + 4 + + + + + + + TIM8 + 0x40010400 + + TIM8_BRK_TIM12 + TIM8 break and TIM12 global interrupts + 43 + + + TIM8_UP_TIM13 + TIM8 update and TIM13 global interrupts + 44 + + + TIM8_TRG_COM_TIM14 + TIM8 trigger /commutation and TIM14 global interrupts + 45 + + + TIM8_CC + TIM8 capture / compare + 46 + + + + FDCAN1 + FDCAN1 + FDCAN + 0x4000A000 + + 0x0 + 0x400 + registers + + + FDCAN1_IT0 + FDCAN1 Interrupt 0 + 19 + + + FDCAN1_IT1 + FDCAN1 Interrupt 1 + 21 + + + FDCAN_CAL + CAN2TX interrupts + 63 + + + + FDCAN_CREL + FDCAN_CREL + FDCAN Core Release Register + 0x0 + 0x20 + read-only + 0x00000000 + + + REL + Core release + 28 + 4 + + + STEP + Step of Core release + 24 + 4 + + + SUBSTEP + Sub-step of Core release + 20 + 4 + + + YEAR + Timestamp Year + 16 + 4 + + + MON + Timestamp Month + 8 + 8 + + + DAY + Timestamp Day + 0 + 8 + + + + + FDCAN_ENDN + FDCAN_ENDN + FDCAN Core Release Register + 0x4 + 0x20 + read-only + 0x00000000 + + + ETV + Endiannes Test Value + 0 + 32 + + + + + FDCAN_DBTP + FDCAN_DBTP + FDCAN Data Bit Timing and Prescaler + Register + 0xC + 0x20 + read-only + 0x00000000 + + + DSJW + Synchronization Jump Width + 0 + 4 + + + DTSEG2 + Data time segment after sample + point + 4 + 4 + + + DTSEG1 + Data time segment after sample + point + 8 + 5 + + + DBRP + Data BIt Rate Prescaler + 16 + 5 + + + TDC + Transceiver Delay + Compensation + 23 + 1 + + + + + FDCAN_TEST + FDCAN_TEST + FDCAN Test Register + 0x10 + 0x20 + read-only + 0x00000000 + + + LBCK + Loop Back mode + 4 + 1 + + + TX + Loop Back mode + 5 + 2 + + + RX + Control of Transmit Pin + 7 + 1 + + + + + FDCAN_RWD + FDCAN_RWD + FDCAN RAM Watchdog Register + 0x14 + 0x20 + read-only + 0x00000000 + + + WDV + Watchdog value + 8 + 8 + + + WDC + Watchdog configuration + 0 + 8 + + + + + FDCAN_CCCR + FDCAN_CCCR + FDCAN CC Control Register + 0x18 + 0x20 + read-write + 0x00000000 + + + INIT + Initialization + 0 + 1 + + + CCE + Configuration Change + Enable + 1 + 1 + + + ASM + ASM Restricted Operation + Mode + 2 + 1 + + + CSA + Clock Stop Acknowledge + 3 + 1 + + + CSR + Clock Stop Request + 4 + 1 + + + MON + Bus Monitoring Mode + 5 + 1 + + + DAR + Disable Automatic + Retransmission + 6 + 1 + + + TEST + Test Mode Enable + 7 + 1 + + + FDOE + FD Operation Enable + 8 + 1 + + + BSE + FDCAN Bit Rate Switching + 9 + 1 + + + PXHD + Protocol Exception Handling + Disable + 12 + 1 + + + EFBI + Edge Filtering during Bus + Integration + 13 + 1 + + + TXP + TXP + 14 + 1 + + + NISO + Non ISO Operation + 15 + 1 + + + + + FDCAN_NBTP + FDCAN_NBTP + FDCAN Nominal Bit Timing and Prescaler + Register + 0x1C + 0x20 + read-write + 0x00000000 + + + NSJW + NSJW: Nominal (Re)Synchronization Jump + Width + 25 + 7 + + + NBRP + Bit Rate Prescaler + 16 + 9 + + + NTSEG1 + Nominal Time segment before sample + point + 8 + 8 + + + TSEG2 + Nominal Time segment after sample + point + 0 + 7 + + + + + FDCAN_TSCC + FDCAN_TSCC + FDCAN Timestamp Counter Configuration + Register + 0x20 + 0x20 + read-write + 0x00000000 + + + TCP + Timestamp Counter + Prescaler + 16 + 4 + + + TSS + Timestamp Select + 0 + 2 + + + + + FDCAN_TSCV + FDCAN_TSCV + FDCAN Timestamp Counter Value + Register + 0x24 + 0x20 + read-write + 0x00000000 + + + TSC + Timestamp Counter + 0 + 16 + + + + + FDCAN_TOCC + FDCAN_TOCC + FDCAN Timeout Counter Configuration + Register + 0x28 + 0x20 + read-write + 0x00000000 + + + ETOC + Enable Timeout Counter + 0 + 1 + + + TOS + Timeout Select + 1 + 2 + + + TOP + Timeout Period + 16 + 16 + + + + + FDCAN_TOCV + FDCAN_TOCV + FDCAN Timeout Counter Value + Register + 0x2C + 0x20 + read-write + 0x00000000 + + + TOC + Timeout Counter + 0 + 16 + + + + + FDCAN_ECR + FDCAN_ECR + FDCAN Error Counter Register + 0x40 + 0x20 + read-write + 0x00000000 + + + CEL + AN Error Logging + 16 + 8 + + + RP + Receive Error Passive + 15 + 1 + + + TREC + Receive Error Counter + 8 + 7 + + + TEC + Transmit Error Counter + 0 + 8 + + + + + FDCAN_PSR + FDCAN_PSR + FDCAN Protocol Status Register + 0x44 + 0x20 + read-write + 0x00000000 + + + LEC + Last Error Code + 0 + 3 + + + ACT + Activity + 3 + 2 + + + EP + Error Passive + 5 + 1 + + + EW + Warning Status + 6 + 1 + + + BO + Bus_Off Status + 7 + 1 + + + DLEC + Data Last Error Code + 8 + 3 + + + RESI + ESI flag of last received FDCAN + Message + 11 + 1 + + + RBRS + BRS flag of last received FDCAN + Message + 12 + 1 + + + REDL + Received FDCAN Message + 13 + 1 + + + PXE + Protocol Exception Event + 14 + 1 + + + TDCV + Transmitter Delay Compensation + Value + 16 + 7 + + + + + FDCAN_TDCR + FDCAN_TDCR + FDCAN Transmitter Delay Compensation + Register + 0x48 + 0x20 + read-only + 0x00000000 + + + TDCF + Transmitter Delay Compensation Filter + Window Length + 0 + 7 + + + TDCO + Transmitter Delay Compensation + Offset + 8 + 7 + + + + + FDCAN_IR + FDCAN_IR + FDCAN Interrupt Register + 0x50 + 0x20 + read-only + 0x00000000 + + + RF0N + Rx FIFO 0 New Message + 0 + 1 + + + RF0W + Rx FIFO 0 Full + 1 + 1 + + + RF0F + Rx FIFO 0 Full + 2 + 1 + + + RF0L + Rx FIFO 0 Message Lost + 3 + 1 + + + RF1N + Rx FIFO 1 New Message + 4 + 1 + + + RF1W + Rx FIFO 1 Watermark + Reached + 5 + 1 + + + RF1F + Rx FIFO 1 Watermark + Reached + 6 + 1 + + + RF1L + Rx FIFO 1 Message Lost + 7 + 1 + + + HPM + High Priority Message + 8 + 1 + + + TC + Transmission Completed + 9 + 1 + + + TCF + Transmission Cancellation + Finished + 10 + 1 + + + TEF + Tx FIFO Empty + 11 + 1 + + + TEFN + Tx Event FIFO New Entry + 12 + 1 + + + TEFW + Tx Event FIFO Watermark + Reached + 13 + 1 + + + TEFF + Tx Event FIFO Full + 14 + 1 + + + TEFL + Tx Event FIFO Element Lost + 15 + 1 + + + TSW + Timestamp Wraparound + 16 + 1 + + + MRAF + Message RAM Access Failure + 17 + 1 + + + TOO + Timeout Occurred + 18 + 1 + + + DRX + Message stored to Dedicated Rx + Buffer + 19 + 1 + + + ELO + Error Logging Overflow + 22 + 1 + + + EP + Error Passive + 23 + 1 + + + EW + Warning Status + 24 + 1 + + + BO + Bus_Off Status + 25 + 1 + + + WDI + Watchdog Interrupt + 26 + 1 + + + PEA + Protocol Error in Arbitration Phase + (Nominal Bit Time is used) + 27 + 1 + + + PED + Protocol Error in Data Phase (Data Bit + Time is used) + 28 + 1 + + + ARA + Access to Reserved Address + 29 + 1 + + + + + FDCAN_IE + FDCAN_IE + FDCAN Interrupt Enable + Register + 0x54 + 0x20 + read-only + 0x00000000 + + + RF0NE + Rx FIFO 0 New Message + Enable + 0 + 1 + + + RF0WE + Rx FIFO 0 Full Enable + 1 + 1 + + + RF0FE + Rx FIFO 0 Full Enable + 2 + 1 + + + RF0LE + Rx FIFO 0 Message Lost + Enable + 3 + 1 + + + RF1NE + Rx FIFO 1 New Message + Enable + 4 + 1 + + + RF1WE + Rx FIFO 1 Watermark Reached + Enable + 5 + 1 + + + RF1FE + Rx FIFO 1 Watermark Reached + Enable + 6 + 1 + + + RF1LE + Rx FIFO 1 Message Lost + Enable + 7 + 1 + + + HPME + High Priority Message + Enable + 8 + 1 + + + TCE + Transmission Completed + Enable + 9 + 1 + + + TCFE + Transmission Cancellation Finished + Enable + 10 + 1 + + + TEFE + Tx FIFO Empty Enable + 11 + 1 + + + TEFNE + Tx Event FIFO New Entry + Enable + 12 + 1 + + + TEFWE + Tx Event FIFO Watermark Reached + Enable + 13 + 1 + + + TEFFE + Tx Event FIFO Full Enable + 14 + 1 + + + TEFLE + Tx Event FIFO Element Lost + Enable + 15 + 1 + + + TSWE + Timestamp Wraparound + Enable + 16 + 1 + + + MRAFE + Message RAM Access Failure + Enable + 17 + 1 + + + TOOE + Timeout Occurred Enable + 18 + 1 + + + DRXE + Message stored to Dedicated Rx Buffer + Enable + 19 + 1 + + + BECE + Bit Error Corrected Interrupt + Enable + 20 + 1 + + + BEUE + Bit Error Uncorrected Interrupt + Enable + 21 + 1 + + + ELOE + Error Logging Overflow + Enable + 22 + 1 + + + EPE + Error Passive Enable + 23 + 1 + + + EWE + Warning Status Enable + 24 + 1 + + + BOE + Bus_Off Status Enable + 25 + 1 + + + WDIE + Watchdog Interrupt Enable + 26 + 1 + + + PEAE + Protocol Error in Arbitration Phase + Enable + 27 + 1 + + + PEDE + Protocol Error in Data Phase + Enable + 28 + 1 + + + ARAE + Access to Reserved Address + Enable + 29 + 1 + + + + + FDCAN_ILS + FDCAN_ILS + FDCAN Interrupt Line Select + Register + 0x58 + 0x20 + read-only + 0x00000000 + + + RF0NL + Rx FIFO 0 New Message Interrupt + Line + 0 + 1 + + + RF0WL + Rx FIFO 0 Watermark Reached Interrupt + Line + 1 + 1 + + + RF0FL + Rx FIFO 0 Full Interrupt + Line + 2 + 1 + + + RF0LL + Rx FIFO 0 Message Lost Interrupt + Line + 3 + 1 + + + RF1NL + Rx FIFO 1 New Message Interrupt + Line + 4 + 1 + + + RF1WL + Rx FIFO 1 Watermark Reached Interrupt + Line + 5 + 1 + + + RF1FL + Rx FIFO 1 Full Interrupt + Line + 6 + 1 + + + RF1LL + Rx FIFO 1 Message Lost Interrupt + Line + 7 + 1 + + + HPML + High Priority Message Interrupt + Line + 8 + 1 + + + TCL + Transmission Completed Interrupt + Line + 9 + 1 + + + TCFL + Transmission Cancellation Finished + Interrupt Line + 10 + 1 + + + TEFL + Tx FIFO Empty Interrupt + Line + 11 + 1 + + + TEFNL + Tx Event FIFO New Entry Interrupt + Line + 12 + 1 + + + TEFWL + Tx Event FIFO Watermark Reached + Interrupt Line + 13 + 1 + + + TEFFL + Tx Event FIFO Full Interrupt + Line + 14 + 1 + + + TEFLL + Tx Event FIFO Element Lost Interrupt + Line + 15 + 1 + + + TSWL + Timestamp Wraparound Interrupt + Line + 16 + 1 + + + MRAFL + Message RAM Access Failure Interrupt + Line + 17 + 1 + + + TOOL + Timeout Occurred Interrupt + Line + 18 + 1 + + + DRXL + Message stored to Dedicated Rx Buffer + Interrupt Line + 19 + 1 + + + BECL + Bit Error Corrected Interrupt + Line + 20 + 1 + + + BEUL + Bit Error Uncorrected Interrupt + Line + 21 + 1 + + + ELOL + Error Logging Overflow Interrupt + Line + 22 + 1 + + + EPL + Error Passive Interrupt + Line + 23 + 1 + + + EWL + Warning Status Interrupt + Line + 24 + 1 + + + BOL + Bus_Off Status + 25 + 1 + + + WDIL + Watchdog Interrupt Line + 26 + 1 + + + PEAL + Protocol Error in Arbitration Phase + Line + 27 + 1 + + + PEDL + Protocol Error in Data Phase + Line + 28 + 1 + + + ARAL + Access to Reserved Address + Line + 29 + 1 + + + + + FDCAN_ILE + FDCAN_ILE + FDCAN Interrupt Line Enable + Register + 0x5C + 0x20 + read-write + 0x00000000 + + + EINT0 + Enable Interrupt Line 0 + 0 + 1 + + + EINT1 + Enable Interrupt Line 1 + 1 + 1 + + + + + FDCAN_GFC + FDCAN_GFC + FDCAN Global Filter Configuration + Register + 0x80 + 0x20 + read-write + 0x00000000 + + + RRFE + Reject Remote Frames + Extended + 0 + 1 + + + RRFS + Reject Remote Frames + Standard + 1 + 1 + + + ANFE + Accept Non-matching Frames + Extended + 2 + 2 + + + ANFS + Accept Non-matching Frames + Standard + 4 + 2 + + + + + FDCAN_SIDFC + FDCAN_SIDFC + FDCAN Standard ID Filter Configuration + Register + 0x84 + 0x20 + read-write + 0x00000000 + + + FLSSA + Filter List Standard Start + Address + 2 + 14 + + + LSS + List Size Standard + 16 + 8 + + + + + FDCAN_XIDFC + FDCAN_XIDFC + FDCAN Extended ID Filter Configuration + Register + 0x88 + 0x20 + read-write + 0x00000000 + + + FLESA + Filter List Standard Start + Address + 2 + 14 + + + LSE + List Size Extended + 16 + 8 + + + + + FDCAN_XIDAM + FDCAN_XIDAM + FDCAN Extended ID and Mask + Register + 0x90 + 0x20 + read-write + 0x00000000 + + + EIDM + Extended ID Mask + 0 + 29 + + + + + FDCAN_HPMS + FDCAN_HPMS + FDCAN High Priority Message Status + Register + 0x94 + 0x20 + read-only + 0x00000000 + + + BIDX + Buffer Index + 0 + 6 + + + MSI + Message Storage Indicator + 6 + 2 + + + FIDX + Filter Index + 8 + 7 + + + FLST + Filter List + 15 + 1 + + + + + FDCAN_NDAT1 + FDCAN_NDAT1 + FDCAN New Data 1 Register + 0x98 + 0x20 + read-only + 0x00000000 + + + ND0 + New data + 0 + 1 + + + ND1 + New data + 1 + 1 + + + ND2 + New data + 2 + 1 + + + ND3 + New data + 3 + 1 + + + ND4 + New data + 4 + 1 + + + ND5 + New data + 5 + 1 + + + ND6 + New data + 6 + 1 + + + ND7 + New data + 7 + 1 + + + ND8 + New data + 8 + 1 + + + ND9 + New data + 9 + 1 + + + ND10 + New data + 10 + 1 + + + ND11 + New data + 11 + 1 + + + ND12 + New data + 12 + 1 + + + ND13 + New data + 13 + 1 + + + ND14 + New data + 14 + 1 + + + ND15 + New data + 15 + 1 + + + ND16 + New data + 16 + 1 + + + ND17 + New data + 17 + 1 + + + ND18 + New data + 18 + 1 + + + ND19 + New data + 19 + 1 + + + ND20 + New data + 20 + 1 + + + ND21 + New data + 21 + 1 + + + ND22 + New data + 22 + 1 + + + ND23 + New data + 23 + 1 + + + ND24 + New data + 24 + 1 + + + ND25 + New data + 25 + 1 + + + ND26 + New data + 26 + 1 + + + ND27 + New data + 27 + 1 + + + ND28 + New data + 28 + 1 + + + ND29 + New data + 29 + 1 + + + ND30 + New data + 30 + 1 + + + ND31 + New data + 31 + 1 + + + + + FDCAN_NDAT2 + FDCAN_NDAT2 + FDCAN New Data 2 Register + 0x9C + 0x20 + read-only + 0x00000000 + + + ND32 + New data + 0 + 1 + + + ND33 + New data + 1 + 1 + + + ND34 + New data + 2 + 1 + + + ND35 + New data + 3 + 1 + + + ND36 + New data + 4 + 1 + + + ND37 + New data + 5 + 1 + + + ND38 + New data + 6 + 1 + + + ND39 + New data + 7 + 1 + + + ND40 + New data + 8 + 1 + + + ND41 + New data + 9 + 1 + + + ND42 + New data + 10 + 1 + + + ND43 + New data + 11 + 1 + + + ND44 + New data + 12 + 1 + + + ND45 + New data + 13 + 1 + + + ND46 + New data + 14 + 1 + + + ND47 + New data + 15 + 1 + + + ND48 + New data + 16 + 1 + + + ND49 + New data + 17 + 1 + + + ND50 + New data + 18 + 1 + + + ND51 + New data + 19 + 1 + + + ND52 + New data + 20 + 1 + + + ND53 + New data + 21 + 1 + + + ND54 + New data + 22 + 1 + + + ND55 + New data + 23 + 1 + + + ND56 + New data + 24 + 1 + + + ND57 + New data + 25 + 1 + + + ND58 + New data + 26 + 1 + + + ND59 + New data + 27 + 1 + + + ND60 + New data + 28 + 1 + + + ND61 + New data + 29 + 1 + + + ND62 + New data + 30 + 1 + + + ND63 + New data + 31 + 1 + + + + + FDCAN_RXF0C + FDCAN_RXF0C + FDCAN Rx FIFO 0 Configuration + Register + 0xA0 + 0x20 + read-write + 0x00000000 + + + F0SA + Rx FIFO 0 Start Address + 2 + 14 + + + F0S + Rx FIFO 0 Size + 16 + 8 + + + F0WM + FIFO 0 Watermark + 24 + 8 + + + + + FDCAN_RXF0S + FDCAN_RXF0S + FDCAN Rx FIFO 0 Status + Register + 0xA4 + 0x20 + read-write + 0x00000000 + + + F0FL + Rx FIFO 0 Fill Level + 0 + 7 + + + F0G + Rx FIFO 0 Get Index + 8 + 6 + + + F0P + Rx FIFO 0 Put Index + 16 + 6 + + + F0F + Rx FIFO 0 Full + 24 + 1 + + + RF0L + Rx FIFO 0 Message Lost + 25 + 1 + + + + + FDCAN_RXF0A + FDCAN_RXF0A + CAN Rx FIFO 0 Acknowledge + Register + 0xA8 + 0x20 + read-write + 0x00000000 + + + FA01 + Rx FIFO 0 Acknowledge + Index + 0 + 6 + + + + + FDCAN_RXBC + FDCAN_RXBC + FDCAN Rx Buffer Configuration + Register + 0xAC + 0x20 + read-write + 0x00000000 + + + RBSA + Rx Buffer Start Address + 2 + 14 + + + + + FDCAN_RXF1C + FDCAN_RXF1C + FDCAN Rx FIFO 1 Configuration + Register + 0xB0 + 0x20 + read-write + 0x00000000 + + + F1SA + Rx FIFO 1 Start Address + 2 + 14 + + + F1S + Rx FIFO 1 Size + 16 + 7 + + + F1WM + Rx FIFO 1 Watermark + 24 + 7 + + + + + FDCAN_RXF1S + FDCAN_RXF1S + FDCAN Rx FIFO 1 Status + Register + 0xB4 + 0x20 + read-write + 0x00000000 + + + F1FL + Rx FIFO 1 Fill Level + 0 + 7 + + + F1GI + Rx FIFO 1 Get Index + 8 + 7 + + + F1PI + Rx FIFO 1 Put Index + 16 + 7 + + + F1F + Rx FIFO 1 Full + 24 + 1 + + + RF1L + Rx FIFO 1 Message Lost + 25 + 1 + + + DMS + Debug Message Status + 30 + 2 + + + + + FDCAN_RXF1A + FDCAN_RXF1A + FDCAN Rx FIFO 1 Acknowledge + Register + 0xB8 + 0x20 + read-write + 0x00000000 + + + F1AI + Rx FIFO 1 Acknowledge + Index + 0 + 6 + + + + + FDCAN_RXESC + FDCAN_RXESC + FDCAN Rx Buffer Element Size Configuration + Register + 0xBC + 0x20 + read-write + 0x00000000 + + + F0DS + Rx FIFO 1 Data Field Size: + 0 + 3 + + + F1DS + Rx FIFO 0 Data Field Size: + 4 + 3 + + + RBDS + Rx Buffer Data Field Size: + 8 + 3 + + + + + FDCAN_TXBC + FDCAN_TXBC + FDCAN Tx Buffer Configuration + Register + 0xC0 + 0x20 + read-write + 0x00000000 + + + TBSA + Tx Buffers Start Address + 2 + 14 + + + NDTB + Number of Dedicated Transmit + Buffers + 16 + 6 + + + TFQS + Transmit FIFO/Queue Size + 24 + 6 + + + TFQM + Tx FIFO/Queue Mode + 30 + 1 + + + + + FDCAN_TXFQS + FDCAN_TXFQS + FDCAN Tx FIFO/Queue Status + Register + 0xC4 + 0x20 + read-only + 0x00000000 + + + TFFL + Tx FIFO Free Level + 0 + 6 + + + TFGI + TFGI + 8 + 5 + + + TFQPI + Tx FIFO/Queue Put Index + 16 + 5 + + + TFQF + Tx FIFO/Queue Full + 21 + 1 + + + + + FDCAN_TXESC + FDCAN_TXESC + FDCAN Tx Buffer Element Size Configuration + Register + 0xC8 + 0x20 + read-write + 0x00000000 + + + TBDS + Tx Buffer Data Field Size: + 0 + 3 + + + + + FDCAN_TXBRP + FDCAN_TXBRP + FDCAN Tx Buffer Request Pending + Register + 0xCC + 0x20 + read-only + 0x00000000 + + + TRP + Transmission Request + Pending + 0 + 32 + + + + + FDCAN_TXBAR + FDCAN_TXBAR + FDCAN Tx Buffer Add Request + Register + 0xD0 + 0x20 + read-write + 0x00000000 + + + AR + Add Request + 0 + 32 + + + + + FDCAN_TXBCR + FDCAN_TXBCR + FDCAN Tx Buffer Cancellation Request + Register + 0xD4 + 0x20 + read-write + 0x00000000 + + + CR + Cancellation Request + 0 + 32 + + + + + FDCAN_TXBTO + FDCAN_TXBTO + FDCAN Tx Buffer Transmission Occurred + Register + 0xD8 + 0x20 + read-write + 0x00000000 + + + TO + Transmission Occurred. + 0 + 32 + + + + + FDCAN_TXBCF + FDCAN_TXBCF + FDCAN Tx Buffer Cancellation Finished + Register + 0xDC + 0x20 + read-only + 0x00000000 + + + CF + Cancellation Finished + 0 + 32 + + + + + FDCAN_TXBTIE + FDCAN_TXBTIE + FDCAN Tx Buffer Transmission Interrupt + Enable Register + 0xE0 + 0x20 + read-write + 0x00000000 + + + TIE + Transmission Interrupt + Enable + 0 + 32 + + + + + FDCAN_TXBCIE + FDCAN_TXBCIE + FDCAN Tx Buffer Cancellation Finished + Interrupt Enable Register + 0xE4 + 0x20 + read-write + 0x00000000 + + + CF + Cancellation Finished Interrupt + Enable + 0 + 32 + + + + + FDCAN_TXEFC + FDCAN_TXEFC + FDCAN Tx Event FIFO Configuration + Register + 0xF0 + 0x20 + read-write + 0x00000000 + + + EFSA + Event FIFO Start Address + 2 + 14 + + + EFS + Event FIFO Size + 16 + 6 + + + EFWM + Event FIFO Watermark + 24 + 6 + + + + + FDCAN_TXEFS + FDCAN_TXEFS + FDCAN Tx Event FIFO Status + Register + 0xF4 + 0x20 + read-write + 0x00000000 + + + EFFL + Event FIFO Fill Level + 0 + 6 + + + EFGI + Event FIFO Get Index. + 8 + 5 + + + EFPI + Event FIFO put index. + 16 + 5 + + + EFF + Event FIFO Full. + 24 + 1 + + + TEFL + Tx Event FIFO Element + Lost. + 25 + 1 + + + + + FDCAN_TXEFA + FDCAN_TXEFA + FDCAN Tx Event FIFO Acknowledge + Register + 0xF8 + 0x20 + read-write + 0x00000000 + + + EFAI + Event FIFO Acknowledge + Index + 0 + 5 + + + + + FDCAN_TTTMC + FDCAN_TTTMC + FDCAN TT Trigger Memory Configuration + Register + 0x100 + 0x20 + read-write + 0x00000000 + + + TMSA + Trigger Memory Start + Address + 2 + 14 + + + TME + Trigger Memory Elements + 16 + 7 + + + + + FDCAN_TTRMC + FDCAN_TTRMC + FDCAN TT Reference Message Configuration + Register + 0x104 + 0x20 + read-write + 0x00000000 + + + RID + Reference Identifier. + 0 + 29 + + + XTD + Extended Identifier + 30 + 1 + + + RMPS + Reference Message Payload + Select + 31 + 1 + + + + + FDCAN_TTOCF + FDCAN_TTOCF + FDCAN TT Operation Configuration + Register + 0x108 + 0x20 + read-write + 0x00000000 + + + OM + Operation Mode + 0 + 2 + + + GEN + Gap Enable + 3 + 1 + + + TM + Time Master + 4 + 1 + + + LDSDL + LD of Synchronization Deviation + Limit + 5 + 3 + + + IRTO + Initial Reference Trigger + Offset + 8 + 7 + + + EECS + Enable External Clock + Synchronization + 15 + 1 + + + AWL + Application Watchdog Limit + 16 + 8 + + + EGTF + Enable Global Time + Filtering + 24 + 1 + + + ECC + Enable Clock Calibration + 25 + 1 + + + EVTP + Event Trigger Polarity + 26 + 1 + + + + + FDCAN_TTMLM + FDCAN_TTMLM + FDCAN TT Matrix Limits + Register + 0x10C + 0x20 + read-write + 0x00000000 + + + CCM + Cycle Count Max + 0 + 6 + + + CSS + Cycle Start + Synchronization + 6 + 2 + + + TXEW + Tx Enable Window + 8 + 4 + + + ENTT + Expected Number of Tx + Triggers + 16 + 12 + + + + + FDCAN_TURCF + FDCAN_TURCF + FDCAN TUR Configuration + Register + 0x110 + 0x20 + read-write + 0x00000000 + + + NCL + Numerator Configuration + Low. + 0 + 16 + + + DC + Denominator Configuration. + 16 + 14 + + + ELT + Enable Local Time + 31 + 1 + + + + + FDCAN_TTOCN + FDCAN_TTOCN + FDCAN TT Operation Control + Register + 0x114 + 0x20 + read-write + 0x00000000 + + + SGT + Set Global time + 0 + 1 + + + ECS + External Clock + Synchronization + 1 + 1 + + + SWP + Stop Watch Polarity + 2 + 1 + + + SWS + Stop Watch Source. + 3 + 2 + + + RTIE + Register Time Mark Interrupt Pulse + Enable + 5 + 1 + + + TMC + Register Time Mark Compare + 6 + 2 + + + TTIE + Trigger Time Mark Interrupt Pulse + Enable + 8 + 1 + + + GCS + Gap Control Select + 9 + 1 + + + FGP + Finish Gap. + 10 + 1 + + + TMG + Time Mark Gap + 11 + 1 + + + NIG + Next is Gap + 12 + 1 + + + ESCN + External Synchronization + Control + 13 + 1 + + + LCKC + TT Operation Control Register + Locked + 15 + 1 + + + + + CAN_TTGTP + CAN_TTGTP + FDCAN TT Global Time Preset + Register + 0x118 + 0x20 + read-write + 0x00000000 + + + NCL + Time Preset + 0 + 16 + + + CTP + Cycle Time Target Phase + 16 + 16 + + + + + FDCAN_TTTMK + FDCAN_TTTMK + FDCAN TT Time Mark Register + 0x11C + 0x20 + read-write + 0x00000000 + + + TM + Time Mark + 0 + 16 + + + TICC + Time Mark Cycle Code + 16 + 7 + + + LCKM + TT Time Mark Register + Locked + 31 + 1 + + + + + FDCAN_TTIR + FDCAN_TTIR + FDCAN TT Interrupt Register + 0x120 + 0x20 + read-write + 0x00000000 + + + SBC + Start of Basic Cycle + 0 + 1 + + + SMC + Start of Matrix Cycle + 1 + 1 + + + CSM + Change of Synchronization + Mode + 2 + 1 + + + SOG + Start of Gap + 3 + 1 + + + RTMI + Register Time Mark + Interrupt. + 4 + 1 + + + TTMI + Trigger Time Mark Event + Internal + 5 + 1 + + + SWE + Stop Watch Event + 6 + 1 + + + GTW + Global Time Wrap + 7 + 1 + + + GTD + Global Time Discontinuity + 8 + 1 + + + GTE + Global Time Error + 9 + 1 + + + TXU + Tx Count Underflow + 10 + 1 + + + TXO + Tx Count Overflow + 11 + 1 + + + SE1 + Scheduling Error 1 + 12 + 1 + + + SE2 + Scheduling Error 2 + 13 + 1 + + + ELC + Error Level Changed. + 14 + 1 + + + IWTG + Initialization Watch + Trigger + 15 + 1 + + + WT + Watch Trigger + 16 + 1 + + + AW + Application Watchdog + 17 + 1 + + + CER + Configuration Error + 18 + 1 + + + + + FDCAN_TTIE + FDCAN_TTIE + FDCAN TT Interrupt Enable + Register + 0x124 + 0x20 + read-write + 0x00000000 + + + SBCE + Start of Basic Cycle Interrupt + Enable + 0 + 1 + + + SMCE + Start of Matrix Cycle Interrupt + Enable + 1 + 1 + + + CSME + Change of Synchronization Mode Interrupt + Enable + 2 + 1 + + + SOGE + Start of Gap Interrupt + Enable + 3 + 1 + + + RTMIE + Register Time Mark Interrupt + Enable + 4 + 1 + + + TTMIE + Trigger Time Mark Event Internal + Interrupt Enable + 5 + 1 + + + SWEE + Stop Watch Event Interrupt + Enable + 6 + 1 + + + GTWE + Global Time Wrap Interrupt + Enable + 7 + 1 + + + GTDE + Global Time Discontinuity Interrupt + Enable + 8 + 1 + + + GTEE + Global Time Error Interrupt + Enable + 9 + 1 + + + TXUE + Tx Count Underflow Interrupt + Enable + 10 + 1 + + + TXOE + Tx Count Overflow Interrupt + Enable + 11 + 1 + + + SE1E + Scheduling Error 1 Interrupt + Enable + 12 + 1 + + + SE2E + Scheduling Error 2 Interrupt + Enable + 13 + 1 + + + ELCE + Change Error Level Interrupt + Enable + 14 + 1 + + + IWTGE + Initialization Watch Trigger Interrupt + Enable + 15 + 1 + + + WTE + Watch Trigger Interrupt + Enable + 16 + 1 + + + AWE + Application Watchdog Interrupt + Enable + 17 + 1 + + + CERE + Configuration Error Interrupt + Enable + 18 + 1 + + + + + FDCAN_TTILS + FDCAN_TTILS + FDCAN TT Interrupt Line Select + Register + 0x128 + 0x20 + read-write + 0x00000000 + + + SBCL + Start of Basic Cycle Interrupt + Line + 0 + 1 + + + SMCL + Start of Matrix Cycle Interrupt + Line + 1 + 1 + + + CSML + Change of Synchronization Mode Interrupt + Line + 2 + 1 + + + SOGL + Start of Gap Interrupt + Line + 3 + 1 + + + RTMIL + Register Time Mark Interrupt + Line + 4 + 1 + + + TTMIL + Trigger Time Mark Event Internal + Interrupt Line + 5 + 1 + + + SWEL + Stop Watch Event Interrupt + Line + 6 + 1 + + + GTWL + Global Time Wrap Interrupt + Line + 7 + 1 + + + GTDL + Global Time Discontinuity Interrupt + Line + 8 + 1 + + + GTEL + Global Time Error Interrupt + Line + 9 + 1 + + + TXUL + Tx Count Underflow Interrupt + Line + 10 + 1 + + + TXOL + Tx Count Overflow Interrupt + Line + 11 + 1 + + + SE1L + Scheduling Error 1 Interrupt + Line + 12 + 1 + + + SE2L + Scheduling Error 2 Interrupt + Line + 13 + 1 + + + ELCL + Change Error Level Interrupt + Line + 14 + 1 + + + IWTGL + Initialization Watch Trigger Interrupt + Line + 15 + 1 + + + WTL + Watch Trigger Interrupt + Line + 16 + 1 + + + AWL + Application Watchdog Interrupt + Line + 17 + 1 + + + CERL + Configuration Error Interrupt + Line + 18 + 1 + + + + + FDCAN_TTOST + FDCAN_TTOST + FDCAN TT Operation Status + Register + 0x12C + 0x20 + read-write + 0x00000000 + + + EL + Error Level + 0 + 2 + + + MS + Master State. + 2 + 2 + + + SYS + Synchronization State + 4 + 2 + + + GTP + Quality of Global Time + Phase + 6 + 1 + + + QCS + Quality of Clock Speed + 7 + 1 + + + RTO + Reference Trigger Offset + 8 + 8 + + + WGTD + Wait for Global Time + Discontinuity + 22 + 1 + + + GFI + Gap Finished Indicator. + 23 + 1 + + + TMP + Time Master Priority + 24 + 3 + + + GSI + Gap Started Indicator. + 27 + 1 + + + WFE + Wait for Event + 28 + 1 + + + AWE + Application Watchdog Event + 29 + 1 + + + WECS + Wait for External Clock + Synchronization + 30 + 1 + + + SPL + Schedule Phase Lock + 31 + 1 + + + + + FDCAN_TURNA + FDCAN_TURNA + FDCAN TUR Numerator Actual + Register + 0x130 + 0x20 + read-only + 0x00000000 + + + NAV + Numerator Actual Value + 0 + 18 + + + + + FDCAN_TTLGT + FDCAN_TTLGT + FDCAN TT Local and Global Time + Register + 0x134 + 0x20 + read-only + 0x00000000 + + + LT + Local Time + 0 + 16 + + + GT + Global Time + 16 + 16 + + + + + FDCAN_TTCTC + FDCAN_TTCTC + FDCAN TT Cycle Time and Count + Register + 0x138 + 0x20 + read-only + 0x00000000 + + + CT + Cycle Time + 0 + 16 + + + CC + Cycle Count + 16 + 6 + + + + + FDCAN_TTCPT + FDCAN_TTCPT + FDCAN TT Capture Time Register + 0x13C + 0x20 + read-only + 0x00000000 + + + CT + Cycle Count Value + 0 + 6 + + + SWV + Stop Watch Value + 16 + 16 + + + + + FDCAN_TTCSM + FDCAN_TTCSM + FDCAN TT Cycle Sync Mark + Register + 0x140 + 0x20 + read-only + 0x00000000 + + + CSM + Cycle Sync Mark + 0 + 16 + + + + + FDCAN_TTTS + FDCAN_TTTS + FDCAN TT Trigger Select + Register + 0x300 + 0x20 + read-write + 0x00000000 + + + SWTDEL + Stop watch trigger input + selection + 0 + 2 + + + EVTSEL + Event trigger input + selection + 4 + 2 + + + + + + + FDCAN2 + 0x4000A400 + + FDCAN2_IT0 + FDCAN2 Interrupt 0 + 20 + + + FDCAN2_IT1 + FDCAN2 Interrupt 1 + 22 + + + + CAN_CCU + CCU registers + FDCAN + 0x4000A800 + + 0x0 + 0x400 + registers + + + + CREL + CREL + Clock Calibration Unit Core Release + Register + 0x0 + 0x20 + read-write + 0x00000000 + + + DAY + Time Stamp Day + 0 + 8 + + + MON + Time Stamp Month + 8 + 8 + + + YEAR + Time Stamp Year + 16 + 4 + + + SUBSTEP + Sub-step of Core Release + 20 + 4 + + + STEP + Step of Core Release + 24 + 4 + + + REL + Core Release + 28 + 4 + + + + + CCFG + CCFG + Calibration Configuration + Register + 0x4 + 0x20 + read-write + 0x00000000 + + + TQBT + Time Quanta per Bit Time + 0 + 5 + + + BCC + Bypass Clock Calibration + 6 + 1 + + + CFL + Calibration Field Length + 7 + 1 + + + OCPM + Oscillator Clock Periods + Minimum + 8 + 8 + + + CDIV + Clock Divider + 16 + 4 + + + SWR + Software Reset + 31 + 1 + + + + + CSTAT + CSTAT + Calibration Status Register + 0x8 + 0x20 + read-write + 0x00000000 + + + OCPC + Oscillator Clock Period + Counter + 0 + 18 + + + TQC + Time Quanta Counter + 18 + 11 + + + CALS + Calibration State + 30 + 2 + + + + + CWD + CWD + Calibration Watchdog Register + 0xC + 0x20 + read-write + 0x00000000 + + + WDC + WDC + 0 + 16 + + + WDV + WDV + 16 + 16 + + + + + IR + IR + Clock Calibration Unit Interrupt + Register + 0x10 + 0x20 + read-write + 0x00000000 + + + CWE + Calibration Watchdog Event + 0 + 1 + + + CSC + Calibration State Changed + 1 + 1 + + + + + IE + IE + Clock Calibration Unit Interrupt Enable + Register + 0x14 + 0x20 + read-write + 0x00000000 + + + CWEE + Calibration Watchdog Event + Enable + 0 + 1 + + + CSCE + Calibration State Changed + Enable + 1 + 1 + + + + + + + MDIOS + Management data input/output slave + MDIOS + 0x40009400 + + 0x0 + 0x400 + registers + + + MDIOS_WKUP + MDIOS wakeup + 119 + + + MDIOS + MDIOS global interrupt + 120 + + + + CR + CR + MDIOS configuration register + 0x0 + 0x20 + read-write + 0x00000000 + + + EN + Peripheral enable + 0 + 1 + + + WRIE + Register write interrupt + enable + 1 + 1 + + + RDIE + Register Read Interrupt + Enable + 2 + 1 + + + EIE + Error interrupt enable + 3 + 1 + + + DPC + Disable Preamble Check + 7 + 1 + + + PORT_ADDRESS + Slaves's address + 8 + 5 + + + + + WRFR + WRFR + MDIOS write flag register + 0x4 + 0x20 + read-only + 0x00000000 + + + WRF + Write flags for MDIO registers 0 to + 31 + 0 + 32 + + + + + CWRFR + CWRFR + MDIOS clear write flag + register + 0x8 + 0x20 + read-write + 0x00000000 + + + CWRF + Clear the write flag + 0 + 32 + + + + + RDFR + RDFR + MDIOS read flag register + 0xC + 0x20 + read-only + 0x00000000 + + + RDF + Read flags for MDIO registers 0 to + 31 + 0 + 32 + + + + + CRDFR + CRDFR + MDIOS clear read flag register + 0x10 + 0x20 + read-write + 0x00000000 + + + CRDF + Clear the read flag + 0 + 32 + + + + + SR + SR + MDIOS status register + 0x14 + 0x20 + read-only + 0x00000000 + + + PERF + Preamble error flag + 0 + 1 + + + SERF + Start error flag + 1 + 1 + + + TERF + Turnaround error flag + 2 + 1 + + + + + CLRFR + CLRFR + MDIOS clear flag register + 0x18 + 0x20 + read-write + 0x00000000 + + + CPERF + Clear the preamble error + flag + 0 + 1 + + + CSERF + Clear the start error flag + 1 + 1 + + + CTERF + Clear the turnaround error + flag + 2 + 1 + + + + + DINR0 + DINR0 + MDIOS input data register 0 + 0x1C + 0x20 + read-only + 0x00000000 + + + DIN0 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR1 + DINR1 + MDIOS input data register 1 + 0x20 + 0x20 + read-only + 0x00000000 + + + DIN1 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR2 + DINR2 + MDIOS input data register 2 + 0x24 + 0x20 + read-only + 0x00000000 + + + DIN2 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR3 + DINR3 + MDIOS input data register 3 + 0x28 + 0x20 + read-only + 0x00000000 + + + DIN3 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR4 + DINR4 + MDIOS input data register 4 + 0x2C + 0x20 + read-only + 0x00000000 + + + DIN4 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR5 + DINR5 + MDIOS input data register 5 + 0x30 + 0x20 + read-only + 0x00000000 + + + DIN5 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR6 + DINR6 + MDIOS input data register 6 + 0x34 + 0x20 + read-only + 0x00000000 + + + DIN6 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR7 + DINR7 + MDIOS input data register 7 + 0x38 + 0x20 + read-only + 0x00000000 + + + DIN7 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR8 + DINR8 + MDIOS input data register 8 + 0x3C + 0x20 + read-only + 0x00000000 + + + DIN8 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR9 + DINR9 + MDIOS input data register 9 + 0x40 + 0x20 + read-only + 0x00000000 + + + DIN9 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR10 + DINR10 + MDIOS input data register 10 + 0x44 + 0x20 + read-only + 0x00000000 + + + DIN10 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR11 + DINR11 + MDIOS input data register 11 + 0x48 + 0x20 + read-only + 0x00000000 + + + DIN11 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR12 + DINR12 + MDIOS input data register 12 + 0x4C + 0x20 + read-only + 0x00000000 + + + DIN12 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR13 + DINR13 + MDIOS input data register 13 + 0x50 + 0x20 + read-only + 0x00000000 + + + DIN13 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR14 + DINR14 + MDIOS input data register 14 + 0x54 + 0x20 + read-only + 0x00000000 + + + DIN14 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR15 + DINR15 + MDIOS input data register 15 + 0x58 + 0x20 + read-only + 0x00000000 + + + DIN15 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR16 + DINR16 + MDIOS input data register 16 + 0x5C + 0x20 + read-only + 0x00000000 + + + DIN16 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR17 + DINR17 + MDIOS input data register 17 + 0x60 + 0x20 + read-only + 0x00000000 + + + DIN17 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR18 + DINR18 + MDIOS input data register 18 + 0x64 + 0x20 + read-only + 0x00000000 + + + DIN18 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR19 + DINR19 + MDIOS input data register 19 + 0x68 + 0x20 + read-only + 0x00000000 + + + DIN19 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR20 + DINR20 + MDIOS input data register 20 + 0x6C + 0x20 + read-only + 0x00000000 + + + DIN20 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR21 + DINR21 + MDIOS input data register 21 + 0x70 + 0x20 + read-only + 0x00000000 + + + DIN21 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR22 + DINR22 + MDIOS input data register 22 + 0x74 + 0x20 + read-only + 0x00000000 + + + DIN22 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR23 + DINR23 + MDIOS input data register 23 + 0x78 + 0x20 + read-only + 0x00000000 + + + DIN23 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR24 + DINR24 + MDIOS input data register 24 + 0x7C + 0x20 + read-only + 0x00000000 + + + DIN24 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR25 + DINR25 + MDIOS input data register 25 + 0x80 + 0x20 + read-only + 0x00000000 + + + DIN25 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR26 + DINR26 + MDIOS input data register 26 + 0x84 + 0x20 + read-only + 0x00000000 + + + DIN26 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR27 + DINR27 + MDIOS input data register 27 + 0x88 + 0x20 + read-only + 0x00000000 + + + DIN27 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR28 + DINR28 + MDIOS input data register 28 + 0x8C + 0x20 + read-only + 0x00000000 + + + DIN28 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR29 + DINR29 + MDIOS input data register 29 + 0x90 + 0x20 + read-only + 0x00000000 + + + DIN29 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR30 + DINR30 + MDIOS input data register 30 + 0x94 + 0x20 + read-only + 0x00000000 + + + DIN30 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DINR31 + DINR31 + MDIOS input data register 31 + 0x98 + 0x20 + read-only + 0x00000000 + + + DIN31 + Input data received from MDIO Master + during write frames + 0 + 16 + + + + + DOUTR0 + DOUTR0 + MDIOS output data register 0 + 0x9C + 0x20 + read-write + 0x00000000 + + + DOUT0 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR1 + DOUTR1 + MDIOS output data register 1 + 0xA0 + 0x20 + read-write + 0x00000000 + + + DOUT1 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR2 + DOUTR2 + MDIOS output data register 2 + 0xA4 + 0x20 + read-write + 0x00000000 + + + DOUT2 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR3 + DOUTR3 + MDIOS output data register 3 + 0xA8 + 0x20 + read-write + 0x00000000 + + + DOUT3 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR4 + DOUTR4 + MDIOS output data register 4 + 0xAC + 0x20 + read-write + 0x00000000 + + + DOUT4 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR5 + DOUTR5 + MDIOS output data register 5 + 0xB0 + 0x20 + read-write + 0x00000000 + + + DOUT5 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR6 + DOUTR6 + MDIOS output data register 6 + 0xB4 + 0x20 + read-write + 0x00000000 + + + DOUT6 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR7 + DOUTR7 + MDIOS output data register 7 + 0xB8 + 0x20 + read-write + 0x00000000 + + + DOUT7 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR8 + DOUTR8 + MDIOS output data register 8 + 0xBC + 0x20 + read-write + 0x00000000 + + + DOUT8 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR9 + DOUTR9 + MDIOS output data register 9 + 0xC0 + 0x20 + read-write + 0x00000000 + + + DOUT9 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR10 + DOUTR10 + MDIOS output data register 10 + 0xC4 + 0x20 + read-write + 0x00000000 + + + DOUT10 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR11 + DOUTR11 + MDIOS output data register 11 + 0xC8 + 0x20 + read-write + 0x00000000 + + + DOUT11 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR12 + DOUTR12 + MDIOS output data register 12 + 0xCC + 0x20 + read-write + 0x00000000 + + + DOUT12 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR13 + DOUTR13 + MDIOS output data register 13 + 0xD0 + 0x20 + read-write + 0x00000000 + + + DOUT13 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR14 + DOUTR14 + MDIOS output data register 14 + 0xD4 + 0x20 + read-write + 0x00000000 + + + DOUT14 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR15 + DOUTR15 + MDIOS output data register 15 + 0xD8 + 0x20 + read-write + 0x00000000 + + + DOUT15 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR16 + DOUTR16 + MDIOS output data register 16 + 0xDC + 0x20 + read-write + 0x00000000 + + + DOUT16 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR17 + DOUTR17 + MDIOS output data register 17 + 0xE0 + 0x20 + read-write + 0x00000000 + + + DOUT17 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR18 + DOUTR18 + MDIOS output data register 18 + 0xE4 + 0x20 + read-write + 0x00000000 + + + DOUT18 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR19 + DOUTR19 + MDIOS output data register 19 + 0xE8 + 0x20 + read-write + 0x00000000 + + + DOUT19 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR20 + DOUTR20 + MDIOS output data register 20 + 0xEC + 0x20 + read-write + 0x00000000 + + + DOUT20 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR21 + DOUTR21 + MDIOS output data register 21 + 0xF0 + 0x20 + read-write + 0x00000000 + + + DOUT21 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR22 + DOUTR22 + MDIOS output data register 22 + 0xF4 + 0x20 + read-write + 0x00000000 + + + DOUT22 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR23 + DOUTR23 + MDIOS output data register 23 + 0xF8 + 0x20 + read-write + 0x00000000 + + + DOUT23 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR24 + DOUTR24 + MDIOS output data register 24 + 0xFC + 0x20 + read-write + 0x00000000 + + + DOUT24 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR25 + DOUTR25 + MDIOS output data register 25 + 0x100 + 0x20 + read-write + 0x00000000 + + + DOUT25 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR26 + DOUTR26 + MDIOS output data register 26 + 0x104 + 0x20 + read-write + 0x00000000 + + + DOUT26 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR27 + DOUTR27 + MDIOS output data register 27 + 0x108 + 0x20 + read-write + 0x00000000 + + + DOUT27 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR28 + DOUTR28 + MDIOS output data register 28 + 0x10C + 0x20 + read-write + 0x00000000 + + + DOUT28 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR29 + DOUTR29 + MDIOS output data register 29 + 0x110 + 0x20 + read-write + 0x00000000 + + + DOUT29 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR30 + DOUTR30 + MDIOS output data register 30 + 0x114 + 0x20 + read-write + 0x00000000 + + + DOUT30 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + DOUTR31 + DOUTR31 + MDIOS output data register 31 + 0x118 + 0x20 + read-write + 0x00000000 + + + DOUT31 + Output data sent to MDIO Master during + read frames + 0 + 16 + + + + + + + OPAMP + Operational amplifiers + OPAMP + 0x40009000 + + 0x0 + 0x400 + registers + + + + OPAMP1_CSR + OPAMP1_CSR + OPAMP1 control/status register + 0x0 + 0x20 + read-write + 0x00000000 + + + OPAEN + Operational amplifier + Enable + 0 + 1 + + + FORCE_VP + Force internal reference on VP (reserved + for test + 1 + 1 + + + VP_SEL + Operational amplifier PGA + mode + 2 + 2 + + + VM_SEL + Inverting input selection + 5 + 2 + + + OPAHSM + Operational amplifier high-speed + mode + 8 + 1 + + + CALON + Calibration mode enabled + 11 + 1 + + + CALSEL + Calibration selection + 12 + 2 + + + PGA_GAIN + allows to switch from AOP offset trimmed + values to AOP offset + 14 + 4 + + + USERTRIM + User trimming enable + 18 + 1 + + + TSTREF + OPAMP calibration reference voltage + output control (reserved for test) + 29 + 1 + + + CALOUT + Operational amplifier calibration + output + 30 + 1 + + + + + OPAMP1_OTR + OPAMP1_OTR + OPAMP1 offset trimming register in normal + mode + 0x4 + 0x20 + read-write + 0x00000000 + + + TRIMOFFSETN + Trim for NMOS differential + pairs + 0 + 5 + + + TRIMOFFSETP + Trim for PMOS differential + pairs + 8 + 5 + + + + + OPAMP1_HSOTR + OPAMP1_HSOTR + OPAMP1 offset trimming register in low-power + mode + 0x8 + 0x20 + read-write + 0x00000000 + + + TRIMLPOFFSETN + Trim for NMOS differential + pairs + 0 + 5 + + + TRIMLPOFFSETP + Trim for PMOS differential + pairs + 8 + 5 + + + + + OPAMP2_CSR + OPAMP2_CSR + OPAMP2 control/status register + 0x10 + 0x20 + read-write + 0x00000000 + + + OPAEN + Operational amplifier + Enable + 0 + 1 + + + FORCE_VP + Force internal reference on VP (reserved + for test) + 1 + 1 + + + VM_SEL + Inverting input selection + 5 + 2 + + + OPAHSM + Operational amplifier high-speed + mode + 8 + 1 + + + CALON + Calibration mode enabled + 11 + 1 + + + CALSEL + Calibration selection + 12 + 2 + + + PGA_GAIN + Operational amplifier Programmable + amplifier gain value + 14 + 4 + + + USERTRIM + User trimming enable + 18 + 1 + + + TSTREF + OPAMP calibration reference voltage + output control (reserved for test) + 29 + 1 + + + CALOUT + Operational amplifier calibration + output + 30 + 1 + + + + + OPAMP2_OTR + OPAMP2_OTR + OPAMP2 offset trimming register in normal + mode + 0x14 + 0x20 + read-write + 0x00000000 + + + TRIMOFFSETN + Trim for NMOS differential + pairs + 0 + 5 + + + TRIMOFFSETP + Trim for PMOS differential + pairs + 8 + 5 + + + + + OPAMP2_HSOTR + OPAMP2_HSOTR + OPAMP2 offset trimming register in low-power + mode + 0x18 + 0x20 + read-write + 0x00000000 + + + TRIMLPOFFSETN + Trim for NMOS differential + pairs + 0 + 5 + + + TRIMLPOFFSETP + Trim for PMOS differential + pairs + 8 + 5 + + + + + + + SWPMI + Single Wire Protocol Master + Interface + SWPMI + 0x40008800 + + 0x0 + 0x400 + registers + + + + CR + CR + SWPMI Configuration/Control + register + 0x0 + 0x20 + read-write + 0x00000000 + + + RXDMA + Reception DMA enable + 0 + 1 + + + TXDMA + Transmission DMA enable + 1 + 1 + + + RXMODE + Reception buffering mode + 2 + 1 + + + TXMODE + Transmission buffering + mode + 3 + 1 + + + LPBK + Loopback mode enable + 4 + 1 + + + SWPACT + Single wire protocol master interface + activate + 5 + 1 + + + DEACT + Single wire protocol master interface + deactivate + 10 + 1 + + + SWPTEN + Single wire protocol master transceiver + enable + 11 + 1 + + + + + BRR + BRR + SWPMI Bitrate register + 0x4 + 0x20 + read-write + 0x00000001 + + + BR + Bitrate prescaler + 0 + 8 + + + + + ISR + ISR + SWPMI Interrupt and Status + register + 0xC + 0x20 + read-only + 0x000002C2 + + + RXBFF + Receive buffer full flag + 0 + 1 + + + TXBEF + Transmit buffer empty flag + 1 + 1 + + + RXBERF + Receive CRC error flag + 2 + 1 + + + RXOVRF + Receive overrun error flag + 3 + 1 + + + TXUNRF + Transmit underrun error + flag + 4 + 1 + + + RXNE + Receive data register not + empty + 5 + 1 + + + TXE + Transmit data register + empty + 6 + 1 + + + TCF + Transfer complete flag + 7 + 1 + + + SRF + Slave resume flag + 8 + 1 + + + SUSP + SUSPEND flag + 9 + 1 + + + DEACTF + DEACTIVATED flag + 10 + 1 + + + RDYF + transceiver ready flag + 11 + 1 + + + + + ICR + ICR + SWPMI Interrupt Flag Clear + register + 0x10 + 0x20 + write-only + 0x00000000 + + + CRXBFF + Clear receive buffer full + flag + 0 + 1 + + + CTXBEF + Clear transmit buffer empty + flag + 1 + 1 + + + CRXBERF + Clear receive CRC error + flag + 2 + 1 + + + CRXOVRF + Clear receive overrun error + flag + 3 + 1 + + + CTXUNRF + Clear transmit underrun error + flag + 4 + 1 + + + CTCF + Clear transfer complete + flag + 7 + 1 + + + CSRF + Clear slave resume flag + 8 + 1 + + + CRDYF + Clear transceiver ready + flag + 11 + 1 + + + + + IER + IER + SWPMI Interrupt Enable + register + 0x14 + 0x20 + read-write + 0x00000000 + + + RXBFIE + Receive buffer full interrupt + enable + 0 + 1 + + + TXBEIE + Transmit buffer empty interrupt + enable + 1 + 1 + + + RXBERIE + Receive CRC error interrupt + enable + 2 + 1 + + + RXOVRIE + Receive overrun error interrupt + enable + 3 + 1 + + + TXUNRIE + Transmit underrun error interrupt + enable + 4 + 1 + + + RIE + Receive interrupt enable + 5 + 1 + + + TIE + Transmit interrupt enable + 6 + 1 + + + TCIE + Transmit complete interrupt + enable + 7 + 1 + + + SRIE + Slave resume interrupt + enable + 8 + 1 + + + RDYIE + Transceiver ready interrupt + enable + 11 + 1 + + + + + RFL + RFL + SWPMI Receive Frame Length + register + 0x18 + 0x20 + read-only + 0x00000000 + + + RFL + Receive frame length + 0 + 5 + + + + + TDR + TDR + SWPMI Transmit data register + 0x1C + 0x20 + write-only + 0x00000000 + + + TD + Transmit data + 0 + 32 + + + + + RDR + RDR + SWPMI Receive data register + 0x20 + 0x20 + read-only + 0x00000000 + + + RD + received data + 0 + 32 + + + + + OR + OR + SWPMI Option register + 0x24 + 0x20 + read-write + 0x00000000 + + + SWP_TBYP + SWP transceiver bypass + 0 + 1 + + + SWP_CLASS + SWP class selection + 1 + 1 + + + + + + + TIM2 + General purpose timers + TIM + 0x40000000 + + 0x0 + 0x400 + registers + + + TIM2 + TIM2 global interrupt + 28 + + + SWPMI1 + SWPMI global interrupt + 115 + + + + CR1 + CR1 + control register 1 + 0x0 + 0x20 + read-write + 0x0000 + + + UIFREMAP + UIF status bit remapping + 11 + 1 + + + CKD + Clock division + 8 + 2 + + + ARPE + Auto-reload preload enable + 7 + 1 + + + CMS + Center-aligned mode + selection + 5 + 2 + + + DIR + Direction + 4 + 1 + + + OPM + One-pulse mode + 3 + 1 + + + URS + Update request source + 2 + 1 + + + UDIS + Update disable + 1 + 1 + + + CEN + Counter enable + 0 + 1 + + + + + CR2 + CR2 + control register 2 + 0x4 + 0x20 + read-write + 0x0000 + + + TI1S + TI1 selection + 7 + 1 + + + MMS + Master mode selection + 4 + 3 + + + CCDS + Capture/compare DMA + selection + 3 + 1 + + + + + SMCR + SMCR + slave mode control register + 0x8 + 0x20 + read-write + 0x0000 + + + TS_4_3 + Trigger selection + 20 + 2 + + + SMS_3 + Slave mode selection - bit + 3 + 16 + 1 + + + ETP + External trigger polarity + 15 + 1 + + + ECE + External clock enable + 14 + 1 + + + ETPS + External trigger prescaler + 12 + 2 + + + ETF + External trigger filter + 8 + 4 + + + MSM + Master/Slave mode + 7 + 1 + + + TS + Trigger selection + 4 + 3 + + + SMS + Slave mode selection + 0 + 3 + + + + + DIER + DIER + DMA/Interrupt enable register + 0xC + 0x20 + read-write + 0x0000 + + + TDE + Trigger DMA request enable + 14 + 1 + + + CC4DE + Capture/Compare 4 DMA request + enable + 12 + 1 + + + CC3DE + Capture/Compare 3 DMA request + enable + 11 + 1 + + + CC2DE + Capture/Compare 2 DMA request + enable + 10 + 1 + + + CC1DE + Capture/Compare 1 DMA request + enable + 9 + 1 + + + UDE + Update DMA request enable + 8 + 1 + + + TIE + Trigger interrupt enable + 6 + 1 + + + CC4IE + Capture/Compare 4 interrupt + enable + 4 + 1 + + + CC3IE + Capture/Compare 3 interrupt + enable + 3 + 1 + + + CC2IE + Capture/Compare 2 interrupt + enable + 2 + 1 + + + CC1IE + Capture/Compare 1 interrupt + enable + 1 + 1 + + + UIE + Update interrupt enable + 0 + 1 + + + + + SR + SR + status register + 0x10 + 0x20 + read-write + 0x0000 + + + CC4OF + Capture/Compare 4 overcapture + flag + 12 + 1 + + + CC3OF + Capture/Compare 3 overcapture + flag + 11 + 1 + + + CC2OF + Capture/compare 2 overcapture + flag + 10 + 1 + + + CC1OF + Capture/Compare 1 overcapture + flag + 9 + 1 + + + TIF + Trigger interrupt flag + 6 + 1 + + + CC4IF + Capture/Compare 4 interrupt + flag + 4 + 1 + + + CC3IF + Capture/Compare 3 interrupt + flag + 3 + 1 + + + CC2IF + Capture/Compare 2 interrupt + flag + 2 + 1 + + + CC1IF + Capture/compare 1 interrupt + flag + 1 + 1 + + + UIF + Update interrupt flag + 0 + 1 + + + + + EGR + EGR + event generation register + 0x14 + 0x20 + write-only + 0x0000 + + + TG + Trigger generation + 6 + 1 + + + CC4G + Capture/compare 4 + generation + 4 + 1 + + + CC3G + Capture/compare 3 + generation + 3 + 1 + + + CC2G + Capture/compare 2 + generation + 2 + 1 + + + CC1G + Capture/compare 1 + generation + 1 + 1 + + + UG + Update generation + 0 + 1 + + + + + CCMR1_Output + CCMR1_Output + capture/compare mode register 1 (output + mode) + 0x18 + 0x20 + read-write + 0x00000000 + + + CC1S + CC1S + 0 + 2 + + + OC1FE + OC1FE + 2 + 1 + + + OC1PE + OC1PE + 3 + 1 + + + OC1M + OC1M + 4 + 3 + + + OC1CE + OC1CE + 7 + 1 + + + CC2S + CC2S + 8 + 2 + + + OC2FE + OC2FE + 10 + 1 + + + OC2PE + OC2PE + 11 + 1 + + + OC2M + OC2M + 12 + 3 + + + OC2CE + OC2CE + 15 + 1 + + + OC1M_3 + Output Compare 1 mode - bit + 3 + 16 + 1 + + + OC2M_3 + Output Compare 2 mode - bit + 3 + 24 + 1 + + + + + CCMR1_Input + CCMR1_Input + capture/compare mode register 1 (input + mode) + CCMR1_Output + 0x18 + 0x20 + read-write + 0x00000000 + + + IC2F + Input capture 2 filter + 12 + 4 + + + IC2PCS + Input capture 2 prescaler + 10 + 2 + + + CC2S + Capture/Compare 2 + selection + 8 + 2 + + + IC1F + Input capture 1 filter + 4 + 4 + + + ICPCS + Input capture 1 prescaler + 2 + 2 + + + CC1S + Capture/Compare 1 + selection + 0 + 2 + + + + + CCMR2_Output + CCMR2_Output + capture/compare mode register 2 (output + mode) + 0x1C + 0x20 + read-write + 0x00000000 + + + OC4M_3 + Output Compare 2 mode - bit + 3 + 24 + 1 + + + OC3M_3 + Output Compare 1 mode - bit + 3 + 16 + 1 + + + O24CE + O24CE + 15 + 1 + + + OC4M + OC4M + 12 + 3 + + + OC4PE + OC4PE + 11 + 1 + + + OC4FE + OC4FE + 10 + 1 + + + CC4S + CC4S + 8 + 2 + + + OC3CE + OC3CE + 7 + 1 + + + OC3M + OC3M + 4 + 3 + + + OC3PE + OC3PE + 3 + 1 + + + OC3FE + OC3FE + 2 + 1 + + + CC3S + CC3S + 0 + 2 + + + + + CCMR2_Input + CCMR2_Input + capture/compare mode register 2 (input + mode) + CCMR2_Output + 0x1C + 0x20 + read-write + 0x00000000 + + + IC4F + Input capture 4 filter + 12 + 4 + + + IC4PSC + Input capture 4 prescaler + 10 + 2 + + + CC4S + Capture/Compare 4 + selection + 8 + 2 + + + IC3F + Input capture 3 filter + 4 + 4 + + + IC3PSC + Input capture 3 prescaler + 2 + 2 + + + CC3S + Capture/compare 3 + selection + 0 + 2 + + + + + CCER + CCER + capture/compare enable + register + 0x20 + 0x20 + read-write + 0x0000 + + + CC4NP + Capture/Compare 4 output + Polarity + 15 + 1 + + + CC4P + Capture/Compare 3 output + Polarity + 13 + 1 + + + CC4E + Capture/Compare 4 output + enable + 12 + 1 + + + CC3NP + Capture/Compare 3 output + Polarity + 11 + 1 + + + CC3P + Capture/Compare 3 output + Polarity + 9 + 1 + + + CC3E + Capture/Compare 3 output + enable + 8 + 1 + + + CC2NP + Capture/Compare 2 output + Polarity + 7 + 1 + + + CC2P + Capture/Compare 2 output + Polarity + 5 + 1 + + + CC2E + Capture/Compare 2 output + enable + 4 + 1 + + + CC1NP + Capture/Compare 1 output + Polarity + 3 + 1 + + + CC1P + Capture/Compare 1 output + Polarity + 1 + 1 + + + CC1E + Capture/Compare 1 output + enable + 0 + 1 + + + + + CNT + CNT + counter + 0x24 + 0x20 + read-write + 0x00000000 + + + CNT_L + low counter value + 0 + 16 + + + CNT_H + High counter value + 16 + 16 + + + + + PSC + PSC + prescaler + 0x28 + 0x20 + read-write + 0x0000 + + + PSC + Prescaler value + 0 + 16 + + + + + ARR + ARR + auto-reload register + 0x2C + 0x20 + read-write + 0x00000000 + + + ARR_H + High Auto-reload value + 16 + 16 + + + ARR_L + Low Auto-reload value + 0 + 16 + + + + + CCR1 + CCR1 + capture/compare register 1 + 0x34 + 0x20 + read-write + 0x00000000 + + + CCR1_H + High Capture/Compare 1 + value + 16 + 16 + + + CCR1_L + Low Capture/Compare 1 + value + 0 + 16 + + + + + CCR2 + CCR2 + capture/compare register 2 + 0x38 + 0x20 + read-write + 0x00000000 + + + CCR2_H + High Capture/Compare 2 + value + 16 + 16 + + + CCR2_L + Low Capture/Compare 2 + value + 0 + 16 + + + + + CCR3 + CCR3 + capture/compare register 3 + 0x3C + 0x20 + read-write + 0x00000000 + + + CCR3_H + High Capture/Compare value + 16 + 16 + + + CCR3_L + Low Capture/Compare value + 0 + 16 + + + + + CCR4 + CCR4 + capture/compare register 4 + 0x40 + 0x20 + read-write + 0x00000000 + + + CCR4_H + High Capture/Compare value + 16 + 16 + + + CCR4_L + Low Capture/Compare value + 0 + 16 + + + + + DCR + DCR + DMA control register + 0x48 + 0x20 + read-write + 0x0000 + + + DBL + DMA burst length + 8 + 5 + + + DBA + DMA base address + 0 + 5 + + + + + DMAR + DMAR + DMA address for full transfer + 0x4C + 0x20 + read-write + 0x0000 + + + DMAB + DMA register for burst + accesses + 0 + 16 + + + + + AF1 + AF1 + TIM alternate function option register + 1 + 0x60 + 0x20 + read-write + 0x0000 + + + ETRSEL + ETR source selection + 14 + 4 + + + + + TISEL + TISEL + TIM timer input selection + register + 0x68 + 0x20 + read-write + 0x0000 + + + TI1SEL + TI1[0] to TI1[15] input + selection + 0 + 4 + + + TI2SEL + TI2[0] to TI2[15] input + selection + 8 + 4 + + + TI3SEL + TI3[0] to TI3[15] input + selection + 16 + 4 + + + TI4SEL + TI4[0] to TI4[15] input + selection + 24 + 4 + + + + + + + TIM3 + 0x40000400 + + TIM3 + TIM3 global interrupt + 29 + + + + TIM4 + 0x40000800 + + + TIM5 + 0x40000C00 + + TIM4 + TIM4 global interrupt + 30 + + + TIM5 + TIM5 global interrupt + 50 + + + + TIM12 + 0x40001800 + + + TIM13 + 0x40001C00 + + + TIM14 + 0x40002000 + + + TIM6 + Basic timers + TIM + 0x40001000 + + 0x0 + 0x400 + registers + + + TIM6_DAC + TIM6 global interrupt + 54 + + + + CR1 + CR1 + control register 1 + 0x0 + 0x20 + read-write + 0x0000 + + + UIFREMAP + UIF status bit remapping + 11 + 1 + + + ARPE + Auto-reload preload enable + 7 + 1 + + + OPM + One-pulse mode + 3 + 1 + + + URS + Update request source + 2 + 1 + + + UDIS + Update disable + 1 + 1 + + + CEN + Counter enable + 0 + 1 + + + + + CR2 + CR2 + control register 2 + 0x4 + 0x20 + read-write + 0x0000 + + + MMS + Master mode selection + 4 + 3 + + + + + DIER + DIER + DMA/Interrupt enable register + 0xC + 0x20 + read-write + 0x0000 + + + UDE + Update DMA request enable + 8 + 1 + + + UIE + Update interrupt enable + 0 + 1 + + + + + SR + SR + status register + 0x10 + 0x20 + read-write + 0x0000 + + + UIF + Update interrupt flag + 0 + 1 + + + + + EGR + EGR + event generation register + 0x14 + 0x20 + write-only + 0x0000 + + + UG + Update generation + 0 + 1 + + + + + CNT + CNT + counter + 0x24 + 0x20 + read-write + 0x00000000 + + + CNT + Low counter value + 0 + 16 + + + UIFCPY + UIF Copy + 31 + 1 + + + + + PSC + PSC + prescaler + 0x28 + 0x20 + read-write + 0x0000 + + + PSC + Prescaler value + 0 + 16 + + + + + ARR + ARR + auto-reload register + 0x2C + 0x20 + read-write + 0x00000000 + + + ARR + Low Auto-reload value + 0 + 16 + + + + + + + TIM7 + 0x40001400 + + TIM7 + TIM7 global interrupt + 55 + + + + DBGMCU + Microcontroller Debug Unit + DBGMCU + 0x5C001000 + + 0x0 + 0x400 + registers + + + + IDC + IDC + DBGMCU Identity Code Register + 0x0 + 0x20 + read-only + 0x10006450 + + + DEV_ID + Device ID + 0 + 12 + + + REV_ID + Revision + 16 + 16 + + + + + CR + CR + DBGMCU Configuration Register + 0x4 + 0x20 + read-write + 0x00000000 + + + DBGSLEEP_D1 + Allow D1 domain debug in Sleep mode + 0 + 1 + + + DBGSTOP_D1 + Allow D1 domain debug in Stop mode + 1 + 1 + + + DBGSTBY_D1 + Allow D1 domain debug in Standby mode + 2 + 1 + + + DBGSLEEP_D2 + Allow D2 domain debug in Sleep mode + 3 + 1 + + + DBGSTOP_D2 + Allow D2 domain debug in Stop mode + 4 + 1 + + + DBGSTBY_D2 + Allow D2 domain debug in Standby mode + 5 + 1 + + + DBGSTOP_D3 + Allow debug in D3 Stop mode + 7 + 1 + + + DBGSTBY_D3 + Allow debug in D3 Standby mode + 8 + 1 + + + TRACECLKEN + Trace port clock enable + 20 + 1 + + + D1DBGCKEN + D1 debug clock enable + 21 + 1 + + + D3DBGCKEN + D3 debug clock enable + 22 + 1 + + + TRGOEN + External trigger output enable + 28 + 1 + + + + + APB3FZ1 + APB3FZ1 + DBGMCU APB3 peripheral freeze register + 0x34 + 0x20 + read-write + 0x00000000 + + + WWDG1 + WWDG1 stop in debug + 6 + 1 + + + + + APB1LFZ1 + APB1LFZ1 + DBGMCU APB1L peripheral freeze register + 0x3C + 0x20 + read-write + 0x00000000 + + + DBG_TIM2 + TIM2 stop in debug + 0 + 1 + + + DBG_TIM3 + TIM3 stop in debug + 1 + 1 + + + DBG_TIM4 + TIM4 stop in debug + 2 + 1 + + + DBG_TIM5 + TIM5 stop in debug + 3 + 1 + + + DBG_TIM6 + TIM6 stop in debug + 4 + 1 + + + DBG_TIM7 + TIM7 stop in debug + 5 + 1 + + + DBG_TIM12 + TIM12 stop in debug + 6 + 1 + + + DBG_TIM13 + TIM13 stop in debug + 7 + 1 + + + DBG_TIM14 + TIM14 stop in debug + 8 + 1 + + + DBG_LPTIM1 + LPTIM1 stop in debug + 9 + 1 + + + DBG_I2C1 + I2C1 SMBUS timeout stop in debug + 21 + 1 + + + DBG_I2C2 + I2C2 SMBUS timeout stop in debug + 22 + 1 + + + DBG_I2C3 + I2C3 SMBUS timeout stop in debug + 23 + 1 + + + + + APB2FZ1 + APB2FZ1 + DBGMCU APB2 peripheral freeze register + 0x4C + 0x20 + read-write + 0x00000000 + + + DBG_TIM1 + TIM1 stop in debug + 0 + 1 + + + DBG_TIM8 + TIM8 stop in debug + 1 + 1 + + + DBG_TIM15 + TIM15 stop in debug + 16 + 1 + + + DBG_TIM16 + TIM16 stop in debug + 17 + 1 + + + DBG_TIM17 + TIM17 stop in debug + 18 + 1 + + + DBG_HRTIM + HRTIM stop in debug + 29 + 1 + + + + + APB4FZ1 + APB4FZ1 + DBGMCU APB4 peripheral freeze register + 0x54 + 0x20 + read-write + 0x00000000 + + + DBG_I2C4 + I2C4 SMBUS timeout stop in debug + 7 + 1 + + + DBG_LPTIM2 + LPTIM2 stop in debug + 9 + 1 + + + DBG_LPTIM3 + LPTIM2 stop in debug + 10 + 1 + + + DBG_LPTIM4 + LPTIM4 stop in debug + 11 + 1 + + + DBG_LPTIM5 + LPTIM5 stop in debug + 12 + 1 + + + DBG_RTC + RTC stop in debug + 16 + 1 + + + DBG_IWDG1 + Independent watchdog for D1 stop in debug + 18 + 1 + + + + + + + diff --git a/embedded-examples/stm32h7-rtic/docs/stm32h743bi.pdf b/embedded-examples/stm32h7-rtic/docs/stm32h743bi.pdf new file mode 100644 index 0000000000000000000000000000000000000000..0a8f77ccb2dc9105006c706e9ce833188ef1a796 GIT binary patch literal 7342897 zcmeFac|4Tu+dqyh*(LirSwon8vCCd|Wl74|cd|t&OA!?kQCjR3S+gXOB_UZVYfAPM zQreX8yJp5VJ=~u@xu4(j$G7`+zh2XfYtA|5c%SES9@lxikM{`ctEx*vq+m3{L&I&) zX&@jl$lc0`Mov!J$j8dt-@{hgR7YESAMPJIw%%A9tT$F#+t$tA+W`cHV9*K*Uf!Oz zSXUZ3ML!R$wX>}^$ja8<(M?`py5fNV$k9e#zyzTK*6~oWb#T-?=4oqmOn0C4F=uOx zjew#8jhvs1pR0!}Ffho^)y2(A#!p@VYvXQZD+Bx+_p-DAaFM5tos6NX`qCx9GkF0A zZ*LD7Y3ZX!k4hbdNx6I4OG7Xij5HW34TVYqS4evKyLn^%B;C9OaU)1C4WS?>z4D0) zp#S~ zZ!dEM?umu8il@8BZg)R(Fz^(CL4eS3sD-pX))N>Cgv4KO ze)ZFFUw7%#Roq?NJ&k~r&K593#S3^J&H~^A)%?6QjBwuvcwNH?0>Ui4uJ7q?ZDi|h zE)67<()(=vye*{F0S5zD?b`ip%ggBdUFD%)n?&#ue>nW}70$8DHYwd1hyPT53VKB%_O6t&GEZghZ zrx`c>BkI@-5<7aP{inRFtps*Hi|es2EfJBQO8Tgv1QNp1lfg+27%EO3{&5r;L#Fxa zS+NXDYPPC{nZG?5&$;A0wB8-vpI`FRM_WYruV%5UCHSgY%ul&TJa4KQ_uEmg7T|GL zjzcoBD%Q&XcL{l@-_C>yGKk#CvpP_VnjizdV zL%*fzoMQ7~hJIMUU2N=TrNeHh#m!if(QxPCjh_+KZYN{h zu>5s(@*~!57v>s2eF&a&^MmK!nUcwufn3(hU}@}~rZQw1s@B_$Vte-Ke1bS78;u5; z3TxiaDd{vayGK>tA#}=QEbP%C9vtc#7mzGwv>hIqXKP6cXn(2}oB4d;bvR`cC!k-& zJrNxJ6nr_?k)$zG4)q~0}37_YjcSc+#?@KA8o z4a-7uQtIso81#zU^gMRdRtcf^l_{%EST1U@?9LHDee`cex05$0z2ysMg@)G+4N~)n zW6!;mBn9s~8|u+4&1Fx6so8&is(md)u<)*yB?`6tc~18&t$ zT_lXxuJix=CTT2z3Ki4ZE!T78K$y}VtBe>^CsbT2DXAtCjGghrrOv!ARqhmF&F~9% ztAvIGTm?gegiJ%9kbqu9kV!-La;h6|c@3Z1u0<};bB;8Lqjs!{v^l6k90 z>N+cZM7*++bS?e7={5<{ubf?S*_<>F88gs_%+$6S$$_Grg<$=rxBSMB&G6L^H|%71 zM^i*k-koxMOO4hJe}AFVrx>Q}??w*2slQwkR~<{2-jMdL-a|5RgmoYDkv+v$*#ZWL zM&ICx0T)xI;32i01yQ5OnGaQ(O`3waHro3{zwfo2CgIOM?XMxH1V&nFS=PF!pBZ+F zY49#)q}Y)^IhZt?=5dsb>}05=o=~7^#<3*RoDmRo=dn0DXBK9rJr_BwEi9R|hSY45 zl=hqtx)u{fl1uY7>CuBvQIXZ{0Y-* z&ujZbRLB-DJ?~)t=}cFV^5$dv!C=jY^KVm+j90tLTq$*a@S?!bd?J;w*4f+}; zQ_vEA&LH@rnEb;@|-`~t&Dxjd8?y! z)O9TOW5|)t+%Xi-t$cKjv}nlJ$AgtKgQCR-JiU1sw3UrHvV_9LhFoYJG;>%d;$?WB z2^B`|a*tFwEEy_1K>4s>%Shd9^Vvs!Y9-83GPPf=dE_zVyIPy9JhXr*4W` zS0LnSjH^x@-4${LUmc5!{?DWG*!rS&8g{*beUoiS-oO`)n{%A~$ra(!$YYBGh^YFH0zBGz97QD(0}fuqgQGO?gWGF%t-Ge;ZuX zX&iEfIj4zJ)d2YlfmGs1E_<{BA;`ybKx>%6zTQN*f=t+>+WM5z^kmm3~0e znj!h;98xrNmhA%ReP^|_)O=RalD#IwUo3$0m%%cAb!D` z=Bs~LREDw-nZ83u(XmPq%qwHH@N#~0{=%kYE3Zo^$KDr}pA~M|FlAO%`}XfIQtqPD znZIt8)hMZR;0SzC&mh>AYS{5=U$h8&s8w!oYrw^h13d%PV-bD6-cgIwoR4|?!k99D zu)0jM?we*Jm!G@OapFL!;NpS6M-1Z>9~43#vJM?~{s@U8CI7@XbnrfD2)$6>_lg^V zId_h>NA%`TKfHY@`t?)!G8=Q`qa^Q1vr{K0m7cV1-Fa`@%YzxL$`U*B9S0+fR1M^G z&sQ&^b`DLRA|2E#L|-jAdm}67eY{6yHP@YDB~#^KGSEmf?oZVzJwrZR6bs+-0MY*lCRH?0jh$9AqrN7|wI5y{?;`v*q4 zE6wt6YVB2b{hk<6?jRuL-(tm^#LfOh$d1WJZ)#yoBGh^8^X2rcG_q|lfdcE}1Cf|| z15pQT{FgbS&_yTY^sEcrcFj2}ITfsXNK)e!vb^g82cD6QZA+jAX`R?Bd6J~EEUrpS zv*Uhm$JaXl@y>ek27xp|XcgSA+Y;o!KcCYQ}~$r8lKgxWo3zny9Jhc@+-o zzUnlyps}(#yNy(l?atGueW_((t=t8sB_;m+s$-}ypVn0B5}negjA9wvK8 zTZ2}~lANV>H?Qf;)kcXkLaK!)i`8$kQX@F-kc?i+>^))b_?6OVM;PgI>Zm=TeB29* z;?O+tE}MrD)fe~|*oAbi3{>wI89sbV{_+fE%4@q1J0voxxHz9qMftoBHkyh>(|fnK zpX{nXbxw42mRvwwj{M~wOUXnuXLVUd#N^wMa(BI$wlgXpkNCUX&ZOk_Vo0L6h-H-z ziKnQXeo7`4RK&q6Sv_ha$LXoP^&wwrhe}nd4#;p!Quafs$aZ75!uL;XetO8*qbM($ z^5|x{i{@YXHks)(y(7jU`@V{XJineQ*7|Kp?cUwHlGBrlESe&IvW03F(nh{MEgb*E z(05UK!sc<|kHGLDR`b>odA0}2=MVYzc)fYKSH=o?DOyKLOtpq?jz>lF_1SBeIx@Cj z(t`cCk^up0$iAHZQRvmbYwE(bE96D0mzpoWiT&Det=Fd2TJ#Fpd~5Teq{G?hBMC1? z6PuI*w{}C^J9|>v^m2-Cn+?0w-)nnRE*@7#i)y>nSmWb(;%4vJ8y6~7)xt;EuE3mv zt4F}QCtjQ)4?UJ4lxo2Ib%zRP&6}I`5d$6^)f|fOfv_LwSvoa^y2nz_vmfu>I#haP z$KBKoDlc{AusvaFQ@^@fTL>t*zHH?!){$FLU^>Y3B566 z)sK{Exjr$F;aR=+D(UqsK{&-hwK-+SJrS8v`4@ja=joMqC)?`UoTsG~&kM@j-_`M8 zcUd81{>o*_=auw6M&2+-6YJ+yncL1B8;0JWukDHO+tswY~z4XkS?x zHq$iaE=T6@OFOsCrxl^4J>N5z3%;+oYz`em&z~&&&O77Hcgy(YA=a$t7M^n#Yu@SF zq^0T?h)dDPs#hc#j^>Z-b~W(S=1`8R+iz~P$LS2MZnIJ01Skcrtb)?`n2sSs<#?$9MgM>o!VyjE_jEA+}l;eZfbf< z-egX?tl%4sF~5kBy?-$ar%9Sqwkkbh*W;|FMgxlHWznv-=iVN(OX%W9W)~CbkXi4aj9wWdE%)FPXAC)*@_-)1RvRl=(7^%^%Qknpwu8Ua_Bsre z5f=Nxy}X4^d%xKW^>~Eh9us$t3I@LYoZNnKNQsP-vlM#IyWY-OGjvSsza}qO^&+f& zPbB!M+lbtD(${J3tQA%1TPOSvBy}@vtM! zhi{!x5p^ARJan@_mZapkA<|)j7A2s=M2S;q(N!=?EQOO zKS38KH5L|W;NY#-xh|!v%!HSP)Y8=yusb@DkYUS^><2t z?wS25cS1~JBsXzZmc5_*jIi;6_77s-2AKMV+7Xh@>_caqDn!zcQVz~iaI}eJDzUw&+MkBp1A(4Ow}d3TZ5!@!WQgT}YQ(bsWbSGxPaebBhSpNVf=>;?N`m%i-T zD%*4^yXOnE+CQ`Gi+eIyk4wm2f09)@tY`C0@st0_gD<}EAA^X;b~Cz39yM2Np!pH~ znL3vvF|)_R5j1!4kOylDD~I@l>w$75>08My<-S)8jcb@s=$K{fKRVIhc3hM$ssCvK zDBO~3=Dt5zWmKMX#Ysxuw83#L$Q zs!uzqc&?iLgQJ(q__ynCoks6;g#}Lq-K+@6%xH1Gpoh7sbZBs4M@E20huEnfj?Ysc zUYq)?LjU;o$4@BE@TdAC&jQjS56d~9-GeyshR*73pJ~(n?mOE~T%luPdi;efH$w8q z%k&eSB`3e!l_6)RnN_VCn(0=wnVzV4Qu4@j#%psk z*o!%ZAMXlYC)f4#C&=AhcpacZN0c_+JP4%9cih#^PP@+Z(5}9+(oI1!14*(Oy^>uFW_sp#7tyHsd;*h`hq_7l9`< z-QT%3WO2`Hve0mnIR7|I-`L&|bNp??7MZUfMYo|DNMpWhouqpjKXX#))NEK-CH>Dn z?g7%>%{>%i264K5i#}vAIVX=-T0Sa#T&j38wC~I}s*eK^M~`2ct~Fbft|pnuJ(l-B z&$%FL&T`gP@|J6NXbh6Ls<(1%vU-e0sN{(Pl{`^gB~NstN}lNNRq{ai(wn!lynr&U z#0N6f(Fduxd)k7KQea7IFc=9!VWi+FFviLbx(fsaLm|>&gftW^2?oo+&@yoF@>w8I z(F1M1Cu_Zcc}_c#RX2& ze&^EVI`HpYtfM2N>Td0W`yyJZ@&Z0Sjy5uAuoV)8f!IpIurRPB9AgKT#G+ufl4uCV z77nwr##&inmhA%GujS_DjdioOU3oteV+XOpKp>J38z@{7ZjD4rqA@6}Bm{y%T4S&f zxE&OWf4_~jjGeouD;9tg>*3+zXpP08EDg8`|7YM)1~_@N@v*k`T%MD_;U!4h(aIC+ z=?{V+q`(5wxVg4cJ_ahutM%jmKMv=Yr~JB)e+~HSfxjO3>w&)>`0Ihc9{9iaz-s0U z)GXyikK*!T;6LCAaSa+@++3<?l}a^)P+-Q>@qQ^)LkK0} zrCK!vU#h!y{236aw)lb$BF73UD(L1Cs)y zK?o>NkB5U$V3ZUBzNQq9M{Zpc2<7vC#RMd9^8#Ns0f|CLA&_fKz|Wd>O~8Zp?|1=< zkpgb~mb`$3!=w=CwI<-c>~EL=ES^6gL_nZ0DGY!F1dJnMK!CeX1QdjX!ljUFNfE%G ze#ZtF7_tc)5EzIQbj1x|m=tR5WWX=gb=`npH>*f&#tjG*R0;?NOHd%t09%B}4Qmb* zh}Q(M4Zsk84g~^%kOIQRvJFV26lU#gSQ8Te!2|>ls5W5&3?Rjaj2=tf9fD6X|*#_9A+yFX5fk!;3yRFWWaBT|Jeitu*v=Te1rp25ZF(b zmINFLmO`(MkoetrT^sPb=fC6z7(PNS+W-ew~QV#5H5&mC92@JB4lWfP!a zDIiT;_5$pe0Ey3e*PW0=Hoy_+&CCWcuqIZKfB=hP?Xuh`Kq7xhVmA{Yp=cm!SoQ)G zSRh15;B)=;yg+OM0=PB!^EClQ!qy-G1+rM8yaj)w@tfif`XB2k$|9oL1LrmL~H{LxGVbe*#H6f z&8uqyXbK}nVx#DUB)X+RA~%Bs1PKJl3f>f>mZk`CDJqL z84qJP;VF{4hqu{38)4#7%5}sSvB$7gIGJ^!rl9xD(+G!SQW>Xn_v#4wT}gtU=`r(f z8G4u%eJJvv7ermGsiRA^wT1`r@AA3S8IMj!>kF%0tq^F8cYJ(XkrZ*eJw#7I%KR?*dAMu4#sonhA5vd=|^B!f# z6ayQCN-4tm&JNy!SGQj3*uzMlv~6-ryN4=8?A7`0J{)>ER%PPd^xW6ARa@J~AJmLc zaAVGMS+*gH;yHt#Fk=mO99euYU)@zL{#<~ohN4n zWVSe$z;82gI(Ais=uq6=HYcof;H_=!L-D<*cC*R(JZjGZ@m7UI9&*y({-t326&(cp zDD|3Lvtv+}>s~85wAcY=<2tvwrdv<1=`&M@gl1uSDmXI9l(lWcm2Sjs$F{3caH-Zt zeciT;{F8i*G74O*$H3EnIJ*A}J$()1i@3^9*HT$$i$StZEX>d-a0t18MvdV}er2Xn z=2os?W*)&NBgw7iC%d-gZp-I!B|SM|6kbu#@!Y`?oGhTA3mObg7PU;H7?X)@R%oKu zYZQB23V#=pY|E589tygq5-xMWMk}S{Mgsr-YtTt9(z4T8UVI)Z!4j&Rh>Oq(zH&bj zKO{L?Ptt`lSfcODt|;{fFCj&iyMKm4p3eDY$(0K|GxQzqH}a=+${fk#d9D&Sw&&Qs zZTl$lTs?R*JWMS??|FXYe0G~azdNHTbILUGtiI^C=8&?Q#Ap6}=jmggkd+HTzu7(V zz99a-A%4Q>(T@bltLH6*JiqA%$3x^3+Y2;H;g^h~T5a3Ekhd6*3k}5gTkrM^Q9gN6 z_VM0<%#kXtVUpviWbe;?`eC#CM8lip?_AFBn@0Q|-<2+z-mT7!f4(vid-37vZpMJHh^M~LNn0=4!WXQ@9>MyiHLq?JM4_LO zMP)`uTT>uBUv+fW=P70B_X=mIvS&_y;E!W~f@VosGQ?cl_qzp#av|=r?Mc+hMa*T+ zh|ylTe*GkcS`ORlZQjC9Qf+vWw=$JzEJKD4Ii>%a3DdzShPF3cYwSYsy%~ zh?2929(#n4H^G_x7Z|?cgvIcn*~zV`ny~6nLb6ATUOQv34}+grx5_ zi`^vZPahbZqcXQ={N|JE)ZfsPk)1q4Y4ZA&)^6^!sk*Cyg8m+|T2oKMc)hOQirY?g zAnJ6`A^7#4AgO2R*ZfuKilF*I>W6y zJDb?dzpAEISv+#z-*n@3g@z*bCPna9_5-&n!jsX`j#SbJ2W!-``z|@w_X`xJa+*KTLbghf|(< zuwOtxUSNRqWaI=GqbMtrYI!nmy8l}Cg#)}?7*NR%5r($A-JsoDB73Vt_RIvl&Ay(b zlTpERjCHs~X+OeczeT*cY71H7_=8<1;St5+uh@%1-|mz7A|52=T>8p&r=*J&`iNSy zdFkc+xAi}>Gy2F#%+h0i8rm`&-jZDYB9b z(LC)#eQ3GiLt&@sGYoSXKHs&V>i<%X{9JzGmVzkvw6%`B`{SG*)v|Ga44ZAuC(AO8 zPdB3}o2HZc$N1_44l!S@myKwW>O|*rsJ3!mTY&RyX%27cX1{G}T>rq-U=ZtHPJT{N z-Tne~Nz}!#W;#CI_gafR8M=0mE7Gi2KEPy?q%EWm>uS2d<@SXJZ6l$S`SHMMJJ}&d zSjhc=?5`vxk%k&?`YqfGlSmHflnaC-I2G>S9#^+CVZXL3lFr%z9DQBvz||-6W-YUSdH*Rb6-f z(skLS?=zJjcrBugXnQ@Zqet!1gR?WUx>n>TY7w~Vc^!FBiHW%ib z)nZ$Zsi$A+`W~*G8OkhjEa-Xs1Z@$?)~XLwEX+JMSxu%M&Ca{_R}DO)GYkn=RL@^j zko_8SMEjA>Nu^H;G5$}mR6Lq~yQx}S;N_AR&(wY*PoLD^tDkk(wq8^_tVj7tA_X#; z_NOjyp~pNNliYsoGxff&@0I2>;|6y=kWY@*su)alhGS(eRGM8xojIH+Z2sL@^q!tX zw&lGfjjIur7-|N#$&WKNHq)8%VW`F2foWfb4(V~FD_5@bMdYL(sy=w^y2Ku)#aDCb zV%js}Jv`IM!`dUFvPly>lMeESjeBfGbrKHl%42H@d^^QtF{hq&oBO9CBjV!I^tUzv zDJnr-aqrS!AGg@ueD5UkOyLhD0g|~!2MVg^{==V4c!y0mO22TrPwC|_KWNoB;c#w- z&AZZDbY$K;QB8nRd=J{0Zv=>DMO=fDa_9OWZ%DQ;>5lA7?Ld{NM;}J|5@fluDRb^kAjE+*nLF8B7Z4} z|1VGwVUiH|J_u33JQ_L+QIB3t&nzLu&s@qEes0# z%SBw5z4$M`_IMiXk>j7?KLCWn4+iuG;a@}(MnMxsK@&zn6Gj2pCb;*Z38SD1qX30w{ELK9(1cNd zVju2B3}F;N4+sB@FbYsOz&|650#s4(&j_Pn2%}&Kqd>rfVITnY3%KZaNQwW26cFBR z>s3gIi!^ZH>;8GU427$tuN13LKq-A~2^kOBe>MRI-b}3uNU~SUWhh)BeJ%A3KV8-{ z0RogN0jDol^O1n9n{WfJlD@+2K;bIsYq@Xu$-2G~LW0%J`;{3jbAfIiqJYyj%%t0v&e=|o`QLelzR;6v96 z7@H#k0!m@4SOBa*B03~KHm`33K5j1CusIeUj+wg3dO`rSKq3kperNa%BM<=p{m;Sp zXKE^bTUy@+{Lb+&IpQC=srWtdH*7$nHbZ;@ytoz&AYK!Jf!~pT!w5LQklF+oVE7tp zC<5rzT+0*2rvtxX0}LSM{J9NqfL^e>EcfM{jlbCKV$0SZu|Hemz6zFS=xa5Ur> zFg6aAgbZz^i(oT+7dVhLtcFTBu!F1(mH0GleMjK4sDEc=z=3%P1;Kz+0$|j^0rtyU z(l$N?{0$ReK;F6uEP$+Gb=!gi`v=jsg~oY&T_cvX5tp!lZi+LF@pSzfQ$6!j({Q8HWI*qWkR%X;dAfbFaicpgf?LW&`PrE2pG^;u+|ay#D9Go zh_cGf?OQOQm1JfAhQWYIxt6Aizw7u78{okF*n}Sdp7I)=Dg*#yZ8C+~Fsmdea4ye? z%{c;!1`?SSPe6fKNd(4*StYRz2%rV*&m959wOuW<@}NMk4>1@UMoMBEfZ4nm8{p_Q zU;v^27ce%=DhbVj{}LEL%heh%;Ka9lm<_W^VjEyUuihrWz_nbhfB}X60>;Lnk~p&k zZzj8jpw{x(p}3waqLs06tRyl5wmGgSVhxiWg8GHYPB>s#KSunLU;mLO2%U%!papTY z4G;oM2%&!WWm!=$B;|KBER{*x*((1ZseG}*4@Y~oMBmaN;D zvk8MD*6I>Jx!|;5iBXSjh<;OL_M7aq;c2#R!UmZ)?|%Ol z)DWA-)1-UZn|90Cea!3m{`*g7(;Rd9?mN_fdmZzJzif0?{IGHI{oHpgjEXhJ^MNYBFzs2Y+T&#iwS4p{d;&1xW0u_vl+4 zSNzeL+;QA;_k`>0^B>rE3CBIgd%lN6xeBOES+teAQx2!jz;%uCnSXLP%{`mj!ku`W zWY}8q^x&sBk|R@8jvN7AhmRM9@e6_D+W9V{#=95n7oLxQ4$n#A@U{)w}r%yuYT{V#FPC%k~RJM?`=7xHBHDxksgW3RyC;aJ08}>6+ zR4=z?YFp9vm|cjpDCC@HxW5~zg-xI~a(&A&%i{cw%I((9;`7@YCB%#wy2vF$_jB}i z)Py`sZQZ3L78|2~@!<#h!Gol9RFi@rsn`_$BkIkm8r<(|PHpAZ^0*zXT;1zBcr1>i zN}L*2XP#xe{R&ySEQfI;3&Y+yKJ>m?E`L)p%iA1OYUY`#9e34OM7o}(2J?QcR(-_P zs48QX!qv7fwS@W^XC`N^p3cjVoA!}$yjybyi|a<(xhRWwD+#1w?O1Qq3PU0L#Go?! zwvn=x>`hZ*xjlXvv?HlaiM%)@qnAWr`~mO$gyu7GZOc6u&(kr)b*03_kvlT&6*oH{M)p(tB?vox0wIerk5Q4D#Co{RkVmWEH1lw%w-FXC1CpHLs37@*4NzRN{ zC$1ZN6q2)pW1EVB&oG0!%!dM&UGzRo?Rz~`E{HP=SBGO;(l76jPyjWYw@DCsa%3-0 zccWc~>8)aS^_lI_H{PHbx@hjv({+EWE^g%W_ZQGSNjmi=Bk~=4=H(jJ5XkrX)B6#7 zLT<-?X4Pgs^0?S4PrwKOk zPRo9tmR;tv&==jO}@8oWu6e*L?fS z(-tKeU0kgpuuqoCARB|~xc$jzd@6hLXG%pxw5h31a}{>oJT7|o=g=`3!TyIaakJ=p zE>AK&d+!77yeOtA<)ke3EWzy*cbV0yq_`9eBFihk$#VycCGQ?27Bf0dJi3AhRlXKV+a^wl#azJKXQ;>0Az5ISf z3;7dbB40u?44APL7ju-BriR|%aSi?l6Q&Qx{ZQc5xDHwW_)tb6%$`bb>#!>}1r&bKO1aV6xZE{5)_jhDht z-EHd|YBeZ*IheJrKd_3gQu;>t%dqsZ*_oY^6mH4NG(0N1Yp}Xs3{R>yXl&PryX;Sq_eYFCRSB{gh{N z!LNixwP-qCx5$`C_RbHq)T!J%eaWvpBX)*KL2;%YcKzj`-?gWcr)A^XcMCk%0QEf; z?hG!EO3Dc-rX8VmXpaaq8lYyodo%d(nd%Tk$jH#RswJ}F<@Zr-1r@#<1NdjK^8;7|rsxxqUh`TBPF;=a(0K=9%$ZrVzRT z*3%jtho(j=vN^=<^P?etjrFA3?P(=L9$vfiq0{7n$4AcCE0ot!Q}!y4c=^7%>EhS;CKZJT5xI=8$mo%(2MU z*0k}vi>7ZzIs4F<=_X!<6Jr--* z!h6EA=-m;M8|u0Pc?-`NUVpgVhSGS#6{T=t{89q*9XjK?5Y+d(Dtp_nw1_?a(Ogrn{lbR|lmA+k#jA4~Hozv!!>vx^~!BpAMkEwd?>UowY*DF%W zS#`>9`%0c-zy0kQA|_G(T6e>ZGyF|qZX5;5%YrK*Mk>}a$-7&VP8GiGcfU77;y3nvQ33nF zSZVuhk_)F>6SG7nBdoPc7OVEE4aJ>;cXVam3$tpD^o+YWul9{MF(#WaEc=<%;mB7F zI!C%YI?8W-t2m-7AEAZ#8v427-JT5QdB>i2wH^kw)aM)q)5^_FBrkfo-9OsRo^q7B z(YrP9@dws78Kpu&W~W;HQ{X}EddHv;66d_j1}^#2VXo)u6+0NiVq8_d!-~hEO*IUj zJ{R)g;dgkq-S*96UH#8L-tk_Dmx*f>Xf;)O@m%$dT*}+CJDgu}&-nE23!Z0FFbCIH z6p?P7A|rX`dq4W%VT=A*>+#G^2dFDGyH);m)0g|rm6OgM);ykP1fp~nGExAOhlG3h zb=D=a=g?uL-u8N>cs!`x@7;ETz?Ta3!FwieyiO+uwOrHjJ9{J%cTy5VC*Xj0zrhOE|IfXjt3_RP`5CvyZ{f z$1Fd42hwYvwZ9X&bH=VF;8kbEMe~M0VR!hc^4Cd)o;P`Kgj#e_RYab#x4_D{Kdu>$ z?1`TWdSxl|*-EB-)=a@VLOFQoTS((8M3wT#ucwRo&l zrl}}iUcKq>+1{T}6USfm(4yr2aQ~~)T^6~Y9|gcfodYAkJ@1*D&Y1|?znJhWcmEBe z`!F^an|#wY(q77pnu=B_5e+PTdUsG~e7DXlsLmGdz?DKg7dljafR!5 zoopMfx-WWQzm$Xfl5?L%m_SRPeVY^h@UfJ%?bYNN-?nmx-pWTmKgH~g&aR7jm@&j1 z=J_Bnj_U+(weUj99iQ7Dauy==D5YslU!SCHx#y;^J7vh}nXkF)EM?Wm>!YVc7iP0g zEBgD#Mo|U%PM#XNrPu-g*>sw>!*DcAv`_Tg)}n`ZvgVZ8sy;4?Rg)xevkL!DaxY}9 zM$TV$?|Q#F`2VE9=s)mp*U=dLr>mFQD4SsSVr@}y6a<2l1dcdt0Cq1HEQv;zLjI^?X5Z?b+Y4pEl ztNwRy_{-?}>w&)>`0Ihc9{B5lzaIE)b}yk|u~eQ|PN;w~2A=(~Mr(Af+T;qs7ebH? zU6Yi$a#f zMIlS-qL3wdQOJ_MC}c@s6atW5@SnFNF$!7I7=+4nKAc$YMHJd08q5#s_8lh4I^cSJh4aF%T#41mlQcFbvOqSIeC5|#o zT%!btHybcpelY^5;Qe{o8i^AZS}t27aoR#_37Le+zAhZZMj(Nr;Uqc}2?wNf*9f2yg3NCi z0VvvS1`j~3a}^Ij^yn8nHslcyPYM|DtGAn&6yUWKStLM3BVuI&T1*?R42pD7&t^g+E>LNt|oH(LI zir>-KHv+$>t{AZ?F)}zX7gs$2h;9EeDRA5MZx{gw@|QpN1fc1*CTPMjzeu@4@X5^j zRuEB+Ha9Ea06lXR4>$_Yz+M|P@tM^6M&NUrWjp|q?Pgp7N37xj2UWWVrE|-!*d!i+vh5l?05TjA9vkXcLI{0z|Bey= ztZfU3_ieO32wi>4Mr^Jb4ffCKwuIz;-Kj}vDqOY#wyBO67(mNh#RCR#@`&-+Fw-Qo zG_Du{0Qcup6KG9XT^}$6kX{ibdHCCw^*uoxxi=LwVNf8wT1oO?Ko8U}>tn;HN$BTX zcE#q_2hd%(77rlxC&mL85Y~4E(f$DgZ)QJ-VxVjA0Jx&R;DL(=>l;B7xq%LiO-u@$ zw(Tk&I8ya5cx)Io2_3cn4jxcI|9N$N0BV%Pc;N4p*LMX`=-%9;XH zfxk^(--v%PazlY!W(^)NKoNW`!5@M@Bv{{yUvAGglV3rBY-SA}K${@Z`oN!1{Du(- zpf&o>;}uX%lLF3Ymb6x(Ks)U(fNU5w2@T!<&eVhe$;>Js5a1^)egOox7}xa$p`U!& z3IvdeZNe8oI+$402AV0K|46YXHs=alXLCDxlv5IL`YOk&Oc9YOMHkZuznd@S6KUoC+|}8pWSuE`jmC z%`X3+GQa@Bz$^tOC9w|h+F#!J2U0nZ5Uoh2IrNVlFb7EGY{$FvlNzw3Pp`}|?W95b zmL{te>2Rm274}k@rCB5HNeYk7On*BV&LV77Xq~}*gLVsBn}^#QS^L)ScH#DKzWDme zUvmMd<=4+Cxp8~&j&n|wsPj}O5Xa7& zjXxQGK$VQSrC9yI-d>T$534_B^@;RxQR>%LjiknUr%RaGSG6xaB_(baJ_$E1NY#?( zrMC>!M^wi|33O-e+IzlF|Dr)h=fJVpv4-hf=@~=*2N7SlfyUu6YN_{HzWekYTl`Ti zR7D!jcSXW;Dy3q;GoO?qPl?t;2ZPJo#}`8$!ysG5 zkG2P43-|Jp+CcV6nQ%2MPRSOY%uCykxjDQi(HWx6Toqns&(19^!kx4)Z{G(7Z|_o; zU=FME6*yA&eve12E)Z-7a^>F-k#nmjgPO=97Nel(Q)Ur za7j!TN+2&JWxwEVXAalHn4=dd(hc;{Pw$fl=uwvMX7b|QHhz#@2<>ffit=fDnm;+M zau6F$J3U=XO=|GWL`|E8O5G5ZYg4~%Lpx>Qf%CkQy37Jmo?7Io4g}0(v@%VvrhA zKdY`T?@_Qdht+~9i@iXF8)3$5(_A!I7A`E>zhi*!DP0KZQS!UW@dnnp)^nye&4U|^ zg4xR>YDheWWhKrS3!)f9ccC*D;s8D1C?W(L152N?1N4Bm zFgm?#v7{Jt3SQblfoMYcNaAy>7L=5g<4M!#~gz`D|wj zJU+WA2yRtGa(v7C;uadSPOBmTN6ghJ`6l17J(b=fS~FT7YdW!}TSn45=VRx^Gz_Y# zu4+8!?nW|6bneXcZ0B%bA{YHGP)9*0VOQEC`Opu;dgddQ&6Pu~!Tp?(_0x|&S(FSK zUZEi25pHc5zTeKeN6fAH#_K@e7TJLDF6R$o;E0IYM|BBZyAmkrbS#w`FQn6*PD|kL zE>q+OXXJxG36K zpm9r}Uw8YFX?c!+`(~6C^iYiG9Z80iQSs+R>SdmzPY3Q@t$H8u92PX6 z!dEq5dOcWNvMTMA*d*4IT7({ZH0jw_m-2pB|IysBlL_aCQLMfe>IZ@k`l4x{zk52D zr4bYH8A2!s!f1eyt^Etn$pNH)Q zkFRp1lS%ho*Bd?LT9@y1x+#z7?aDr4WN31?QZF*B6*VIG_~1oW+Osogi9IWkPjZQslh47V^&5 z+)DvBFFD@o)obTrtGyh_DWM!w-FopeSQyn~1ef!OZMZZ4+MpyOM^UGfCw9uyy>(s; z%Ppj18%%S`Kg88Yw)=h(8G=^Owu6sZIgX$@2!MfwRIiV-T%7jyHT0VCP zj(XO|@XbBZ;!fM{8H;Mo$_d#0InyPeXbc84;mKMG+ZD=ygJ>#6x_s%z-%y@6EYm!usJ&74hl_<3}`Iw?AL zQEuWG+M@FC`=ipQYZb8Bf`J{>Jkz(ktI;lY-o+FKVm5R047>qNV!oI1s&_H#e2G_i z9eQVs)g(LXr)=&s_shCt*nrly*t?#ZcK)P-(R4Al+4f!tX>=dU8T)2N(@_0x)i#U)hrn$l7`-uj7QB74(2z?#x0n(4?Cj+-mT z_s%r+?5cA{4Rm#XaZ*-kF`51ts;jJ2+kVIGp?KZS{b%Z(9@|q~w74?2?bVIwpny?X z=l4&K>$Wq3b#a zSHjQ892c&bck1o3))Uv6bE!dn*S|bQPJEYFvVD9^U13Y5+(~V#10RA(T1+mKb;w%A z4OX3emYA@$t>vycbj*R~ioo5OSyqq1Mz(YvN^z2>@)NcS~`+|%wb>SNFi_t>rLt4l@k*|xDXjlVFMKSqv^ljSO( ze#p&=(TCHL8edsD&obssi%W8jm)!o!ZomSTP{1L>Wy@NtJay!!XNi>q4;G|b$POz zxW?(8lMz~ew@rM~5?;wGiw_laSh&3&PtByZNb8-3RDDT0u@JiLMUzRoHhW8!pS*eh z8D-aJH>~^?Z`Ks@xsB()+1K?-*PlAGJ?%58g| z+WRiZtfhg!zVpgA0fw&v`JA%s|xqCojgkMYD zJHNNB{|vMEo9`774Rmx&Bkd2mAIy`2mFvmNNqA4R@LYSAo1!#y-gL}H*y(3Ad(7hx zvWK_wn8?P%?gL1vVCx5uv(-@@*NMM*{gJzfp3wvI=Gf_hwA9nHow0p)lrAp@2^1h* zg}7|@h{?!t4`HjVEINm$^72$^;5@;J$@_!uwoH+~=^8zFlzqmp)^I$I=Q){GMR3oE z0o`d^rLBzjm~X$ME7Y(VPp!>AH(SKi@FRh8YfGi5{P_4s33m#c20uBEu>k0+a?wD~ zYZQ~N9bPSVG(8G_4h-V;W7}&5e~7c?jJovk1b!NPGow@Sj-Pu_)&5+|x39C`M@~?1 zkle|?LAMUk8!xA}bcghp=>3=IEdT{WVUpl~qHe2r+G4$Jf0MW^v&>8S&FlZlIRAIl z>Hq5LzudaN9{B5lzaIGOfxjO3>w*7!56CI{d0?%bZM{MNEaOb5A}nR;%Lx@wTfkSJ z*ATtes`0H7y$Kr0>l3~4x^;#tMDHa5WuV@Nt6G2{OA^YEB@JZ=UQi78I=-p_JmM_? z9+wEwczr3{-|=-=;1OR51wogjl!3}2eq12OTzVuBtf2&LSKvCrc)(AMF8v)}C<0z5 zj0Xjzh;ep738H$y4wUEPy((0 zU$O%DaqrdgDGK%rUvNX|J}9B5;9oKV;G3_Mvr&L*9)^fHxuJF+l+e)d?-+puw0Bp_ z*(jX&E>Z1rL-9T+p`GGivI3{RyYfrdNI-p;h+lwT=<7~RLNCX^WCS4KxPk`~_*q+G zdI3JltZxKSNquwc0||6Otm1(LD89eou@N5%N@!#Gmt27p;9bQ75I!Zw0~f&7cLhE~ zEgJy?BJH2l^?}t1#BwAcz`KeEpuhVI9vhYQp@asWWg|A#6M~b42DX7E&IzD74rsrw zWwPS8mi0Y>5Be)cY>F<306HO7{0-p@6tdC8RNO9`W zD|q0z=fB{wam>VLVk<^$swV^vWD#rd0LbUR;IVPgB(eg&siqKMVy_7mKraE23@#ys zT^|p8a=YS+P2m9pCgVzu2M7Q1qXLBd`FD)iR8I&Dn2Rfu0)}gZAX=pO zPN#ZxQosQ2An~NY-#Yw;6`N}cfdP|ob&&#Lhj@|V?_1V2g3#jnFHH)d6Jiw)Tq6WA z9vcQtLVxYD5u57?0XpE;;sG>5{DQ}ZL6gwkyKKegnnIv}g8CXf0Qqd9poza6$W_5idh;qEmrMozN>eclD1sWlK!DGXqN$9Fx!DDl}-9RVA zDjrav6@nO#4KqzbOZT!7n{)-x39*U?t`UNGec+xv6{?IO$o72ha*ZgvVMw?Jq|Bi=i8W>x5VV1cK{?AOd8=#&{^9 zk$>40n*#)b>x5VV1n9^56_5?XCP7BvU$O#dg;-r6K+^#+-JEbTweI93h!||vie;iV z5H?p4!SzBABZAAW*Rx`Ef&4kqdr3qbw}$~oCP1^x|DbuV{q>Fi1DZDq1SKd%td$Oj zto>b*f1-KAex-SHqi{6u0l^!=&Pj&nMFS8Jt8D%CR<2dKoBpH#Fm5gMQ zy|>EVGs;XtR(5ta83|e0BrAKAnSO8QxbG03&;8}|`+mNEbpLUm$8qvLPp|8`Uf1io zuIKZ4)t~Y~Oo`D^t}!YgjPz{gyR!5t!aw0Q4X6J0k64U}c;yRd4P8?Lk94r+x7HS; ztE)L3at6ED+j|roH)ngk{7B{6w6a%be$`ie*Lihd_58%kT-`fL{l>yWQowch-grvl z#=?p87{S$n_k7~SDFsX$>-v2fG@khOwJDC--ScTMbYm74AN1d*X^AqNdGhp@vmuy3 zJIsI6O@fWh9b4(H9dsn`R{b4erK>vII13|_yzNU;j_V-O8{2o;n1&bqkj=vUU($cM z)Q-leHHyIbxHqex|IX@A=yPEyTIy#wejF7yL|*tYHpyH$-^l7?1uX`=ur10M%;h6v z98sGtWf7$y%SJFv5YY(Ma|n73LT<<{D1KmlnU_a4o_5Q?pMI=1jE$>WGVw#vleO3O z3=7cvA3sb|U&2BqUsl0JYv~#Iv^kUOt7Bb|9Ik9;ZD;JlkGlo>7*9xjhmamUhFir7 zPrayC(IN3{m*b+pVpWyMD}svQTl^}1p>91v4%TIKHHJufS6<&3QMVin(*P?6Y$K$f zyUbW>Mz16_P@$P0XUX66rEx-%LO}`Ob&IlHA`RPo_9Z*JF`O*nbh}6jaKAdi3Il>E zk&|&;4yrRgjl3uRGzSVp{0yYQy@x>keTw%JTy)#WII(vU!X}~t2$l>t&R%?x`zewC z70K7hGITHZ5fIS>dU~U5ZxxjJ6j`E+N&MHzpyGHFw=2@KrCyd|bF}b;%UvsuCkWQ9 zoK$e@ZBO-2xE1gyi=^f4Se@`A8L^yOQHaIar6(?aJqE$wJjszS{|*73VF26^oJ z2{q&*qQYm5N9R03T5hB^0rcx-)uf(!W**Xx$k7-xaYpJkZJ5 z?(tWVj2qRPm3e7pd4&bIaV=)LX=x}ikGB9gTZ+lQbWzJ<{TswX-@*Lyz>kl&s9eXeY=XDS#1k@iUmu14_jSTX#ZvzrNirRwhGh9P2aH6QT+m9ahSOfiq7r4FcH&-=uG93UjUB(-)69Ig0u-qSi~5Udh|<5b4a# zJ`AolVTwg$d@xA8kydw0?lvO*GcJP4o<2vDzM8k|HCVwfIYrJD6+{OtN?V20b;QhF zwP#b5_(ai68&NCTVVdq^etY(TwAS^^>#85)C_g((i%KO|_VEW6;cd+66*(xc`8mzv zru4VHj-hWulGl)+l6mZ*V^)x^n;^$t%_xUUeAR@q7s>spk3~SHK3!n_D+@A}r9ndL zHQBG&hRJ3!rWdL5`;@Lpx`E?0pF@%doS?&~$&0T@8gWD{8+wMb7a2a^UMoqwb35oR2C}Uwg*u zRDYgQiLxOryh#~ebCdsTqRJhUY_^4Un~$w!H&0*qVOByidg^si)%E#Q6q>os$J5Bh z+{3uaDl!Pjk|;FKZ>~v<>R%XMv_+kCQuZMjJ3|!qqF4|rNQt~|;E{fcg;3r@vX!lg z#-8r1Xw!7@fLUE(rD950C50#-owK>wWY^~m{R!uer>kspYfEU2m#(n*w#pf|>Aw{e ze)LwY%eTb+8B=DhhEE&4G&tGsbLlBYIAMEGvIM;g zeLt~aTOR-RvBctgvk&XrIudDl%+Eh?YLM`~c5nIA9YEY$%b228SMQAQvS(m}Q9Xh3 z)mnL#-thc)GRc{gF7}0Gmnr@Q;oc2(Z?w$(&l~;o8pfE$p>kjTW>$bJ-w=S$2XOm<>A<)Xs^l(Z**Sd z>P%?m%yk)*DpCv}k4MgS4QRO6DPK+1kIKndK%|N|_dSd}@a(PgjI^aBuSNsz;PsKR z@GcleL%#GpQi~qBz1Eo&F=WJ$x1co(qR%a*X^wfh>evA`TS{1Ks6A!iDVz{cPx7Kb8n|9S~m3* z(Iv?~Wm`A&U?v|~LGmPC=@0kvkgOs>X?6LwK5IKJnK;te8&V>xHbEj^+BvbA>`X99iZ7kIX8g*kd?Y_cDtT-^*?Q#t z4>xAZ5jW{!u{#f|%=CNg`QKp{+o+`tbQz!XZ_TEDIlq|g?T0^EOFiaes{r~LWO+~W z?#&L28(&e9VrP@jU#cZlXK8<}_}Kp?BtG}4=7Tw7nh(im=2Ayc<1PhsR+Lpt=WS_a zIrEko4>E4HH=XwmJ%7=5)LIb9_k&Gidb01fVZiGv9~G^yWX*eFtUMtYbTJxZV3Jc^ zUM?1EfkdZ2vfwN?`DlSLQ7m^lYplSAE)c6=CBHwT$n{c7cFLXQOU=0Nd8^Qp)+D$n z=4w|k(CG?R$yBAkpXv(Ym{L|;U7f#fczS&*^wzm^JUs2p_vE{~JKreYVJEOf%N2`r zZOBMu)VoPe8oO>Fa2;6ygo#HceStQ1O9<=HNYeFHmY9$A3}WmunN!t)_(GTYZX=2W zv#aR*TpA0$CpnVDjpKE#(2d_q$tF99nbns=YDg|lrMC$wt3MH0WJ71*YVtR`P$s5# z_{yqpG#r|X^fEXFhso>*7802*`>lUs5U-)W+{mNdxYdK}@?JT<>4IFyQ;Cp4FA0l+ zI-;*4LEgTGO02v-P2!rKr)*TMFK0}u(}jBHF1^EKt_W8)PZ~y1k6_Pb@3>46JksWB z7#4a#kt|94u9ZvQIY-Wg6fBL~KL|Xf8f7Lt=K0BQEFk4a7-eqRGC4%eCQ~Q&ZDz`B zZvZrXO;Qyp1sByIbf=^MMw)D)c{ie;s3Ye{`M#U`4LB7ORe#D`z3JNYpe=nU_bU#0 z8Og2EbtRLUApNn{j~8{rr=ERVSyH(){)j$g^_(x?+qIIQ#<6$TMD(r!A|hO_8p92e z>rJ%y81<7?`D_-TEe@@WTp^?!C_q zRvNCKCeyPHg!goYX@tw}UEx}tTGr6!`X=PMO*DFX&T-QT+qvAg?#t7Q4^!`Lzj{!< z)L<&pyi_xB4J~N_Y{L8d!uD=#I$0b&TUS#&phKp1QT&-PSbyy~Jz_f3X}96JdVw3El7H zZeTm**Rt#nx%&^f`~M=j`;UwF|A@kU^gsUZ)7g&3_P_JVp9uVkz@G^GiNK!-{E5JS zKLY=h+zmfF*gZV?o7@er-2vm6ow9!CLssaXGnT)}-K=mc>O;xhtZ;kkzsTKyvlp-$ z0LasD_h^cpyXj%?*>*|PaEDaj=dh!0;1zb-47|dqQ^4yki5hk(D)1b*O(O8ROQMFG z1yTS<$?)gG9rJ+qyU&B$#sTklp9i-s1Kz_9iGbH#eC)f=gL_8-?n1lI)8Kbph~VD~=eq;%cb^9*wf~1n+wf=}cH{;4mF?j8>)?$QfOmYp+rJ@g!`t#u z6mSavUnn3z@J@i@Uy`=r9qDiou%`P91QcL*p8&+aByF?8dhtI10XY%1>EDpHSz%-7 za1{G`#mSDQ+5RPI8$N&!2XTPKauU--wtq?5hG&n%LF{9(Ku>hd_rD=+!*kc+C}3IW zudc~{OhPF9c$^Jp625DL#&&?S4bPkZ00a0$nc`n_wpsVyWWArc0oU&Q3dBj=3Za07 z{x8l16ga5gPh#17+xGz!znB65RNxAL6J!b~;GzGEGXVum^!JfiVDr`C?E#xH{t5+z z6B+pf4$6DR$M4K>Sc!BviUZTfv5E@7tP)U^L4ckK2=I25 z6%gQu(7g_p@cQf@fM7cj^KXZ=4NS#$8`3tcG(8-| zftmCqS@YkJwqbSg;UM|{)SfB_1~RCmV* z7$_?Cw+C!BbU2EAv)BoH1>p7jOaBB01ULKJV`mf`4&pyJi?MRB{%VgM2KRyX*ck?@j;FA~#=o7!>fq(#=3A!&` z?WKes0C6B`o&*HoyZmb=1p!6g{+aYhVfU{*aeP|rKkz?>?*Q#~%Kri}mXiVuSK#j@ z#=^I(c0nCYj0Gl~y~NlKC4(LR;~yDnAo2#n_=z6~K8W4}mbuAo32oNddaJIftpWM= zg*nk|Kg%WtiDjNp^_Z~>$r7>Hakes3QIpVBUaUQ@8*0No+v7X+%@I2A zp*xMa+|k~Qk85)y47a=B<*U%P9<6wH+|ab!xVR5R^-LluLJZTxgvGz z=Q@toeCck(?yO}4MLZJ!ln6_xWapPUnL_CJ47kIvI^M?rn8`$;p5Ae)1u*a*5bakB2QSWrTVxmnPng zv-70A>*XfT4qpD2YF%iYT_Aji(LVhvh_`S|!U;;O?vzYodiyq{H{pq(<_)pihP`c_ z$urCa%FIy-*nJ>AM3>5iD~TjnEzLhBRhMH$9nmm{IA}>{SyWB^yNOAj;e0;@36VaP z6Z$h4OZWVA&p?i0Dc8!ymO*ma&wfv-mq0_PVZ@YsYup`HJ+hIq(^6_VdxEs1y zlwPqGk!l6alRO9^i~YoS8fgM?ica(MV%vt~CGyisR#d{8Z&WAhdae>lxWxOjo%tT? zPa;IY#)aGJAAXf1KAFl@&u`LLopPnc#m$(-5(^8rB~zH$)5Bx=hH}0Q2~qE(cAr^2 z{ZOwfjRZIX@%~Uqd2_h2pSm6bjTcDLU*3=LI;mp5+Z`%p{?j)a8l*jRBCejzC61-N zf{UorgveztZtPn~EilcAoCW@mItNKkcSXyxDK1g_bmt;+l7&1eBB4+?Zg^+l}T~s!hn`e zs-JY4da)Qf_UL8enAOEFGy<8_!qRPxYgVV!(Y7| zzpi_>EZ}taev>W!C~1Zmj-Tz4SHE=LFKjx?C2c0l2ZiCz+w=zQv=Sxu{Kzu0a{I+- z-Pp|hqQtTAv*_{P&&j1CC1WC_61}YaQCM(0YHVOYz3HU`A4fIcl}eT?p)Fi*Wq}S> z@~jN+8e>;(dPB?ScV9n8k!Z^%Z!fRBQ+hIC!paiU+gC*)f+?{6;u^^P$0HQ6?^h?A zt`XZ@ZOw@brU$r0%>@ZW_^B6p-r55^(oo%~i6!ujpb~HOrnAJ5$wvl@uy7p9Xg{qW9EHx`Q3 zn>yDA*)jJ<#arWhYsXT@n$UwsUvY;R2%Wyy=`re)#FtWu8{bw$FY4u=!5+%w_p6COMti`;*^q%a zb((m|Hd`grd>FETaDni?ZzuH5d3?Ue{v0WacG(3zc(ah*4W-vYsj8`W6(4cPiF|CN zLYYQmLaVEccjw|JceKOXGHpK8aq2B46@nbeJMFa_O8whnBXaV~vojL!TExRm`D0t# z=XW0lG965d4^W8iT_u=Ux3@#e?4K*rOX1#(P7&*!eDkvp|EVZ;|0=SOfK;#vIG*BbcN6o3Srl1E1H#4#c;wx&m z?8{IP?=08mzoyGE`+Q^0C5oSkt1MED`EF#ux_R(s)w?x%rtZx3aVFX(WJIn`+FP9# zas&ZPUV#NX&I@cLIiWI2;qS@Q@N>o%!QXCed1QvhQ%=H0AJbgQDjlx z>mOd-YSplPKZ%4!4$kVk!1RInx#sf@6K*vb6~>M$9g)qM=3O@)-%C2xS;&es_f_g8 z;*E2jOqIlp)B0M^Kb3Qq6G_EVMvdf2=PYwgEY0e?Rm_Xhh%%pfrefNbqE5Q)v<4C)~aBmBf6Xl^j;CkMqXr1r!Igad+Qkerl7p?&q5NXf-biSLRhMUa#s} z$4BdlP!Y430*`pQwkGzTsE(D(Z|qEjcpt{i-CnPZ#r|N5Uomj!T;ehVpK947Mg038 zR^^8t1<~B3=2IS{#&^Cg8yoS#IY~pOOhEdcd7O~+3nkB>x_I>VB~m5fhI zRJ%j%4Dur5&1^IrqVT#e+_vW&*b)cXWt~MAqOIkb5M9K!L2L1^jpdrnl_I8Jw$SK* zoPze%T`bpr(iefpBSwvH6nZZ?@~aMA?O9ou#BhgmoVJsZwavJgW}MR$`eSB8rgbuW zi#Ub_>kE%EUf^QeTl`968t+P6;1XZZcd%CuVf__U}UGX2w?qW#Idzmu~`@`%;Q8jt%#{a`5R z0q57t`qU=5j5#*m>YqWml5_s8GS0&cUG208%09{7#vy~W;cKGG#3c?wDl4aHS6Vrl zYNTWXZ9B39+&w;dqUxyJubX<$akh>!1m$Ou4v9-tEAFWm-FLG;B(?Xz{%6(mac#>? z$Z?BEBd})uUQr(TYF@M1yv1xB;t;ug8~wW~`wP_-*nFQeav!G?3(v?BKgGi4Qo7G> z*RJuy>XthSDB0gEwR+`%8yj0f#;)FJZw4gABxW0zDy15;2(>D_f z6*C_?1rM`1@K0p~h568Vm~FWLSVr2G>c9v8<^TQw_!EIY5%?2RD zk~rb}+`F6Ff0H=jwfi0tCm6J|^Z)mTys@RWv84sDNe(};le06`W|mgh)n=B_wlJ|W z*VNwm41SPy-PqW~(n1-$>r@Ngb*csLI@JR85%6Fc3NUbl3x9=^EP+dYci+Q~9DvW^ zq)0H_$&>;N_YwtO;Ur7ooDu%-;hvVj&v%~(cXkBc?>-N9n>p}(z+n{Z`@nG0CK&Ep zMFECeM**+9&x7pZgX}&JZXyJHzWY4LEpvlj%37LRXK8H-5vn3^9LUW zVwcyfcvlIyWfVejOm5t6Bmi@(Js^OS!vi38+Ws&QAef&doXWd{0(!i&+g%g@S8xvs zfZA{X#m>k$3J%Ha=PZ3E6l{Pg^znNI zU?8*y1#qT)0L9KAJ}eXE^!vYi1&|Pr9SJDszOD)St!wTi}1W8gaY82 z{OXxdzyRw2h@-M57_Pa1hXM%0$wC1zpZ1{GF?Zd|gW8##j_4I|#Rt4=o-`CY7hV57 zNCCFQK@dk~O}O0T7l;$gVml6w5d;2sbF6X*blBXcHP@ zOm2Tg0w51`nojE|23yti*c zAP4&w+h-JcpvDRN2X1< z4D>G$!2LBR0I_fVvBNpv4+6I7a9AXOp#42Qc4SH?0;Zf%~5J^aNd?-ur=$d1Rpp*P_Ef?37n0Y7gKR zw!Q7~Te%C{+C02He&y{Q6s&+I{MZcw+E?!aH^d!?1#DyWFchG@8x=rdbCQw*w6ER; z{Z{WDDFO%VyZ=tyu#F>Nzxeo}*jMj@eyewn6oG?xuiM+%E&&Dz+wlay@ahV*uiORw zR_?;e$-~+MkaqnY3Sg&~4cO5*ekAr)yP)5yU06AJ7>HjT^Y{XYU0?8>+uQaSgYVmC zhaH~me*gb({R6Wwu=NBh5BT_)0TPO-wz;LgwgnFlv&0P`#=t!&J7M3egn*y>?7}-* z2?5-@!N$jDVF_HjZG^5jIHP>mqE8stc_Q0;^%%N3Ht-YPYPKmK8t9(!a(%ZsGoAytF(`3A7b2;dVVsBZPFjYL8d${>?l4suL{&R0!EN89D8i~t1 z=d;c1V!cx*RjjrgOiQvwqtg_)L;3A+7dAJawuF8na<*|))2@nQXfTPja$r+vJ5zej zki{yiNW}nUlcyv|%-XE&=H>odrF4U594!?)d!10j{F`+}siLAL#_i{)$3t^_Ordm1 zx6I6)Qxp019?svq?Gw;o6n!Rws76K`A%3y}5{@piy-5l};Q&)>Qrw7qm5s}*R;8oI z%c-geQbB-f(8Wlo+oUwqYH-YMVdV+1iU!l;>2f7%L-Md_I}pCeh(=!)rpQhp+RRDH ziO=x~g)EQ7e$4(LdqsO;Td^_5eTayVkl*Z+bNv0RCuR>UNU)&2rf0Ega)RaZQ&nq> ztRkTwsxr;ZjBOjQcFKKt^zj8IwHB(cw$<6I^y+>p*pK7oOVNa6wX-`ogm6nBi9+f& zibxmjx)&nX$ifp&>jx!a4S^fXNuCpv`qb-5Hb}d*W%U+YM3XS-xubZK`Y+2>7AjKV zXogX~&o>KgCv`kw`i%c7>G5z_?RItd={0 zqb$cffG!rd78*i4q?nTKEdGVCHrELs%?xD_)y3dkdF1e=AfEm+E?FYWc97s7A>tNh z;zGB4K1;R)%T0II z>uFleto8OG_6AzG_r=DEGF9VnL_;`a#48d{t7%A|8@`ix)-?9{^N&A6eb?{%)P37p z>5RDfDQUYuKj~vU8_kuehCa%(%2J<_DrB;_O=K=b;O8W238QIoZePY zaU;=;#JjjOvy5rpgtK_!r6LAn(d{@tT&=KeV%aB;B%Y<0T+YVfWgr>E7`^W88mGg7 zk=le$Tj_aq7)dhddV!C#dpx;IBjUA}fhbV=k6#nP9ZB_XKdZ~G#27+8XZJZlzrCd| zT+K;)VI{vPgJEhm{2DD!l_i|*)C=(~zRmACgo(?+%*>jpvB6O#PQ(X~gaa)O_4-AWG0dKf?ARW~p$?O*+_A~nzz2*i zc?nlr$+XQG`HIe4m^yjM>+?|F^xKcWcdW0GZiliEq)@tj?{^G za=MV5c#X0|UPoEhINZ8>?qjxy|JGzrN{z`Y%?PEEI$NX3W{=w}m8g%V4fCb%O?L?z z(X*1?4{IxDiY=Rp$3FipNg$ON5g=)VC4|G<;oIj2}LglF%Q z3IEXYNK9Fv9nW3+ljOv_X0D0yvcMM>rM`3I$wU|N^z6uA$~CE5fn z4O=gtRuB$5JQKeW#2 zS~c->FVbmEvQ?UA(#Lm?J<%JBZ>qGtDqJhi$@o;IT1V+cXxG`RF{8Sa*Bid(p5H7&dQx&L*31vffovV`ZdF)T zq&SWJXl4nKmzNF!xoCLDEZy2H=;M8;^Q<*6eX??C-2p4{I|OGxJMhwE5Uy~Al<>VD=)WY~BV`x@%r4Y|4`8gLzN z>YHg9C7B)LeOMbUf*n_sMlMThR#kw4J9vgjMS1T{F1rf+48+E>$4c6aVj#59UyOW7 zGi>8kx}D~|72Hj-#vp$w^owMZL!M3g+tD%^07N7M>LL{f1OGRFy46-R{R`e@kd6j3YsAA-sJuuMer{ zt~`NGG8QMscMlo5)9i%ZF7yah+EXP#oXr}Wq93idEqJ7H$j8lrzI4oL69o$RuD4a7 zwR+cdiOHnZl(2O@Ln)G_Ln4=pmj6uayn%ynM)>1nZG}f4u8&kCT7kF7 zCks;SyUJy#6t<{0_}8uq)8(yA2E`7l&m=sS|o%hx7Zh(B!zT_3Krl@Xm13}%a zIDJk1D$~2%5fccr?bGHm6{2{YOVq*=U)~HqrU)%99Blkv&3x;DMH#omsF}80-s~*} zq$jiHbX9iOIG?%Rl-5=uh(;&nMGFz)t6soGTNkXk{ggG#>63%1P`g~&Wi3Vz*5|!% z)MjF&q%Sa|bx(Uk*2DO4K*l^E#o-r|@5$^QICM;THHSLn@D)W3V{$!LIF0tE^aDEz zt)LNcrfE%X>SHL`yGz%bnJC8n==~ZyDAFbgt`G|88km2_KXuC7J>(r4*O?HJE38R= zS4Q-whJOYGINNeiT(v`7f}-V_+ugN$9!fZpeAdeLoop*E1Buwx zh5_PJ<$Bi79VU@dX?~Wz;M?qeANHL8&%swY{5wl4A?5@-0Xop9 zNQs)X?WuJ<2(ulsi}~BnU|i>OU;9-J(RKKUY&}u$O# zQ|9}hMGyB4p9kaX72_@&=jXBZp82G+HP{t2Z@5$DDWx@gA^U#N)cj~T zT2t$F(?4iMyzyPLKLeOxrSD##-wszCr zFtQ`~yxR2|+K-yv-cpiH@tiL8Ej8@hky(a3NM=s`LYME3ezmw*^gV>-SpbtsPx~d5 zM0|69U6nVL^{HL6+{)HoRgaw+#kcNVLl2$7(Oml8)4p&jB`>06n(xIBzu-sRakZ_> z$qMrNKP2d8?ZNjiV(}NB!XEdmjAdSDxFzt;9W4DJ?7Fu82R4^+A9-!g2`ooOtb4r` zEmgD^(-*x(EL$4oWmSwDGVl!;(rY$N7cLf+JSQAYB;ma|C*UB!j*B#aWf5-v;cmz_ zMFRCum>achO{Mb-)I^>PuBYGUC?+(07lM$BNi^&q2XcLh^j{k{D0|9KDoSZftm46j zK)Q~FUpub%Z3F+VG6ma%wEM1II13@%$UMx&Z~d52rmg@hnJ~UB)9eciD}#5kZ2IUr z0^?|EmA@qEN^PE%k`y+$VL^^(sV0k}@v5q=X?}kDxp`F37)syfW4@u-xAP-Hs`3m3 zd^y<8%j9OVuR2w(Hl4W;NceujZUv>R%sH9)ZQ=&MO}C+&>Ai4EC-Zhq50!Hwgecr9 zwdC(JZjD=)MH2glO?;-n)zYi0NvIw|rrBJRBNv+Rs>2_nvQ@ottwC)*UnpX8Apb(0 zSV8v23x>M{rPxom{fON!k5fk1enLSTwzyG$UiabkbM0>_>drnCG1iue*xl1SEuFG-dunBzb_3<=;m$e$SU-_@T<4*+sMBq;Z{zTwU1pY(-{U1y6 zUnmtd9d1juOtsRJ^s6x|NCAAFejYebf_ec6HasaOOgi|p28$>a3gKtpci(y z4ZQA3&p2V{?GzBWr84jem$E?s=fIucgTO^bz$@&rz$^TD5WwCL{yF@45I_(Hf4}=Y zxFUyQ$B`KJbNJyi@E!&oc-?&-+=35yzxzCx1`+sPxatsi-F@D!v=Fi@Erje!3n9DG z!X4v$*!KVgC;02`^8h6f{QWMzU1=d?S6T?!l@>yFrG=1PX(3<$4FA1dX(0q)tiqnN zD=mcVN(&*o(!w3%OxWkU(n5e+yZe6k@75SNxmn9{}TE;7>dZ1Za;Y29S?|flhvW5P-uc5bIqhT6Tb+gqwfWSqZe?LfSI}du2oXG|lXYWA)Fw_p9I4WmC_Fvos++}fsP_XRlnSdVS0EnGA>9FP+qfkJNu^$AilsddQ_J9B)0n`-72f?%+~wpi5GSfAfZ1>_2tcB<9|UZ*c<2~~Tde$NKtT3(OrTad z2;#_;xgP}NBx-zM1-Ca62iHIFb@JhnfUV5ok=R*;oup3y>z_S+;^6uRzDPeD#J*DZ zWPJiy|Lg&AaQy?{Avhewo*C?}d}0Z_6Lp#?0X$eoY=gBp@s&D6Ig;rM)12TmHZdrw#|PFKq)e z;qfyjc;E5|FoQbSCyvaRz@NWo?f?S`^w?>8=Z>CVqXYcg@&~pbc6cOUJ7=&wu``$N zm|q5Kn|dQoMZ(ATvWR!7OcOmfMENehs6TWx9#nm(36P2S@*4gSbtjq!8TzJ1F`d` z?-?N{Yma>^AmF0lgMIU;Iuj5d{tW_F?Vd!8&$@331YDte5XDi2=3W%QTmo!}9)Fm! zg7>CPR=}2Pe|ZJl{64%re$D46A0YeIK&-#5fnZzjhoJ!N@yytnOHUMveQO}r-_}4! znwUWLY#RX}PP8~@-M0i{{cQZo1?x#l zP0+qI5a_ox(9sqqdzA37Q3@QC9eWYRw%K(35O)g8&IW zFcd+6g$khR1w2{~3{%)y(?3D6qq;qQEC3Du9u&VVgO2tvInW|t;H>fZDE6&`K)!=c4Z@XAP%ma(IoKuZOSpSoZ`Y zKl7zGcBDO_=64O#&pMjaR!{$+_3d0U$!Zt)fHuJj^LCsLXH z0p{ij7W*FEVszQ}-q1KDo=F;Ze78-}EBd<+UdI*ncYnI4laChTIIrefJ{l$AXmO9r znUk-=vGL5jL;;7~38~hCIl)<-bAQvAWS{ zt8Ec_(f=s}3DdZ)%X5#ceihwv@l=}E^F8;m!x2lqFHpPIhcPwDe5re?ea`p6ydg7F zSf4+`l8jM)^%{a+o`+|A(CkRRN}zEhcZb;-YWuAG`Y`vY(&iYACy(O2o=~z9oR+yP zZ?a(0U%x%#m=~*%8}{iM9|Cgl8E1DK&Fl$npxFer>8 zAiTr`VSYUP@~sM$R}uPY%M5gSMJ+jRTFE>B50veiqUz#C?op!lW%GFqm^BK^qvZ%YKccu&xJ}% zpvUyCMcQ}>0r@#oPd)pVN6LsQ)QyFji2^?q>lrJmB_5qGa(#Mgn8Ym;HM%C))8RV) zOuJ+7vwA0?R+VV!w%`DUXR^KseU09#7#-L@N;`aR3rRz>@@viF+SMPS6fM_h`t)?2 zFH{r!Xiy`DDiHH2)2)b6fxab=n&dvH=Chj*O(^j3R4}tJPD>Ww`|4tFZ2={<*ku<#}%z= zc{lWQOpX(A$9}aYvT{E>P%o~@P^iAJcjeSFBr^`e|#>+Djd>es8 zs?r6Y*e+Nl@oV~YwA4{Q6HLMNbNm41xW#0C{W8IuSE!4prY-A9*&EWSDGHY_yhXe4 zn0YDU6Vp)t(r~N|AhDz+*kt^Xhk^xnVuh7rUaiuN5y~f z?Xl>3%cnc^{B$g0DK{_@u`W9!;ibkf+qKwex+c4^(UR;Kyf1{> za`*DHSB+i@7pxz~qMs>u{W-cyw-$D$oVp4X!O;8CcOuo9CqjwREzT2JQ=f%DaeYrN zY$6*m%%AK{U`N1(kX;O}^bY@w=z-OsID94u0GSX!#t$a&Z+b?n+hH~%Q`%BE^*~%9 z9e3XTe*eVK&7Lg-P*dAi0UzNJfsCdg1_q}e{ z%)OOtjt6Z=Ef#2<5ona4%VHHf6}RQlQZ6ELla)gOu>t>y=;@%XVPa{a5VRSWClLy- zM!(6Ga`S6UMG|&qPCr1cXZFao5H6`VMdP^bAd>B9(d6nd$a&*ks)6Y6+3gCqaA?B- zp`uS^NKr%V>cZ(z36CZW(Ceh-+BbTDQcD0)7fB#NKaqU~M}3kK^r(ko=EG79@3n^> z%xg?cxdH+vT=hznbOiD~moK{+%g9>BKex{>%un_nF7S%O!-3#cT6vikJ$ugV(LO~~ zt0LydTZ{75-q#*bhBeuI-`XNB8!jo%N058tnXBqDSg#;>a~hfJ+f*t#M@{0DDA9^l zOn%JCcIMDWWM@+`svnf|t8PVith=EJ`m}xg{f*=+lyl zQ>C252PN?CVhg5bWb3#oC0CAF)Y*nRPd6NR%z|{fUsHQ_uyeL|U4CKO1I{YzS!_t+ z`j{LbE+>*vsxHdZce|`;tdf_!vLvJ=VcJ!1b|J{$@4@qQ_nzxGvJR5=o97fe5HB@{ zkBhzHzZ~*DKrJV+Q%ip!+#w*|i7=)u_dG2ND#sUQDzDM=KmD7ldr~;6!$KIo6#5-4(He&E2>fQrufOXV{~zGCxE6W|pWssOmz_m;#9HF9D!~_SMeuTS;#Yq%;tU+HeUy1`mZUFwvKTI?Cv#frHeq4?$b$vla`cC5aF+1mwr`ym2OA}Y zg7#@;UC)j8@`k?rpIT)@A9Tn_`Y!5mya;G|K=`K17P^h_lR-`y_ige}RIi2;3f1jA zNt+A>aGTegT2pTGL{&K@p60jM4f%YR%KIP2Xq++NVrC|^je^AfC?R=qmaxfM(??*X z^;R0wol&*A(8aGLR>4x96C!xE=EXC@+2>e>kh8OXoZ^}D@pyOY&CR;}vt%yL=Y!`* z6r&;6R@0NIVzRR^A`y#Iwp4qjw309;NTjU$N(c399gULoJg|EY`wRIF}J(o^L+({r_*6;EYH(DCSHSHV%Vd)e4IaxS)#5By%_!UetXdsk5F#} z!n-~RMi?*Ua(gx!mFpNxF#9zhX(CeznK)Qbd?V+CP!IQP;cPGQa${Z}8g9O2eAX6K z{vx9~yI;XTRck_)fXb&Isuy38+?jxKl3gt99f4j}6T*_lyNi$^<=h+{g*+Sf-Sd_! zt)(ZHy-+Xx$RaMg&-u(A_9e4c8i*A#C5MYY~54mDTFT!BT!?fL$b~0a) zEY-<-e(mAV=Donu8f>4tP$OGc`GWV_zVZ?p@>1!k)Hu%&870Rk03oyC;5lQ%htJ=7 zNo&k9aG*9}BHa_?WVH?d7|TjhBU$n$Y0u&8s|(rUBiWefVWt61 zXNzLv9%J?_+1D}J%KDZ2a{jFQ%GsPhUKQdd_+I8IA35@?t=y!HPy!P>$1}yD#ZG?Z zuRed74b_}0`8ocDkyW_e8?rWNgi65v?K98)VHQZcB(N1vOf{2Zm zGcYLMZs_j+X@tGL?W4(#VICAL>9qJ&cfm(JLh2qCiTgOGBy)k|U5i_sA1evphz>mo zi@9558L+f?VQjr(WGZ`jy4ErvhaVZeT(w2`Jcd|BOv`wOMYD0|6Z&o*!yGE{>~-p& z6(gvWDBqo%o+9%9NT^N8(~?bT?AM%AGg}_{!OkmcGR#OlXx3S>V61)Lq^eoE$&=rS z_WiI3p3i(_Z-! zj_JhueH2E-`X#P`nYQQ^B}1P_R0v;KG0Qz%mVV+L!5!fB(ZlsdxMLmLVqDzo_%cn*`P1(P>)oixh|scB)t8KXGXdVpnInuuWk)Xey43tlk|o9@LGwFZfQU zdy&uQZ4j$Hr8b*y`68-;=p3fG@v07nKHl?G+f{;xQZFqCILT#`46XgBkQ;TP=bCT! zwYq8Eqfe5oIWMMvcRMyj(ks0_uM&tc0;yQDRW+yP5|R9 zn^CU?U_@s0=*1*`X6L`iJ041AkOGo;Af8QvkzR+Hnq5I~+dmr@+|y|b{XD5%Q($?==sU* z<(gNopDxaHzZ4DQ5<$YYPAZi!;ib14BVqUH`f>LO!$XEfAzI4&?0L z;3?B7>yiX!jK)kR_&hFNu>F!Qk&)L!y7We1I)Er8=wgV~hX78gh^pW%R7k_!S&Au@ zA9G9O))O}-RXjeEEt*VO;%(O9kh0(K@4<|^gM0ll)rhVFy2=$TU9>#hZ?fiE+>(ax zSLDB0ch<34htJQfuY(;cZLHp7=srzwjB7Tev@pnT4c{=riThCSJv~k937##-+$0+L zw;Oom;WKN4vJ=j?er(SUhRIxM6d0PQJ~w3;1HOJ^?rG3Pr87Mu_xT&ITqz^OZ*eiN zRnTm^VPBWEq#$?tjBf7G?JBlLDO~at*S?51XP()$0P=^yQj>==x4r(hl@cOF;@b^ zi|HQIUPqjcqU?961nxJesEcN#qLUrGqV+zr3x$rnvE_;g+{SyoWn?kzt`o3L17s!8%Q##mIZKZLf8QGE$CCC;XVM3A}Y%WjTZ&hY=(d+&Iv z|Ns9#du7iM%F5<&&Y?jnTSP`eA+yYql}cu$WbZw*vs03lRc2OHMnq&6%J@B<=XsZt z)647Yyg$G1=kmSu*Rw;OZtleor6btK{PXs={79(pl)h4Pk%hs%8eU zc^~kO$H9c%!G}ia=De<=e$$GWbQoNr-y?OnzdVA0m046^fmA&4#hO@vug&GPfR2MHbF}aRU!|o{KLhv=S&PvuX#7pRYyE z(w^j0tfQSsQcQo}71AmcK5*fyIA5Q7EoCbybBu23=SKnOv_x6lIqDdWNea!DpE59~ zRH_kcCtN5ODU!b_UUWCTmXc3-_HgRg(wjlFQsYBaitu~U+i^XFbM&VY>|5|TK>;xY9raVA2f5kn)c?S(wSMsI4E z)LpBLSC!17=3?Y#ua6Mq#%4RS93>QQ8ipuPJFuQJg?%T-G$7X8NvDH z?9m0WCk|ies=n`H+{<^SWWQob5`&8c14qaef6mHe=bI`LW?l&dkM0fd91tLUeljJ^ zUM8K9>&ACuH%qOt)MUd&HqtWH*-JyUOcdP{q-2p1EoLL*hIa1nu&KW!Z(Ns#7V8Kb z)1A<|P1D>HDRtR;$vZ=?07oZeF<$!mjoX>g!@(J3g8Dw6bp`L-g{`?~uiDzZUn?6v zAo+saYGEdAZ9ipY2b5Au(GO1}J-o|^VZ?bd4qImbNmaJH3w30QhxRrXN!IfwtF4J( z-=yp}^QbVZC%CaeZLsI)_>Hyg)H9HW0-V7hH?sax&wr`s|Bci$OhiNsE&;hD0MyQv z5D+sm5)qKN1Q!)BHW2~RKa7n{giVG2iF$^^MS+f^048l>Dh5!~!Z1+*BMEU~0ia`( zn8+m{|6g4AZzIxwLUsRZ-}_6t{k6bf3;eafUkm)Tz+VgeYw8(1fkv*L5NnJyQL48mpbqy>h!TTVo>&-l$&A)#$ zZ56zNe=ihCT?5^^kY5M?UMP~fh9aqJD3ZE{BB^UAlDdW>scR^bx&|l}@ID}Q{a>b- z!KaAy78F7f=(w=?dw=GnVwB;v1I7&c5PB8Aaz`*tGXprCt?=%)^c6%N7kUv}(lDWz z<+{QD8Dj?D9`qs*{s*!IP@t_W2DyhjV9emFi&g}P^#61Uj8yD|F(U>J^ePaq9%u?U zkl%#?owyUmj2N}hi$Kk|g8{?it~0A(D2e>AZHfGdF%!Lt-*Vl6|G9e=D4G1QZJGRt z5&Pe$fM5cx?nE*p<_mNxpj$>PV61=wZAW%*3Y1iS*tS%D#AJnD1j>K~kfs=j05kbT zfZjI%t_~9g+S_eys|U0k1V(7|BDM@zfF&?V28E#{^24?z@^3ALh%$jd!7?B}#|lxP zEXl5)$4(^k)*3FTDz=PRn<>J;s%7^gQ1bY_0=z z&_7m5fq#{Ea96Jrn{reb9^e^?qz_ zSYRXqW$C?*Cx#;G{QV0NqJWTHTLP6E-G?kfc!JC3GOoPC<3TOfeDTV`Db7g+~Pzp;#UlU z>D#dbunF$3q8IUN&ty{sCfi3l0Gr_EE;k2ky@)MkIe_PZNn9#|vcwbl zoz?_*^wEp>wdMvqbMt6q*Q1pP$_j5AtO-RNC!iDYYyWEZuqLuIE3n_br7LADdLNdos0pbex$KUshhEY+S=x(+_(+<4n0; zXthM}m5b&g5e~$5eT^ji0f&$Ai;Fn*tbCYSaeDGOYQV(k)`2pysc*)Dh45gPzAro@ zA&uWXL~{lWL)SVqpR~jneqQQKHcOHke>-42Nq3AiS^VJMfYc{Y>bCSBGgTiBtK#pE zFiZ6GV4aZ3wb#@<2+z%YX8yTFhCACMKDwZRuXrv-CjpzpY0Yp>Gmxp~O8r+}Chc6O zp@Q*miszaFOZ04okIclhsC-v%w4P9>p3A(&moKl$bav@XU(^#J;=VWuDHZCAQj$O_ zZEpHkOU?%^53opTzm`6rxzsMA8F}LXiN|qXazekjq9+=?3-MCE`wS-Tzq&(EQt*VT z;`q=dCl~u?{B`C;*$t`osa}0LXMIP_yH`jVyp9G{^9J9rnt(FKJxE*JpIbOf`*kVJpF=V7EF`Fn>3xZHjBbsmmb`q7`2`)k zwX43$uR`TyKV`C2CpjtLo{|nYhwGVej2bVSG{JOEC}BNmL5k^2)_`eM2 z6^=8;a30Fx$00i9CE7fP=bhM6%=LbckTk?a)0#ts-g)vatRbJcYEN}Bk8W98sD&q^ zZKv3SV0m$d`$XpFst36q2E%kHPO3RH%a0tMHDS>pHK9oRWP5ysR+^~D@chEr*CDhv zfg@$cqs-R^sOq5w`?Rw|RO?Pm+YYb}i)ZjPz=ZWYzH@p9pSbGCO<2uxpT6zX9N#n3 zt0#;Vv>qF%2^{6;<95ait(DL`FQOgzh|##;NXiLrpP%4FhNty-pd7pBUW`4XGv_h8 z*pGScj{z*RzLyeT{`+y2yV(q;MdD8cm-qGN(wQht@3T-XJH#C8wTI`5?m@$ZU_UXS z7c131nqvw%P+}rNP9^1h!C49&b#aI?8_4c)D4zU~jZ#-A$n@bKY5>nl<0u zz2}S*GW@u#(Z8Ot+>h$w#T5z1u?5=Pw4HgRLY28G0# z431m}+Y`-L(!?Y+#lzKCu|!M9*RDm$M@z1Ld@nG=Z1_$kQ@Px4?87~hJtb~b%SC%5 z!_;J5_b|%VQPU&{avhm=*KN8msKj=7;-Jk%hxRGs+Sfyb`sJ_OVi|DM_>5BdDI1=V ze1WH5{?_gj&wROY(9NAO_57f?o+hQ8LTqz|>p;x;ecm6=-Uxt|E~fB!^y5-ra%vJE zAvW`0uja6zdIwRy;6fJalA%XKo2|xA+r(|;Q^GQ;jtAdpNO8&Ssxzc zI&Dn}4=;AkE6r~sx>uoUZL!amkHm&-_**esm|R0P4gtl1V6Nk7d~vp?_6|rNSMs=W zyz{9nUoVNQvNaCZJ?!`A`mpWCK3Z&iR92oG3WEM9f7FV10=I;CIqYc&bLu zTGYFnUOcKLqssEKcafA?@KV)GKp5v54SphoMOd7vHNy|5cLGXzRzypKVeL~7#o)RR z&TxC)c(NcP%XI0L_1OE?rx@fsA37gY=@Kc4y_$0Kg3#GhLD)u11SEH*oA~;oCL-9vy3fi7yM>27~dw`#|U&+t`+D1Ssrn52Uz?@siASNuc56i2H_4LOx zgpXtS4|N7DNj`CG7Fx+=knYv3_PHLvCgQ0i;`#2qUhZ1>2d_+{jDo`8vx=i`Cly$KXQa>QHk&83Df`2+#NW45H#l)7Q14a|Hzj8miR$_K@ducq{mY%sjKTS*vt zCGl}P(uSeDO@>yCZlH}RHo4J`hO)yYg0aDSA0t;KHk+fOHVhCk~C|Iw)9vrx8$!R<1vvvyuX0?qK1lZ z%{Mk58;PUA9bWhE>*-!NSGe3iI9F)S)+ z<9sOIe`MrqG|iFvSJ+2Nl#grFa7l={UOcpV>(KX&sc)_h1;ttQ$10Q>_tUC~RMM}1 zc=2QaJ%nlX{3KH}B(8zdG)$L{*<)fhaLCOiM?$eFN z@9?#+i=OUJ?0O9>TM6x# za*8kI*NY}0sV9rF3fG}s{B*%ga}Fw_jebWi7!Bi}EO-+=Y4*veDfL7BSwFYDC!-p( zLm4DK$q&fnc<9CADM{ONtCe4Js`ZejzuV*7qNyRl_;J{F+7*AT>zi7QS*xv0rd)56 zS7T+~J4mB-h2*W(tJ)%NDQBF{ioC-5@%1&n`BFFKwX6qaPBICWkL34hq$18+KUUXvR<&R4yC4*WsP9M|clJ$g~?y_4`vd*tzTVIvD zU4JQURp0RbvaP7v$3o6vC@$z*NjT||4|KV-x zViRn!X5%bj`2iDNAqjyL$7fu(x&m{l_bbNVifuewbQ&f-yrgFlWOwiE%VY&f1BT&u zbMUDQu0zq1S$IyYnYODZ$4CmLRMVIVpFdiuT5&$QZbVg2bvi+?+9t(}U6TJ%`_k+W zt(xYqd!nZ4+bX_6=-}qn84c21U)OSlr54XmrdNnPJ>dQVH>XFNEQB~i(|9c*GKfd? zj6A2K!;v{+g-7RwJwALhlJ#`z2z|P8~b4pwxU3TZy^_LUCza}pCOe%d|Ea@OmCcFC?LKBgL znMC7V{PYLP*eTDIL<_l7oN}#SUGRHrD^cVr%zZ>PXr6E^Q(Qv+h{vLGfsYgXg1(wf z=F2`=i+ciehTaQ@f|S=39 zGwD))lyh`II#%e2Xs#1Y%J&tbsA|X!>DY5Qf))*p52;_J(GpG3f79H%hZ%p5y3w=m zc6Ce5u{etHkoJ}`f=s`cnZrJrt}dEY!t8ao3j8u5(RD{e6Ik+XV)lk>8=Y7E^qTbG zP@!CzFu%b7SK)CzO10#NBVX@Sj^Gu^8C}a=&hgc7RDp{1hb1q*)~DgA*Jv&v#}w#%olk-_2*a^rTTyt20Wq7vJLRY&q1(%W_2NOLIWt z=)6zZZ8`yMp7@NKvGPPK{!wqXj+vzOmWaWeqE>gT1P3d=7qkOm@$U!6l#?_W)K$wL zd-Vs=tHwLn9r;e^=xisKUxLTNKK#@Q3;x59WSy;KaINxN8}H38B4s*7&%ZHv*+6Sw z!dpKLI5veQEcKjy`&4hihwXIl-qNSpxZLp5B~wP$3ocE0i5d?HZhm?x{wn&wEooA( zp>gM> zZ_?SPor!@7GYb|oBdrP7Y%PAwyqX^Hp2(|y9bU)Y`{Nnml4=lPN3yJ^ONgXdVZgN& zMOuQq=39hhV|=v-2GYLf7L*=m`yO0=fl&A`^h4H7mfSAuNRMtj&W!YaLjB0*DV!M^ zo=EGC4&2g}8TJj6dmH%s!l<#u4;dQZ;GZ*G;f~4Fcuak8h@DE(zv>)=B9H2dHHQ?T zlYA`>D*^98cTIm^4&{fY8u_Qnid;k>L4gNkargU<-ZB@CsmsF-bwBhuY$R_14v(Qq zzGfMGb8aDzRJ>-DHA7{z=W=(`)b&!IJGHGMOXlP;O-E(ZKO21Gy~X0g>m5H6GJafY z125fRsT=<23l3R8v64@{(2Akx1wFMyGJ!Q7qDmnUoPAajb> zlK;sST6h!@**#`&nWhz6Rg+HDEs(E>0)9IRZ!a z56^0ooxA){PT1<+Y+Xl?P^osGS()Q{-Ex|r!~CqZA517s~+B-TvtYwsC;`XbW2 zKEkfbLW{SD4?Q8%{ZekxmqZj9+n%@Fr4}H0*a!#qmcjkGGMkbZv4?rex73f1s4ATi~k#e#lLM@|4YQ+Uq0dDi+9SOnMZTYyC^#6qX}G*_d|l1P$Y;61?!QsL6IQlCW3mnAaD9J`vsE* zBs&m}P=v?-3lR{&)pu`+e6)+Hk z|Icu>*`s{-uLnw}BG~VpilB&z6`csk*1po4$$A(JS3B^HP{gdhqliti5QF^Y9q>kQ zNr6_x)@I5upf~~ssL&3LTA_&b40;hL`ON?$x_h74p;0Ro7=+NjqcE^8!_RRWSf%aS zF?XOFH_75ZiP&NZOnaoFs`Ec0 zFzb1KS8V?jM~3HOm^K*?fmitzhtg&K5AR zE(zj+Y}!H$s86!%7i1^45fQqeSAhr?eyYI87CZE61p}vlqe2Xm?0cZB;FhU$JKMOm z&<;xY0Yd;ITPmzzvdY_uZA1i(=xy;U=N#b|K$y0Bzd*@+-wro!&HDb$7Qfb-n<_B# z3zXFN?QkO^U`B5XR25=CZJyoRVh6Yp5w4?G@oTk-xh-~p8~<4JW^)$*PY8msik;|2 z#8w4bTY%M({yXD^=ni-zVxt7TieJ+@=C(ko^|GyLE3gXt(>wxJhWcrXO$c$<-nm29 zR&bLDy)AwrT${EK#fWhH=eDij78QCGzm^x6KM|B*Xgg>5$GSH#utwLfCjx9??f!|N zL_^#9wnG0{_XY+$g{^NYMs22d=-UeYW8oVJxLx?u78s4-JM?V@w5yO{o}*Kq>dKt!*o~DT_`71l+d$`8+lW@?HBTupzi*jkBG<{bS{uttx1y=?2+x>@-OeWVTnPccAC0P9UmEU^;= z{Ku*{5by})=R3MtVsqD~*nt8Dk6+L|kKa{5fW7hEt3Zi{wo$;~;SYKhzeaAvjE+eS zi5Yo5$sMv1+aKCuT zt);v{b|^H-cYk(NByYV6SEOI8a`yC5M>;>%^aQWi9@BZXF&98U|A{+&;~8DwWLKj{ zRpW#Kn`j`ZwQ6Rz=WR`{99})GP_ORPCj7!+$c>vFLQU$+mcmKg69rXIgEv0X_nh#H zyYAI2(>EDiJ=S+`u0Ok{G*RBk#yD}lH`C{~Ds9R2Cw3JZ`&LQP6KZJun&$auv0UV% zCDKVoow=4jixHVPQT2E<#|bLue!P@E)HphSZ0)GTni+nmU$arF3;Afp^EWC{CqAii zXF;#G(v|L$A~k#~JgVI}=Qp-wYm0rB$7F1f;P|1G%uHUsqAcEcfqL#zZo^EyJS!cI z0)6teV+kGO(^ws*j=3rolb=apeRnC3F`T9AcwtNSBHW)UpDwV2Dv*~lMX%xgU}f3E z&U}OG;;h7Pi>@Yx?Zl>9ghSbPycqROvf5WaYDXMa(J|K4WSE=~Am)`5<&BSBG|`Dr zH{&qt6KnG`S196F9Km@Po_Qt|tEr|VFf+#M1NQsqJN7T8l!#*S_#3s}>{kpKqnf%~ zM0ZN}iNbJ^N)%^Hb)LOUs7eUldIzNhM@-qry$TNPC{5gfNHwJo^ROd2GU-6y=E%+G&BE zFJLkw;&(hf+9TY&>F|5*#K0PZX5X}R$ujob5n9MMQ^PfMAtQKmfr|T1w=!R@rgG$z z0Jb&d=}!!{C*Al??bGH@RSifT-!tuqb%BoV0=^qxuPc%5+Xn;hOlqqn@6$*4c4)iQ zeGHLTI-F~)AaIO7i_K0zO=|I;qJk`~v?kkI{2%*zS@x~U^zUgAr{EhR zx=vL|{1SHV(Ef!7PHrjWA43}a`uxAAo#E`^=}GY)jSKu%OYfUpNWo^Je3C=VJ}8i@ zTQTqyzKrUbD_$qCPc%|dhmdF-#)hP15%grJ^8yB|F6ouj&Ri{qC&sQ7NM91e@^(i{SrCNg` zjZzSo*bsXy@^)nEt!cs{R|@WX*BM1%dqf=K`;@F))1#&FG)_N zaxdGl%W{X@xkIFGD{`t9wlldf2;N8_!8)1bK4?<;afS z)FN>Kl4k@+YoOOMonPZFt)vpr96Dc<#U6_nmO&*wGtB!U#QSS4-T`|WY-oc2;&fE9 zE(>#F9qohq?{-)Mxf;HFB~^5+y~9^ZmYErVWLpI>g-$10 zkSwR1^cu$&!k)FJUY=VWyCo45;8c14#@(Y|8Cq<{mrTo8Oq=HzNiAs^Ux}#VyB5%` z;7Sq;dNWz-u9`Y0IrcEWn;#^MKCc(ircE+(gXA-9f8a-}B%#>xGN6Ew_r#E6?;WI}dtyAKmDi zT{Cu&x)fkthOg;AT@-GK_to2WCS|E;Z&haPl=>IzvJm&RRxYyW_s18xNJ69 zJtd9|3Ogq2@=ElC@J^p}5V=fu=ke>3HM4o7A?h6;pP-ERoORi&L&WaKY4p2M zRaN5cQgRQ>tDVW$8(w)~y#7I?*_S_s+Q^a7!V_Oq*Y2U;$%dm8$t+FP%ht!7p^+-x ztY`Ad7+$Q?btn&)mUE>+8w^om-r|1ty#a)W5Jul{A@s89rwcFx$S*{#Ur^DGK%GOMZ*383k znooS^vYX@I<;_g@^!3--A9HQ!T@iLzOWsMn&`?k>te?3iAkW z#_=*7vGwI+OtX}h+`LY=1m9fr!1FR&(_U`I-~T-^^!B>vm1EvFAW|KKK6_6`=#dOqQ%O$^@-Rdx9?UOs$qW?+WKnda)* z?zWK=jBVi-oVg@7_NvI-`a0}#+{-c8nNLwh>9e?OsCoy>B~wckrBpAg9EtMLPXV)a z?`b{G1~{@ck#^m=P+@&n{;-JXLA;bVIjQfhG-h%W-=97+xcMu}Kax=oHgERDRb;RNorMFHEiINf(yPyW?sEG*+kD zA%2I=+|`OGWung%ou=7$vcEb%`Ljx%yMqhXTVLU+ixtMA&fkpNUUY`5nOId_d5Yct zAbgGa*(>cD5;rGGRa;)GZ;krj9_ag-kRS7Ibcyj|9`@uAVSA~wp2vNgE4HW2UW!!p z$NkZ~kxGJ7-6BwZR=~dj)glsr(ERmR50EZqPJXHp(azQWohaa512R2`uG}ubx--B(51aSH*T?~sR}e7oH_2EZOHe2Zbe+STmjF0l0>uJSUlFz zzgqg*+?+sw@bU4l%d$o*>|M!xYl-xl=y&MHrC>H^oV!#vcd;n{pUSI7QL;oS_Qj1Ij1XT*lHW? zDXzZj?X-{z=u2P|gj9NxGIdDLgatck6c7baM7bV&($#b1o9)Nm%{dH0f2a9u^yijF%N#MoP#GcdfDcm) z#dw*yLO4Uuq~5V#J*+b|nO2q7tKI7_2o&TtktVbZ@i-8_rni{WQ&-bYGfW2)GL3L_u+JR#F5uLq%t~(*uoOJ zXNPskMISlY>=RW#=H+UFSXOpI1XKAg%{vnIcnoNtCW(^WGU%hD#ow#VWq1Ut?8SgJpdb08eRJorq7!amU17Pxs46?UMBRgbbxyGHd8eRuyo3J5{6~-Gl_YJ_i}s2V z92?_Rm5neS4Y>a8=^B58B;`uPYOMYB=?CSm#)rFBLR4-NU#6+N*(h|uc!I}8fr&*u zbYr})(+bW${LbffH03Ji{)EaIms8xU)>ql|I&hD@I8y7gHWw7S=YrZ5XG6MuZI8R- z>9TKE<*)ZwOr8zuSD99}p6O?MezZ*b!ok)sdtuh$cPdnSgqog6y>yVqv2&`-(El;o z7HcY09Xob=!xQ#q%#6OrDdlu&@8ufZE^qq#SA{ErCDvX@j?}&!Hhqq9e(b-{VIlC=b@8=UUdQY1 zdvo^7cNVn!JSL15D_uur+d`QxUVPGSKl&1$J3#pCD!ZbpTye_%TOXBjeb+f+uQ+}l z@Nj6KG^TGAY=NtES&eACt9{xq4sH67_T)SM%=dw(4Wuf?GY4miA8_f^H#Z*DPt_+i zb3E(s39}j!zoAqd!I3ubc!aQFR5e#Pe0`|dj|*Q0fFQjz2dCrte&hc zjZWR{a;$6iG;LM*c<1Daiyum%^>fXfxAbGy9t}M&@Ln)Y_dfE9=flvO_#=}{Q~aej z&At@NYZk~$RXQz?SE&X>T~w*3R$i?=>TK^!+_r8WUOQ}ZS<^i0^V63H^(_O97I+gE zznVRKlUjVwDD&{mq}S1Qy*SS<&SCY02;4HzuJhvDpqQNftUL~Xc}p~IYCn9RP$YA% z^Ts;@7v@UNA=3u+XE8?OP4cTD#*5xc-gXoQQfcNVkEoZ5AIj35J#L{`f){&wa3*8L zPV&d2Z!YWyAM3(w*C!D9?v}?p2>mK`ufU~By8x-#mrf%OqJ^JcHz*~W>W-dD z3t+RY7m7HamTxJxw=m+FAI{K`Y6I%H{)2nn-#H@|t( zo=i%X{(UlW)E9Xo(xzO=p$&l6s?*McehZVZNW`4Rj%6mq$j@%~8RXFb5{qP#7 zZugH-@sE2A`vt;u8Q8|pN6PO#UQ8odmoqQq{ABEh-p5CVH^s%Bot$sYZ(#4;b9<_} zY&+%)Bo6-C6O%H)6hj`;z{}eE(YDuLb^E;I9S#THvn*{-3eHzr}nvA)TM8 z7(X#zaP7VY^98`9U;piAUs9m=8Sqtgw3sgp*}w>i`NF_XLcpOeh~I8j@&9QE z0j?l^3j^B|0F~Dfxt+ij#CZW03jF_IRdV3#h`a>gio734#Q~p@_XAlZHW*0a09WMw zkhn1ni5tU^xbbF#xNvq3`63^FeGjaL*m9TByJ2t;>Iu}ZVW@>#xNvq zyou={?vKQcVF*qH`1_H#F${?t!$92lzmD62_D7db00BYapV=;=z+b%UtcD$UTkz?l zSAm+Ghe_hk4!kYsdgw*`O0EE&8w^NB+Px+InYRV~9-Rmn2%3W_P#8j{JK(n9ONUMb z1f;`35u2?FG033Z0k;L;cyuB}K{WlR2q4jV*Ne(2GD#GXI}!C<+dC=v2VK zssle?QjF4gcK~j|q4wXXz$m482jUj^HZWkKSMe()Z8KXE=-|A2w?N4;-v-=*vkZC> zsN)qTkPr+d#e5rZ3r=z9Mf@7CFiy8e61V?pYYGuTZEFfcOt9!x{7RwSQ~|+c?A`&n z1*doPDt@J}ZmPhnujxOx6oqXqdV&f}fz>|#Gh~Tkgu9~Tm~U$-3a)I>ngT2}@^i3& zLNJ)ocYtoebrpIQs6+~8!0SI#w=l%2?%${o1?awAj}|*%x8Rx*y$Vz=2EaKmP=S(W zzKy#DSG4F=pb{yY?8@$KfzoUW=-`7&|AOmj^eTQ0-xv>CJ8-waO!ucr1T3@j^Buux zLfwJ81!D>Hw)i!RY}x_}L^Hd7B0F%mU?hWH1?n^c0fN2Vt3b&#-^Sg7aTIzLsM82$ zPXwjmlo;%H@BCv;5g1rz>8D?aVPuPc=5E0l60I%%o79#VN~ZZX?iP$-(W^jR`ifyv z&|`KB;V0wpNg#@&MZW$09h zZmFmNY{tPcX-&NYb_;ISp%d}T5W9zT;1YsB)Q@0vB*ZrV`8%QmZm}YN{r~@n4zNQD z1B(f6MRdSDVWg-(BRZm@!jRt(9VR%{W{N>?0%h4Ra0Y8Rfmk2!C$9h&Mj&^qBFYKAHB^)0qeOGw=N$#9h1) zU>2S==lX4JiQ6naHhJN>aZhtgW5P8t1_rLa!OW2-LJ@Aa7uCdbiN|7w%4woV)Am>8 z9?=_I%I~ZrugAZAeLeOVlaTh4A;C)ve00wR7ji#Be%yqdAJaF9f#}?B>m=sA`R!zG zSxXGjn-BDIpR%PI1mHUFlClhGD&8>YECd&#kSCoVj^B>Qv6ZYvjXQ+_mWd*d1)$~u8ml(-aoMqoP z|F!jj@uhB&=YyQV)okpVM9jwe7Ml(FdTe_4I_WSrV&O~*XlFC=WP86&?lh_LR&sM! znjj|0DtuWuYTLa+?RLVSqp)dKT{lYh~ZhkhOqT%YWz-ivs z#ihqX^KYj-;~NaGsogv1JxYQXoBFY279ekmh&X#GEqbLoyv}pm>BO4)@(pTBOrK^b zD2f`VdYEfIen2TATbWb%k*8$AG`4YKH6snF=G8}bgjKP{Vm#SoN(qMNpqA82YHgG! z_GVu%Q&n^Md@(BNpjuN*5G_%KVM)12DHp~00|)F92Xyh)%1%){;iWXs#igXHQ#yE( zW-&wZ&dD}O3hZzA*<`~)39Fe43yfK`j%~F!hNWLykYe-r2I6ZI`DoDv;#Q9|iK`f3 zXFcimBsCxkYX3SQk4^vh`Y9&dSL~v0wx^qj>7U{=>X5o3oAU`!wB@^1o#x;^txl0} z=&6j%h@@=+)dR*RHvZNjw=|7f#e~xd*E72A6if*me4wk$eWAeYi~;IX>Ncbcm> zb64qyZS|x}uxv@|g|JizAoh;_=Xz7;4#L$|Pd&nsI8eZrbI3izkE*0j;lhyoaMUv` zEKOWZ4@=!Cf@>!UNC;XJUu3eCstn!&&>KoV9jeb9w^?46oXgM~+&{Oc5fVgw@TP(2 zW#(L&44fk!36_*DTJ{RAYT_;w*eTgocRlZ@WG49*D{=)&w+FvDpZw7648E`LTRdJ$ zsv&uiJ0S;ucvgArsZ5x=GIn$#wtB-ru;i^mveUjV9R3IWnl#+xgK`-3+(k~2JXB~a zbMDa{JpS#fq|T^*K|~Mxw;!(0_3qP6U4EVr7GBugxEdv}!B{U_!vuTwM9WJXRxeK8Qczcrjj*PC?Z^L(Qjj zYw4PC(0`cpfxwQU)2A5k+Wba48!PVNs30(WC9Q-ps!nm@kYVk;Z?* ze};z$cVFOj1ql1g=qa@~g}T?RY>B$csvzlQs}JWVn0TZ8^IkqaP!Q5YCvg~Chs}GOHmt$uvKYQ}={{j5dJ;kJ zKm%2oAkMexgi0I=$H|FLB|arH!J7-~Aax@DvNG6?Lkr7rKJa3MqQ7s?>yVz6!O9n~ zH%=K*J-u4-0#BTanlc_XO-)&BWY?!9D|HA8KGpZXAbM1F4_vXw{^-**m3M8l9Jmqc z`QgVELY|+e>_&XwDZhqUYzne?yho4wDcLW_0LD5US`Ny#~1eDehb zE=k&G*PuXEUM9W8byNE=V+Wk?6|blYwW?y23Om0QTRl^-wak$y8%>YB*NcP2FMHJY z7?bswO1cusJ_(w7bDirG5aGSWw{8BeQF_5{Ey0ubqWrgI~^9$Kowmv&bkqFl8wJm z`W|Ik6(NB?p~;>7b;%8b?24215tC~NsRR~V?tZiudch@>uyNGMGVcRkv((d=2gxHP ztpaW@s*Ep|eO0wL2plV*5qvBk#oIdz^~sN>gI(qNcCM7Synwf$gco+6mMNQfosjs; zeGgGP=^BYwGMBu)BvW|H&SvlFoRPUstA^(oS)E{ZjFTOjjeX>9NN}GZ*V-YnB^umO zy&9?24$efA=Sl2j#L5be@?)|@;Sl)Rh{G$5RLhrvNg?-7$gM5BdgSW)gjc(DdT!yH zS#Qy7W8aleHjEEspEPDZBzmYbGvyv+X$!RRX)a|iVN7q^Af2^7eBSG`T5f?%zInl0 z&&yU0d^gRSeFA3hUd!1hYrIcXf(x&4m%PsCgCN5*3j&kzkJ%ZM*`)2C~1CS&onT~%K`CyLHC@R(J8zIU2K_jTk)mP3zt ziZ{ep90ih(Xj&zwvNaV&p0-hJir{@S_$}^CpQ^{TnEDeb1pKXW-}=lUjJb3pL!%s{ zV^-`Ero1EWWr+LjL*{^DfzZH~yl&E1!{nCy;u9s2Z`5phQ{eVF4+G^DYqS+d8b5n~ zf8hRYc7U)_?y;b0GVSDk0ryD_<^mvP1H4kiz4pBMG%~I@esWHjAWDz-_T zv2^}KIN5UI3AJh9Vov{?(yr@;*3kf%aHQi4F%bMmom58hGdc}J&i zqXMFL0rzLE#K`7X7d>$?U2Ra4Gc_yJ8z5U6Z{arsM7&%KP7+vYUYxXc_Us)B@FAT@wwHuUq zBgQCpdWfm@7OxhE4E4F$I`-SFGRa+%GQn5*-oj|^-}8If<@eGglNc!KHhfOqVrbIg z-C>I3H&4EaCVCW=V4A`6nXx26QC);l<5f^a6K>bl=&O9yj9rA$(esqoi6owhv1St# z`_?*6_7UL46!~`Z*qz9-Oehz8W*YtdMb^8#&m(8PCVsi`i2SJ+4)KSpw?|)8G0*;p zse4C$IFz@;qa~xJYT3+|Y&~%*>zTv8{pJ2gCGJDzW`&Fk`HFI5z^CG zvyFTsSZ3hFeQi zy6$Z+;&Si$$TKCu5!aX2!!^*@*Yq>hBlIhyq8#lL2SP-boCsy6@+X9D%-PhfbHCW5 zILY}LO^W>15+r|9)ZU_?|!mt>Hno4{A+=~7Wiv{ zzZUpwf&cp!_}3}&TdNiRN|6UMWF;jxm-U;+9dJap>d(^{WG5^**j5Q`iaZ=_we+7( zSa4*=B_L^c^B9c{4mMQ+u81TN;EFg^07py(o^c^7a6Q|94dfn(q^@_t}pTi`SDeh{QSAnyV1v(dc5x?>*Fcu*$xpfd#=gmQkG0;Bf>$W+`SLiBgnL=2|rMIeT^|BeU|6wieG?wLT&!k;XG7+e1< zB7hEnTP-2-yJsS%5%iY$m1>RYNCC7t+#&)f4E&ouU&+y5?N zbAm!I;=ea=1AVo&S^~b!F*j#hbRtAhEdc?NUUofD099YNihyl%%s&m8TSY*CJRrvAcN-j=kdoe6QuHfIt4}~0x(Sd8YrMZ(O}d$46$lL|2%$8q?^fDn<=Hce;^_# zt_j)ZntvKIML-Y{VG2+MCT=0TNrSLKL_`IFd^f1b@4ksxF`~D{ub~2wn1)Gn3^555 z=M?_kIT7nsbSgG`^8IIhwW$K5er#euUe+(a5R>@bIT5R6bSgI68EsX8(K1gAw$(d< z3gy3fCt|IRPK5{voc{6)VD-Ff?*w|YZnXvMcke_*3g}e8L2UM?3e0RFwsqtbgKqQA zKaQMW@7|v(fQN~JEr3ReTl_+7n|JguH3sIC{NOW5;w6)3riV86hr~fahK#7I`-u*Yf zKHx+^s5ka;^M2Oum zbWcPKEG_ZVJ2C1E4M7QsAlpJB#9kbF6~C?cFhLz5C@~RaTTF!52}G*`ET{3)7Ge+# z*5DA7pa`-pC_?N*{u>n#pyH@jGB8wgtxBvAlss%Kh_R_gJoBK`UMOV1Xe^G7%#*D(i~{o%?8;Pjv%(5(VGJG z6%oS(Q4xUy59B{0M7BjEh`n!gB1E=8;+SsYh@b=`BHMzIKb8)FZwW>)7J(9rh-`~R z5YZPpOF+Q$iJv}!Q3rPslvqS$TP*U&$^r1-Ml6ix5D}DEL}Xhmf;jO&XNzC6>F&9u z|1=i@c6lNH+3ej!mmvCE56d?9NRSHtr_m)LSrLF!+*~JY?=u99S8%Z8(pHEGJhem0 z`!mD@Y)A8iPu8!$!_Mi+rRwhLy+`Ni9fB-`tcJ zUuf^Khv@R-pmQx{dUWl4<*kk-k~f8qp3mi`A9WH~p9>foAIoHX&L_mZaCNiK&`PTr zcYVcBvnY?Cc7<8;ds{K}6MpS7c@+Cr`8=Dhe?AqM`qKEkziEZC-Zy-UFa;smOD^gv z{<$}#IJwQ#8*fdkhc{$P<$)Ya(eORb47gOsu-H(JLpmhGXY zdq^jdhsA236-x3+IrCT^&PBai&heOVTiD?*Ofk9@SMlRqlO)?)Cx?`&*Qw@*7krD>+Bb$}y}9qrnQFc6F>-RTEIMAYOe(8`Qz7 z-pD5j1a+(Ru~iV)ViV`;6hxAoeBv*UV;MDOM@2$rI)ZKg%J3?+U#F=EyNmn5c4z(( z$ypN?V>%s*uurxpMraQZnberP&WTdeay{k#N&fVwh`}(z+`y)?OR27F5sk;(f1Jc^ z?O?uukK4oM3awILb}pm#9CRBaF*vwna^aetVqJ{A-piOM=Uy$vsi?$T3@Kh(%93jc>Q9OLVC@3yNWlH zDqEh%dD0SY7fqN3k4ha&+o&nby86I$WpVkdZ$mHR2F0R_um?m=U-3-GSz#W=usADH z$TKo62LHs6l!5lBzyr~G)@`Pb)6$pTPf!)<-yu_q@nF8eN>6bi22XAfuR^VXhW~lz z@l!?3#zC|UdPPfzoqesP=bPgch!E% zx&FkPG+v1-^|{o`=XJ=39QsY?H{m?f*80Xm1Hl2R-ixEX>ySH=` zBmM{Xs#6Rf$u^Qt;jVwkuFcjH;*NKl%1w*UoG|24PN+>2+$p+}WF z)Sk+D`#f-6oVw2XmeGlqaj$8}9)%-Wt?F%R1f!SKCmXDCvDg&f5E@%a=d0mNe0)t# zn5%H%S+EXQF4sbxDJial#dD8Ji5J{ySs#YN2$ojoXN;_H$(+be?0ejxak}5#qPfP{ z2j)@PU64_0F?~{ zZlt@rL_)fxK}rxMr9nWtBm|U_?nXdG8hNf<#u0~!XPDpfeg4qb%_KZ<5PZr9j#5#BZ=vdYahulL*K4SQrF-CPC%5*yN|C=>I7hV(TrQe z8+UBG1gSGlpcGTa$sGbdzjy6v%A2w;$dL~#1gxTcrHGu&;Ni8z-0@3`(-JQM7g!)8 zVkhV5huE8S@kEH9RFcjyGGn`!%VoFwQ7Ud&KpZUAmG<+@WXF^TnsPEvxcbO}mDDC) z5ISBTt0yH>B6ulte?6*_R+~7&yAemxxm`Q2z6O6oM{5Z4u^mMX>ebV;K4%1Dafa8O z{5jIz&y?2~#J90yyHZEkbmCTG4|A}YmRDlI-WajyJ;|8AJ?Q(=hh-dq@tlDw4|c3hnO#^h~9q6H3H{(OEKu|9)e2x%Gt8+6xOTTsnbx_ zGNZI^shPiVwk~T=Ng7kDO_q0W>XRoT%09E5{xh9fiwr?F>sc!(IWjx2w;TruQk575 zZ-$3=VeBE#^f|sNyvavVyL0TBn(P{nT+R1#1>HjpgC)wfRD&)wG_-$cB$R^)DIxhq zduU)KvQfUcmaV*zcPrK|HM0!$J+F9p;pHLmm)yG~ky81blQ;3@tkQ~a(|j7VV5`e| z&cPcOtH5NUA|+oS@C?eTn>$5Q%=ib7IgDW#bj2yH)E%lt zwA8W(FCMZ@DmL_%a{W_Yl;Kc$%sav4BFbQ*ZZid)i;cpSiroKs;cBI9KR9koC_WKWX3`I&BB^r&w}2^X=qQzRWW-^Z>xpC zP+YV3Z%fq;bHWSK@3g$qzdN7mBjV_eQ>!wZDY++KFN@_bVt) zU|?9^=nr4(bEuqkb(mr4SAgV9ei+Aq53Rd(81THtim?2f!TQq}B;Lc{jl@!e} zVC&vPgm^FR_JkBn)`z2>xZO=F#&dn24%w%@;4n?h)xEVc(`Sy!z#7#&$Bw3iGxYAx zZV<`Vr%*yBPoK~@w6=)}%kkIV$br(<$bo`oqo0r7>_{LOx1eYE>VBaC^DI>lb?82* zC%oTpU2qShQ?@@;dx~yPI>VcY=k6>_4iWP`Xd$W>#h>`xWCVHKlJ>ks*!o4*4LqAa zxZWXzQE5q5tR|V?38fQyR1doaP37|{=}rD2H+E(9CWqbW*bV>0ip+u~2$3W(agJpa zC`v83TKzkp_w0vZGIzkAaiKIfe z3Tu%7_`Kh{F;%*{n=IHcg-69(`cW0mx}!R(zVxePdZ9&LElVbYtH<`XImv7cSwe=i_I4K5 zqtyZ1=F{b&0?In$vQA5=I*M1uEfcvX{#Y&Wfw%(}7PV7IZA^u`)epflIv5myvjzv0 z*a6BWi@wgAMhmLKq=ZGgUqw7XNCkzV2bPsW$`YbV>tZ+7*S_L+pls))J{%m0N$+BKOI=@%X z6l4XZ@J1{OlJ?_WfSAYk2q`KUd%pU8h`AWTj6*e;MPFvtR)C;o=oCYqA6O-- zTzGkToTlG97&sN#WxppC;IBWDvIi2~hxA-v7t@Jq;OC!Kptq+>JV;xdvjY1B2fk;4 zscln>WJ3ir1oZp}fsZqiiY@Bsw)F-_cee63wrzvdo+R2|?+mtiz|Txq>@8cH<>V0`F;vHshjPy3uJQ zQFVh7AlG9|j=8xbXPA>;QQLeLpAJ4-OgozlqDUbXU2IIjkJUL5K9Za~JpFW78D_83 zxzhC@s^H`8YUH&EW-=p{)fW5qhblz%!Iqqq6qQ4F1+>_|h(J*>X;wcvcGd8y!-sG> z_?%>t+8(UD0N;yW3sHdH1=o-jkzsnmmxH=6=cJU5+&5isBMK>cFt38pHr92F;+ewG zcQ>NOZQE>}t#ORI=dn%3&PGJj%2w5l6MaQ2WYRBPNO|%7F}48>LDH;asuTp!@lN=0 z$c<*NG!ho@I0uQO-AtgxJWRPYil`QH6Yiy>gt*PBiCbgOs>ji}c8(&;FDi}pF-7h% z;bo24mGxD-m~dg_TWSnMB&9AT;XhnN2wGHV$CF|}AS9HOP_T`8OqZV?E_2kro3Jz2 z<;L)jeFm@42UN!%lnT3Lj(y{o$!hx^)NTIwWR(&HF-1zAeQlc)`vE)sFdu)k9j0VV4+>D>%q>F`AznkqvkU9D{1 ztM)j7@aMxw@{QSppZzR~S+bj8I&=cVuzG_{#2Zm5KF@RO%FeZlVG=GcNT2Y=Fcw)9ZyZR+)8j*F`q_u!;iJ(^=LqWG}WF5iUh z#VEM0j#w7YRy6D+u?rdd{#g7o5q5TQGE85u+fu_q>m~)aC{>3jmAF4=ixn2ffpH6s zRHGFmBOnOeP7q7ve!5a|yVro(Gull@;k9&TGJIRuk&1>H{%CyrTnZ2N7he+=clHPM z#uLr&3l`xX%2EbK!E-hc`?KUpVXE?P4Z<1n3Z@-2&D?$<{B$Ny{c}l7qpx~IL04yJ zfv_rLExl2*q3Vm}n{5XzF*n^LRgt!b20QlA-q=!Z0nnYu{RF1SN}_^~Cdc?&(`F1_ zA}>En_Imu-#ldUbnXna4aQKGPOoHB?>(`NF>>GUE$yn9*4@Z$0+|_)N;|eCX2$L+o zDn*D$a>d+T!22;g8Ps_7Ez#x+qL{@OGUq0 zgBwlMQV%mrw|aK3Ekh0S%_orrrR!*AFH%JKiC9In5Z-%2`xkgmCqG}Kx%2rjcmHJf z<-QNFUsSi>-7kNHVY$xhUSF)a{eoeC!LV1rumCz{79M71(r@sHo3$N}h=Z}dld&l9 zfBt{)-M_IL|M2_o+~E9{n;Y>M8FHJLaGIDf7<20zF|g}1b24yq zuyZqTvltt(v749xxD7adQwRKcDH}&8eH%mL?>`SUl#affnOH*-&^3H!myyt@(VBhH-`$ig?^6h{jk4(-mm21 z+rIaU;sSzn-?H_T-R+E-6!cAvnG}s3ZJiwqjU9oP_?F|R_#KAjI!{Fa{_}a#Jn(p) zGegV;YOnx2Ucjk<^NoGr`30N`xPVCk=N|?9_w&mFj~CYip#Z@1i|c_ZZ4+~GUtABE zvjCISmUtAAV?-%&>i|c_ZpaRc9*8_lh)(`_g+%ND5x*p&H?gd=Hy?_h2 z7jOah0xsZQzy;h3xPW^B7jQ4&90^k-23)|sfD5=6Z~^xMF5q6k1>6g`fO`QKa4+Bj z?gd=Hy?_h27jOah0xsZQzX{R#*6+`-;zy%_yG_||5?PJagYEIOa47)>sr}T7Y(W|I^O`{ z-@F)PgJl0U&#Mn1@ooR31ppq8lB%J z>8n(5Ty(1Zt!2Tr=8M1SQN?xcQe3U#+?n`q#s$Y;_oxCnH2*{e5C;19cZB1wn^bX~ zyHi)GUGHTZcjm5A0r*eH#a}n6;yQOF|A`6?AmQ_` zpOL?5QpI)NHsdN4tf2ZU=XV6?U9euOciCU}sNy>JqW?|=h$Fwwu=wj9RUjY!Y89Yh z9eZM2$YWn>9aq-tJsz5>WRVn~KzeRwY=)YG1 z42gaqxPhe8PowkO62Mg|SV2vtzuV$^9Qj|jr~)k*T%`i=!~FeiTyR{ck@MfQr~)ll zT&?0i9Gz@`-J%M#SaP+B-$|BhKO=wBq6)NBbG3@g6(7F!3;Nfi^KV*IffkCcR&jX- zzn1UIb}1%e`#B~8EmK{o0#vo_`!jMq6_;Y7KeVUS!9@VhOpM{r+?iP(OQi9id4SF1Q*;{2}STDD;2{&DVP1J-}IJa?WiPyQ1X*CV(u z#YDi)_CKrmvxuD&v<&(m+2T@6#0sqc@RKdR%}rO^;$3&oo z;j2_|f|h{)BU@aGiCBU4AAYjMpGEAPpoQn}D%h?QAF{IjI67H@^&c*)_|y7Dte|ay z?<%hMj9dzefZ^89D*kk}^Jib{ItB1pF9k)cKR50LZ3tX7E&xBNxRwvj0_`&RL}H$ zY<}C40N$Q|Jz20^3PxCd?w1SN1-jZ2zq>fs-I8Fr6pOF`t1kRxi9ee+frUFR#bv;f zJJ&G9rC5aJ=iM352G~`m_}%0D_v|!qJOcMYfddh^ZU(F_0Q~zO$m#Qa(u>dkpOVvD zz%9IUyI&%w&$oCljHn{XkAHYH2y*)s&vMf3%agXMbs!AERteVLL)Iv7z3( ztlYlh`-D1#3L`H%&Uj_?ITM&kApR8nw&pa0N{D~6@q@3mcoAD2&2t{Sf{$gZ=aGE? z*gmx>1h3Lk`x9e7cvYSZW;6&2;NqVgiiUoEGkg4T_8uRnaOlUeOh!v8+JkJ^6`7@g z{bxf@-Whmt=e8YY7SbX{JoiTxfM#jHImve8n6V0ahVW25EriV@1z93rx&3e;q3R`J zp+fh(5w(m`xSl0PMSIlrY=37Wtj{ef!*w*eKr)@$mey>XNTVmEm;ypQ zb7v7}tZpoXO?-3|d?&AorE@lFZ{>V+CTR2E1mLwf+tu5vXcWlt#|nv|?Hn!4Z{)KF zjz;#Va589rtfi3cj;{p_BoOiSGPo6oEuiNu4jc-rYLiXB5xC*?R4pwJ)@>}sJ(qSY zom*`sglibKvtaGZl2r{RY;jaLR`5n6tYU9_)6fe9VO1DkWpES>GIN={l9ic8beSer zYz+uRD{C1F@zQ`{1y8#QdxKhV#W+O|Q+=0_ApDV6+vrsMKyZ?6`3;ela}EO(-9CJ_aJR zY>|C%{_e;-34NCX7~D^V`pGYmg8ay$VMaW(O))LjiNa;X7FmmpXoYPLGzVW+1m4ut zzdbM7GfumzCQWXT2Z2_rjEPBKmMAKOu`3z%0HtC@7}^ptH~Ey#&4=^A64pY+!%T5B z;I@ASOkf<;(`JqJcQROE3c2@^up*VBq>;#3@ z;rsZW8yvIG%<-8@XZ6_`g+yTeAFFFugkhp$xR2(rzJL_GB{UQbtM5Sw%YGX*PJvT< zM7yBIs2%nxfKkJ}AER2-KEkL2lMeHfA;ms5p-na*m~Mv?Mced-r-{{G-&vO`2ve( zjpLm(Ocu{aJw!t-uRK0O3G90;ZNMvVw1(q8AHp0ppJCMKC))TKA+JT-={1~hep;dZc63b@RqE#WoO=L6Z-aTA(6MfSm7~UR) z<2p8(dANDGPk?z*IRPwyk!9wwpA zqkr&)$%HQ{QZeufH)1o=Z1Rs%MrR!wa)?Xudj@HPR0;`(zlIB#s`q3hY8ymI?M{6`#OV3rQT=H6(Z`+)(!{jr z;i;I0m)nZS!XN42n~E8&=q+n^pP_OjM}>4dSxIS(;-J_rwtn1U&*xQvg)B!M*i)_0+yT%F&zS zx%31E12Dot9&eL#>y2+$tbLcExSl$kMR5 zK1pYO_9{8awfTbsf?8cx_69O)#^T-&g}qZ{Wz#PEkvn(1=;m^i&7ks1C5&%9O=!%W zCU}8}i#P_=T2!A~F&3J9U@(s_XgQD#GnXF6}`n|a@%W5h!OOMvEZ$ygFvP3mxJI#@yC@ua45 zQWlmVoBUDIkb=Mp&gqA-`{e2 zRtkLv5%Vnc<6K7eTsf0&t=QR2)R$4Dj=Xm?rjNZXY<)#~w|VE5W`5yc3as#mG)IU2P^>I8Ys${`t+9;o*6uUW`duCX+<7Q03H7 zeTXgD#>CIoXYOk8;N=i?64c>v$q6&BG0pYz%Z=_vSbnSqaNOe$v91`TlbZgbL+D3z3GW&NMZ?{GHx$Xl)^sla`S!C&Pc&?&hsnqtLC0v==}N3Eqf%Z%s|zfM z2Od~Qa&ZM7>Tx}vizngiPXSRZg<+_jxtwa+>Ftr5sTtmj*zY+TzOnXQe)-SCuvb&Q z%2>Tz3KfVm@%1e>N66-gEN&&+EqzIu8JNs9L;^h`SB{5RV7RDtsA!p|)$*!3ez7(5 z>$sMXtNf5u`U0BEA~&x{g=LT9J$Kco4g@`Ho6fp-bz)34US)k+hsmbh1@K~??4S;k z7&qfc+kIL_rBtrQ&UbnSiI3Wh=@UC4=N^E7O*q>{7g&FQB8=EKp_D3GF7ReJ!D2O$#!&|n?o~mt>eiXZ zS-b(8K(L#Fd2ixo8HlCs4^{=I>xK&c>`YT%M=HbKclA#&K(5qcx^>CH1# z%P>hg%t8Dvp5-~)pqKr$9u3L5(vJ(}%t?^>@s1KqmrScXbQ^dMaFhVEAyKyl6&Z^F zT1D+f%?I^-2O%4r_DZR&{H8|hx}_>dr}Cw5lavPNkSq#TGY>}y7(721^vO}--_xWq z?0z3tBx3Dya^^`g1ocSftB=wv$Sr6xpW512sSNV^N}jE%kd1;kVFhkwotvDIjeXBH zA2!u_y{1QELUEES6bLdrk<0AZ4m4f;8s4BKn_e8Pp8Sw}_dWg?diI@(WjiZJ?D2u@ zexkmyX(gR9ZYTR2DYl=@x$9?L3YJ27%Y*6jO6L2tv2}C@w+(n3rAx_s-}NGG*`OJf zCV4}($xjnB2)N0d&7ADY5lcuh3mIWTBb3Lw-^s2*x%FaSY^J5`Xv;-(EO(S6 ziH;xV)fmd#{5u-0an7O|?QziWz3w=Nl-;7fsU0mZ(WdClVbyhC`cG>=l2kN131DGLXPAZlleJO72ir!h1?9nrL=6 zq)1f1at$EJmuS?|oE?14*oZI|l9vZ-O6h2;06A3Z$R_?tBTDuToF;bt{rq^LF^8Hi z+uo(X9l+S98mavNFn0xB@K5Ln(Gu;guc3s+QCXh`&dTz)pt$2RZV@?^Z*F41+}9g2zv3E7kliv5_%0}t{n zg}`B)(-lbB$O{AuL ztHK?DiN~tDI&ZQ7W4`fW8D;iOwc8CLH}4IEfjf^p3zg_R9&bh}Z6I<*4WvL>Q)eYw z@D`GmkbvW%l>|OeL4A+ni-Gcg;0vT3{W8~KI`cU@Z=8EWE2c7d!roLnum$UPwIF8+;m zPkB;-DhU{NG(MSQDxr`QS=^7=ew#awUh@*SMp<ng&L`9uEiu+wGGjh5u6pzNPQBCVGQSS75JREBGXc+5zF7>zx@YZ)H zSvI~naTalJX7UT#z~1c{`{diE!J33_Jq@@H!_lw^n94qHOaPyPPj>eJ!USB013>x)xiM1e>qUj$hSZgj3L zgIgf#IS5yM0-GSDM8~nIX)$`cg+iIp|3iY>?FxqiB!fWTzGI6L#r zY@YJ>VU|yB7h>33m%*o_4a3vqLkAV6^^8X?-#n&2Y{7wQ=8IrTwfx+E9{{DoG>(gC zx?3g&z0x(1{0>R)1QOf7zcq-z{C3r+XKat}%X=819V;*$k$Ep9n+qKpLhbn`YFUnI z+r(EK=DrnNNqzFEf1{iY$sBU)`TT>7#374H{L1__%qs3@n}V;%J)u6cr}4TWzgkP_ z?jrwq=+fLE^+`J%^)0J}GF?5zRP%z65sC5*nohA{RepxphQ0daU98kwjoJ>vC&E@W z69G!|T!UjLt>Jp@Ahp0=5gC43IOIH2<75CD-z4%1!m-)Gp z<8+2iQ+msWT0-v+Wj4R&B#H-3lSEa+RH{&=w z@9KraGU*Z_ex+*ydlg!*`A04t@ME#eUtIbxF8v>n=Kr5_>4wG}`rL+w%s?*Pn4N*$ z(1e{qpM@E~V9dtB#cT{P;xsZc{wpq>l@nmX4d7s7VCLWe{yZ}qfI;7YgOfp@jg`}o zlbwZ~gA>HH|KigBkHPu>Jqq}L{0si=<$qb=mj!-V;Fkq{S>Tri;QuL?4oXG1$UFGX zrGtETVA=QInluB>JMDhYp15ez47kYOzKTl+Iz{KXCAV#CY@Hmn0HD^e!~jrZRN(PE z9SL|mPyYZOLA*Ku)X0h$0BX(%Jf0_>0*@CwIH>6t@ccaG4|oLe}L^+3%# zfam9_7QoaHQ1fE|s9gZ?{Nj2b0v~vOaXk=f4?Mrncfr#GE_ixixuI{r7BS$0rw3f{ z^neSV9&o|a11@-azy(haxZvpl7d$=Sf~N;u@brKSo*n>7ocqr?aghBlZr~+O8~`Hs z|C?03zv09|cmJQLxE`(k*Pu9P>|LdT^PavQ=k971zbDmRH$&}$6#vZ>z%DdDiTL(V{R0u#g61zk z@e6XF^Jh>TG~-+?;(U(zEn)ClxvUqQ_yxJo3Ha6@^oQ~Rpy}=^5uBij==-3!R=1T4 zPW(4!p7W<72B69IY8AhyEnb@s;RG=ezp3E(sfq#Me5$`%#rY)s-4@roBbS(nKXBrp z7tKFWaXoVX5)<(UP8{@_yHW+{Mf4xp;t~_V`3ENA{3Uyp3IM3Q%YUQdZ#Z$#EB>FT zxE8tpH=H=&+`;%KDy~KD{|zS&a&N9u!3lCie!nBYf;s>CRmO3NiTDF24sx!pR`L5w zC;vmQJY8Ah~MnIh5br9`KOau`A`RR@NV^P>k z?j9$|5&b?c*sj&~{1Owv@dqa2$7)uWQ~*F_puVfP)(j3TD)a*r!SM$s;>XnLODZ@) z&iJ{C>$*4>pg8Nd4!*!LM?b&Uf6P9=qyYdbg!SDLz%}H5|D3XO{mAS5krV&ZVo_|M zGF#tOT#F822XW%8z;@>x#O&vN_I`A6&LgR-ACSwhbxvSWntwfIv0oxHfZ@c?56GXn zoNS;sbOsW`G6-JI7C@i9j*rRhD1_RXO{9JFfM3uwMc**#7`#oJX)%so?xC zAujg6fyB?_=c`p*hCVrgMS}kQsQ4R5{QRYPl?pacy}9pq3LaN`XA>gceJxhSfW`Qih_J?Tb{9SdGCAdN50>9r5wrlk} z=lF5fWCJ$kyd0gLza_3x!3HWi_+14juu{#x9-4ngi2rG&D9-cBG@ufD-~5VeJs@nC zqM<($;^)g%SKH$9>MADh?qH-WeJ2fyNvg!uXNc$F=F ze?I;_(e_(6P+-Z!-zpw{L)tDa7Mw4!UI_TVM%u1u0m@Cx4XW1pBgh6?6TT4j=O7y! z@Xrq*+oqO=EuIA0w-%tC`nT}EOz{hGKCl{#gv7I_hjEwO^NprSzNzRyK5oA^YD>V+ z4;vH|S@7LoqO5Nexg0c2_hbmodo%{P!*|DA3 z*{08>wI{;~??^}t=G~H(?U#s;-YG^Kf6N*zoiHLz_N?F$23EEdO-`tRhqxY3@ETFJ zURbPB&hYynRt)J#su#Nt-T2RlJJ2&il@XrMVvN?XRPnJ&K5TjTvGJMUEQFP&kcPW1 z+w#>Y!TZ{N#CGI_~ehkuGB(@8;h7yQ`! zsRn{_dQq+yM~aySk2y44sygL3N>Rv2juXpUbZcoP?o3iEf;?Kc17Kz~1QfI?6Ni&( z35DKWS8A37nG&*hs;D2F6Wfd<#wnUx^$5DVKSjUA$s)U_IyfLAHm<1y5lg$8ox&*O z@y2q1U70?}c>Ata zZLRLf%6d%0h+$h{5^5=a>vMG`)tfI~NkTSi^p3Ol^B5XH+u%=XlSm)H5;jtcofbb? zFMbna&0xzWtn}PT|FwjcoiZh9)N`uE6Xk`Ir=lxdd5<4VLC!_y%`s>oH6xBl&2s0$ z1;B?a0UyPz_5)QFdTcXl0o395ZB_X(%9Fctu{Dh; zEkl`SAra77YcZZJJ6nt`aU{jHS>~`7&*=607KCEM*J_=3d7R)vN-4>j+nC^nF1CNM zqPUefzqA<$+4Du+m$_MUDHlApg!l0PQ(8yG%ZL7Jav!^0 zbO^X0%#LF_SbhES2*ZBlKs@G*LHDvb+#Os(|E8zD!hTY%=>4g1?B?pGA$qTUkvem? zNIAqB2eRB#anP+36v^i4e83$a6$!tkg(Sosz(CDv$kEaOfqm0Cr%d|7w zv2!T4Uu@OhYXu`CzOnV>W+u!c3E`L9F5tb98kC)r#)iE@ZBJ6biRaVA-I{7V0_n+z z=J0Q64@;cNNpF^;2@*Y!d{RH!;+aMggUIKBj%$3+ZgtimkP=S^p)BSNKSlcridp)U zLeEY2rmw>j?G7Ke#9!4lXzHceFBBLnuBA&wW4F1ExF=EL?1ZCeRFBpT-!i*dYmYU2 z%5LIEPDvR89!B%g>i`T59VrHz%9e&@q?!VzPnDj9atN(F<%!1aw`SbSM$_??cgTxn z8LTHUp=o8%Jw)zdgtr(}-pRb@FW^#TpDCoD(&ne6$p|cVm|#Koa0pIown>RC;Xq;0eL;S6@^{4>v^1 z6K26iQc?V@Bj!#*Z2@d5rrV}wjg|CE3Xv(tx5bYP{BarU#UAVMn^&Tc)MVcAk1S$P z8GhiOHolrmEkZ;XuR`TAcbBypJv5Q3-^ZwvN{&Wxny+-OSujS*a#6}OWQbo1@9BQk ztFs%(0JS30k;nN#5NpBR4zY2frr5bXBqK6;9Uc@ngd}Dd8K>oJrr)gC@*F~{c&WN9 z`T*1rp+ok;;q|L&+nyGnHo!`xwLQpQ4sl}>%&6Ckp_@a8fuSbsgAg>=f;A}l1_XZE#T=Jp;SZwkHaPjkR~EYLtUqk$Doj}pqG==byOvX6cM#dYRD8jQ8(@o1Sun zwHWaELdx;3JRG^B=%W0j0hv5S_}j;iWp?ao1ZPY%$=la*PBktT zC%7TK^VFL534l$d>x2U9nNE6e@5UX42i_jcR~m-8z}xG6IQ@1hQsV#@Eu?yX zg7{EYRy7Mo|B*@>T`7YyKr?JiQRUr%mZ&9*gr%TtR7TEwBPABuywFCi2O~B3&^`C= z5QRx~-=~-{raVjhW@B-WoZtS_5+J@_BSWjh=PioOL1Irr9Sz~LB zRz2}sm(H6pURkV9ifl1A9+P_CEVQLv(^6S=YDW!Q8)U%^lIV|I+smdkvIv2ffX;Q^ zWy$rh8**rYsge28o4Jk?l9)Ym?CqMW_XUZ_x$db;1N&~-zAlzdO2&hgFvb{&*c{?A zj8(t5Sa?a1PSkG3no$3@+$#6muypcg6H(|mKRoS73b7SC2!6>?eUoaNpy;gK)K|4i zWT8iAEVbV%joL^{eRfKts0)_}S1@3sqE3gZ0eX6yr>L=fqvEJwgKx;WgSS?WYmo}uJvvNm?x=0b)uko9oxo2$G9+6(Aw&)> z%pRj~hMrr}L8*25cRza%!C=CiDB3@NrSd$`w`G*1c6?<)puQ6qCWI&i66)CqUN@v* zKz3*y0cHogCqaDPybeLU@4}p#gmY3h>t1AJKCXjuz^0l+W#`?81d;1i@TM+QDvEs+ zgjsfTeNvd{4U{TKsxPM=&5b0oi!UXz7uw;@Q5f~#o_iTE#8}VR)xE;b&v|&v_l$dY zzPCFK%XH$&01iBofE{>eCRy{Dh<|!!nf=@`%P9=JAoqhIId2P2@9F5=v}mh{`3RiQ zdERJtn~*XbqQj7T?@*2T3SZ*A_xUK8O&Yj9Vr!_}N4!pWY;`1+LHDe;VP0Jet`Pkc zU7?WL&m`T8vq%rr=;HJF^GRFgWM<;Rb1jF`_1?v5iviK^Z zoz97T=xx*OEl-|iMO>Kz6_1TkkI`-BUU$}<45l-+2jF098Nz3O%%JB!?}2a;O8v^9 z|CK@i|HlmaU!C3lHZl93eZ#M$#a|ZqWr1H7_+^1#7Wid>|GfqNX$C!L59Gp2|DHh) z^4)*Pp#PDE{odFO*u(aGyXvY0dhUxngo^}vZcs|VKT8Z(a&mAsbW+fF0QTSowuoc; zf6-73^f?#5&ZSJ1|6*6`@9Q*ze(j6T|4%oyfHfg6Hu05}*@-VU3YC>vi9s#m{%Lv=XM{WqeH>ky5TC`7cLXU4M)pU6J00AmIYf#v9`Bj4-HV`RKg~t3MQT+e07U1 zbCFuYuVCsZXg4t!ni9oZNYkXJV#BSp_{ewY`nh!vfLLq2r=*iI^=82Vx6*pGYOPl+ zHoWi6m-%kq^s|kjwfBp}1Ga*_jcTJe!<8JzSw2@h^kq&1uS|Ty*AcSjbIa$kS6$Kr z$pz((&RaQYwiBvr(Gyj<-hwr0%9KX@528nO3U8jh_{7w!)~A&%g3=M9h6Vc~joEt8 zDWSr4S)iL(-n43Q^Resb$e4L|1V>rc{q+nCME7;SUB522?l6|UR0j=0I6;Q~nGXq3 zkMDabe=ewgORKnEj9#E>fj0g$tc)`HmX7byP_M8@q}QY}(D3P7yL9|SM;5&( zsl0SXtWn}9JOXc$^EUzXZ!*#?ATU+}4CN)jD5=;1;wlwi0w4kj$@JjY&0z2zT9in6 zk$=J!V-03O&v;hh)eyk`z{q%P47|;J(q+8R5C!c1jV81wwGl`w6%-^EYLWxvI54Ei zi4+e3sn~%7D0?^WHQ^~UEZZ{s@E(2?9+oq;GS?bumKr34G1qwHo4d<1p?n*R;qJ3F zKjQq6>2fP#8TF3B;pQ?ssjB2TAqYz;9I#K^z@WQ1Ti!An@c-E(J; z@Y(4V%BI+x+F*55XDy8UiJ8z_6z~hs>`>nKXEZ07JU%1tJX z+!G)15(qMgiG|cwXNoKdLq)+@NS1=acYy58cXnaNVdd|s z-BA{`2gxzuPcrlbowT~&tJ(UvJr5^e?}2iDl*u3_qir^M_^<@fr9=)RryS-j$#pTV@ z+3;H$#MWU;!(3xOpRCP3mrXpT8wMMgQGnD2w~Ki0p1#M|sKK!Rszht6iVyi?(I`Li zeh^n^@ngh&Ukp=yeusiLh9gK08*}#V#kKwrv4j+z;IHS--dI>XhMixFsP&!{<_eFG zk+qXciVlZyI(XI%xhUz}r7AFmG2EqjXOQ^}s*Alo{4Tz6$^%MC-I}$G=Z|Qga8*h+ zmBNl`a#`J``XE@iwGNGUeWx0_4W+8%mf!cB+;;}NLom}MoPI6I z?^O2kM2yqb6$1Tmx8Acga9CwWT!6V=gMquV=DvkSAJq%P@}l=c54KzYFL9hYh(l0= z*5_4`RmM9b%_TZdp7K%-Sx}HHrq>x(p2^sHt%b}x@3i?_jq$%Q4m)bfxNlcJv}tUs zHd+uwYacy%5)MDX8KqS^C+q&9H_-bF6q3;Uj5fy%wN6jxSKF9%%y-JkRJrbD;Cgc- z$}X*Un-XqHAV&`S7x-7L?1==O9z>$h+d1l&u~(nslo;>pDFR4oP?|8vT$BqT{2zOYjaiZ^XK%_F5Zo26;p<+t4u7+P-h^!ML@SbTS6H`Fs_(xeJ0Q2(+7 z*D!h^Fp~3V`01R0;p4CGI4ACcbEtSp$R?T~ht(E|AniZQmbj-AjW%~*3r2&TIR)LW zwNA4mI!qy%2TgXHcKSxAc^a+(Zj~Z)_yo z5+|Bf`z3Q$0QR7FWvZQrV0d4L~v4^h0$YkE6(DTH0GG3wNg3qPfgH45IFMg&wJkI z;uwvbY^x+J6dWi_KELT@xHS06mgoJjCG{YkqSK@4Nv0gLcf?|OH}Jl=R15Ak)`to- zirZW2DxL(9E9jC{x3itbOv(@6FQ?1vD8oQo6zMMtRrVACElX z=$^&gJA99i@WLU%;XD`#84X|9pjCU{4^V(TuhA}~+cjJ|gy6=Icf9b?H^?WspZlQ_MFDS3<(9Z|E#QQn%Mtq(J(J)> zQBg!aEg0TfeUabCr<2LqiAO|MA6Q_FAr&V+DJ6a7uj&_D~UQV zGJTce)pq2M_|)=7^!1)e!$iS-@70X$V~^d3a*bM3{9DJd(H4F+W)si6-d4RK#$8UM zuSA8|NII@ER<@C}tKD=KrJ>TnMV{|iDkT|McPop+j)?Rr`%;j17n^TCAynjRqt(~I zdG7R96fF!hZI?UEXO7`=$APPzJRIM=)QyWy%TY;_qq&R$9fKi1@bRJ>;$FsOnbh$r4GwZxjN_!&Kz1 zaa(jQL(i`Zig*jHVN+6EpiBP7?s1sP12nEcRM>TZ;=AYwt4)*_6uxfjPkb!=aL4u# zU>?^{3r$P+<9K{d$15vu?%_A*mS(nVlb$8=Af_+oo4#cf0-?|3r?m%(;2xJi5`ZWn zND8po)*6SOs~idq(jct{r_Drw;z`9OK6*mjqZ=4P^a+8Q{G+@u)9mZUSE=_5kZHqs z6n822;BN~U>obKp6g6RN;2V$ABS5i+V9)*uG~VrCoV9YfE)iod(0l*Zz9YW)ED-3J^7 zY%L{p_K*0Y-)Y*P_@XDi=oeqa%E-d13}E4A0dlhd=ve^VEG&QVG~)hy$EAOE^k23& zL>}YG`Tpyx%gAtx*cv%oKkhJ$EDvW zg}>*Eezs5j)o}^*lc4x@*i$k8RLuW}_=}C@M={S15iEHQ2Yh>&Gh6i;xE8YofruS@Y7D~zas(z{!1dT zpX2;m*1uf@_7`h|pJ~0nJR$#cTrcP+0KaDNKPdxa76Xv{2L9g*!XCY3L4cnoUVozj z`*|OKP4ypZz}P|TT)%TXXwv-Ru-+H#HKX>Ke8o&iKxMUV)$WbhZhHKp!yu!}J93u` za56!79uh&w%+Dv2-f#JhTJ7abu>|BT98B*jvfwJqG*mR)KuK>;!|KXayR+4N?$)pG zOtjLMv7Sxk?G&4y=JVg%IlH-hU)RYcYN#gOdbNF8uNs|PKH`A6#;nE-5G*=jso-R{ zXnBD+)j7ui*GZ#v3Z39ov^Dzb;j7M~DECP$`^#pUvsd;}uDep#xpx|C$=M7tj?6JF zpYx-)11$=%^S}EHKS<+O*gy)V_YoC<6>%$=TR_J4zo@9dbw{qpj z>6TvHmgkmUSjVfCR&OoYD$_wSQ+^BJhvcW4WMgsU)@kUSyFCm*l!WtwYe zl+$7DV~*)y(!w}CmXDfpBdv|m#j~h1Hmo*mHK23=9nX_E{rZhQvl66PxFxS}wKX7Q z`z;3H#gY@i2bFy2RyWTIQT~WF^W01QgLRsW)&x{5H?jjgMfI#5UuPP!^H4DBi}^8s zQSzaX3nh7(ntegGLWW?ZXt)6O2sefO2u&7wmvEyA5IUConjk^G(+JC;o409fmLy*E zu33R0r|OqyD2DWyIfdxsVgUr$EkHyvL&HoqL#IsPvM!1OG@am{cUi&s9j=9MfcQ3= zNMgYkQ*+CCTxmk7CE8bK*~k<;YaTT<66#4bE-K0n^XdX;r3+5_NYyYUZ}f*w+Qf#m z46O&8rS1m4GRaHi*8-&%l?x|j*5{EXCCH0%GpR6PBEuLicDG!{3K01&5a*sa2B{a2M7!j^zUx7 zgWSZ&9oeOsRO;pe8o3{`O5Ah|y{z4`=-_0+kU(Z5px3uY?td}lzhJgX2bdD5F-pc3 z=EL|Ns|L1R6Kst);T95GNUAG}>zLNf4117>=S=sy_qD*(kcws5mcy{IEyQz4Vx)&$ zENWKAf>)l*$pvAk@{AGGWCj3MVMe0=QhSw%1veOFPz0EE1rEj^Y~6{tggnLJ?uofNv-=pvr%xT&S$r&(D171 zuFmTE^;C@|6GqBgt&T|h?xnY9<**;W$9s+UwZv)T1Husj%HWc*ff7+BoqXYk=RT3T zK}25(b%l^#ftjc2LU6*G^x<1&k*y=mXnT>*=tmj*} z4+;N5jvr}Q08R5&FdmN5W$r6jzhQLx9P(I30-cy!Qofg+kC@D*a*?}F{6rdrjn{Ej z+H_-&sQc>#i}Aj9b#Z!ARv&yDyU&E^_`=ILpV>$QvOdOga!Jj*i!0AbBdprP2S*Yt zbS0CFpKUycmlwxPBKUwEE7H80Yn0nl3!t_&>+QLv!8;xGm9IViybzQm`SQD9pp#)9 zBSJzrdrkAZfC>UWb9WKV5_oW)CCS0^es`CR-03!A0s^NRE~mAHKEcf*Q?5d@{cJQ7 z=V{?mk=QWr?Rf(!3}PEoGKkoC6{?zmfgP_z62y|-z>>&|8;j1?XCiotmDZm$!7*ds z9ek>b9w}1e_aL@u{k~tk-D`1N(6AVP`>}?<{77ulp`&O+9B2);+6QZVb7{|w5Q+8% zwe6PDob%-7jhWauU=FGQITn%?RuV;99ABHW@wOq=`ip~tvz_G1=kwdkBR4@IL@H9N zH5+m~>S}!tbEK>Bl8??RR_=BmXBpDKbFW}u9DU;?=Np1u+t}OBd|2PjMgGJ|ENY7_9a44XI;#~Y2Vg&a`#iN+N*oQX3Z$zYR8Zok8~>2wz%vqd z?F2!!vs30)@}*N#td3dIWn8iPI2TjRP(35OYhFIxuWE?uq!Ae-B8lyxGwC^2e%DC# z-F_wEC$#Fe&^fN61zv}t5v~~b{R^e~cK|(P}pljuQJu>@1BdN!3!=#ZIsT2)m;+xeY zV{w-_eX;0^IFe&OJ1%@%ozJT@a`m25^?kuVt3uyM|>$xWp zU_6NY3adbA8g_3Hi)hLTBRM;2em^#S25+v{POfqKC=|{DW9^vKOyhloRmJ+U;xScw zKnEwLhln>~ytUEs&tFaUvc$dBwYarSl_91~Y-+whYeDjx(d+Bk9D@qQ(!DBDU!&$hl4Ek~(5R=AD+GH1n)u-+35 zFBSD+(AKN|Yf-);d@JE9a-=tni6b`>dRmu<947&*q_GlER3aTIuPJI78kE`9_~Jnt z7sg@^7zyPTyn-O!l<|W2hVniCZ?N}T80Z&*Jm?Zarqjt^@t$7skay<2?d}8CfwC7r-p{r_Ofm1 z`MY6S(MD;hs8E7ijbp$%sHDVV#&OJgP)t|_Rj`>&c&rYOC^+?tqh6wvzqPv}8G%b1 zz+xaf1Y*d|DN2?RRcwVX#+ylgqcdXjwe3#C_pRK>P_+;}aAL(yiyN_RapSSkDZ@8+ zSLlXl%jfait^tK_8>UEG_xEwh_K%@4ksRI|6~=Eq*AO}(st60KipA5=&Lms0UZby{ z?X6>gbwh>G(^>m|`^t^j()IJyTT92s5`$rRuoEW)m%7_&sSCez1}YKOp6U3rd-pq^ z-7VbcL&yHbR9`9Hh_+8zW{BSp{QCR?H5qhi9&-R+3$K@6Y9mNcKXkU}YM|xk>3I^y z1hmgFFVm8i6J5iiOnXGFNz=sZC>`BMjw^Al9-M=xbe5BVs0~fzE;hJ3x$?Rp0igyh<-GypvZnBDOkRHzw-G+=so$8U$jZEBEK3fp zi=h8*K(Fil-MRQDtRh&OMzGvd@hmD*-#FUCO$gXlEhg@wdx>;eqN=nbZ4RCYQ>|6n z-Wezq_&YvjK8C@fB7+_7+O=(_Iphp>(_820!*)QAtuRxBVzv$*9`9939soo5PEXxquZmL0p44!XT=4x>$A`g)i> z5KY^ZK@O+?MslrxRT=?YL@rJ_LqF$j-;sOsb7oz@iTbzKFrjz;!Iwqqb`HiCK|be* z(C*z=sA;ZU6-n2+oEJWQ&XIXI%fLl`Sg zrZt7`v(t(i!GO|EPF24kid@8@j>~>7c-#p?%KrSOh6qGSr|*ORYG(N660Dj121o8Z zOXlw0BJ`Xqf$5=mG^A%P=qQtf*K|g5&G+g~1!nuUJ#;+fJicfyPFpd;qW8loh?rS(ft40ZH z+~Sm7fFXUS%5%eG_5R>|(n*e+4Z~fx_#8y5&)M;1vUyH#)LdX~ECp$4?czuRC$+V$ zM40b`l6e+V`WL;+IVS6szQiC}*KRJ0Ux3B&fR}8(!FBOrHmb-nJr2wKdW-EcZ>BA| zUFENt0QoUS`;~{yV|@JxWN@cG;@F{*R?mlZFu9jwVT3dBOxXE0{9Y&4eFcS!t;l(C z(EtYV{-{;Q_!;g&o_Y4Asp5SlVDkJ};i{0G8l#ql_BnRQ*;H++_K*l1{3SZV3C)(YB1sfw?Y={9F5n$MD{W}} zq`HXu@hV!lm#6Ybg^hL5mEHy3*kjpDiG^zYV&E)kqACTw$jSMBb#PhKIe1U{@xLrP z*X@lkOO|lViAI+jxuommwVyNdB5VSSjaZ8CMfBG&jxIu$lS9d+QyUwmMaMUdL5IdMoywWo56bDy?Y~Z3|Pqvog(i!@Wv~C>mev)v+SMWIJ3qs#D2K!7upbhhqOxrOA2BmS%mVx{Qa&DRU}Pp3z_pQErR z=SW{U#3-C};=j6jVb|qKDW$D@rxH?G;w4FVg!753bG98^{lXN`3aFI0CrRp{PnKJC zrBZ!H6k-!j&HR$YuspR(;Sg&Xm}*c?+Z|GgX#LqERm%{Fy?2(=qBWrM+!!Oj3g<3L z%Q(_YKJ?k52c1S++#TeHcKF@VolbyGL(+Slr>9U@+A zD|sP9ugHz(!aRg*zYN``?9FZ7N?j8<`FgRzE?s=2(_>2YbzSBl#U9KT8tN8`Q8Z+a zXL?`j%qdbO(Bo(tsBPTswmPs`umsk8OprFIjA4bCX#^hIQpDMofbZXQ<(kAj@8PDxgpea!=xJIAUmjor6kW^69lg)W1_ z4LD1*S$`d!Lq0lhn&?;PGU*Ds66`$f3m{m$@zYP!(X?2s5Bg!Y>3)HXJyoK6LZKae z!=I>=r>9glbzk3Pb@F{1Gc3GC@?W#OjL1>X?5|rF$S~PSGi!FR6}$th1-aVcEoFHI zns}@dPDZr>gKqlW2>gJ?#Z&o%#YwY)&m8qq0Q#G{?ph=PgYO&k6;|F*hCqCrV(Q~8`-)nYqxPzSXCU8@qA4V<06g*sC z@a_AM>Kk6~BYfy%&NcM-7A-czad6$fo;%1a$RxSzWI*11b9h>oEJxY)foVti4?ehS+K%Vf;)ZFI}@6WXvZA4B#JxhZiPxw8!avmXhk~#TpcZx#zZ~-K$sS;NI`v z4NQw<-Kg@u;kPq?VSrL!|89sUM0o&{o>^w1vwpYliw|zJTdPTx8(&f@@<5<%0Qz@M zvrO@u%5xnO;!4BDZ(%Ri+~Sf_??eb%N6p%{ZEp^tMyvJi$5>HlyH7%IpE*FDDROyU zLV0|UWr%wSrAP@#P(aIrk3z2jd#7b|xJs0Dlj#Yk*ti-Yv*7xkL`sWx=c}@O-9vbi? z!|B(?+>?ajNkZ{oE0+J~_0W$^#Vo9*h9E`&y8+vy9vW!M2r_xpQ2lShX%5nK8DmjhRZ3B^xF{a2O#7ftFT&;B!i^{)~N5a5UZ%zp?1ekIU? z0KerC{;`JQw?vA+Xed~JOMnHj{+1{UdJF;kk>m_5K!&uI~$$1Ky|0cd6Gpk!xYWWvkKEbPch@)O2K#e=A;lem)8 zV|(A@>*7j}1~@-3`b`O8q-5fx#Vju(#;k1O>ZHRgW^3d0c$Bj8e_^ci&lDAaM~hR? zV`jYBE zFO0*WWfZFLLX=<5%P!r}-|*Z#_h1s1tdgmxh3H&KT^(9YI$98~#+Lc0yCq8PePzJ; z&_Ms{=wzy4pwU_9{%T!r`&H~*u@tT9!AAbIF=qxoX}2e+Kbrv!a5qk3A1(RDk!r%c zq}!7xq}zfud<`hu-ITZjR<|hg0%qF;$f1B$1I?dujsi=yBa}J4${u1YAH*u@PnSw( zX^5%aK~mM8imm%b3DJ`MNUcH{rkqe|%kBj6xorn6D%;jwC>%I}(pvCxeeXACp!2s8 zH{FI;Y<5?@cM1KYr|<;asvYgZd1Q**gRE${2_}VR1N{zbf~%KQAvw~7&98Xh_r|uL zy)?BqMuH3>p$j9K2o{W^9mozys^j%tAN&j=Ox2U5?CgSO-QrsNc%r%zl@}o*XK*5L zg5m5$0%|3KT5e5Go9P~|E8zw-0%P0YN;ghLLTeKf8A23EjRH)w6f0l>?|sWUN?RX9 zmGyB8qtSfz+3;xr=NK)4AFHc5(L@o|MHCyl{9UJwvl)x}UkM-x#&pm<|1dxCioJ=k z?hK+$(cajI9F>liGkw}WOITC1QihLDg?l0inhqK)YCs!e6z&BBm@O*5YK6u?9~G{3 z5U#Z=3>TR-#druel|o7X>N}~GLhU$Q(={LJl2R450hgzBE3hgM zzBDR|#w<<)`j#w&M2t=}lN|H8!FUS=ok)9xHyob-xpbEur##0OAEeX4{#$aD;=cA6 z26DoEj?#8AO4^CcIBo~lV+vGUNvu^^q+;%g+|IL>i#pU@akS@*!8l4-*DBgRsH*M!^A%{??Eycnh{*sRS_}c?9WF(fP|| z*js^9(l)`;Gz>jfMfM22p?$jTlnQy$^kSHTQ~F=9F55pE1VHyXnpK1zOn1BLicFYy zN#?!bp3{SAVw9!pZ6GHkNpg1(Htw-ER6~1&-^N(Svvl*jb_f!)5$LZPGX;i#qWLgqiK8um>k@-$L5`gx-715i9(N29J)T)mVqJPF) z93O%24vmPFs+rY1z+2>JU=Y0H%EdGxw5~Zu0_ILHK$1uE+piZs_!G3Zv%(dptI)>F z$aFG}BRY z+$q%mnA5vuxx+xfg-)7Rqwg&N(Rs5-xOQ(g-TnGciM_(!6axTkYk^HF`?71$) zTR9SQ96@AU3U=jn^*MlJAIY#_+S!2_We!0*%RXO(I`yTlMV}OSd2xA{R}o?0+5VVo z!AP{X@ETf+d8P0B6$g3-E3~&z)*%%L<8}kgP1*Erb%j?+wgMpDrae9IJ07A7Hd30e zE(iRINVS<55zWIPSGbXLIRWe*M{x@sg2{OMcac%D%|}`!gZR=a z%>dI+7e-NPG{zMu+c>_>k_ST?auEP{WH2 zjH^x}`UDFHW;^R7gyDFI^cs+TEQC0kritaA2=hxdg!qaHKA6`kGN&IY`AFUa-5^RT zGi^VFM#!D>i;9|yUkg@W3EW!wqauP(P1R2aJT#P_*%&wBFP1i1zImqPL_1&|tn*!^ zJ~QO44>}nSp3n{?1q~<*b8(s-5k4rL-d*dE>-c+29uJGCE=naT0+@oT$fnv*j4WCfOap!FMLSyiYi!z4XRyr) zbwO4W1(VrV_^~msiHW|ipuk{k%(~x3+a+7K>fSIf(Lumh5+ltBuD|j;`nkLP+QOD= z)>{w(B9tOL)DR4r& zSx9E+*Brfd?G1h*h9rXiRhr6!1cuMDJX{URq`&leJ&H0T98#Nqnrj8aJ;fD#PpW`` zg;aZh{!3Y?x&;K?ShbgivQT+a>BS!fz%u1wVD0ytOA_`9#ojze>3Ppy05tU_r%mRl zoGq;fnOA~WweN@0uq0h%hF;EvIpVz{IW&qdXuud%va-Qyis%gQ3KO=xtSA&@u@w-W zjmPj~vq)k+kqx$;p=>sHaJSM_&_1ouJWf&FkA<{DMNAiCY@2T|sUjQ6m!{{j*5s6u zb6I(VvJn+eV4)$@xq}F7QWb8_ezlb`P)T*?)Okq$k?-9C66L@cc1qVfrnZo|3$Q+a zg;GQZPBR?d<~dhi2?2|-%;g=Nb$X%Ua@4`(%P7brqb4t$^{J)Po4aP3LNqE9Nc_WK zrQKjmmo5(EwuqIs>xf`4CxN2Pk-O_?gp9jxNRQ}*lSUDV_)JvTzj&XZMv` zVRKVYa~D4VN+_&1`{!Om)MiF=|0-tWZ+9T%EJWQPTrjQ7QyTs+q)ZjjxGGWXu45d$ zvt!pmY%SG;CNw8|8$ z%4zW{{84>Om2dAyXKn9_pcTsb-;6C4u5{16c7b0eTLb9LY=A+qoee{z#pDoU#kHHJ zsj2gMGv{853qI^+;;$jRDO|BYR^j>t6>({xP>qT2yDc;I9(nXi6a5a-f%C(fB@&Bg zSeI5y!Y2p4y&KdM4;n&UmQ9(6a0q4LW@0}Ad2GII_tz<0X`hTz`h>$3p6N7Z5_^;n zUWjC?9>;!^eo?dDeRRb*c`Vx2yRzvnYU9`m-)O)f*9UOlGayaQ7uWOIjh84?&_%V4 zP!~Mr8W1HW%OMh_3OP={RB|$5anW%mlrCm~9T| z$WUrU{%#yM1u2a18Hj&L@m;;S)7PiU(`cKsn!{GGXDeJrPDvgwg*+ z!sy9B=ifxyJnhjvA@GF269P{NJR$Ie!2do1e>!mCCtvWZO8+Zi^s{#VUEl&6=kJR7 zza}oQ{^XVZxapSlw}^xPAdG&JB7cq;`jxN%VqumsF*Y|4vUSz^K{s)LIG7%3A0Ruc z&hOX_*59!k|8^qiuidr4$AI#`w%4+O0Dm)wzoFCqjcm}LidF!yu|4uWzsRNEBlA1O z-aqDj9vvV-zvF#!H6Fvm`_X=ehbKYL?%#r8A@;@047$FZ#frA78i8aStV7qKSHQnr z-Y(uvUyUMAtb^=KE&SS0+)&iO!PB6pP5xW_WB6V}c^IO5FN}Mg|qYZQguhXwRpxwr))KUQvT#g!;^| zYppeQIi*^Z$9aM)|KPLKq&hF&sZ?&z`lTvY##fUi*~%A|`QVY*NWs-E$;M__rAw=0 zvgE2{rxkD8lc!x%YTpgR8+322`Av_0-EQHyveRHWN<1q1)@&U8QS?j7gzbUHIt z1xCCGZ_SJlUWy~uM0aZJr`ANzL}fK)DD1c)Q+#j&#i(P{fQA=BdL8-}IFd+j;8n$< z-#ckSpYZeA%${Ss*$F+8(`0sboYut2L-w8B;WYJ}M4~wk1Tg8l+kD5+tW`?uiVh7 zZ_nJ_yR&jgx^F^V3x^dWXayp7iqU1z@p7on?}Jmm3CbjZOhNmtpeS9(<2kq6{p#D9BT=J8C$F>8OG1Z1# zP((yrSI=0BKNu@5RY)s+bo_Lc<%%Rl54#a!rEPz0w1N2d0SrX2aTJnrLrO*#Q9Og{ zA!-W$m(YVnqMO6uo6hLm9^EC%tN>xQ-gS; zxQrN3F8A%Ebg9C^CGLwScu?eS3CfIpS%3>29ZRcCgoth!1nsn^#qGKKO8r>hLfbQy zL`Cw7psFeIi?bp8CX8f`=|EFtwS41lR?qzOL_t9oIuo39RSCQjeu6F37u@-JFt&2U zG7OdLIH-wquHE`f26^t}rF52@D5S&)Ca}~IVWfwY@Ng&IQRpZlc>$mru}fOv!hGB> ziZSX;!M+yu?PT>LqGeKjin4m*w_tL)cig~Hxyb8>{eGtJ?$tgsJ$$8Jylxh3JxYG` z$f=y-Ma}qR^*#*qa5J1zPSEBluNiCm>7CR(8_fuBd|B?IHdBCFshZz?VU7j{x3Bl# znh94o8SGxRttKdGy&UgdMPbK)Aix9hg=`7$sUwByo@`@+x41PicMuQE!(8%ss}|i3 zHp)Std%KC6ZGVhx@(%D$NS+d|^z%qU_q%@{>Qf{98Ip*oP=TN;TqHI~`FNxl5s%;{ zk_>JksljGv6>m9FqI0j3${{zNON*fD?9z3aCr-@7> zSl9Dxk=?TQ+?J$}emSnF0Yc>|J`-IzDIL&;5bvMS3kxWfS*Zue+FA8eyoxB&HXBUB z=bdOm-v9@HqYkB{#E_QMIb4rDJudXhM}D@N4hcT-W4JUv6v~OTkvBb57;&AN)i(3X zlc9m0rqyzQ+MIBiyQD|sK~dI)hmJ|>sESLk3gm5%wu#Rk`pXn+c)1Tto<6&8OFv6} zqmG=WfhsKgs>W-Pa?M4(?8$rmss1$vqXk~rd7`G=U}ampBV-zS&9*Q^z$PHyV$nFS>cUB{8kC>coQghlo~l7Yn*Z60;fQ@y{~4&b!YK?y>FN$aPz8tJc<$)3BXu zcEES^*Q&oYU?>O%_H0pNN4z#8Yq_p!pixOjk*TRwR#`l29GIF+EZoS*I-?EgP}d(X zmW&K$pE$KMvu43ZF-oJ4t>zMs8UfF0maxD+0!bN#_s}{ui=Wwfw0MeVNh8C{G9q9@dcDqnLgLJMPfHOwrKSq`S1J)8r=f2}028GyY)voY)lez?;yX-IotY%sC_yVK?x9NQOl+lhfTW=p#)our;g{^F}`eXtuUp6J^U6lom+`&pD1$c$<8 zs`)E~=MnLTrFlADIzCMEu7!oKy_HrLE}=)foda!-I>(MGjLDEeSxp`h8jnc`%t=T9ngH3%|7xy19cb?)#P84mZ^jBW-7P1$Q}a^wTZ#$-fL#i+QQ zRYJ#~!j?n<+KqQQ!r+eatdx z;&s$`WQPy!e}p;xm2cihmWB{XFE2Uo)PS~|GpOSIf=y@Jr4$jg+UGT+>iv>7c$k|b zQ!tlOi9NECFIBXdwu_o?q+|{+92q-AR2>9o>`l|wx)v|)+p(t78Al&ZU8xJiMO(s%r6s>m+}FDKCVzf`a8OleG4%yLL+KNt37p=2X_|s!(e?FNqP!i=8~Bznxv!ih zBODI`a>F=EmP(YW^Tv(cNC8Aft*+fEp5N8jfg@>|&#k|F?lAeF<#wn8eDmtUAg_jH zHqR-;@){B$eIz7;Wu7+m!yZ{Vv?0{CW|&0Z;kp#=CT37SIu`}V-fqZWgWC!#&R{E7 zYI~@*{+nbziF$=M_t_1rINh+jQtQAw|Co!EA}<4#vlI49r1O(xeC95g@3S9d^$vn+ z8!{L?(-?F=vVZ4gT0WHr@p*7;KT9l7y%S1UE31EKYddKgGH2?K>y+Kudx0C$x=m;4 z;xc_Sd>YSbzo?MG^Rwv46)fJZx z^?kA%_z=>*^KOxB%)Fsgiy0*Iacj>+ z&cX`Sy@3-S{esT9hEPoW3puKrKb`PNZ3m%SkU~EfgAjzZ6G-Y1Cz6ns0kqv+r(u^aT6JG? z%it{MY-HY{Tbv2b5%v`96MUF9s;b1HF%j38F+N0fp%cekERB>vk?r@CKPEDYdzRj4RSq4UTGhR16RWR@(X76iiP<9NwTX&RIH&p}vzV1UYYafad)J6b_iE$Z4_E&a; z?Kj)dKWvA2+;V?xvta%UyTSG^Sc(2i?8eUv|C-sqo!#K#VB!Lj06|PZt{>^lKYjqP zurRT+{SCSCbE*FU&i_2Q@t76O_V+%aKX1;jS^YC|gM;&TrxQ|CEXcc=aPGmY09YrB(%Zs(uW8C$Q`rslqyo!lsf$vw+as~$JzbQB7k>s2^( zL`8VGBJEm&Ld)4JRnr}^5tsDDJD1d;H=W4=q^Bm{^WMm&5A!VJU)v4yUxxAAiCxcz z*S=}9v1%G_7(J<)^opuJ9g(tJ64`Ru!v3Bns~a{rS8oCl7D2qv?7Dn!bdf)vP>qJ6 zC?;}eRxWC5HKK9Gw3XU*?|Ejg%u$BKRPZ8&G0?EIa2iWV^kuUIS<2FuA(e(H!Q4x# zK*Jn`MW8@V$oVE|M-xVlpU$g<`M89JFswXF%B2w>bWQ8xbRpu5$)+-5c~6JB2JeP6 zX5#M0DD5vaeL?&YHR{39gIRJ>n>SXqEQKE>-qjw}W;Gx2KbHbBfq*3N8Gc1LYN7F! z+#oNwwHt8#GtJ(WtjyOMyxPp-{19|P5{S0i8CIqsRS$cwK}3PUf+ccvI1WY|I_o4( z=@i{-<*${MZF2#VzP<$nklr3}Sa5U&m3JWJ|oOJic$X{OwX*65Nm_bgH8~$kl}>fg6f*Be)8db?$yx7ETXWT;vaOixP{sc(%%a4xI^}2a|}`N zKx~GQAT>oA=SwDCQe(r!IMCwpMa?3c<8LiB3aJafp%T5_N4_{u77)2YA8S^S2HRUQ za|mvaSVbd(@FB&p3MiI>plNyl-VDYU%MgP*k5m+%*Bt0fWK(=cj#jvp^zX~nkb}^+ zceZ6Tf@DC$U0>iCUO}&mHW&9baexUn!yhPC|A>{Wjohm7g4%+NOqL!B2NLD=`zCXV zZePXyKtC!TGF2sFcs*m5H>&~(NR8+<^fipdb@IA*BEhi!Y(4&;UUf0HOJm{3PDe@} zk)}tGMmP;Gp{-$2m7#6FW4O)HCyuyo+m#LFe{V%78_XivoB*QeZ%Pvv;b_C9r~ zs48%GO8!M$8f4lmAW)WBK_Ko@MjB|v3OU@u;ZDeg;gMX=C>pYv3|AD7&45lp=U^g~ z=y1n90Ck7A?7BU8s&p3_ktLGp0z~=1lMOZ&AeMAo$&NH&FMKcbUKg-uwbQJfxrpn= zb0m+kaSwS3K@hXrCcuI0G0J(b+@j%mW3Vv%9l6M@rXNjSY_>hv#8 z0b~RxW1p63)>+ki_){q?Ete8)i8dVwiwtkD>bj=U;uQ!&uWNt@*wGS9^~64l+S`y* zyQ^+W_n|?^G>;O^^%IAy!K+}l_Iq%zrQj`T(pwBZ+U)iy*xGapdU=(D<`8FGv6tB0HX6?OOYw3LRo!P zUakUfAbz)m`yrF!8}1R$UM3Eq!G_P491w~+^rU*{T}hC6fK$-2z52OjKnZ!R%3d49 zyL{F6$$Z@cQ@hGQVR*kS=h^L=GlW?v_NlEQqY1)uz{8i^l#ROw)tjTLw1Jt2QI~9= zfHQl4nFXJZ>#S3s*g52ubs5q4#JtrPLpPuEFMUV!yAB~Pywg5@&Vb8K?j(a6%1%B`Jq zl_0p86Z`hpsVZ6Vsdt7nit*iXB}IxBQ|fjm{`O8>4G(>zYBQ%&1dY5|bmid6-md_i->C+KqH<=?M%b#-Y1e^U=+)Lo{3Rqr2u`I^u5; z*GxD|OVHixFwU8?IN8vmd@>abR`2m9)AAKbpmAP zYkl$v4+S-)yW;A}SbjOv>XA-%4e^Y)I`NE-Zq`irMU3fKk>~UcU7=;%JQg$FTo}{3 zAyf26OnM=GY=yEgXLG(4mdD|1k&!6JK&>WmsVOuD(c$_J(L11YbAx7QVHWV zmG7ODFbQ_LXOJe4s$Jr3r)#O9jIUS@`PLhUFY|7)%(Yv2M5QwL5~0HUVJi*J1nbFe9J<*WW;8-WIFr{^Oh4~TU%o$UDU?nv(B*M6Az_zQY5{rfmkNJ zWH<+tnW#qRqypS$zC-wEX{!cD<>hZ>yXOg;v;f5pgu$Y2IY?ByVj!ASxzFkcybA|d}jB}-T==fa|pANsQWNg z5um@)QOhRqVmA+V;5`GsQnJ;eW{U73H$mED^Um3*_;yWvd=I~eJ@;bBq>f$Wszv1b zO$?(Mq^T(`(PY}k4aNLuJ6+%5s&7d>7o~?!e@MyX9fdjtJiGac? zo}mYv!Texwd^je5)Z$=5lNqv^#Cb)aysahPuZ~*Vcm4qXec-`+quG`ZZ0@6VX9p_J z0Y*eRZ_~K>^RL_NBUd7|j-E3b`1y7XuEj zqR^hOxDj9_G(UZ^ft5g+8~9FAd^1pgN63C5cff8eH;UkL4J;oK@^WYqn$(ZC($IscfNI|E}I|c#nApz{x?fP6b1SmF3ftHs@ug;_h zXlUs823OCe2v)n<6qo8;MzP8lh=^=bAUX8vVVqy&h^FBk`at7=c^iL5{3EvGcT%FK zUXCZWYlk^f)p$X|LtfJ|(U^vGX( zKiE0`h93C|!ml~}<)e|bxs4^Wh>4?<7Kr^fSk*N&AGs9}3Eyl*SXm!gna9o(b~bhyP2t0PIZvsq^LME&p@F|Ldz~;{Y+SJ#uruR?o)C z$pirWE%-m4v_CiezrK2QcGe$U*k4x9&JJQ?<@(#5KkBl7WV;tVE)w6@R znEvyl_XnTK|G}g8udkkii|glu|Lfj!0NI%UfBWSBd{_7d{=YR<@XvKUJ^t~7*#Exk z>F4{{uUY+LVvr5U_WJ7LtCN;Ht|n~- zqU%+4K}a2KTnZJN7CkSe?4y(Qd4_ja>A81pTk?&7Krdrik1_uB+RE8W9!eVDmJ~C4 zQYy5S4y(=i5eoxF%h*JVp;u-GJ~!{x$t+?f8C7?AJ_$%mg*A+O*g4^MT{B`WSP%xl zx-U7eU3h}7QS4CBHJx9*`ym)`{d3M@` zs1)i_zBmkg2I~~T7h&&xyM8#w+lZj`5a(-n{2F6ABn@87rOy6)M~M zG*r0Sa5V1YiQVVYMF6qKwjx~Vy7r2q&lXa282C7Uy|>%bu~8RBmIcLEJO}jJL`5NqcvTw)ZRAa3(u`ezzW@u!lmu%)_tS)tT#EPYsd0oM&=gkHpnboBbWuYm3a9)O#Ijztq&i;hd~kloJ^ClJ~Ng?A^H?OJFatP z{6!lkX{*D?=_L7`sDwP3JZXBMcEYM^!#GwF=~k#TekPVtW+(LOwN3!|$^BX$_?0RX z_O)Lk7a>P0CUS>d`u5h;nkf@-GRO2rBm^3tHgk!fdgA3grg=Q}U}HD3dUtlxz1JE< z6@t4KF*%|ftr0NBoBYAwa8d&!QEQs_Ez1QxxmU}O1BpgjeKtaMY z@5I~4?W-9xIaa{gF@#oa!_#`Mko;- zj)*lNCZxlG&X%M?t!1v6PqUg?MfjKmU}kO*WK z>4I$%uHdexeZ5&l!p87jgqt9)OKbobgFoSinXMWx)TU^?!SzXD$2;dvg*b7QhZjsZ zWOW4AFkg1{mC`z=oVy`fQIw>kH@L8kX;xnFzM!MiH)#{|e;??gF^)>d(J^9*+$y}# zcT3e@Y>UOUc4IAUPfpX(hR1wz_({W;GNUzvIU!0*dka1au`}6yr-7Q1rC<=x-`Gnx z6#Mi`wx938h6yrjX<V{L+yrKL z|MlwWlx_ld4BV^(L>mc}liRzVoknDu=y|tyObE0moR|%f3TCItY2bSu$%Pn^>Q`_W z0a6cWp*%7}BxK;QP;L2;0G5M$6N69xkG*${l5O4Abko+%nf6TEwr$(CZ5wl@oilCQ zwr$&XX60Uc?X!2ayX)Rl_ng+MeysQtF+$_}`WVrokN54**O9}JUb5hN3&D3TrY;EV zBzI|G`eoX(1_=&*(84_0e#(hOZ&0+1>tjH>)a36albP&j+Q$aOz{i+VDNmfh+B3@A z#f}$8m#JXd0_NFq>MRh#DQClq?xrS*_ftAK=UVP~kycj2q3Louxt_zEMg}8HH3Q&k z>3Ah8gk69A)r@Oy7x3kf7sOYaE094+Y2Sv*t2^Y2b$(VAjWib!yS1n5gg?)NXP(^b zSh-1(AmCwmp&Oi==lUmr`c);mWOuIxuM@@Sq+@5Hz=c1y#5dlFk+`6p6UR(D!+a*ngHuc&Ck%TXhukzsu-&F@e*p z3KFE@$P_$2gL<745=^`p_AFQxtbu_@3~p|+3N=s9pwyE9thLtq81 zUTQS7S{c2?#Uh*8`(FC(k+pP)YoLhPnJbUYaEL3KZMlg93v3$we=w zR-=_VjJ=mFI6Z`4fYIMvJRPL;sAFYPGv6*90vr_8c?MwNj7l_&0>bAPaB@&DMK0p3 z;1v&V+@G8d2)bPyo%6CFIvO248`OWFcDn#yVF&n7S(=@}dbBzEqk6+^_{jv~4!oi! z{PI6mzmH>sv>7kI6rPCQ0eFj+N6df?^R#tb|7uEuo?$R>AtUh3X_S)=)~#(DJVy#k zc#fFsmFpM%R1{m~T}6s|Ja&f<3B3V9^!7fo63Gq*>@4C%b0=9LkF-g6*)4~Gn1qJd z7J?G@Fa>qTrY2?L(yPmkwcjYR#?A$g%gFxD$+8{RMoT zIR@VPq&7b_pH3iuNdhgOH<)dTdcqHiUGVTI@pgUg$yxzCac+G=-KMyK;z>yXli>CX zdreGbp$|G;o7huqU6Nlo@DB*FgZ{9s5k&C**dH9at4m%WOTbS!$jcv}aa^IXBqGkP zh)Y?VQ82leka6oVE6~okpE2MZDNkmzLnos@Z^VY{JoON%ya4<_>(|30mp;7OXuH+E z%ei5^SnsBd2GN$YrrDA4)CXsEm>)eVqBn0>l@U4&#(4VJUm+s1A|a33(7nyww7Vf| zb-cy}ZcsmEhVmCcW}>g#l4jT;ptfYEe)^TW#)y>>lJn9h&Hb2o{&?{gE3xihtl`{Z zE`s_V*WdV6Zy**Rh7ii@<+TNmdAH^CeD9CnKavLRjw^Z7`}(4rS$V%067^Di%R&Ou zjM4UTmsjXL2yChoc2tAf>7F7WGkEWNUaUrU5}A|YC+>PPUdpLG+KI?g;5uQK6;q@v zR^UTY@m-_%4JHxholWiAE_X#w;|Y(2&H>YT1k@w+`gq8d9*oe;jd=6&r13m9ug!ht5jbx@?=f?7mEi zpAlCC1YE{+&tD8WA*Af)c;3`$*+5rJn!LFUbT5D>>Wulcbaam5stnAzBXMJ|qA_Wo zQF;Ldyolo#UmtpZ+31@#W+zUBksC@$LJ1;C3wL^BC8LBA=POp{E4-^twgV+e9j0pc zEwRDv8|C*id3QtbBk}vVeFnnzS~7=rw3NB zm595&uuozv>}_Qg;&by>r!+vjFUQ~+)>vHbd+v~>%-zLcihfkC5hfmf)(QgkRS=Oo zHn|5f-OjI#;%Cg+P-l$U5RsTu=vD3Q)TD^5TCyO*T3dCyF?Y@)q6uiY)&iL4q})!f zacEi@w?o3txNS=MhY!Vn+sW>aR+_Goz6pR+Q0M1H)TRARa$55ol>*SwWmw*COKe`} zn@k?ZxLH_j3w>VQN<_|JD#&P2aF^!?J8LgTl(e)HsAES971xecJOXM=X5dP*SXidNg|uZ_A?+U4)-2T%H%$GBQIn=!P!4kuPIKk;F5krl9=>w9 z#uqK;_Rjh&E~%Dl{Q7EAY6A!ckQ{O&^>5sMroY%mdlMSEzt~1&H^Hy&1zi6(y8VB# zjsHz1{9gvkUlI5#0)Iu|uL%4VfxjZ~e?9{L>6IJ*@V@>uy8h|*|B<`@E8F;o0sS8? z-9Oy^FH`q#{Nlf58=3yjJ^q7jWct(9XZlYtj{m=0{6BW^=LrAX8AsMH1O0D3wWt}s zru?;lX2E0mdh>tA!l(N(&A%3>WcZ>inZ8_P zItF@bT4p@Pzm4I4c9H*x_kSFr|3<*_|ErgnqT&}@`S;2Fy(^imk-ejtk;7LbGARMN zFKeHT>Cf7ce_2)Xk2B}b(f+Uf)vrng+J6BrBUQir)xmFGgDPXYWd<-V7qw)_rIzy5 z`{DZPg7G1w`#^p~pwLS}wqISqL^}wwl4rSU0Z8Ra;-OZ~Q!b7#W|NNhm*LaacbwT* z!%%4^h+|G4&dl8#j|y6aHZ!t5UES!|Uys!zTF;LLhmS1j?f4^x&x_=w5STu$B|=BO zY*mGYMQustT=lgmMJ){q;@W968)-=#;m`OatNnzyRdgQa2&PQAi<0U@S=Feh#wg{e zwu(9^`k#E!fBr&;ii(=40Q3&&tU*j8%k0M+m3UjMC1C-HfrGMX7_&a_Qz-yM8mA z-bw^|kO>2KQdc@H&nwm0YAx=@W zil|fe4KeW%9od&ut0(7KA{v}0FcZGUTndJC5rqH{ZewPX4+QJ zg9mQ6=yP6(;{H2#nJ(U-$EEB+r*RE7MPGk21i~*BVU$BP8*G+qo(09ljKb<63yFX* z-{)KCukV-V|6K1^wJ;JA++VG)rTv5N5A3p#Fp^p%J^}5DQI3fkH>J&p9q`OAI8a(P z5spH(2uGa5HJnbmP92H?qPD?e(KZha)mg z`dT^Bs-Ufjqz||o-9UJaVJA_SI3`LgWKrG6&-Ddkhjej(gMY23A9zPIPK_HXdOI1O zay##>^w;>_&*5wZJ;90vNN+M})j2}+dDUNfM2b{DSASu}3v}h);2V_oR0nB+8~m{u z{f#L46_H5ulzqyJj|gYPN$=Jw2iY1tii2om__aY?UQ^|0<_2oiwXJ6##a1nQYX9-K zxT~fPT`z^e*eu-VWY({0%3ne#>(Ptq+N*2ybQ$#;c8x^{b_AED)k$UC4jneNhQ?Yq zPHW!kYm|u*V^^wZuiu&AxiWVQekq7kT0HR2B!jyPygg!Y(DZIPeOVis>X5Z7j9%@Vm z@Kgfkzt&r;!YGC)J+-u*{215hFEfadXpx>w8ja>*3q}Hx1|JP+4>3%u# zr=8OecYe7yv0Ud*k844*gzq3+uh8=;sm?AbS{Xq0T?D<`!7KG>s?%iqzv*kz>h&LS zYNX-R-c<^`37q6U_Mv)lrt4K@OmGWa%99_dDlLT;d+o`rSIKD3YKAf)_XL0HqLRXq1?S9%_ z>sIg`)<8ZZ#njTM@5vI}+|;DLUAsZgHC5RDFo;$t9>T@|(t6LwZ7c0K#6S*7-FMfZ zVxK?{LBu8r?#@>2Fv@X2;50Y?;CZ!e#CmgQzV3f_Y_^e052;((;}vXP!jFCv?%qrK z!3;g}Ms-hbNQuD(DdMHhTEyicT0^%wF}o|K;WiWU7Hg-@9phhqd<#fGVtqEbdxs1G z<1=p>67BJ#){AIjQ`=W7-lkmPCOizkIfY1jenK$S0$??)1?DcS>K?{jfBb9}RVRo% z`5L0RoBCY|mA4)ycp0Fm--~85JWa%pB7b+jb!`&CNV4hJl>pZK^rx>U@{i{tUPcv& zdQ%b9ETq#9z$ADjNwaUO@2M`}#*8!G0qUy_RFx+APu?)kuh&n@f&^GzRB?RVLAiVf z0D{a%Eg9!et%>Z6UZ}a0Wjn}k*+fG;DvX)pe7n8`vUPzOKm+A&xcwQh`!$RGV?UW( z2<9TfI);h&5ZzQDq1LZ^Ri4pegj;ex)eN94d5MOtsg1oB>m_Y3U6NTZl95!BY`hPR z1J!x!5PG?73`si^`f~?bLB$u^*Xn{ zl0ag>2)Vj_LN60a1v-u)avf;9Ja8L7-EB!T@>btv(C3C9ymF(?aL}90N=MlGAq4w~ zR}+rJo&@@A>!UC06|MaC+cI658LYQB+MA3oAONzp zLg2p>(Ep{v_8;k_s+1&+q>;6WqbVLe8!gk{Q}2J|?f;P~{WJU5H!?A^<|4Ul?Igi7 zGvp#sVUnVivK25gH4}BWH&SqyRy1(8Fkmwz;o*kjbY*w7vb8dD)WdVNvb1(!cjdy@ zGqlk+V*h&lx2I|F@&0&=qXic}-``%qQc6> zp>|=Qw)smym$ET5`@dK~{|lG<#~J-!y#GHh8T=O~@L&G;UlI5#0)Iu|uL%4VfxjZ~ ze?9{LOredezw&06za_|Dx33KcM|&eZtG_+^n|}Fsaq~|l^B;-$m*n{ehxSjwljUCp z&wnls$n^h$%Q5}WxE%8zxZM91{`Q~heDufn{yF~tcHR7+^c&;9vTv;a+V<#=dHy+o z|2+VRMnr)gkB#{c6XNgEvw?zN5Kl)gG*(3ivzHEOS$vv zbYsrL%mHgK;oRjd{DpD)$^g|t=k7oX1|k+;kO?xEPYzBzCtFYbAUPr=&uaYb#bsi= zEFZJI`%aQC2SIK*A;szTU_I%T1ed4tdAIa*a=i27^u6@+W%TqFwbI-B_GA8#1$X0f zwUdjLgRAB9UY>==huz)Q$?@}Kgt4XhWmnD`>0>ksvLQ-Jx!hrdS>-ZX@%DDQO-a1) z;6y!B(z4>i`_A6EVNMt~WN9EpdC*}qSk2-2#b*4Pb1usnK6 z&*A-}r!F_It+~x|X!}TZJnd-VV+iZT47CrrzisY zF+`x$5_eHgM`$#Ms%PopjGaWQq6a$rd^?F7mJ_IcHPTBr)mcX(vkyJ~F}bVy z>(PRO5oqKqYgp&39JPBQO)ID#PR3%|1W;eD@4d~LO#EwA^-$VLpLn=GI8*Z9pmvh+g zE2b^n$qfCrz|KnfAuKf@bbSQiJ2110(~~o&qQ6W?eh%g>)|)EO>`xFt?Y&@X^k%V0 zE%eo!g~T|U58*&?{jbFcZD}AH`{-pXB~Ne@I{dEcV7E(PpU@DjyFIyjg0M$#mWE&_ zjJ~RO^SBc%(K{0K5PlRw<(C8+)bm$_-{@@^TRHSS@nZ z)~8qB5hv+xiPag{$mB^?y^y}}?Nto1F-jg~QrM_dPH>5R%Z-CKGGdXorwMLF#orow z{U*jrNx!aIv2c`WDC(|mtbqs_S|cloOzkQbUqosTsYt$eIt2b?@pfd7LoI!66Ss+Z zpC%Wqh@N4lfPrK+U!gSG{Cgj#{rMSf$Ms>+V6FRX_f%LwDo71lN;pB|Ui0V<3#aHX zb+hx`_T2V6G<7ZLJ z6i|{-njA40L7zi1{_|w+Yf|NAkNorM=`6erkEiGD#rD1TAAB~(|;kiBmg`e5t z79Sf+#?x(Y-_qXwgyVC*3DxmJbCBAInzAvI0v$HELU1nMK=@DjWoP>W$wDxX%2Eb` zw^66^dXPHBwt}fMH3Ue*FC!7?`g(7z z28{3wm}F|mAL^7o<7#Qz9{&S;Wn@SJ8(x4!UJng(`L~96BKpI8Pas;_Qq<;y!A?_T z-I5q_w6Eo}bOO(l{LXjOE_Jc}Zr8ZvOnvxUHj~=6!1z47T!OliS_%v(53>;lZ*gH8 z&`+C~kEgVHsxGjO=_-PHmtK@$iQHQw-w)dJ2mWEhxvxHV3--kldyv&DgP-a+LV;$a1ClAU>!W zV9Mr$>X^x(gyyEfOH(rFVRH8B&$Ov+Bi<02z8%2hn*|DzJu{lH*3WzYn42FUJ7SKUOi$=Np+s zR}5xJ?<=gs(}o4I&OOOhfUy8O2=--0J*|ckB*CK^A^^3F(7C;tK>0?m07bHPk^_pq zmn;>c{B(Pp-!@EZ=;8Cn*3d~%R0$Gq6ab9G5a#P8HAf>)zMhaZqZPKEItf)gHHdLP zNzb;4_CWk?u^0nIn>9d*q%~kv_Mz5Fo3H}V+xJsjLG^z3XJLkUDeB<2A#OzA}E0veX}7n}e?d(}JT?zroESTJqN8i)j`<5a-|Z zUE5F=G_<&J7ii2(p1RwLVxli>L5yUbcnco@!=!ZZlf#7vMwjR3=_)2C4q_&xWin4D z##U^xVoC$$H*B+`NVq`^lb5rtD0;{aybvZq1QlR&(h%4|zv;OELVT7*;YDfqLahJv zQ$j?>%6zBIOjGdkX?DHxY(|z2b}mwP0W2v3>)`<)Rjw!Fy~YJ7S_U`$tzhG<%@!DO z^NEl>9P!;7Al(^sf?52mAWGNzDVT1SYey0K7k(E!6ffY~W*@JFb++_R9XiE9;o1Vh z*$!UZc9lPzIRJFSW6s_A-- zOYu2ezsta8Ok0yNTms2_DSD6rvQSh2F9{9d@gunJ+~*VEnIQf5j6MMY{UBd=$`oc z@X9F~B`0gS@LK?lA!3Oss36Kzotw^VN48|j8Ay1p^a2jkCj3NB2e=(@ZslDdHKk^+ z9YL)euh1M6=F}sRMW=-ip(J@yew_jV-|cPfY_m!`AmK{hed(TU|S*>pGS|6S>=4+p5JfZZ4NVg*cyJGJ(w>9V>frc5sm*|o|s(+!ZV^n z#1HSd1GCFqzzbd5i;C=GZx{KR%r!OW0Bw?~=WPwrm)A7}!E5FuUofT|VE}B{yu54h zwv@W;GkTWBYVW59GGrIQAz3~3({z4${_!z&^m4yXpF?;Jn|LH8sc>_{BGH88$>e%# zZ?%3FQq2um;jAF6w+%cGHr*wTU6)-rhfK;rL}?v{rg~WqOe&0@u0588*46ZiLpJo# zsRvJiwozl1PVaF;0g=}Lw5>o>(K`8C_vuUxdRWd!+N#$XYsnY{j`Q zncx=rjyAeg-c}DK)rSWU@EsWgQfGG~^}se28sI~XXTDTo5+A(iaXq@4e>iP(9l6HS zd&5eJT3G<{<*ZPgLX^YTva%@*#TeC%`WYs5fWL>PVQnY;J>1AJb|T{uv;FYnRq7By z7ho=(S(c>qmY~LT3dcg&z^ri<8!dZc-&?m))dZlOK}H*fWJuUyf&i1D29T>Eu*8vS zvqKQJuG*8u0)<^14s(a~;qS&6E501vxB$uG=!0i!a!yIjn&x5*8HmQXRC<%i zKNqy~HBuqu@tUAPWwcJBm_&S^=p3G3p-=wqD$Pl2KKhI*qdibL7t=Gn6cPtDP*8t$ zmwNSGFY${<_gsgqu~E8%t-WNzf1~9QgXu_x`m}5I|kK_XZk%u`?veGrJHx9S~^XZ9vR#U zrxtbEYhN|S@$Y?foh&`#!nUpy6i-qezW~L~+6Y>S2M8z(+9a76WWT5GrnI6K%=zKy zOMex>5cdHY^@GCK+-Y=KWZ2fjiT-|*gWR!A&+RZ&?Z*q+?1oL^`t2$nCETZtBS0Ke zakdg&6=&5|Pf40pv7kImt1$xGx~k#VMH?HF__L&jdTBCm-w=B7sD2}tOm(DgCAXPK^V^?`_)!` zF8JTA*ZNLS;Zw(}PWftyc3BGLN@jS455TIO_mYGUMiGjuqKl{ zMT5-7g`j~97IMC0yJ!8;Kw^LYn7f>ND>B(KBxJ+HHa!1G{4MsIlL;c71`J`i#!JN~ z5h?L#K(Zia_uRKkR4yTS4x$+)WI5ZHr<-Mc?-|J29%i;^#y5BdH1vZqG-6Cm%JHK- z6tVASJEIMwwd6v$VL&erVore*7g^kH#~m{~P?a|Zk-0_Pym;W@FwJJV-A~jXQ3l)b zD37L*9n<*~y&g`s(J4u|c6o`0h;etT*l?h^L_%N#Eea`Iiq}{RBA?ILtON2 z$!=U)Bhrl@-)yMaB;G$VU4HCrU1?)GBxK$rH>@@&2zHPAd>%Le!*{Z$O(r=c2Q}F+ zw6<`5dWUQ#={5CQ=t?P7ld8b{4k=_&T*XStAGV!1aPqi#D^B)ik!075>Z=hj#Rr}m zkvJAAC``~g46^DgGFb67ZjS;C7O~p`|6Y8MI4R9%U~)M5;ySS4(Qi$A=+%HfhsWHu zM!_)KdNDs$-U}K!T9Uv;xKe{g(n1EwPoL;cNaAkVB^-Jh4#JNtt((naHh9nZEm)z1 zt@Z^5(9}r|H&;YyR6;caNM}lerl#Tp;fPPv9?1G5N-xFR!0X%bn~lnN*M@?#nCA{+ zg{zQJulP@18|+Wzg%Tlzexil-K9^I9O*kIj(kkhr^Qwabnss~>o=9jcxOOWoe*<-T z3#Yk4Tqx{l>i5RahI6O_UUT(*AAgVgVB}do5kP8e9lfIm> zhLY59)BBKpyT5is8-)=M%PdYdGurEveoH6;<)J3zsg`eQYEf54*Dut1jI*d*&9RHn z-Q1Qt^&h?*oZJn+??V@b0s#j=-)O(Se4L?gWDOHfS`+esRRh^0aNxTlF!ybUr?*G_ zWbHrhq9@vf=V*dTRPGZeL()K>BXcl5`F_u5``+4Of`0eoQ46rhDb32<7d*fQmY5PM zk}ZuD7V#2}m4f*?SXaW9GCz`7`iA2ZA zSQ_g$j}aPSX6hP>6i#cbDa|TpVbz}4wEK6!Mxrocx6q*{{O`E53Fz$6@@*$x7&U&F z6POAXYUo(mJ$A%wmuH^dFDEZDl|m38rgPfq$5(rNK0gD;-><}Iz*j%TfCDxe`r2-W zYo|#RiVvHwhud3H`}Vilz=`#io#B1-^DZUA2T+4cC09@;KI@P4GZ|1n zhhqT4^cNmXJ}!hXJ_&qJrmKp@hCZ>0NU6nPa8zT~GyWwFV7;Xj!1G-&P}>aA*Ew%A zLwwv?WqSx?bo%>7pn9d%iyx&?x63!C^~qFhOo{;QY%AfQf{K7d=pD?|Ivv*|SOBcQ z-nShC6cQOvx_EqTNFf))Y4ApXnssu&FS6HU#bQkp2SiH}(UZH<-4ITc^MR7KLq#9^ z+>h5?S>Ae5moT!IEb|Gk-8l_t-L-O>=~s&MNP%#l!uy((n#^oaY!u1(JEjy1=331(Cm^|S&6!Bt+4)ZL8vyXK*`x8s6<=jEtoncsEGE{R=Yyvp-b(ySy?iqF>sghKTNYn+&K#?un!A<;fI>98Hfy8|4VQf2J z9Yy@2!VlHMBw3c7*Y=mgx&qHWfibPl~)Md<*|L1T_IkDO`2qG=&+w#S=ywi z?Wo?!M=H9Tl#a396pgcROEA|Nyjt7D-j@(}--TZvf0R)6S(4=EB0Cz&M1FvxGbzh= zSH6hVJBSVDuw<(5d4hW-!v;)%kA^Jj)sW%!=^6=TOchAAU%t9@O2@{n zCm8I=qNs3V{c=i)R-WH5*a^sNm!@c=F7a8_>ZWw$XUitXbx@D-<^aVMF4Quq%I`Gr z1mhn&7cuDq8i!tY0UKmyMm7}?hvZ}Htu3weac^7u85y5S9_2g%u03*TCS&S*%7HFPjuef_`{XVm=_|np+t4p z**D4~85?i!&l1ACZK1xSQR4IH@}yvdNH>wV+4ZrmJx zhrog`3&hiZ6=K2+_eb2O(zI-9emzeIL}$cx#AS{cd3h2&k;UY-AEtH9P~uR%{%9xz zAW-I4pHAU5iYS&~$trcaW7fg-lQe-GtPvAOl|XIdk{&$7PV|dwa3R{o%W~jCuOAD8yu`pgH1Yu`TC8A_>s3L<#Bqb7|^kZkMF9Guz=}3&L{vRl=)0 z-g40`SSB}17=2&}iJLV*=5V;q%~fqbXd{wT6GGfTIkFHU#2kvi!cnX8x}mODTU-9@ z!R4797nI+wH@}=z!Q-pRKe`?eD4T^mAbj3pwwN4)gP{xbf4>JaxZ? zSZq1hj5}tkMQuATrGrJ9<41o(l3IPgXQa_i_iC?%>MH^Gl_U+Z#*)!G0L+Z8IH@gh zVR@%*FY*3rb-x+B4@5~LmV({naoQO&#zfm$O=GeOxdpG*%Pr(dM|*u zNLy7Yq5Qs+XVvLe?4{FDK?n$?Uc=P!>H6GSSV${8k`-}#ncs49mVZHiod)zt88aSR zoh`ZE|1L8@RcJ#g6r@Cx0xQsELBU7~^o$yHv42b%UcX!|DExc=1CydE{}o z(G?XzxOcysq;7n8<^}o+-6(DNk4EPB6ibB?)imJKA7ZlI;NAGNi?HT==$a4%HwqGi zw^}>}^f&kI0c#q#NzbRJqpVwDWVf=96b1>JUX!j}LFhD zm}9LUR~PFckL#3_-*kW~#BOP#j_<+%KdbWw=^tVJ4D^WS;P@0?3L7&rogy;No5nJh zc1C!ucr?#gn6AY};lyb|S;`Ap8zoVaGn7-rV5X}#WfFrOLuVVMOSr3VoBbZZO%_IY z>AoFUCPtF6(4tG(do5?Ldf){RGyx$USJnjvI0Qs{lu0&JD4-J7w9bQP6gFJ7>~O>{ zq<{2-Nle78FO_7P0-fj|Io`7TPH~d(>j{yH-Kd~k;?39nlAdX(2<2{ZH#4d!E?W0* z3~Qg6%4(|b*%Jne3X|ZI;42@z25aJZkejqQ-Mp{qJ@b7uQ3ZyD%&wxKG$VQD22WF$ z#FbKvi+ym?$SC_9w5lcDn9E?v4*H*_{oo=p17W|b3=ltAkC~^E+N8Xo2^(IV=IV|8 ze$vaQqB!lq(n^w9Ss4MM;9Y*9Bc*m$Y*KIN(wq=?o=c2Yr!bR)aN(-J$WNjM_+u_QcAaX){o2C^&cOw{UVHF4+bWHO|pTvl+sXH5l{7ME>98r=$cB?mW6B-PnBp>wid8-b{kS7N~g}AJ%fen~< zb=m^uqPsbld)0+!GO=*s&GEyro~P;K?d(~px%DV-Zf-zc_hCJt!sZB#IVywc6P_~N zl!F(9W%C}{z;ZOGe)T<$f}(qw)XI-CQ(W7hoN_3kB}NO7q7Y~_`A5%Es0ezMN@=^R zH|xGDS*H;uvILMtV4Akzph5uNk$qdqs~GBGUu=#FhJBV{es!T{e4Ko4)) z?}r+{Pv`)e#GVXr_^*quj%S|n{#sOcnza7e%E|^ZJ?PC8Hp*UKsS5SiRceOXR-n&d zmW^pL12((7qd2N87q*y=Je_NrT#Gwvc%qHT>-Fe)8ZBx^Lt+5T2C*#G zVWPQ%ON**@aTLYg7f;9vNRv~<^9i7DL$+%o#6>FE10edk!MI&D?#N9g7lK6*X7uf# z=$MSxFGHzRpFCeZpLsV{lwl*e+O$ocFUIYcbwCxhewIF6-0kUW6o(Wv;^y%U9h#rG zkjISE=TSX1NcK2TbksDQ7U~JW!l9n4XH0hbGhU#7!W&)f;Dy0A7-vYM4odgTB(0d$w=z^tQXEx{uF%k9HTI#CW@_y7_SV z>J?@p(>TvB$eeb#gYcx9`f7Z5$)hr&K#OzSr=sR?eO6Pf9DUOt7?#uaBKlf@;FAq5 zic1t_m3`{B!O}!e*{eq=*Lyg+5qUE6_4oTe+c=sv(NF-am+hA7EQdhtf4_6$ne&6{ zzP-SQ`B7QvlXOe-P__)v%XmR3$_bSz7?M=j@nAT(>b5pwCAYTeX}|DtB8!?Ca^hYL z)#A4U$l%G``BoKUWJVVw0w;|7WVmD{+Es0;DA|LWt`H*<#|5hzS>*%0!CfjB<{Do* zFs4L|jD2g1PrYhjd}B&8*!jyucT(+OM#m3!8Qe}zv(*ru4|&-p_2W+ZTe|d0;@vsv(RFe=3-}xw4VMjy8#3_rjTo%&25zjf; zd|u?ekSQG1Q{&w!YBpP>$*U2HC>mM>3+~M;4aUWFd|V%s8m%1O3so*xarXpqj%H*# z4h9Q(uw1ZXm!H3BuB%g<8zL{dswvLmzyt8srrt2mJPJy1?bXC=fC+4Q_Z~W(+RP<> zc-49P=9aYq_L4gEwl6iI44l;>$3DRWBR&GwP{fe(i-ru)cYq#`AcUE05YipDWDt5SQJ@R7!S~RvS4W2c68QenZeQD2L)cbL(3qz zd2YKGWwwOA_n2WsU3|ZSzUkl)l(6eS*6nsd3!;GD^vwtNRKgP~yyi&o=cM8gHd z!cqdWL(Xh9l<1@=XH#{;J@7gQ;sNsW@-!6akT-*j2*%{4-ELy(k`};cfc%57C{q67 z(`v238Z(Or1su)e_MeUSDWFrZ8OU~BRVwdVPVgSDORdJu9DwHo#1HX;gHVhQKA27} z0MT|{dfSPL)^xnP&rZxqAA~Gy4a5NkT?+>&J9vCX3t}l)gV;ZquBjh%Zog2-Z)vMaD35ciqi#o900WIxn z;oj!3b<2pC;fT_1)^4-9G{N$Qu}j0^-1D;}q%pFgmqNL0U=wx3;MEoCcL|{{?i6a=OHOe z2RJ5KF3I7a+ocKzz3Juq0hZWMvW~XL+Z~rQA1~)RI`4WNZLFKyvcKrIltFCrkUkc9 z1-=7uP9fODRS4^6vdlMz`pm&uf;bsQ|Wq^;j;oo+(y=T8qtR^YRj?1%YD32Sd zEjhd{PdPi3D0w#BpTiT$jA<~`4X2)}8%z1+rCioiVi0Ren+!yikH3~qyjYw(R!f(K zw@i92nU?ATgV86mss8SH84p^z?Z|%Hk0jpv1&&B8W2tlMe|^Srq94YD=;KziGzb(K zs9Ic>v9z9wlpM7|N{9?N1Bk@RWM2SmlyDz3wEP5Z4iaaP3~d0~8FUXFK?b?Es1$PK z`7mBJt;S&{y*&C7gHi|{?|Cm-s^)d77nR)glL@7@I>L@;w&qA>H91d?c2M2j{^8}k z^wZq?^P%@X%Y`l@(fOO_oao|Iog)(&Ehg}NM^lG3T{k_L>4d1ru`@KKe^rfuZj46p z4hq5{rH(fCmrT2GS#4Yv=sNm`s^*(ByiF$h^4(%f-&ED3Gfvv4HA)`PJMXqo^~#%< z;ClIZ#}ocpU1mgOM^Re33+6>IP%28cl6deZ3b?p*Z0o{# zj-h9o5-T;CvL05pf8M|a0Om;b^=n1!6;jn2zC^sH95nTk77;%)3=#jnTm3-jMeAyY z@k}n~FK*6h!|VgbUu@yxUVHnF!yo_#u5 zPO`+w%~9JyX@j1X1ffWRTx)fW@Iu-#ZNYO}q5YlAeXYCOyFHEr4I-wP4+1htt;*JC zZ)96?2rQ8>MMqGv=;VJpEH7b$nHU1&6^#W1@WZ{9$EVfj)Ymv^ek`NfS)Vm3cZ^$J z+iL?4cQDHGu>0Jd881NYL@Nh5G)tBKP{0`RHl}_zkQyBX= zScC1~9$%IiHAW~#gzr+-EJv!;yR3)0uG0_&NlxXA&96*w+H9`TlBa#U%p1V7{)M#K zlsOI;O>CFSF3m|syV}IfHdPV8r@ynl^V#3W$~J3mG3IT| z#kT0dFAy2U-dmBZBWGwTpAGJk8qIjYoUG*HE~862UDJqUg)kv^E)z;kGx7doqRuFg zW9^%bJEE>L5n*~><=y(Qs3iE5Hq-_xf_^>mxjk4zl${5e>dQOa553)dpGWK1E5fcd zdi*^}%i5bp1!{}BBfD4D+M18WJRstr7YQc-woqjEXxQiKXrW4q^>{ZbeZ0T;+ediMbegF?`_8&~!T~Ei(SXkxe)nC=YrjNY z1f#M-(l$n!lPBi3(&*tWuq?%EWc5^r`V|DZV*;lHWW?^-a;Gu%WwC^?{;-DsBt(;9 z=D;zqe@KBz^z&dIT(xDxk)I%*Rc|O4)hsPV%%IAon!IF+xZSVCF!E^8WPS{LY(5V# zkLrfSQT1uZh9ZvQ4^iky%3FBr~~jSX8*~|ywF#wtl<$WQF)n_ zw%sB27q80A`8{+uD9FPFzczZ1l2lE(6M~lj%q# zp{LW0ILpYq!n_$&x8m4UMhdJxX; zDP7KPC}>TQN1elU!g>GuEk6K}hy$qB%=$@<#QdIgjwvv|VG_{TEaQoS>+SWKp-j4( zYj5EKnMX*n6TNST;9q4eHBMqQ?1gc@VPPs?zyp>&XjE z0FMAniTO+2in9aUI=nP`MR_!4o@QYRsnRKm#H7%<@HrzKt?T&BoZGksG1CliMfsRe zR?cLA;~PpEMz)qI{TTLo)X?Z0fRlQ(7kzWi7(LPtTe@C7b86Nu6xZ3R&>Hq^jkD8; zTa&L#-ibnv=&7sPUkz0AOPhDpIH&wMJY_dl*(6M0=7s5y)v%VR_{s#i#{kCzCRt~W zM7ieW0hSK7%DZ#HS9x8QJGnUcq>G$xxSL-ag76f+#eGplhp-4@vy;@J_|4u<84reR0VslfjPky0= zn&9|Curx^A9PT_g1EKV4D>Wf_X}D;GVNY7oREGlm5TkbkPhX1S{9rB_%t3K1((n18 z@*VwG_+OXpX94K5SiL7uGv;;p_Z<4?yVX6x&+yfLL5!$2Kc4fuh36OvKhBh26$uS< z1rs0Rr+qm6Lkqf!Elz?l-Ad0K?jbwgB_BHv6xpWRI$D_wZ^UcEViHQ*@E0u5j2>Zq z4Ph

sW01Y47sGZsi>e&>pSekMs3Ms)M&a_ob`4Z{Ps_>96+#+n$TSkFo~=2&&j` zTj?|hC%!;JX}txgR}ok<@!oo*#}&XH2V1o0V0R$>z_fN)SJ?W53a#2e~`2>Cmrj zoduG2a}j6t(qr{n=~p^$0jxnQ#j`9r_M971FQ!51P%jd`^7JZrc~&S|nta*UURjlq zK1|468W;L9Sen>h1-US@^5fI&-gFSuD)82n=LU|Z zZs`iLYD*)>1ZdkgME$#EPrY28ujLcnhFtB!6L-iq3L>{RZ@T9>IYmJnWFr$wGp#(ZvD#y(NV*9=zL&xfauWuO0&O zVNMbHv-+j|lwj$^k(r;Z){d%W8YB|;QEtOm^a^C?2^h<*sz;3vh_t)>QXifszIT@C z60eA{M7j5)_z#(~o&!hG(otrHCEY+6#d7bCeFgUVaI5Jz%o9hTTZOGoMbYo@1Eh?x7UtP})0TwIP_s)%o{16yCb?3;UIJg$r z1QXTS4E_`OWp&K;3vsDy%6yLGsbnlzE-}ao3u~+);_oD%m$CU!X#IFFKByYKepp** zKN#p>rGp9bqJ=w-0wHY$0u10Hf{Fu(IyJRtb+(%83yd=}Oe@>XA@3@iK#2L}o(SGp zc8kx*ZMvS%B5pz+?6q;{aOpIix&!&LRhj-XzD2sx35kemWK{MQ+T>rZ*aDOtKAUnNlV4(tNQLI zm8$ICo&xOm4ZApvTJMIX3#J-U6N-ulCG&ztgfn5CF7{Tet$%wf*^el^FtgaAB?}rj zKnW!Z`w9bo%)Po25gjvTZ*}(z*zV@}ObOzwpZ0)jkq(&r2_seDj^SK0HEFNNGSfKR$e5R%-RdagFE?cGRio z4$+YWrLt}au4C`&S4l8lFT$vz{6SC|iF1;8ATbn*QjVIU}1H+7|{Vy&pcQ#&<{7KJnmj2l7vqMtq}nkYsWgnda%~&eWaPz92Sj z>-5{q{=`y!u3u@SExR8cI3Alr5OY8szB?rJz`cl)(DV#yDq<}m$@NWoIE%9L9d`R z|1R4V5yBZ?7iV3F-AE5Hh~AIr^PR_$KJoAtDo{J&QdDH~_q@QaUyb|)SMh~jVQPch zbsKIcc2^gPs+Rmsbuh)f0DdfzqX!6i+snOhMcMorOREa{wy&PBi*|;+oVUmQ<-M(s z&;8})q}KzU$2mmgX>CjK7+m8)N+sugqWD2egjq9P(*kX_e+&$v2Jo>ypMEi2)J$jJ z&rY%oah%QDa55}wI{m|WH(mqFaz!yayna8`*{B%m@jnt)6pXU+A7(biMT<3>g5yh? zMkcs-jp#BU(hv~^eZ5a8vk9Ddx;SHDW45;}-)rfynRRF$!!PxjFI*@}{3jWG#1tl$ z@i-_Ev@FKESQ!b@Re%4n=9w?F!vWhSWv>B7@!@jZPeevLU;c=Zk65nTu1{675{8{bAV&49M}`4UF!C9s(wKRR34>@GNu^s$eDzf4)Z3 z4-TMHv~SnO{mG!ecxkvfyImH?U&E?s43Ub;tf6vltInUfU>0>eTqXpbr|CDT*{0*1 z`-k=Yer&wRfa)iBB4@4j1zmO?^RZn|w!N}ZFCo_!*hdV*4RbNLJe{ta0nfptNjUih zvB=^?+?5%!u@_VEB+b#UjoY1+RZof9^hY9i8jf0>V$jJ!620>g$-;7Evj{GPq38%- zyoBBoqy}b5zmr)rU)j4 z*M8@(p~1~nqOwmLqvz`6Ae4GyM`#n~7Y7dD;gam;{Sj%POHN1?7L0sXvA)Q)MKC-N;ZjzHfWHCLHj zD(OElnk1v-k>vXkzqDB)#O~7D*8mfoI-tNZ@_v3kdg5eQd8p+k(v}aCSO^#6O(EB$ zEdg^qU09JHQQ~CTIfuF>z8+ar>S-^HeG-$X_=^ROrgapzlG74#kb@hp%Vlvlgf!P`mDOEqhr=S5x?S0CT?mC$m?PZSJrn$x!8K(zAp^Z(j2#bS}ugXN9Y*KdYuv```F^?Q2+=B&L#aj=uwm?r9lv2Xg1-|F zff_~9Y+^4vI}!ldFZhLhVKfAQkThn`RplW>(cAG@2?0zXVl{A5)dBV^lGm`g^2mBk z=EA`hRi?noP!8t8!((HEhGs^?W(B0^$n(TZ%DSh0NA)6ptA)sk0xp2MKIwUJ%a}z$ z1}?*B;#gCZ3tcuGu~p(k0iu%9sp}*KH866WpHw@Oh|%Tigk|xKaOLfpZ6^sJ{I>+ z(iHM8xd$0v3z<{Ch?uZ4{^$5xuG%tMtn$+0Y zz4&P@LetPhJ0u>>Xh#iDjE3y)1Drc)#yQ1sjQPjVxflWrU_2teCo_f-e*59q6g|p0 zV2;N)!u@G+RMwI=7C~60oa>y3>Mn*eQd^4O_vn&>G>{Sy6CFMBFG}}_al~GSyBbp* zTU0TtecawZE@kd-&)BbYJJ%Hv9M8#in$2O5tE`OQa1tY`($33G|RkL_YHePtQ-4pe?GJqALp+jm@d%sk}7; zHA2`$EW=?uGm%my>j)s04amm*_;s9u2CJ&2qpy5?N<*K`jX$F&7dq3iCWqj2X6(kr zG8YLkE<~#(Kuu>Ro14az-d|e}rqH+xUc|83wV9E*EltTN@f=98w8hXfNC_z|>*vS) z6tzTw?oD>;sB<%Y0X49H$)DoX6InFCr6y6?t0_7)g4@y6;^}@HkkQ89AJho3Hh@Au z69!x_D`b3~%lI{o&|MN=Oz0vc2!<&(BR4%uTT8oY`qQ2_I0(i}vyaJ(Q||>Z5tRiv zd9n-%aSI`Fd4wXNhDR4V^aIg^3HtWFG=Iw(Mbx)nlOW#obw1>#)immarE7sCy?-xp z)p|(~zsvz@r{Tl5_VSWZeS^%W4U|=aW~NZ5wrE)vTjhXNMc0WFq@+C&b-VHXe7+A2 z&eF-$(H{R)aHtwnDtKxBWv76x=MJvRVo^xx4dl>^;eQmiD2ZPo?NC+(B-eWj>+1*N z^zEC}e408GK!5+X0K3q9YC&EyIuryp(ACI#O>ZWIUt~;;s^S8&p4myp$$_*3=~QWc z-SRh690Cw*gD{xZxlkedry&Xup+7F0zRN{w`^x=fiot_lsyHUdt-&SQZG!By zN8XDqf(%!xgAg>v?|>vQrMx6=2Hy?^hYn_nCc@}zzm82GmM6FqktYrTr-^`x4)XQ0 zXJ!i?JvG==N*&wmt_wCLHPjSkn19(X^`M-;i_tGJ1rFwvAaU$dqBKH<{|uM(V=1bN z>}Bl43Ri*2kViXF)2ze@__u0*X}C9Iq5WO&^ljH7-d-%tRG7d z2|iW18Mc-ZQU;Siz9U5=(sC^kM?U3^+A;&A$gdu3bikWNEnL1X(pS~$u+ctDSk$1C zl>QBs{esfo0(PRTvG$F~;~_IJ{w!l6bBp1eP*k83l#tNM;l@u92RYdLgy^35=LQ@l zXdH&z!t8TfuZ4{ARCc2B1;+Rr%oSc=Re-~{F$Edh$qU#~*awuf2+PgEszCFw#wfVi zi>6z$cp`j*#BZIkaYpJ!^E$WlmW#)4$i^Ze$NZ#rm9MSdn@zCRnC(qCAgXQ2(>gMv zxSd+o>UVj)nGIi@ycpFGG_0E9oHng2nGtSsqV*T8oVwKc*niwE`h6KuKar8uB>p`?3LBw`pJd;xiEAQ;QMg*tU`z`9E@oc(Vd0~+k4q#2E~fcmrP_Khoe5e9xnF@9 z>&#~hj(Nd`NKJgq27`dcvHQFH1F9K`7W2_@CmlhDiGrR{WSxe`aMyH7I-{I5_OiFR zTf17^>>u7ta^e^Qas{owGrlb4tW&ODFzU`K7P5e0c*EpT92J!>;@(E;-MqAi)Af7J zprY6ar zkw=*xgBB`^?5u`=pmgB0ldi6x_Lqc^;}pcPfZ1LmHN)b}va25zm5Zm#>{nObZpwu{ z1R3aSHQvAEJ|5X!u6(@2*1c}f%t~#1E~y0If}7{zd}{qwH9GlX4erlI+m>j`Am6H} z;BdZ?W{5HS5VX5kXd0z@3X7_X5kIc2*TJ%siLCrAI;@Dn+8tF)d}z69wBT}sK4&G3 zsB;#*I6sWLh9Fa4!EWK|Wm3s5^j>k3_yhF4s{EE4i}25AF)Iv{27zr~2xfVr??pTl z(^gay>L1_tRlYqAn^b{HbHj|Ki<9q;Kv&UA=fe~4a_jNkz+)whQIKnw0$8TgK9m%@ zID?3y2NR=WyY_PVaSlQRpq%eJR$_bcW8;gTI^Lv&su%TTmmklHB5+r&CM~m~ZaufQ zwwf31#o`45!e~?tz8_IMK$;GW_8>!cn#4*$>4YbughPEw^mB>G2@9U2&M)WEDkoRN ziOe9g$I;)w-h3;;b|_w;(6Mqrv@Pv)D(I_ZN4SQ+lBvDZKchI*?oMuhILxhDDuL<- zXI)IUZHBbm!J?Mrp&H^58f;)%R|A5A67Yl$4MUs4(h2ndR<~??^|$AvtM2hhWAAxx zPdO~h3O&vrAMv*y*E3!sP8m!+c*|^Hp7HryT=ITpOG+FxR4DmZey;MS!=?E8Xu&7u z18unWJqec3{AdLzwciX-$G5~l$4W+j7QLKStZiiI7*k+v0%@UJQce7#u;UF_=7X>h zv$EAY3N+ON#hArug+LSVKsB}984P2$dq7v?U9exgZb_Kf7o;#;y+9Pm4O>Cy1z{TD zqdJ5b$>N7>16};N=!c-UGhyOlCC5u-8VD_{mzV9(+W(Vr>X32Ve5b`NJv;Tl7jLyj z>k@OaKg*sTY!noib@4Z6_=wP zqNO-m0fCUuQcU~9^mn(hSGSEfxNZX^ykVg}PJnYfM$mZ}_Uz3Vv6*jxq+fd6jV%4o zZDI{|y`ZO7KXI|z^iUN99%J9s-et$C>&w?)mGbyW0(&9e>(YTsIO6=sPA-2==u1|L#8n0TqBCV>)1tAWZa z@;={a$zM?bNUt>}?fpcj~1IIHi3_W#b!TngyeGxk57dxvY*ukby zjgy3xK|DZ^^Q=+~uFBsc=mQqAMK82W+0G;o?&O)!pTyaiBP7L74!rX?wi>=O%^eP} zv=(d^#&>qlSbxEAKdvajMWy2e*R)P|C6^Tl4tq!D?GriAAM0m&>|!5`_gKb_Iq6y^ zZkmDl12Nq(S@P%{j`h230RQIBM4&hZND~UbWrPdZ1R}BEVHMTB!+_Kw#WK_2!Cz_^ zWP_zPb}yHMC?{(A1MBo|1rw5?d9Xi)?j*)5Ayj)-?-;oO+Lx{q^mWN%nIYb>mV!nM zQ`d{Gbx3$+s0R0BP~+Jo>V7F5m=7)Wa?ms{b4q({3X;j6|%< z%Dq3S!!4^@pTn0+TqgxV(?g184t~sR>xWr(d!a`;e;vGZ52&gi?8Sb7elEuv4;F^( z*cw9ho=G)G>jO2f9Xhp6_{=v%t-%?pU~eLwV|s!$Cw?2t^7<7)^^_qkgsR*Vt}XaV zeSg~}{+;FS!7Tw=Va-06D+Ybat6X%?Catym?_BLmoaB)HQj*>?4XVe~==~czC<>uF zyAOz5B`Rm#o!+{=(TDqJ!f9Vu`jTpKf^m*&GkY?h-45sPN=sQL;5&afq^cZ8-qL4K zo9Fw~QtA|80XN9S=<;TlPst-5v{DTyF7tS@M}(W~4pI>Wga*_G=825r^Vs9ujZtQI zRszl#W3pywmg5E{X)y#su)K!^C3F{o0@BXIxMQHeK)Pe~(N=;e`r{+Pk{}|y2TYJJlxv)Ka?;s{P%D>Cwr^EZFh|f8H}xrt&MFQ z9lrL!^dI8w{yV4M|8O{88@_^B{w?^+OPK5b(B35U@aUV~ZRkj3zCX}{VcBf}+O5GyG`9b**2-TdW<=8F2KM^)ZbVG1^o%47e_19o{L3@>%dMH=-|d?JI+gy< ze*QUte-!vffqxYEM}dD7_(y^N^9uYgyVw5hYx*zS(*NdO`*-gC-{arDfMtwC{|fsD zMZwHW_mzgxIsE@VnP;J6VN_yb;$r6HV&tS@WaeUI{IBUUm(Z6>vZL{TPk#Q-qW|l; z_rDnbm5dA98an+oJo)!zoSl)K*-)Q}i4I_5$V>tZhA%_7SqmiKzqX9dMzCIJ@Kgs<6j&a8S`TOVpj#mC> z`}jwJe-!vffqxYEM}dD7fcalf=KmrL|BuQ1|A3XdlVEa!{6m0(yMZy06 z5{lyQdjEA`|Bs_6zQA>!t>wdp}8DEbk{CMA%ot}=m^l4XGoX(E^Q5}7$tGh0#yzIjv z>2#wU@cFwpSmTu%Of!8Y<@DuUUh&-%P@P^Bx_Cc{OjvWy7p*fjwT(AzooSM7d$TQT z`#Aym{nb8Vc74CKdhvX}w(R}9|Bu%9_2X3(#Kv^(qtNjE)_%PBWlL%0dNJbY^Xp;V zo1Hz^sNVOE+h*pH8p8zq=gdTSLi}matzUJ?=FKP0PbV+!`H;(E9FFGB0*hu5V7ox-yiX()rp~F)*+3ERq zo~VQLOpc@q7i$q&7JsuZE~a-YdnROy=7_y<_;TMwLBt#_~D5&X8F=N=Fp)0WJz5Q|7cjGt2NC+PRqYa050vDRPdi453!;r1=7* zEc~oE8yaNJvQ$j}%^1cHBu3@>R{3Wa<_Y2{a>$_2;wYYWm|^Hpw%%`3 z+X65C=$eDI8(PQS@5ss^?tb>gS)3VGqfw}0X!&KdvEEG&02$`HG-_T^jvlLaCN8|( z7VAgqMWqum6!w739{B7wvt!kAD*XxX{n&~=1WC2ihsv$%^xdCKZhcz2zfA$ z6d*ie;oWmsUKNSF0hF8*;Z|0O@*AaDU?>Snssj$L&+d1cT+$&^HO`}nQFaao)CwIU zUQOKGexx4J-b1M*b=c(#P{A7 z;xspdO2fyM64r=eYb?li|0X{>(1$Z5dbrf&V96>w`q#vDvS-%W-@D(j-I2p(C>AO9 z)EZ}K)Z!S)^I5)V6rgL)TEVu4exUo{C>2wVxHwtKDR4n83i37dcgZ!)`_Wv#+vC6Q zFeZIfP~)reIvt79|24e=bzcMpQ3s%kBAk z%cQ)in+u-nzOD4KA_%;+P)(rm$6fz7E$3OGykG5T&?jDL>eMh0g!6FwgR;fs_2gnuRyYSCYJTUgK{u4Sh zfB2~L4Q4lh5cHgz)IKK53hp1r@O3|fiF~D|lAho`HM4jISG)V?edO|$Kh;w$ z+uySwl8XF9I>>gwVV08}K!0+y^af;jx`9!d3CPIt5paM-lb4`_fQe%A}z<>{|LTMhFG8Qcw<5lis`HmpAh|r;WQto2~ z8_I-}B67-!ibjpK5NaSpzpIU7TqxW~byuSx45$S=zg6L)4!ac$G|lP*8BMy#d;~Cv z@csmjNK7GYK>c|_dCQpXzy>Q7BEH5(Em!;!>)xjm))$c-H~YQ!vpt{h?Q9HV2Xuvb zbOC1Mq-h2~l2FlOi1upV|k7c@mS!-EoYo>a~|2kiPKln``eW`)m{>^wG(Q z^Olnv-?oV4thRZP70gbTae)XDbFbpf$aP34ecCzqL8)`L3YB25B8Uw2yfAV=o(Ou(6LVM-sG40fDS?Vdt3!3SQ3824sPJ6XK`HM@z@d3=7pI3P%@+y$W z!8rMX*pmB(9&j=5TA|JECsg9T#W-4n+gicYUR!*5nLJbnxSE)qyq;(BDSHQgh(Qu# z@k}W1o$SFtt~CYuP}wss>IcUT^rg0!CiqMR$th$*gq?~e2P*9z9V+!RBJghHTP>=z zi`fY-QYBujl{0r4@s;lf%rL^U!l6&t9YMv9R?eULDvcWkyPx7!FAd{E&(@!bVy8?C zVZgBMG7tHv&{u>u1{?dASN5+2heuJAQ4!#Y5xMJnrV8&Cgpp8JA31aQX42jecq3&3 zY8=LQ)p1b~)@NX5pSZU6qv$T`l|){mDaxgAeuTal95Bdl(jmqe169&yKb|E609v-X z31U*VD*?|5*{PF+S=pJ~%9WXoyZlkoxNP`lmf1jI_p ze@3*68!`m7voku+x2FVJ-zPNUw&ROJ!MqeleT-&*-J^l8F%@PY(+cO4GYuNP?VE(x z`SqUl3g2%~_`vKNByDsuc)HzOrA$jmykk7dGV-z6_nbkx#hPAFa)tnnfM%fiipX?p z3<51#WQ{0{(U}2IC~A4WAcDY;U^xFigD+d2mu2jr#6K`_5V{2!LE<%cjYNPG3PD$# z?AQv>CP;Lw5qsDb4)Pe49fx1c;9-gSgqaB;%XQ(kd%cHLYMC9Nxnw0ZWaED9I81FD z6jmTwiWS^)7IugT&2f0*bS))qzLfc_k;r!mVroJFTRaSA=i-O@`%y&9M_GbQ!LE0X z`6hMlm)Gdw*Ae-2BI)yr0L;+(zD(aDI0%=xF<|Kd8tvX==HC0J#A=hiz0MPPF)E}Knl&rcuISEr|k(baqlEw^XkzucW3#5$@_*3gfOBybjR7Qj%T?uHbsEH zKddqRL0`Yj1hyTh3IYR0-gi&)jA6yx{;{j$bRUqRYPIVdTH|uiGhYAgvFzX(`a?Y< zi<^^8e<^FW$rKIaCl176+ip>3DY15^42c-AAeMSCPo?5G@dcb7Jjbyqzc6Oo$z4(q zu^9W1zck)AA!uI`fo<)y+-wkF#NT@r1d5dBxa+deF*+N+90gGB#!CH^BoF8UVmBeG zz|A-YshXPtfF%^OcKxv>3dx3I(LhlHsHogi1>Mwc8{ze@acGHcpD)Z@85}Ru?y)t9 z%#`9E?OrEmmiG7*wnAjBiR-Y|n%KUvpw+XBjD7}bn2YIE)NfWAMie#?nSa!NnN=`U z!YfgY*v%ydpTa8*ihfbhOpWU(-*jQ`8~vJuW|XRhx7q?2WznQPV%&UC;Fs}m623Xr zENr>(jlh&07)Li-*S4x)oSLOr2hc8%amDz9rQXuby9bzE{-!vD@YOw>f{ahjM>6?_ zd3d2aR@ftR{0zKSv#n?QB6m1?P+C?dc~azm8tCcy`I210zf33mvX&J#$KsES6YE<) z_`DZ*yDX9n5<47t1{oWGcKvgz+w+atbN-FY0QQyaeAvn#rMHn}TSRNv=}#3lJ9VEI z?fUEJV_B`^FxN^D^__*EJyJIYux!t`p+yO$pX`WE3ZT@;gjk8J${V~@YSm-nT+6q_ zE8=K!PP4aqwx{=0Mce=F+#QNrE+-q0gF|SBA+&W`Rjl_%S_|ALl^I` z^ba?`Te_#3mrQN2w0f@^0U)=JJGo_vV-Qtzoaela2m&2=TZ*YC0nmYaNJFG*s*)8} z)bH*j2x+8Fx+`{%p)R@)Hq+Zy-OGNbi2(R_!fItiL}_3(kPV$08jlJqzJkmPBOytI z7%))Z1iR5-_Nyg&gUk=QbHn5v7%s*t1N-EN*XQ@iGuduTUjI5@NH%o|J`)iSq=VR{B zZIjC5xB zbVZ<4{6i`o^7;RWG8>ctH^Gi@GNmVMFg~|^-&s-gu+2OK^E6#>vlo{-j*M|i$QgdU z#N4i_BD*BI1M*2BhzGVxig|s_e zpekvM0As%qPfdMtk^S#X#RK9&^bz zdrt~g$EFUKE$jyT-HG+?7O3TzmR|dstVqQ{5U_v{h->GM_GaC(ctZLdaN*!=Ufn5u zg@8LfSS{_h8dAoclpQ9t45|~>`J3L=G=0WubnIvyozscXym0!gu+5FC&;Uk1GFu{W z5C?i9)wbal2D@tP1$G;Sj~Jh^xwr>fM8$m?`bD&(mdL?pk>c*AdG(5uO%4tjrHdGi zAIG#qOa0q;N`bYz%RSl(QYH;!h4Pbs=||01P;r;UYGU8+=m&Q<2=^4=a1s&y<|&H*PrHdcb^6 zr^-X4#^sB-r@uz*Z`eorH2^Fs00T{_ob@(+?M0E|MjYSh+e2{{!5T6Pas+*z1)3OK zvPite+gV*!KYm2sf@RGhIL+(*a&wscOcF8x7>e>jMW$fECC{o+e`SI*C9#c%4&U*# z9r~JF(@7i0Awf<|Ln<=M!=-JqOgJ~e)0g1L_jY^>M+v#dbH;p8_ zumB>C@@3D-%f+j)gI7gz@=|$QyWi9r|EOnLXw2y9w*9Pr_%WFwEKN_OBHj=e3-9^; znWcj-SthHp@B$(gB)MFy6I9?k>kouQfw~XI{1F-wffmCMGREYws z$0iTehlv1s*#;WtCJkvu)}Bu2RuEJSw<64Q07nPQOoY`rJTRrLItX0lZ?({!$5P%p zFd4*_>TUnLb-iD4WQp~u*o0cmg37)2j|~N+!tn5*94PAK!EAe*&;UtNa@KwTEY;%L z+oJpBqxftTBo4O_vQlLujDq8Z)_MKP7hHp6fl%7gHiaXJ?BU$N`{Cs<+Ey6Em8zMc zPWpMccvE6$zK_z9@A$XYD_;q283p^t&ht(Hlg-DDPQQPk$n>U|kggOtC05csBS2AZ zeM(${I(aO?jn5P}$?jVy_!#f(-LxFxZ#V6U>S+x1oB3(8=>uyQ`lKQX+1;t8&;$uV z6d@T)n!eS9l8|8KW9He2tt*kpp!#8q0Dqjw3;tZ81xFSbi?M|Fl37M4I$Qyp$h2Do zR>vT=T$6ZFAp4yxAy45+|7QVxwBwX2kBP!VYis)Q07zNaeW`T6ONhDzFBtW?20of5 zib#k|j`au_a!%Llz?MvBALcCcBZlm)4)0ISVCaB`GI8d}60^?f$x?73|GC^OV$!jCltcFW$we?qrLk(jmL9tP#eOp(`?G4WalQSRcx?u3^wdEH z+R6m1Hv1~Ku^lPDHOKy`*_vJOTOZdY%H@hxhHz=)Pqt!YRi)HP)=)A@(=d9e+GA#I zB{Xq+0HtEdxK$qgxHj`m)g~aCt!$|jcn!DLe@;&qdQ^qwXB*!w8^Y4%WFLZL`Up-~ zn4^}!iF%|Qb&O?cGHW3!)ytj&&ZtM@w6t|oJX)d(m6kDd$V0yAzF(}u;uc>W$3S3j zgAAY9b1pWo^L?j*Q5}>7i+wWMv}R(V!*fi@#^r$VkjKUVabC;%su1zsdIJG~w5$cN z4GyzZ9Y4tEm+l&pk0iCV@A7zpxtj zGs|45jpfr_a-~it)0!TYQRDsz5sZKlq}PRWQ8b7*t=7P*>n+3o51j-m3_Z@Hb1 zHlt6$lVA(TAR}|Ld{dl`P*D(N6Q9HLMs`R`l68b3^*hy?es8xrDHqpiL_nFTjz%*ESdM1!zv9a0%kv2u7M` ziFwA~`mSS2zaGTE#dirw^kF=6wLJl6Vu)3B=BO7M^f!lQO&px-_5(dpKiGK<=yh?# z1yH@5Cz}c4jVq-Akx`@EJXIZh6yqV4_mFt;>P$_CksR7epH1!loMyl5xi8ifCKx#a ztg+4_+NbpF#pbTz0#nuzr+mS~Fx#%+k~$MZ2diQm!B>sko!>9Y#m4DM)u>JbUNlLY zAE1JCqSu_jk^)(VIJpJe%lP~!<{0&#Rp7^PcvoF; zuJj;dribrO(l+h)CO8!HDyP1!-}|=SrQqq#VbYDQS?k~dGKBVY@oMt464oIkJXB+u z=Z6AJpf{qeTzR7K(Yit10Zogiibe}&%-O}U~&oGLK#@;dC0a%5LM)+4MS zK!E1pW7kcBa=ahFDrU`ejy#T>Wqd{B4~6w-Y1S&W4gG8`*nnz@>M3q)M~C-?u3Q;3 z_u%$W8dcL-8jO(TeP3&&bYO5$#HqV&FMCp1NjUbWUP@0JO`?aTsq~%Feq1g+?hr~y z#_Yx(ZT)AE@y{&dp`Rm7PA6RkB%Nbbf7(ey%UD;XYTiLTMs771d8Mui4&|mwMr;mb z=6Qe5nE&j%gb?>I@X6C$Aw^C}V(KOQo#`9G5RG0=wzHbWu!E%gxUZ165Ai3mm``il z!~XU%5;$3q{ii` zZRiDQD9Pxw3wZIusipd=q#^V!AmXu)=$Xg-7>5jDkG1aBmL&zR!@@(~-+%HI8*{Nm zJay7)8AJ75YmS85>ft~U3w84lrN)j}7{*DvB0w3dBJmZHw(H|>t!J53HbsJZ;iZ(0 zcTMjd?H^88Z!N){CuH3#i)_+=8}HLgUl^6@yKGh~eOR?_r+n8u@E&*Y=w5Ps#9ddp zxT%r3`2jU2eq%$KW3-HqTdu};lEF)4_tM@eBNcWb&}A>pK^d=UoY zFg;m?cW0eCO_sSJLq360qZj)o(dsp&O$@{h{ zQ!iS*&E1#nNtf%-kBhDsjQJ<7SqYa&VKd2Q`0tjIBSI4NKd*J-tT$gt`j%cm9m{wI z@gr*27-QUhq1IPqo8j#^LPYE5Q{X{-v5E+_88RI;Q~IeY41c5bU*{+DI4W54%{sUF z`K9}xXe=Z+wnU|gHOsM18WZ4x6*Sy_j?^9%DmYvM;O=7-fs$J}&I+o!JxcK`Vaa49 z#gHn@@|7%RI;fn?3ir-$_JXw*9~BzGq0^a(ftkVDW1hslP*ONr88tQ0xnJF}VI|NI z4Ga-a@0uG-W&lbi9X4v*ONo!w$}z~aQp%Yj>s>vbh7LWsYvj*qjbSdMRoO@dp!P40 zyJSr!T5D3vUI$%D;4F`({UtV^D&Xr8$cJjgr274m%}}51Xl(~#BeP`r{Hk_Nl&GuW zs&Z_QQ#@JNHP`-vg>3><(ev`u^CV|egRhf@7F?=Z^&r+x8AsJs(}rQR*H+iY-P|U# zir%&Z05$ydo#mw~njM?K@`K&z@)+*COjM(!i7Pc%rgVR%)_>omR5S_`mO-|k*wm}uCvo|wiH?q z7N!<*ic}iZ-bLEJ5Z3u!v?q6?)`nZPufBEO>PT;ywCl|k?0*N1%#$a6BVu#b(6~@D zmhTb{CV8ImkyuWEYUdpcy6WM_187=2G;1YCPZdVx-ELs|yo+2NKjlWxa}X&V&Ai<5 zsp|6f#g*&$xV~TRPcN<``h1)vYt4JVSGQcIM_+CGy!CL-VD7CbeJY%&n3_#;mj{n# zpN=^HR0Ap8R>tiNr#U; zA6}$ghEV%mrF0HCct@DuXdOhH3HZ?J9Ue}ozJA7eG1mFY4-lotB1hflT?4-ShanM5wsyF9HN6V={BG}7x7u;4T1y+T#G%U5>0aY$*Ln3lXcLL5|9eJYEfCbOo#e zy?%t@wRY!;x?CdL+*?Rev)a?c5<Re z(5GUMM?^M5m1>7atU;4lu!H1QI|A0kmpRW6(5-Uq18(hY*I^gngpmq(RR!BmHZHv6 zNM1ez)|NpkE8J!xNPlM)jPV!L>7c`GG)>U zlsO4sh5lGvRX=(EGwKpna;t8lYRlXG3{pw#Oh540LXL@Z*qzN)-nw9{hca;lN8=jdU&er6Y??=08Hdre$~Fl;jG`EVf{Jcb&x_IKin!w zt6-%jR7=lF-=3%4hL|yx{kQ^8(gzg0JFr^0G$S334G#I7^AtQn^~P!o1^>7 zZ93xOXDNMlr>mVZU6!{;rwkqL)A(CWkbYTO-f(sUbk=4iq$RJbR4d#L|A?c3hPZ3O z%?5qo)mRaCk2K5FzlYu)43 z@-yR~3Y1|5Fby5iL@yeXi~%1JshPC&x@EQ#vH>S&4$lHzm;r82d#AlZK&v<7l90jh zIRq=d{=agIAq6Vd*O(5uQZ~X`rF)8c?N&uw11vT6p^SPzgbuiQU?!>WrgAiBr-Ygkggw|Z*L3*6X|Kyp>*7HcZ5yryBB@V z5lNJLGw;c_r&Wg<=!DOS{c~W7IyAe~RMT_l5`~x~JPE{2nlRk5+riy0W~Sg;Ve%(3 z9u=wj)nCL(Q^4`8fc|Jnub0z>UKIhYh87~Yjdf(#Tdne+q8?ssi)P4!p2z?f;571K z|9sv%J%2fs7~i$TW>Togbr@W-Y-_DN=Hyk7RL z$A`Y?9H}M61Je|funWz?lCsL2&Q!9)(^+}fXVA-jbw>Y`;EH#)Zxrq71Y}Nv3Z%5~ z0-hcYthQ*mhXo?I_hsX_c>nxK=r^bC^kJ?;_qS{t7=`Ymh8grSteTN zl`vH+OSKPYs_v;OsQ@9=!f^k_q`Kbc{sYclciIu-@5UcUQPmqeLdIKexI;9L_J8h- zZ)GTFsFtwq{fcbPn5iHI$QVaqI(w>+rvlur|GZ~!FD_0J>iU%UB-C>dNea}S{{8;| z!>v-CkgsEw&Zy^OZt!hxHFCy&-vIY!(G?zm{o7s<+}H_-Q?5W=={6ND7zd{zPNJ9Q#KVg0vr zNv>YL@cH;}!xR%s(=b2r&3-B5nN)D+*W_y{r6p=!xXOpMOw=G-Eaa1+Hp7m#qSgG? zq#Q;OxYD^mtI#$*%IO?t+oJ}$YGenUPXMDxD&?L)w{FoAS?7CHj(uZY0*2gf1CDHP z4tQ|mq#fE^`Y-IgRd5`^maZ!Xi~m+%jx#sr;XXK`Bf7gPE3c#t zR&L=7+)TsmBbTzTvA4a@fTML-3(h3iQtX`j4*<1y``rsh5LSAWF?zIHey?$Z#}8%F z`ib8O^=Cn6cCDQ!Yg3LY(Mj12=aORUF2JUB_6J5oRZ$y<^s}6YZ?dkl$55&dzzjVT z9uo%cTf6NEa+?Y*z|+{l5+SFNYFJ_@*3PmmSxz;n25Q3__*?6e`>U-3md? z@VxNPa2~WBw!?Qv$KWQ~Q8q8LaxdKDZg@EAnOb;1d@iETS{%7xBT2H>JD^Y9 zMltste;9#OLui~NtWSM0qf5)2*y>dct3uR;6n^UnS}0uR#;y(33l;vrRFGfk7nwC{ zv9#njSK7)pMKpEI41%`+zAkcjpBkwt&xkh+PB(vzZ)hozxZc1d5!Zn?_0d*x$%O;1 zObw6Dby6~N7)1AMK4xSC<;R6a2R@4q>Q_R-Eh(f-l5{5ui0>bsWNhxjhO1U)6Q>V$ zE%c!1!nW}=H;#|^Rz9^gtgk*Zde|B@iN~YMATgCuv$oyL^=gl>={}YQaei&=Jw1vm zA(nh|^$4?f?>Vh0tAoEQMrn(-+BS_T4^j^yX^Y0~SuNJR@>8Q9&q?*AWDILVf6Mrcy%#qD?tGqPIZtNx}@Ane?oj}L8~qxg+dx0M*Nw~RTHsU zf}Ys`RIFfolM45Iqrugz{qyBv3x3u_!r}WFgFx#;MCN|A(sqQifu}yg;(NmA0tM05 z3Y7#Ym5;at8O)qgSLTc^^HP8mp_5wl<4eiG`oR3`goP7ywVaSuv_+&<)RUGM3 z&WylWE}#KYjf!HQgYvN@Y)wDwxIGIrCr*afctd_!D`16L6EP%FW03H15$)$eRh6Hh zzMkIM7o?iypc2tbfVZwM1^__O$B%H0n-Cgg5d`4dBE(CG>}b%&5F$u(Ez?1L)=?XO zHC+YL;Vm`aE(zd39uFa;hB~w|588yzdKc=#-1^0VjO)V%)Of0(HOpo1m~GUEQsj1KvX7go<#kn zG=G_)BxF$`#h1ro>9=p}?g^YoSx&Lb@a&w7`G_~vIby9}q@>@ZcP}_LuCT}_ zVCzgLs8e4;ZF(=&uzPc2QuCF?0OV#?SeC#n6-fCogc`&PurZ5kZwEh0LrkraAkL~2 z6ONQnU}g%%?8b~E<_o0@)uWC?WI;{c84Oatf?alOkSFES$s|xPS#qo}g5}yJ?fD$X zo=lqtzLcDF7_r}PLuY%mP{VcbHr~4UOZEL?eK14t+=#b-g4`FqaJKr~3bo}oU?iM2 z<=u2qqcP_zDSgC#?~Lj(jxbAYh&hw#1qCwpA$c*BSgS%wxwX4l!7S6bBgrpDg&!7LneBjBD4SP{AxM5<${;1@(tn1wfmQn)L0*!P_ z-#JD?PmXL=r!u^rU3O0r(Lr43ohD@gNKAwR+VTp*6F3M-#>!dgaoW;o%l8Df8F#A1 z70Dk(ld=kyZ}vopwvaUkoAul97^i{u=DY7*p_oM!Lta!Kep*20$m>8XHc5HlE76Wm za;8QklZQLkC6(Jy4}F6M)A^eBD$jyPCy$@y(pU0nZ>DiGybP33Qllw_YRykiYnv7b zndM8(m7Z3u*?vgZVfr++<5rq2b$hXPa@X0$6Q?n~pc zzCR^FS(rjK6#5C`9x0Fy;TAw#_AzUY!FBWl1&;!0%-YhEwGv2z$h-U&QRpy(jq*B# zH}bD1pSRgAb(Qko7I2O;m#(!yKMTnc;t&D-UcnI+u@lPks&GHb5U(*{eiGg!Em~dD z9}uv_XD}!HRyP@l+Emt!wL?&y4Q#G)S_TBCGbTV|#(>mfSG`X_xu-43^UiP#{En{RC(Uu?o-)wmj=-Sw*njz8<`o9zjLZXQfPY;Na!z5~ z+9W3_P8~8;oj`UW4+i9X)E7wk>gS*)04P&y%6Kqn1om#NffQO%plMoLWiL-0}5jVEdYVDFTnPF!_+Wavn=QS4UUZwlUt_k7j1|D(7pCC`WPNDeq9^b3^X%I7*Pak3--A^A zprhvn0#qErNWIItXgGXy`C`f~$pGh73?ys>bNb_wHrVDwQL@DG2i5*P@1t0e;6^J0 zIN^u5{*06!`epwc{RS&Qo;?@@E=@)2yu^~KuA7*9Rqoqk zou+$c;IUcTpk;l80%RjAY#EM8aYe6X7yL>x$Ds0uIHwWM*QS#C&{6B{q5Xmvf5gmD zWss^2tLvl7`LA`4ResOcPyU2rgv1^ioIFyT1v#_`#woUC_k*n1dBgQiWWXD#Y<05` zUo}5~s7`E#e|nk&u`TmJEiPu;&9Wd!`wjV+86!RBRYKrl5UPkb&XXynuB~^dA5=%0 zaUwj?^xgnG*v)~S8*S?8@s%-tZ?Ic;tS)b_JUwZh=r%T`283DSZ^0XTxJh9XSzRtS zrKVn88DUiUTwlwHvohxkqeWGo%;CBz8F17nK%>lA)w)CSCxFzU@Y91qk&As~DU%I> zn7OWl%M}VGLK?yEUui`o_e|nU8kBuX!%Vk@sb$uPnrT3E2NgyWsY4S+7~{^n_z+ka zU^aEwQ4x(~RTeWGA$)M1VU#6MiHcYsG=dW8nW2tPESzl_*p8R8PScIU)7lZ7SL~MI zkX;8ie&PWlVN`HOV!FYLb~p95g!T&QrYl!wS{S^)Wx(iP-8iEtd3vS};Y>E>iU1x} z)1)t74D61YoaFgpy@^`^?;w*eCE%qAliwH^=R1%1@lCdX#$A00tCq?=PR!89E#3Un zg)%=+OM7X@8|U;@-A59c{TeQ6wg{1ahMhbDaUB_Nd%%I^Hlm^+<63}LvSh%rGD)EU zamx^|8E0V)G_ZSLPjW(dn6Eor9Hd?FYFse;LB@pS;%~T~^<`V;!?<9yXL(ZX4gX^b z9M#P@L5~pT&!BcXcmOPO7_&lxO92A9g3QGNzR6D(#GB^?+O?#fWSZ?~EAWY)8*(h1 zAS6paI1X0trDDl!o!!%cbx@9UU_Z^CG*-eA$AM@sD?X6Wb31Q>Z)GpkueG=l@~-z5 zBCuKk>EW~XwcuPC%M^%;>Jafp3jX+N)ekk1YNu_%guDG+(V9p&8`D6-;zDk3kTT@( z`__^*=DAft3Gog|(!;l;2VW%Z8Z2cxix&^*B`Y3-hicW}uM?<{G*F7Kr}a*%PK^Z` z88QpYD%xkMHEW}i0?kq^b$APs>IfEC0_%b@ZL+w^RV#yXv*7bL=#0}PB=QA8p0tqF zs7$Jy*Z$HzPS0;$v_|P%xJJ#Ik>qkF_Oei8jW4h{-?6t(H(`UUt^7OEQ*CX*@u81C zgW%2@)|B}K=*LG(wJe+fp*6q3A62Cgc7bR>M%?f#1ZA)(o>R4dH~qZKMh_H&n-ijB&Lnkx{vXt8e?e;5~R zsrsFp;s>g#o=IA2h1C~fjI0SJP`ik%xeH#EfOPIs`V$`EQyPLTa_l2hH0jHAm+a^F z^^{54tfIt_%K7828S&NE^?)joNpD%t$nrXgi6^ti&DK$KKdS||E-RjX#{&aPwiZAt zple%&HZhQEdq)4KhoA1-)xCSu_(?!31EA4fxb=AyPgm;jvlL$pZK3kR`2PBSDh>=x zTB^iZK&9RCR&qW0Gpe=!Pbn%kOB2^oQ{C0-G~Suj(yXN(@Ou;1N>zR=*WFlUEbx5! zFUqU}aG0Rc;bsuH+)z=q49q3Epn0}%GG2@)1E6Y{L3x<#3~h#`>WVzl(6kg0wx$b| z#yw^1fJk^^>P*JnA~pd|^}{BzsF9O^#I7K0K^N(o7-p1pX4=n|Cn-PDkV!;Kbfd%H zbW}RU?=&DHd@;vK5{;KjlSWt4Oam;ZKfXvjNyvF<;8}=7v4CnsmB({Yw_8*`W@w_0 zjY=i)S+C0BO*OB42nH42_I8-wtJn5@JpXQ%CoP#J7vNb?fax?*;4zFh^vKejxFI_fMb7QL&+@^=+yz$zF=^6c1S_8BT= zugW$K8p`B|1}h-S(>%$RJs(4i59Wn$P>kepi{j^5RPppfHiH;~Gx@^9#_3ibg1!}_ z%B<9FO#sm!c-vKW?mHXPn7C$%t`esGnhKR`0J*&{0j9+ zDEg7O8`#ls+&+EpEpL0IF|h8Lt$86_Ug&YT6(9#G{S4aj%y5af#PXa4ZK>~6jq>ah zl@FJ@D{)^Io^=AM%PsIl_z-&GEZRTU!Q1)?Jz_z>L@XHUgG<3ZLhupo>ctjC*x=H- z(mS!?_Lgwhfgw?WL&<4~kvh(-ON{|FJLX9n=WCs;`8{AwWfLjf`B@0X)}eYPPWv5F zpqS(?{5c((VWl26&0%760)|gvkD=YWWupXTt<#%Djiq;h`ud#-kQx~vuvaT!S3C-&5YXJ{H zMpc*P#!;f%!Z|=Hp)xhJZpX-#EwTxH)rK}+@hl{%v^?vy#kuL+L`_wqOZlsTr;&LW z3}3rb=ObbT6z@n4LE}d#PNUn9`!2CmXG>pqrER)}sQ6L>)@Bs(Xch^Sp`7P;&zKp3 z3Fq_J?&wd+v52;P5|nX+o1SD)jf6n#hXk*Q1zD)Nr~>?YbbUv%oH)jp!L52I9{XoK z$g-7U#HOi}g7Q!}L@yi#cA4j=#(FCNJLH-%s+#cm(=3vwBICG~5DQq<~6ZTAd zY8b~}5M;DikufH6YR~uct&5#MFU#DSHuzNJOvCQ8ZF~a#2aJ9h(G-lw8^siii2KwO z1i#Ykqw5JHf856o(h|+*7TR(EKvQ8W$`@%RT+Ycil{>(90c_t#A z>zkad3Jdri^a2D>69J*-hvSyul+zJcWy6qedc*WwqM zsEW-?O=+Y)tzABl)D9T)>*Q|(^yi&k zk-UEFq++&ff~>_YuAv-Tp9}w(y13vgf+?bFqa+e4Rru_~5|3iwv0q7ta$_|=BLHzi zRSRl}(ZeX1RjsYgL!H8tU?#t0EWWgyye31j7O=dT)d8wxDpfh`qbCZu6m8N3=c3CQ zU@oY~xc(4p+py*!5Iv3J5oIW!XqAZnMWuAklvbTgaqGk}fK?%`#|IOP9pdEhQpLw8 z;N&q6VvI0fJeDug?2Z9eO25T8aFDeQ38Wum%Zx_jWK72_ly&n%ZLYErmVKk{S>YU> zM}>%LN>_=chc$c+qfM}!s}T>~1u7^C26E08l5|N;jFhQnkj%Z&@3qOG@NlK1@8pTyho{vcZ< zm}Xss6zw+AxN-^=heEsD+VU%nb)gq;K@34qNes%5^&GQ%KTmd!pKWc+57v^z_3U=x zXv)f+i}W)p>7kwuooJ0iN^FhWoZ#&9ZN&iX?A8jfnCU_q>e^Z*B*X8308INbyzoAs>;=*}|i$xHIpj^w6AK zzC`|X)ZaoP?~@@5X=JwUbEHjKBJA8!63yCJLHk@*Qo&jhj)R>LS>Bmhvbw_<@Hcgg z`GOMLc4`qfD&R*GoVX2I^2=dx^JL@AI_HQ3zSm33`fUqo_?wNApaep6wN|iq(CS5(wtT z)w;K~Amb~;uwi`>i`0S5n@^3QJb=h0)bgfKN4BAd9z!R&CnuqJg8-RKk25$xRzgBU zO~wokUecyJz+Q!NUqBN-&E7?==F=l&!#Re|;0lW{i-;H-O!;AA$B40w$JL3+$_O?# zh$^lYfyYS~RihjX7;~P?sMQ7r@x8d6xl*LcK1>d_eyorODHTO3Da2YK&kkD~^I9_K7_*CZSNV znN^I&e1_%u=_$#J0d$bG3tuT-l8~LoGkp7_MUvkrQ4yqd7JRs zTA2#s{70qn6>CT#jZZpRopyv99iOi+s`5)sKE;!cQs1g>pSr6bvK`riecVWz^2RX` zBY#A9g4X-O<%;^lmGlukoKJdeQ6yK?ABP0xs`x!I4qf9bX9Ja_rC;X?UEiKOn37*G|Gu>utNSX}|o@6u$vVq^qqt$k6bpNy06y1%168sMb=foXJ8@q zr$L=%+?p#xERM}E?}@bYb*F$Iq;5Ts2lh60W60J|&$=g(CX`cnrIIE@x&ZMb&3Etf zxm_~xmZTmajY&P6Ti~q6&OBc>*Y(PZ7Ohgio_%uw*-eHq=?~k)>$eBb7cY{I&h6em z^Otme-}9f`n&`ec(M;!;zSl47Uf*MgISnE4ju`}rjP-Wnh-AWuAotB+Sww=}YE-N{ zK<6*B@;gDfTR4S%Llb0Z-}n(FWSP$+>Fo>m^p=67!>05- z#gcyB&i60&Rhyk2%5QHkx zc_~GqcmQMr&y%88-`zUqo6KxxFO<;QhymiRa)#nW_O)fEdPth(kwZ5%s0xTJ)xua92tR%|g^^{HZJ5iC&F z-?&ZAz!GmziLN~mS0ybkANKhtEF4xzR8Q&Df})O~&q7{THcA5>pc((J3}vgkaJl#8 z2Z0d=y5=EFDPb)hVkU({X~ImFoXE4CyQ3#(PA7Q+lq|iEMgXWTVrtA!Fvlx`3RxPw zmHMC8lj9(tb{OvlyTK6wDoKH>RqWW2eB9?k`nrOxuZnW(8HSx&;MPtEP2VFyon=H! zNAM>a0f1M)r__t>%{$29Ai!LC+x&;=*o>Uij+T}m8+yK;++m@#ta&~Z0O0?f5rK|M zo)xd>5zdJH)AW22l|=3g%#{T`@~jmCWBC|%PQ(qSH4Lv;<2tCJ3AF!;iJ`r8o1MF_j#6(dJA&(vdA^IM0&f@hl69Ys~aqx z_Fu{30v=gf!k6igpM2+K`Ye*5&L6A_J^2`sf6+3eRR&#Uqn9YH)sm9eTw!~z9Cw%? zYshXk-a>n%xx>cNI&?yv1i8+>JPdlDXxDN7I*@rqSS#AO)PMJWL#60SnleC_-C_6~ zR!dADM&DW#!^3xoqDEcJf{?K>{;@|rsPdjhYgJ=p%+=L;QD0%t_877GF=3uFt5`KT z)U#eLs*|o1O&bQt?dVROk*I!!wkRB=p1gvwWM&?)m$s39gbP_iefA&O>;&|vc z;G#1?0C^)GMHY*_zsna^%DP0AF636G{AQB~2iTk{EW!n{MUXOW%~yP!!u$;~L1gZq zZ;p}v2Zp2$H?k2O2oRa6sUN6-`dvo^DModVSF;5Pusw23SVQ%oTp(9Ob+IQ!uIf(} z@S?aK>B*;CxscsBXaGv~lBDLCKaG5K?e$WqN9M{44ArMo&x=g{eG&`FER~c|y1!8; zmfE2PYJX~*7acKN;xVcR*04`{O#F7b{kx5Q(j2LS=JJq8Ofd7PNR_KGK`l5e2FM9) ztZUyD@gJCsT7PQUn6EnU@B=bQAZ;lYY)BL+m4Y>lNC7Zmsx9U@+<8SK*3PoIlMXRc z;cx_0umy{`k>3+3Rj6PI%)dxzMqpoya;59`)vlUgAy6_whxaEbnWEdVLi%J#Jzwbi zUe6v}{IsFVq2o-USvN0pI#y=jy)e z{bqwt>;}LYjPrZooyTSlu#b0~$!IgN^7R;54Fs8-APiK%U_J7w`z8G2qk=VSgc8KB z=RHIQnA=#|#wov?-Ph#9VdGzE4G%Z+da_$r?@hTy&C0Usoc z%!2RQZaxBiTmHjDh#1I`d{do6D(ay z3U1ZA9yEil!U}dRDS)&`b^)v*QNPJN9aMX3P~rJ&p1olNz{g%?7G_Ff>$3QVjB z*4Tf((XB<~EyEGJJ@}d^Y&uLQb)koPmpW=BB_7i9Nu8(9DuH>!_cr=X{!RI<$h_GC z^@W+M$v?6YRb#*ymOy8?1;^5W1JSNezLb;8H3tn2@j(z`oz63ash7`R(BmO5TZRL& zHaqJXnOQ#V=k`7xSh=~36PC^wpBa9w4n=uR4gJ37mGF?E5xfg#A&$b+aQp9@{O#>6 z-d@*ll||)Mfg&NOhPq$5Et+5>D-P+r7Wh9}kx@p>JRh&z+ajvr$Zz=iHJGiYpis(?Guf<7S+M=dgzoq8j$9@^_=(bX$=J{Z#M_;k)7?dPBuu5 zPO>JQ!hm0^8CaKoo`dHCK2Y`OkAm(1l2Gee_+OTJ(zhp=t-cG!X{GKf9Sl2de8ua~k%=%}X)geKl!Y<~Vwa z-OX1O&}lfFygK90tXz^$f3v_=r7e9G!akJsrH>N$O#Jz0UdE*!|A>;KMc&@YsSaz# zC=ffM<45-$T~-@|>F4!gpw0z}Zt1-6{FlScbxxi~37;vF?X<$E!n<5FWOgxwy(_wI z+cfUXZ8_PE{oYThJ)+DRSaxzXRrEKT-#JHMAinzvkPY#>O%ofy3W%(C?^%5(-Tcm> z-eg|i?R%Tw+~TREqt8Dqm6lq^AkxwKWXE~XLdG=~2Q};4vTdQ;n8jyGEu6N~cQTbz zvMh(N1gYIwu`(?AP=4-{kW%_BvgKNss!SSm_2nKoPQ6+W3pCAOfl*Eb@4>8r8C8CR!P7Yw4_16L_-U34uAB4u~X-LhVpwjjWlw1 z_f=-;K7yjpQxB~}_RKIOQonM zFyX}3XB)G}g{j&NDWc=|gy_T|cu5efEemgbHf^4t<-x~+h*|}Ez=TR-@km%)2#O9n>d`qW z9B2A^goM^Vao;p;z753)vZw)s9CNNTN=m0h z7vr5%YTcS%Nyr|umm-SaM4FE#I%G1~V!~aT5XUiuKF43zDG9iqqa$VpV>iEW9DLm> zcIWt`Yb@d<%|I#5EJSd2>4_G`4c!tzl_si%!SiQ&XZZeEH#qpJL;{u1J;+Zh^WcCc z>=rhE+T|>NH!-s(4kr1(SH7UdZ4BdA@}35XHDjd<;<| zt{@53I|KNF!(Gcu0*dP_eACljm*L$T%#>wqC$P8BO8(!7u3jwtBq9iT%BZIngM+AG zym^Zg^6i+{S>nUAy^%bG>{=48`Xbv|rUVqjf~Y3WeLl$7U;5H&c_DcF;JKK8AS_-Y z*fut5nFvx4yW~~oBZ!~ykGK#=>LXO^9^Fc7!Z>JO&jVhl9E7qa=&1>$nnN^1fc){U zG2-~S4yPm?t(s)1F;joh2N8{gPi@8lWMp|uWIc>O!eCF<&LV(R_eehZGnx)9bFN;0c5wDQrP% z&EqX|F~AkEgl0rJR^{609=RZ}p%rBiz2Ry(f94I1EDn;Bj+P1%LUVK31+qqV?ltah+-CNJcx!KTx zvy~SwgXl{S{oq%g*;*E~K?QlcWvd~6J=dM>%%Y%qw5jb1Z=Sag{hc=~sCkBPvcf)z z7-0!Az0x`1!GmD$l(vXs^e%9@qbAzzxL;*0fZd*H8xvXP)A7 ztc7Y5L&XxuB9r0wi+&uxgp~=(Du{LS(RS;EVJMh!8)%JObxl+LD4_v=MpBbgfa*^3 zPb0aL8p1hNdo))X882(rg5+vEOg*k@4~QeRJJSeT!u zaNqXOza8EbGRs|cL78PJ$CqpGkD?cSq8w4R$LG>hW28AKJMgI!+LA-u=!Igot>H}* zdixF}j@)iGsQ(wl5l*)M@HP8uQvBDXn3R>7m5qU!75GqLVdi1x;$dc?V`ll+CdL1% z^nYbi{4dJ?S0=^AtN;^ZE*35ZQ+89JNinA(D}xayfR%yW2*AZ<%FM-XXu|UE7Rtcm z{}XY9jhmH=4PXdhFk&?{WB`}~{f)UeIM^9D*g06t3|Wl1jE(-yg846Tc7P!Rhp{mL05Aj&3E2K^ zFz`2-{~t)$|5X)#JMg~|_#1)05%?Q{zY+Kwf&WG_|4%59e^01@$^7r!{hyL~_J1n% zAHWgL-?S1XCKXQyQzivNb5kZIQ)hcuCu37*U=6?F;Yv)xc6RnI&f46Ze-I|X&3_mr zz~?_a5?!W`PWBEW_8!{5c_i%I?8ICER$X9SPCzUi5c2WoASPuOCs$*af7|Fx%mQFi zvUdT3>4=$`WKB&h4gcwIMpo88H3$g&o?Q4nMESRd|1ntkA19Kqv#>I9{{u%<^x55iu5GmVdxSe%Iq*>f~Z+>I^&-NFHHl0iNieBmOt?$e%Ct zALsgiCXWC(S-AcQJW|iI>a^QjbNTZFUwjFMEC>WsiAt&2+1Lg7^)UHvNoMJpXEu%0 zLHH+H^8HbPET$38Li=oLPm@cVa~kzD3mx3(3$e}YQTO+y@)0YQ&e!$*^V`afH|TC%NMXB zsbgF#?dYu1WYz`r-pHDNmPaGb(vN@het1k}~rhh-7+NqKts0 zg#2=T6(=V~0m~&d#jOU_kS(pH54V|c=^9v2HV6rvY~nNo8!CS$k~0`;{x5hr~`YQpj- z<)95ha-d{wr2c?Gp{cl6TE(P>cQ`tIAu|{VRPZUn9;tne)fawo_=+{B34=q zz6~nXm!&;o_=YLQ8K{z{S9=w>p_@K{u>K_w#VCzPP6TqSct zOWU8YreXlrs_1dj&MW;r1`P#2&-;7&;v&&RSBf~mv;z^kDI;_luX>h-FcGuyCt?)h z3a+9J^dYUl=LVrLt+|x6rksvyU>~akinXXbW-1z#Y}j+-h52~fw16QdNP6c-bxr0mKmv_k(9fYAYq8u$#~ z=fDvQz)Qe>QOEMjlI8(-mD1R97S>j2nn)`@8n1+jUy%*v_!u>3;@G1Fb1J4^yfoyV1qW^F$a@YM%v|e zsKaMuC?$?fA?YFKB$xC@3uXAK6`JWK6k0SiPH!B_Yt$^txEmflTx3?R&&(${c*P}U z$Y#(SGRn!4SxLq;i7x3N{PZcc*vHWhXAL$ z(kT-jq%Pz8guEHd3YMJ0W6@!={cj+qnPPSIZ=GYcZP4PAo7xUq(b zgWnp5i{3JlGi^$`z0+nH?)OH~xWijcUTP49Jp-vI-%YI15`H}=R|xwnzT7n9FeW(n z&h*O`5@uZM`8?f;ekT2Jd~;iA!}6u&$BRdWQA}2habaGpXx^ysHin`=q^1&g@#ubb z(<8EBb2cTWH1oBSApd#FGn?s{tc$=0JNBioRnFUGPh-KC!B$gW0Ne#D8isKc_4FA< zbwlUeM%`C?o&HOE@HGaQd1n+gD`?iKy>K(Rcu|Kku_2OOI|#D|%b@k0t3oo+brzqY z$G>H`&ciz-ICMk9yy#qolPxkeyDXwDRF;P27(<3Q5X&+kyL-#JG}8!Rh;)_lJe?RgSr5R(ectB~}UbS>c{af!Ll`TbBw!RLmJ+mLfMWRC2+_mdm!Ch>Phr zp{yox0XcU-2JbVr(Sx|}(&rlLfqWOrFUMA3_ib$3it(rTH7k6MS8w2f2_R!ap;jWQ zF$_d8vLL>%=~LV=mSiKz7rP15$krb7t)DCbi0r52sS#Kiy=l$hjL!L z0@`Ra91~)xLbt7hepM%kDDSG<`gG8VI5O=l{~$QnuF_1^R?H9nq(eRlxv`tE7fta07<)uy!f3 z($VZlT_{bw-?)`7MrS#!)F1PqvI(>o!Wv7FmiReTDCX<)#x79kG6TijYnzVZihK*j z(U^=BO`5N5@A1u$NuZ`Z%PuE0Ew35277jX0jg)iEJNg6V0=q*oY2qkVyDo}u@rn-V zxs>2v%{I-t>YNWjMiJu9hPh=p!g;ST@ohH|%5)3e_`7Yqf1F2Km0aMd!~mKHmnEw` z3v<$6)N;i1Tdhh9?Mlq~vL?09vA0zDFI(d)8sU?pvUj*`SWn~QLa7&hHm?%z?Z0Bb zn+Zb&MIgN-rS@Pv_f_Hi`i1oD2P57!w9L0J{V9|_Y4xZt)7S1B=4ZSx6ec&6MC)KS zh1C6T+7y060?P)|@Fw%K2Ku-DOQzi7ueoB%B$ zmm3@Q$E3qOOXmnQ^O4u?y1xam1V2BLGhISc2`;EGm7o10Iu}G%dv0<+RBE@QsdgeGT}&;Aym7&=ko}a z;K$@I*&h==M)xz*wwX|i%pgBKpxcoPYm#8GeBjyEguo2P zRC#05t^Ga7V&3yHzVl)c|G>p)^XO#n=h8;iHX-bZ^C#SB4jIF)i0E-KpoFJ2nWYwf zRwaN(Yl96W6rxzork*RbfQRWCEjLh#2kM)9bG}xh@6v7XdQ4|ll$Xq?{wf@~=v%bj zRvG)PmpJ4(s_d5o0QlD`fku+n&~GE#-h_iIVE0X>d$7{34AxJ17Z2btzr?HU+ir69 zvMaynB(Ey_1-moX#1k>}m$aRxnR{FhH$?5I(3bXo-O2PierNdv+vkD6bD8Wg$~PnW zji_g677Gr>nuWx_x$zm+C|_Pb5ot{B48B^|SoJ<7)yHon?M5rAyHB@r|I6+{8b4j1 z)mj}^XG@$i<2-`1=vKv%<`;`#!^u7()-#wH{(#_*m|)-Alpo+l-zg{cuP^QsQ?`$$ zB!s4BD8C_ZUrWK9b3f5g0I)xfRZ4X#BwG+Edat2DIw8tyvPH>?vI+h=&qAKqiINww zGOGxlGYy$0CZrT@x^c$u*M6{Lb}pvk-%k zDl+QsnzbsGH@r5VB#=UE#3dT0((3rcn2IGmc9(5ez}g1S?L#Vftzh4xOYKIqs01dh z8U_h9zvMe`8xV0877D#+rTvvc*Oj^dl;3C6m6}@*L82F*EQcWFoAAe7nyt)yj@#5H zdVHhl=;s=oeE;4-6HNhsNATT62gm_O$j~x5@JZ~)c7VdL-ZTq;Rc%pKpU471{rS~U zl)T3UI z*3}j3Q7ait-G9IGa20MmFE2bsQh{< z(hAiW5G~fpvm6d`Dnp)(i00c4$Pn-Jb$naJdfH;r?|b7Zx9jM6<@{VNdnU~*Scmp=hoF{PfH|dA~GOA73!Bqp8lY}uJH>KKA&c4OkeS0 za8l#&ab7>}MpAfA^Rs(nD(M(qZWVufT2oa#9qH>1plJT?I0tnvGN$~*E8dl@m{)@0 z)tp^*HWI+y*D%rfHT*$wAZ7z0Yu63B5(%G%)a&lP9(^~_d#0eQ$-``OPZKpL$YZ#c z^E-V4=W}wOCj`qh>~6VVd*&0AJIJNj{B%=Qp1u4yQq{e_j}j;M&ndW3z}vu?C!w?l zlrQeZ_kd!8i!Ryrb0Mu(LX||qOOiI=k4nZ)z$Y$uaglq+_kyloayESVCR+@12=kfV zWP*fxX<=?jsfKqy7HsAR!To~{=B3y-mFumcZFF_fp$bwVMLOz97et(O)Qx!34L*%U zo0HE^+dxC_B@t3FnW<;~P>z9>SLWgl%}r&h(6hILI`YJQu7~lgw&ywU_H8XPuv3BE| z@hG-5GW3X-GNMy2VN-X-cEU3|9tu+O_nG};!@N)&4Kl4|>ZHB6U?O_RIm-Szhh$e) zqoe6+=Nbn5qs_f1vdfFLX@0mA5ivgi?XyBhJvQ-!OUJ{(n_`3tT5A5usumEMCGU#z zlUrSN;ymIJ-8KyJd_R}X$Mubjcama6lv4H7RD0=2)b6#Cayd|Vf1x-qw=MJKwe#)4 zFm4@r3~oOZC-XV(A;s6I3>tD!<9vPJXS3GBq-TOGYVj5*#K)8!o5(i zt=?JLVoNs}o*shb^58ngM!I|nb^J3p3T&=x@2$4jjTS*yeibyyQ!gP_U4yQS;BmNl zzmasmQ7;Akl=bI`!A<8a*C*C8>HXO3CZ+B|Nbp~u(@r%FZfMgu90XP_an|O1N!9zE zN+PDw&_-ih7jKf>zeT7MRKJ97DtysIWvMs2JVdtY=N&4vP8Z{+U~d8pq7gARho&p( zNe-gb@;|>>M;pNJdKPr=$Rkb8B_p!O2s0dFkQ$I5PJOduthyq`mCPcpCL0Hh9WQ~T?IU0(v=$l#G$oUY# zANTjh3w-g+B#lOVrDu2$q# z_ML`3JPiEvGi*1essnhHcNgU{U*+%J4YCn8pf=f>O(r`XQ&9b!n~3^z0^o`H0`WWs z33R5xp&J4}N1I1JMyM1!BN9afN%PM!@`HE2eC|i8##Xp0Q)Q@9(~!uUszdlnq-`KI zOeVF(x+fjzWBn^r8tY5MjBgNwU(!B}S&#Q4z4iz=Zj1dgiDy4?mNc0yd=m_54ZPpP zFVbfI?2 zUDxp$o%lgugUnP6B>WeeVXohIyZ&*{>aWb;ugu{8kD6g_W-ew{Gb3&W7JwleP&3SB z#=vdL&C0-H#%03E$--)A%=Ry323!C$4igi000SpGhbaSq!-$K4+sKfG!GxRL*o@1F z!-$*N?2qR7ugu_IX$1ZU_Whk&`Wu125%?Q{zY+Kwfxi*>zn2;OnQZa(bRM~3qW{~^R=76vTJJadU9c-*TVi+BS%B3DdDI-gXDEzf7=`;hN| z@9siDM&bb~RX`21f$M!iOH1jCimEdsOjOD? z`$oQk&ooaL$drn>08^rLYNQi~Qc|4yH5@rIG<3Y_4b>@41?|-+>ShLWcsYr(R8S{1IdgSYF4Q;YJYm>g9*)B zDg6jSU41y^N?<8J0$qN{_}LVAhLi@`Acat2gsDQ`h?o*tB-coMFbCvuH8f@IoP!LX zc!auCHex(EP%pqybia`Q?Gxu{;vIQ;==#90qEV{9^oQV2!g+j()r|4QeG-}~F8M~J zsCP`^P>R((oZTYK-@~ydpbE`?ea-P>f4)sCQBCYlk$({H_itQoE=1%qYQ8x!;ftXpZ6tvH9! z43E=ClL<4izHpZ@V;CZLYkszHQ&v}j;W0hOK>NIHC11UA5v>2CCv4sM_p&g=>qwqcm zVIxC=iGv6co6R?_FREfq{Ne^ZB*q-6qAJD%m*)#M!p|h|<_1 z#sC2E%$_7uu&uYc0_@Ag9bJSO&08^L90(@{sVe=!Vt(eBW75`n9|q}XFch?eKvUPj zPXq(Pny$G$c{AU_vW`Ah+kSxk68Nh`x&5;hcjn7mIn=i zf^)wj{2UM*#k*@0aLC$SGnh$?(1o-`Dx5y$^y@<{zI!3Y{p23-0djZVB%04haq$ z2=4A~!QI{6?%L#}f2YUo``+yy{l>fFy_3I~d)2D?=2x?7eLHi_+Rt7VPS!?!*C=y` zk0gN=P_h)_ccG`sZvW=Nsay17vJQbLNef%$U>cso5AG|Ti&8)3)gsZ~gLM0_OqZ);HR zK~jaaBt6QB4MJY?(q)D_9&+Q#ftfa`fx5k1(!|--no^hL@F|~&g1eXVKlHAyc}l!C zr9EK0hq|ZT&ViWDeGcjMD9JGSf6b-MZofC;Iryc17v$OL;zNGq!FcX9&cWtBeFE zi4kq)qx-);!5}qXiA!b1UWjUr+1gFocwk1_R1_!}sGm*;!bzQSUYn%n4cI82ShPpJ zvLLGQF=S9?A)wiP_8@79+earu)nF}M zOQuD?n()|sTP=g25Z_p@0#(EWG~spn83*wX6za0y^F56-mY3h zVLXQ2SQXp%rku1|tAqN2Wa>JN3uOwJLSLFO6Ta)=99Kn@AmaA$U#>gEN2*_w~nK+b!NdKXhMCX*S~cW_^Zz`LM1RB{_6pup;4%O@{UZ ziB40N?#0(PAGN4M1#x`yTL-L4>7OURY4Z+a6e?YcRT+;>b40hX9g|0k6KF;A_W?aD z6meZlD8-`^5S4wbE@=s79peI7K2~+}_(c-TAS2gLwq4R(b)nGOS`+rb=ghI;tqz{_dmYod`@U zmRvh^!WMYTi(gh#)^Emr(E{0Xti=wk8o6FhQd;F)F~;4m<-W*3 zd^LeydQDP~cr@&&+J<9-R`@pX47&7sW9rA8}*Ez%tb^VKZp*EYqIlqUj<8hdV7|0eq|OETrN+8f(Z^zROPia z@aIw8XJk)D`UyvPO)CYh{sHE?TLpj86c&vofFo~ObtI?c8NM;gTVHM3A2a(KZR>mM z_3y8XvJ!iaKdrALTv(TB4SiX|P7li8I=20Io`p)NGeOvE;gChnG9F8#3G>E47b>4P zPhmNV(TfI~Ib#+IbL-x-7`~2>jx?-?q?zn|t5Uno0xEgi8Ec=-Yqo;=iokky>E!S* zyZyp9Qm`D$tTV21YX547_8N4&Z_4Ja>HIh20sr#!iMoyow^r!uuOS8heAgEoI3&-QS`<1e%q?zlv~(#?>;P_cwVP zODm;P#rcA~e$XLZ zOuebPCMMbpFl2q;Fdn5aufYLjPEvG;N_?d;91rlIBc_baAag^2X*ROC^L#%%wljqX zp*qa&Ya2>*jg^SU@7xt`89aV;)#&ZfKF#k+PEG`ge-io3 ze}DODEb3P4ZRl~u0sCH{r~7ANHrp@O8k2Hg((0Zqv+y*tk_;qQ9G`_1^fuVh2FLQ4ATZXW~x*Z49X;-nVKA9~tC z_1_gnPP>cca6iud>P#jh@}>HkMo7FY$_KwKbVG`=?2;#Tx(J`|<$`69h`c4Ph=KB1 zOE{au3Y%=ffZ_EjYptgH`?lJK89!B&Ob@iTv6_dL{^}jWl%P$*!--&hHw29kVW*Ys z2c^ksv_reuVjmqZ-1O&>894HUcianK5%`!ks_f`%8@JrtGsWFc7?yaM``S~AGj+Yx z)n8py(XMk}-4njf%y+59gX+WG#;4uzCfnWdmwRSULuLIDf=)Q?7ZLnkw~RQ$1_f#7 zcSB9Tx-Dt6CXLS9Z=N+|J=J8#a2hfSZ$a*NqK2?t7pv$Oxc>1{kMDb9I9J|@`C(&y5Z#qh+o_$|)7^NPENP-<<55 zXb+pgy{TW7d@~_p&hWV7!~p$r=ROEEji-hGixQlv2Lh8b2_byL%8n4%u13I+Op3=n zv?D3DF{Pdm!=O9=d`D<$rUB5yBdE=-puv zv6?c>@1vrgv>sK06ZYlGZmLxgQP%F7C=@jxY1Ine=LrN8nUgr)uaK zZew%suKdW~i&b;!S=rhgpN1@leY|u3nn&!6`)R5>;9B*oTcnWFq^GR zX6nK4Y?vB?jMr_|1eRERo`RVUaUKpV4D%xypR2fC9>L}( zi5)Y5J~FEKb;116(t>ny@R-TcHMlBTrKN~gCDCSzro&S?#)X=L0c~TA_NoqZeF57A z&|CU#f|8Q2&M|O9^)dQ0=^HWTzd3Jpu;f%JA~8eA8jE>Z28Yeml-7NLw%cC!V0l}G z51R~kxvv!t#S6+X&|EX{_UV>(ueE%p%EmmGnwjg>asLXUu|3RyQh;8+M$ogcXlh2J zIeX=jRO~>a#Z(JuS0;D}jE>&;6y+|CW1wsvZ7UrS$v19oO`?-0SZW z?EkRDo9(HV`(L@&|9VL`+kYnCm`})5q=?03m+5c1J z-R!Ku9Zyx@|2``Vp3J{+|6gQfnb;ZrmX$46-nEz&j(j|5%H)j<@+YfHD%P}r*7f<~ zC!2jM`!8ccei|n@-3 z%CDn-zqhygM$1S_zBLQeU@ zf)4?2V`_!J6Lu11WQEv4>E|k;K}V3$xL*eumni9fe-;;b$~J7gLHMR~Yuzp`R}XsJ zlj3s}7HfzZs8-MBSaL~%O^9m9i>>Dh&8uZS_O=R>2@lH35M4AaAI}u)7s4)KFcb7p zIjk5yM6acT=LvHQYjX{pHpCuKE%yo(y;hIQ-T4`rmUK!Gf&2!Gw45%R+sLz6!fUQK zR>>4=u(|wsXCTFD0U|dWb8F&O$xF>lNaz6-y^p$vK@7sH@yL+&<6Z%t??ED$!iq%x zP*%yK+-)?Q=LzCa;pJ~9MxJTEm*5mb(x(18@>0OtFx+doFNlzs7>a|051K=lkW3`R zpXd#>FBzNn^$YK695&icQh~>tm8P6z2_gx3EFQh`Z-zqsLZZU0FFut*JYVxx{BWzX zY^#Y71@*&Qv;U-7P)vo`theN?^Ljh{vu(7%wsBhYcs9gJ>;>`=7~#^SXYuixHoMKv zaK2)aL0LV|#<;gi5I&0%!<W;&p6$lYK@{Nnh5IOe0WEIe>E&Vk; zh43BK(?Em75sQ=!;U(*r#)zfD(4Sm#{VmvsoADx}nEHavi7nnCsuYoL^sD8YSKdUr z#Y5JN@W~P9e>3bVr}K5DxFZjH-fQ$~mifo+>$fue<$>?AIf67o8-QO=&pQfr`k=W1 zbfG#Wc0tCF5!^y4md+Lt1Ch+A!Msn$_u&h!q(G~71iq$zQK(=9(MXVEB@xlLFM;iB ztDYZ;MdE*|c}D-dQXYkHwd2wnoJ}&h!@S*BCCH73ht5KeIQBd&dBe=mHqTv;GZJ1tpZsajgK822J_QX#FOYH~8|zQyJhR9sbEW(Lgi#?8H|&uc7P z=$Aqdjvqv#rb3ahv-SL8-?eN))QGZ)`d3}ZdT8X&FZg|M+=shI_Wz86=V(_!cWW#v zqj610mW_e7EB{(Mk;V|t71M_7%e!`5n-kIN6XDCWC`7@#0&8 z8=;|s`2wL-v(~c*1wUnGSClIH*4uc}2dwrx+^+lmY2m93bbDX~tY2BVg{~jqJG|9; z}#W?1h>!P(zq{)9pn`AK%q z-Gi?QZ4bw1qhOsBF_($$@`a~e@z(>}DK^#l+%Iiu4K);F#V`3^$u z-x`E}b-AGRz-M_uMbSjH6LNM?@ZjD@%`wWwkTG-M_~Syvps&bu76s}JRygEx>Ib*^ zuL9qnvzxF)lHv?>nlkHTz_0MC&40jGOx;fA<7psphKzbSp2BE#&BEzB(?+VuA;IR% zs?!m4keU@|{+P%STKYIZ8eqCyw}q3<5HR4F6AoIv4|=iV_59PC(NA4wVe%f)-e95v z;woDsC?<95P?5*L88;km!&Gs;X50{2;s<(WcZUv z)VmfO-NkjNfcp!?@i+K`VLhtq_d~bX0r2%cd*2u6K^FR4w^5SQvlHS+c9auML|NVR z5?N@pQEV162?xj3*Vqe3^=zL^Su9>ntRE;`#cy4dC%UQvUmr& zytX%`X_?lneMktD6Sto3QU=R!s0dk%=-*a3d$G0zTzwv_K0j)z3eR6Ep>bfRM zhGD{#B=oXtfwiJG`1ssMB$7Cm^!SD}U>+3CF6uk~Vm+#lJk?x)1qbJ~lfIIdyZ-I72q<&8tNM^U8{bJTj3ejmVh>-e2_pR70+#bdk#4BHo z{yK+4SGr##Jfi}W%%lE-Mq?5)sRz^oJ#uAeqmyVKcs`73co-DFA%XCmBBThTo9&AM(}#ncp~fFsX}xwmM!$~Q!`TSZ zUW(-IJIcNr;KShYQDpVck*O@*V?!Vf2vnk%9&?S(ZGTm+{Q1o5`C zPa8jozsYX3{Ah|mFk8VXahF4iv)sX4|5gcYjlJuL!%m;wy@_T0J-Wdq2&@% z??G|u*wMLtDM)gb$wB_ph;(cv8gDYWA-BV;paS>Ma=w%yZM~Xqzmp z&^~RQ5G%;_<~BQ{;NT$}upzf+!H8md-5L1Hmh|YTToMu?e=+iF#9h}-wWTT*jMdH5 zKQ-cpi&FPE)SZpj4fgd&GdOY2F11CWH5W*?0hMAOA!u0RYmpXx*wM|HkY#20PVn*- z|HPE@q#St6}#KLpa+-Ulj`$EQhsuLkLr;c)R(XGDJ3K*dW zusVD=`(?_ldUgG9;uR$4Fa}8r5w?b}nXG_7bQt?0KZaPXy@G6^oUD%?V!zGz_Tbyw z8~tv2HCh2ZhAxpaZDP>x-MzIc(nKRgKe0_%dli| zmdza5N$;jK7Yf{CR((-Uuc<}+N!i;nQ0&|`lDY{}xS!?`M%I3Guhn#`hk&dld$OQMnG$Rusk}BGm z{H4y%3>aiBAJWhy+CpdI-o{R^1q3^{ z-frG->Mxd9&PF|Re)gKR6^t>sF;Vfq;!pMCmL?=481IU0(3nxw=iVG2RNWsjj)=hpycjWc6exeik9q&O{*?^ul~NH^ zJR}Xo5T+M8&P})h*2T@+F5#>$Pfp|O9&Y6N3A0&5$`jRIIRsh0 za}13bmub9v9c3pVGpE16ReS1w2`e1q$C*jA7l!MsDbfbq3wO^gi(QlGmJTvgm#{$B z*Ql*_Y{*P`Fn1`vpKewobUx0+Zd;&@Eyaf1VCR`Cgf`()Tsyq@0b2jLNJ0RbIB5*$ z!+D2#8RZ#hVCz<~$8*~PUkp9Mly}|s_NT=c#@;AO^tY;a6D~IEIX}39%09wn;V35H z=>?NR!Ximi2u6@=jtIMVoKxZn3rCfcx4*NNMkE=cLrD3IUL`ZSSCu7G4RSNv>{>@a-%sTFsU&#wp3hFAFUtVyN}jazP+vje#(gRh1SA<@Skq%e>*YppVat2sqz04Q{(@XCI6Ee z|A&Ep82E>Qe;D|OfqxkI{|p2F+o|!VB;L%x zbb1jp;G}u}|H?V&^n&1?{~U>~D5-6%rvpApUBv7i8!$D$-*f;BaPs{3s||p`4j4}_ zHh`Nk0LQE|{LaJ!7I4x!P{8~&&wvG-w$8xtyHXvnz;)CNzvBb2n84QWhyW}Wu=V@s ze874Swtk=EE@H;W3by_rVSH-&2MQxQ_}U*VjBJ4Q*P-tsX2ALUfb|Cp@CRW1!2&b_ ztUp+QKLG0w7N8Me{lNnK0a*Wr1?&R=2pq@22v2?f!NLs20s#7b4SWgU=C{EN?h;_* zxAkQFLBjmh@DCDZaQ^^Nf87Sg1(5NV1@;^u>Msk73z(L_EU@RmjQwSS83ShNFAMA| z(;p~IV3bUMpfG{&WBP*y_!zMMU;%CctUp+Qj{)ls7T^}Z`hx}d7_k0e0d4`TKUjc| z0qYMI;1)&(=08}#Pyqjb!vwbjc=~OCVKM&!0`46k=J%DS4*m@W_{tv?;9-CNI>PTC z3HbZr{_mb9ocT8>asGEt(FaTz(C5E<8{}#G`fH8;uiplF$He;X-QQjZ*_)j=$L)zB zKXT#zq=sQIAnPtDNCyQmWjt#F!M$3_%mQ_x*^-@WjwCE4ECU;uB8%T4f%^TtlZYE{MCn&eaazBN6G)-Tgdn)q*DtF^1E<-j!>&VB68nenEcV*xZPVv-oDU zV_^9pr(k#(_i%{H<8J$AXY;5qJEYj!_4Z&El;UySG&p0-7J7fOWzpnvKif9zaO!lz zG+1{Pef^O@f(Nf|z2|gj*}v@3@$y!o$^DWlxz-$6=PKveFMmJVh(q?CP5i;q<9w&B z;2e>z=}=8ge1GFobg|rIkp{2qqE}YIt;zQDo}axi_b46S=uMf8f?e0-e90X{Y6`16 zZrv)YMN%cZ&1z|0+#>FSt%Cg-wH? zL&I;e(fxD@DGoVtNL7Z0C~xABJYOm^?b21B{qdYzVg0^QxkiT%T;t-?x> zZ!K>-$QX%rclDseR?T%z{(Nb&Ytu}@ZVUzgdieSA2y-oevdVJW@ZvX(s^z8ZJSf%y zKfhRzhVsg{W2?pfFts{2ET!V!*byI(+0w+D^=S94jV>NrJ7?TbLsv&eWZLCVNtR+! z$gs$2byzdkvW>>Ij)Apr{IuLh(t~K11A;i}2d;QjY4Z5@ytJ@ZZwv342@YN2@H>rI zD#e|#LXnDN(^L`fPYBVB9ESxA-y@o_fsD1u<%`1b)GE&JH2qHt0w6|xNk+r8yjxG> zpzRzjv~uIFHT*7+iy!Qm#?9XQ=7^1PK{@@XV<1U#BD~Gr%P+OsZ9CEo{7KdLp$Wlm z!p>&VyAgqD)iwV{|6p#aYW1!<43DLw1qQkEWaLZOZbsiK+ql+T?KPJUDnanFX`k1T z0H(x3F|tLk-}zjI)j{nUTVvRjHqW?nve!4(PSlIW6u);|2XS5VC$r{G%((7>-1v*u zoS~v&$TndPrAe!t<|@d%zYw>r=+7M~CK;;u{Twpx(%UvYRgnmgPf=2bHdTa}B${R% z`r4ANGm_#uoD=dZkSz)lH%%yd3=?bZw?IvS{>~y zqmLrwdE=}7`D{6zg*(-+(oD0rJ#Vsq=wO8uHp)7Iad+pnJ9ga<{7oOq{!unpI|6v3 zJDk`%F1DtJ3-K$DYIW1%baCE(h4{vzJ7?IC-38ZD|Ez9 zFuyJW5R%0s5Z{Y;7aotxL&vQQxQ|yGVOsb14>!xlJB=L49q8*H!M>*G#jGgEl zjR$x9tiMiUijfuaFw|M=SC4A#TnAQ@Jg!%ZhZgS1PwZtd>0eH9wssV0G#^{rxq3Lb zyegkWKKfu_SbkDTIr4G4L4lDX4UVnMs{nXf|bnjQex?f#VQe9dxukQfA z$KAux_Q7Vs?U9WOmp5jD2Tbu9iiGR2V|9Ie+WGO({KQ?`-Og^tVKL9Zqk5KKUsD*X z2QSy1>%HT3+wwu1lA>$fs-H2&uLzcLx?W<6@!NOI<}vqamecs1jJU@GN~)kRal(`o zujP z`iS*V(umf!7-Ev<-I{Q_b~kIa5RON8c+iII=I!^Wup8fXu5B0?8p40@%f|cD_pQm2 z+;;c(cV_A+lA*aaUIXIu4Y>_gam_L;Vi%n2S8C zWtaPlv5qds@5eyAx!L5#-NM&mbk3_(=fS8<0>_|KEF}$zZg+h=>ccAj)Hr@IFD-85 z!xn~oe|&mZC)sz{s^Z#&8>2V%EvFq-)&tOTlB6L+-EPV)5u9yXIk7Y zH?6?yYFEGCapf{k5Lc^BeZL!XJH+d4qKz8}QDtH1ig!q%hFPgdw+BC2H+v;hYwCK6 z*kH~qvo#%pc%yj`a?bBrBIi&2*>V36#AgR-ncVHcak@;}rO|J4FlT*PJsj)6n3f?D zw1300A*vIo=4ZOEBqS4l2f937qRUS=YEm99voy=fCc3D+n=TOl!jl zn|#z56#8y9h6VC;AA7!8vdHm3Y-_jm?#z>CE;1amaC3s8UUu?+c25$7T4^@b4E$#G+znX$&6YvBGb5789g z*-C?PqcJm3GlQ!dvYm7F72TN|MMoOQleK=CtZ=0%e)6QPV_?8lEhWveN!^Nn#4Qm= zyJBG8Uh2W!$$BB*ug))Pdu(E3xvR@~^Yi;X3)+<~xuGd#F1p!o1#JrtEB6}?1e2Q& zC>JPAibDSAoG9O&c3bC7o;i?rhH-CGs4cY?D)LrP*R_0PwcWi^_l@GFOPZaP>>G5% z&pEC>h%0VG9CPSHrHfl!RL3)qP2=mLx!t@T`81c*<)$^oPurE9$3P>qY(8D;he}rk zy}Y2UYJoiJd=$5O?$_qrSkN|M-8@kn_IRt5q(7J=4OE^7;-o$}Hq5c8`q1uM-#WRt zIW=*uTJ!MCanjVD53X#G866gzZxYbOYP8SxpQm(*%DPSq;;YjK3t;Z(A*}A!?N2yk zD?9DJ3)qBDAJ|^f@ph_F-n`sDT$N@o7TYC%SsJ-2VPEr_C%L1_vHE6qb>hA&C<$V& zrGRg<5Q} z8lCQUAg-3>`!{IiR=3XAZPrKk)*yz^W%GN#0UKb1y3r#^<|?hsNmO;7@4t#K;}kAW zX?JSu0^z125%>-8~l0i>Tz8?czE~k zXR1NNCafT`@eoAW#hI|MWqH$w;BM5M0EiQ)g?_>wfoB9 z=E`G`2dtA?CXEY3wx_&u^`AlsJ~K16T=Um^aHRDupXN-aoqWGM7Thtdfen<|J)P+4 zyS~y3N~5>kYON?7H;W&VIc|}y88Y_N+}X8D!YJN7T|Pw?C&=f<$7ez3-qXIZpMQTJ zV(yaY{ogFW2EP-QNF5Vvs+EfT;msoA%etAOykYStG@a;ReQVBl$F{d{-lvX zo0Rg)#D*{&io3*B4ix?dbB*J!$YZbNfn6F_#)(>DbDM%nYMTN!yH;vsHSQccJ52uK z(@MmG0Iw~o{g)hOSR!;uaZ-bUy9u7ad5y1Z%)HFY(0$h)1Z_vh=&JSZEp zWp?Vw6U>kwTrJvuw(U2BrMdeJ!r~Nm`kCO?N`f|T*H)`6Ja)R=^B>0x4>{^v*6H$6 zd6iPhH0agbYVaR7_A?S5U2Zqh_zmuO?#|MHF9d*L6O>%u?=+XQ8Md(W4N*h}2JB(S?aT<$p(Cvz5CL+{P#@dEOCW(4*s{M=s z!$6XD?$+yjrzN{Z*H6e$Pov96C-dx}%nm*@+hrdGR@&g*73 zj({BmiFxdGR=eak=&CcRoj!(-em`v{bLPUmejTK?QZa@mZIdF&T7k|fW_~76X^d+6 zo+27CtO`*LFw66(%HLFnrH*P=>M|_fkF|I&==myuoJL2*#dF?BRaL=bh#G$Gd+z}X<6Gw`)6ybx*wg1FPqYRwGk!8qjU0O^o}oXg#^Q* zpL~&Fk8=F1E1v{;vFjns|X1Yo0BDx!n5WbDkQBh#4TIjt@<_dLh9-n$ke7L9gef{1;>Ig2d$Y!Jea<-=VS6SuNb;o$O#=JG>*R_zja<5 zIIqLAx^Aq;vYJ`!j~DJcws%c4Nt3-O5014L+Eb*W?;^W6e|K!lx!JnwWDXluxSKW= zxtO-jDWMP;#bjnHceuhaFF)8Zv~d-V^vf)a0H;pOf2N z&YfK8F6y#qE^(_@9sui6w6mM*0W-@Nmf@08ci!%j*^{9ZRy;R>*|ez zTryox&Iw0L8u&b<>ax$`kozRX@80MpYq{rULt$udND_>`EyNNXo$ps%5kDI2u;N@W zbOaM0-#A4?o(3JdoB0#_L<0X<`=vzK#$;*PJj=NbcCycNe|Yn~aP8UhvUS@0m)yn)TZIw{t}+?3k25LtJR*o*RYPCZGLOw$~?PCD}c1B~e$?SOiDlC&n^u}4{lTCK!o4n%2sv6hTLijn>IunPck*C6u51k}6 zWg*$Lv&#zz2Sqy{u+OqWS!&+f?Q&FL@27Cf;hWCP_WS!!9tS*_jBr__b?uSFqoLDcd!muTH7Ux{5JZzAYAhx7O z*;SzfRp6rNQliy9?@v{feWO@N%WxZ|C2}f@*c*Ic}IW z&@rW;!)maf@z&jqyaEj;oYCE{(@c5PCN@a6X}Wgqz)b&SdQ7TZ&&BROlZ9)WzGM<{ zDBQTX;LR0kS2nr>C}~8Xp)WYQysiUrc3IHNrGU-c@3aGnrG}mw%H@sZViuZCNS(&Q zBij8C!amb-i4^B^Nt^_l92#FbbAOsWz}AwS^=dr`ND*E~j0kWWpB@V(nho%b=;cI) z=QJIcn8vF_g(SC=4hm3H$Su*K*aJe=)?iahE6q1&I$=JU#_a*h$$A&625GmV{-bZf zb6d)NToA-D6m^o zxBh&?qO^u-S8v@Lv>H&cV~bgB&1nSdtyU(u!`OgLO03)6#0I0>ii8vTnr)!KB}MKT-w|{HsqFf03r31(Lk?EcVEnq3DYYrN@4Lt)7eZ+}*Y3ey?n> zV{yp+o?5r#Dx0&3s}b1Hb>G`$(Ff8Op+B!&v!&d5AnY@j zezYRa3J$u?IGliKxp&pq?zBHVP2j5hQFr{c+reZgKIWtgIpl-~dQG53q=WMnJm=i^ z_qDo3CEyr$iZjzDNHZkAjN=?N@DQR%b(Iil&6}~>)IprdDz95JGd-~Hqw}Hl_&#^y zl~RjUS8c>px{DEBFVYR(cWcWkN=hWXMpG-fdL+=3qs^nGMHQQb9>I%vwH}e3acoI? zgGwXpjzyrc9wam$e#+&7Gm17Feq=FESxGc4p%$!Goou$&f3de_m8kG|bIFa$RLMCT z{@0Y!Ujpz~2(FT&U1-SRB&gI6fCY$S-J1%s^O;BGjEJNr%awcV8S?qpk@V0# z5^BkK76d0w+4%*tzZWOV+PGm$7L(fR?T!$0Mti#I>&UBMGhv16j5%LhEfwh5a4TrZ zi!YqA+=T^m8+EnIYj$O4MxX`#@G7CcJ@UqW zHz&Ma3#yCu4+yo@5=#rq&M1fGm757@wDh}|_2eAWhr6HXY`YcpZB6*~5tpOw6wDWv zD2J{yo%SBLRAXa!`lBd06TTVVv81^x()%abmnTfBPsnXx@g}w^5;r6Xm>`s!P zb`TDIl{^MZOLihcd8jQ?nKh@yZUyQZ#(;O?G+ejBVD-TzVcWDuFe?pr2$5d6%pGF% ze7cTWQ_1JY76i}6!qC5tGOF2fP_d2;qDx7FV|~Zay$&;@z48kq$D|a82y4?Bd^_^Y ziY}AKNV{MllDu414a=a#ur4kdrW{){Hi>g1q5m zyV9H<`$vYsbKnEQ!=1mmY7I0tOYShEbWoH?-eoNB;9EdYu1Vu%9Iy^vO7465z?ix` zsI1zX1foq9i3pL*)XeGP{f?z>diOct*)jls+7FfsEs56i_YDFd+Cd_*rK{tA!1ipdg<)BWhdQKXU<2$H8=jc#UHLqm&GZ#PkZfgatgVP6X!Bk78$RAW%1Farl~owDGI%~}@>Rg3qv z?YG(5mUNL0_;s50z3@z*9N!sE4Ng0iKgT1ceY7sc6=uu(W0v%59X`LWTcq<^99j(0 z$A~$2hh|A*0N4DtNV(UkK9C~_Qh76y*>?L&j1VdH*!HJOQ-+pinDjCG zP!p2F!L37JqdF89Nx>*Q3q_BnTw`RhH1pxBj9iWf#vs(;BPd6QTZS8k^@?!LYx#Nr zSlgeoUHH1BDIFn(CYEFnAhZC|M;-?d|BXHk)qzcS#1H)R$R z*^z`wMtJ$NWN^!&so@HAI4RL=9RTMw(95Jeg)S`^=S~(B#7%n97Z{ay^Qg6(5ZKu* zo*-IjVU@2ESh7@~n8|E&Xl4AtR`9q8A_mx{g~;vDVZ5{+21KYcDV1!L>L)beqw&KH zm10CzzxmBB&7cN6qyxqvMz?u`dNXdcjRZIt!I1|HKr@D0t6NKAOA{0M2HqJd9PRAw z&2tW|l2LJW@1c`OEHZLooEt)N;l|?5o;b$-8S65f{%{PoorJ5CAdY3#T7+#QNA^M| zD0e0!-8+fE=wt7eFYDEvFVd`kj5+!NmQ+V`=SyD7V@hMnqtGQ-xlmJ@BT7+@5RJCj zU~+^|Dqj|it7x*6_MG~xW*sR07#kea`by2o;kRJ<@_8i0r+!l21WxT89yL}D)_nYM zMMWZCoM$LDf*g_s&5>87yv$zY(=b<^FAmEq5PjUjtQ&0LYTFBLQwhGP!x6xzV=wkwP+ zfFC|gkPENsQAzMdwZ9QmKqjq#+lEqg0&R(S{hGPf!#bV{7&HRR0NChS)N{q9 z9El(Y7)fk^0GB7X;{S5%fU%h$DXV1&RvMsAa(+YNii;lBhA?1e#UV0xM4{2FkHNsK zW;)2h5FS@AT)@X>J2E$CdA)fP+mZ2iqu)?@fN2&;>EwI@1I0sjB@!U{QT&_3OUYd8 zC7o}QBI8DPZ^nN+a#Y%!L%KuC^Y%R?J`+}c@)h7TWS#H(X$iDo*+5sCwFZDoS%AwH zwvOg5ZxBG&IGQckEubd?a1bQ^H4MPd(?v(gBX25bo+qNy$yUM7;BR4&?&Gm0aK`Ua z8QAi%p2kS>Onear4lP%jBXyM$%G@4D;9;%}^qLk82@k;SLijun>-t1cI@D1#5bMod z&yWG^^=*H(1k?KRJun4aPeU|fmtVcl``yio&NquEKYV~-&O^Hf9(NOonTcXua68cu z1n|yJP<1)L(|!a@rOA89-~QuXDK5DH5%L85dmtG2@GoOHV>m!r(06c_cf2g7okRn3 z2Shr+9j95#zuLk+`2k*gDQJhl1N|-+2!em{>;i84JMth1Q0sxf2m72pp3>a)g4a&D zp5L+eiGY9a)^q$w3Pg8_aDY0;9TtbyO-7N-%Bu#_{36#w7OlZi>oUwk2HNf1O^*y} zKXx;@uzb=T5l7&+>Y?yGA|#p*-%5RbieDZKN8!xBWDq(q{@ssue%mNO2Ejf}LAU_0 zFKj^_J?$c0F94n?xpY~v!80TQOu~$(_%PFRp!R0{&;{2?2}GBzSrMOY z)OP&S1ij!jG(peAX$CKSfam(LUsM9D*iXAeGkBMf^EZDciS>KdzgMaf)joe(df+*L z$H+_y?gB400BSL<;!{gtjR5fhY!WsAR`4eAEeyO#u-A$>_CCen*CCN{k-tZTX{h?9!-~RSE3Oy|=sH)l|tHE(;KE2TgE)M=gaxyEuQX?Pp&1E8p1hRP4YRH8l5|iG4*0k z`UgM&${;x-tWkdDpnVdfGGb0NYf;jvtqC+>eP-RRLnt{iW^|p{{}qs;Iq`>B-7*KZ z(y7)7G(s?)lsTLjfLsFAAT*bL)A~Fe`n+y3)*w)~IRpVgI@pe8Ze~TyNX#Bkj?qkb z5ZCFngJ>O3{a|fjb*EIa$sk3oa_q78P9IFU>f{3zei2rSOeVz2NuIA) zMM@Yos^JH#Ky6DMA_aMhHCm;El4Q2^@y-u`>by>@{O#2=R<{b^hLNZ*AUUI7)$8FxO2NW-ny4bO5kn!&d|9IZ!0TM^z=6QWF?ZGuc{9hw!kXBViOBES1ri-u!m4 zNVlfTn!c0qM+YCMXehb>7z2Pa2=UwcKj*Y+P-U=2wFwC-&=XhC zo;u>k{_UjnPh2A4&Thr-7<9OgZ~!?R4l7s?*W*U(=G5dcgOvJ=7=WDS^Nw6c0$4=S zR;Tm(Dom2*g$HzVIOqFui-v4bW~TRYG^6%p`Kt=BKcLnP``QM#R7JPeBubzobNkRi zF%G2%ElSOdUY-zvRa~G*FeKiKKl&?+0<6@wQ3{^K3VIn9Jcob)`8K5jusj%~DT7PX z`2}qQk$OIZg;k(cFszzNHl^xwcBwD9DBX(HE@mNo)h{dy)5R#m7{38Z@n;M|7XlSJ z1UCGW=28I5D%sDvG+SV;2GXr1x1~WR!A7mEjkhhbYxD~(eFBXib(IPRvf?KZq#%cVd_ZZVk(=TV>dRwANU$ zOYZ}p556pl!xf+4jNkzc{kg-x)AOKQ56%i~MSR8%0h1P91!kCB_g#6*S03X&v=^99 zXr$l3*8&cc(mgrc38+y(D0c&603J%{d`#n@ohRbZ17;$Z5iB?T=n@WK@I*U)bbd{R zAcb@ba0jR-#pubfo>dqT`TM z3Q(-SAJvorf0Q@^@TiJrn!82n(j zM>P2#?45O7RN4Rkkx&{zq@+=4hHel^krWW5b3i(!Lr_Ek0TD$(N(m7aC8S$IkWjk2 z6r{U;=gz3h?(*@sAKmZo`}@Ov%db;&> zfFm@u>FZ`NKV_c!gB<{eAxi@=>nkHR&c`DN1t5ry(pe<{#!~kHSOBy_V%S%J12x4T z09OJE4%NHJf(W1$GY$!YH357B^1KJ&5^snZL8XALo_;T#0G_a2!UUwp05l|U3U8jv z3)X7L!@R9U9khb%z!uR29dD8Aj&%l~4Bx}B<890USC$b?eFv#g0AK?;5yXhFA0Xn| zw}vB#Xgl#6BpU>~T@C@r_!5D>-|+!yB(yK0a8gwtqN)&K9snZD`h`DX@)}?=1SUho zblM$WAqm-xKqL&`PdV;EfSEx>_!qO#L{DCz*nUI{7d4)5v;A_t*)&qxcEL+tLsw~d zck_Nu-%PcLqJr?J4a2E=V`W{wyDYani45?)f`oA1C$7#Eno!QJa}DWWUb1l>wCP}O-1X_zNaW5M7)W=c1g+m1bq*YZb7fCC9b z$pf4R3bH?V3Mx9WKPc6BK{X%(+mWdGEhDtPBO?T?fm5ePp_&sk71W&jz-v31t&6hX zOw?TUlQM{w770K`B1Fx(PB!FBbcJY+^IMs64j2t1kfQf^it)S15J&HKw!^Vq(Nm4uhkd)dg?~374R}Pz36v?n?w~ zf(jV0X51U2zag?4`dqSmkR=zWviGqj>Rc`c4)6`+VPtjT3{mNU)x|zUJ|xa~0ed@= z_RzQL!bASS-Mdfmd>L z5+WJy5s~8*wrVmZvp)QS1!(A84bUo7Z$hxC!D7x$0z|vyh)jfNM_do2EjacegfJMK z9*WU#-9Su)Bta7ZsmVa7dksdQqv?+t9<jP6GgYjU3IhDMa}rRVsA8NI-&s zJnJD{@U=#$IoniYVoKU0Bnmpz1PoX;@XD}jhaD$odCPO!4nT1mfMQxjuDI7%-GC9v z7(+noOmRoHQ-_<1=c&ef3%02efWg*C(oTGxNC5VHb*fb?$%`%`2~r~ONcI3KPE_N1 z{5nwt=#qU%MwUe|@)$eta6i^VTwBh>aG<=FBU=*%*b^9h3u!PHU{CE-At!JSU}5W} zmNaDrx0}l3p&Hm$2u4o&%E;+liIXVuXAK|I00*=7kIAfq(gN1=(TynC_35XkXfDMr z&%$|gd)I5^AG6|X$5>@;{ph87Di~{;dX07B!!m2y2QD@e&z3%`trmARa&y{EdCl{h z$kOA6{`QTwH=}MuuMm2boD$Hh{J-f{H171sr%Ue#yjuOie1^eSR7V}Z%uAq(hL zGbhF2GDy8j=pm$69hODvRdGnY$|wq|8&G)T@l6Fc5l`9P%*KaHL)(kJPK=A3}OnWimpqVqiw< zRj1(yc_NA%(yOZF5%yCmXe0D031-mnC&3j_2)!ye3ZYjWzL1R6t5|^Vz*h`&O!nvJ!u3=N>w7l+U zu6(a#i4QY%n5XQ=4o%;?If3$b%1(BkfjcDPB=8D0Pr1KZyU0Vl+A?SQCfw=#)2zEw zx8I0Xaim`FmCwFQWR5T{G`l=4YMyt%7?CESJQXVEy|F(aX|75T$NM~%%4p|Nc7&UZ zA7O~6TnB_A!#WSKCvOvwYP$H&H6W0L(gH$~7?T{}{Tk*Qj*!BYdh|siG_`^wAqeg< z(o5i^B8ZLU2qpn%1)&MNjO6A~ws|0Nso%VhAW=@lIfx%0M`*%i7XA>w(di&Wa_FeZ z06sqeXa-P1W`W3*%U9EY8W!Yu*!>)6J7WFvc$FX`5Yns&fgc~7qA{^LlNouKR$yiZ zWC!caB`~xIHc7vH(LwwKF084VMp>iXf@msxE0ie4k3jG-rbH^01us`0^c(aa-z~Lw}pYmbnrMJ2p|y=wkCk9!u-fY{tXK)hfTyEQl0Dvl(y%&4Sp7 zCPTTRiH6Lq>~g8|?$`tcItbQk1pxu&M%Lkdse@fXfuLF7WKiIM10iS@gcb<0gFNP<;cX!&7#xo9#d<{pRApOY8`mh1?Hl7O^MfHz;wsC^O)H zB#up3JCbH=$cLQIyMLoug!5xfbCEO)@(-ffaVrFerh-^NG^^c!pjk=_h-T5PB_OpN zoC2uj7jL#BX%>n%Fyeb-Aew!PJjxAl6o_Vl;{eUd*ng$j48WFvW^1$&H2ckqpr-&U zpw>WX^Oa^H-z@BP><>< zS}c2Qa`EICQIb&OHoIi0@Hij8sYj%fL(j18)#4iglZ#?J3%PV$@~lNm;YVI^Ak{Wd4SP)-aCh{2j6e4AeNcfLzRiY$;}f3?~UD zWs!?sddilA1)^ApcB_R%h=@c*a^7cTK9UxfhEccG%{u7J8L4o6g10pXWn*ZzMeK-a zJ|~kRt3rs8S8ukg5iml!hfC+G*D}9_Qdu24VNC1$7W%P)iRT|k8!&+14(VL=UgWm` zH?HIW+Z3Bo$FlQ;tf#6M*~YtZ>y1u=b~nekBW#L`#i+Xr+TACEX!m6jx;59!jfI!u zlSLnHkcFZHgM&H}Ll6e%vq{94Q0b_1AUvF%g)nM_zCb#0Nm&&bESD)tHGUOXf1ZTS z&6O!omrX?tUs;46*!v+)&i8g7Xini0S?jIr>)VBMOl8r%EBa@YgCgLc)Xva6rfI$z zYVI(!s!UflThy0zbE(fRs8sY^X02#^&pmaeY}VYW$~G)-ePe?&vmLOl`sX6oM8fq? zn+VQ(7Hkxij$$~&3K)brRjtg;2wj4|C{N3O^iMkXB7*IDsqi$hJD1oY{qv1cYyrx{ z{mdn&4Wy6UpwBhQ!W2$%vv8>|e!xM_#CI+0Xkng`^^A;@Ds9`%diuV*d2-CF^!XaJ zt>^0{hX(Ld+CqjS{ndC_LK2(7r>>Bxa@Ax_O_H-J;8S17H2IX8)eShEi+zyVyo`%c zVw++E{ZvSvJo5%Uc>GuT@#w&o65I11NLx>#rI;I#oNXT9Pnkg;#E9gqVVrIKFtjb% z)r3US?G1C9;k_{2sF*w2C~vV>EtmoEnD3RnOI~W zAY;4O0>s^Wb8Z;1MPpy5sL;OI+xvt#fKZR+s^x?^;rxf*q$qzvO0wWK>?X-6(Bz|` z(~vnrA&HOy#GwdtTu9C)lu(`LB5UPo$tJuvEP>wNn;dnGkcy0nuPPhUbMXx`hB3l@ zAG0BUF=Lr}qIz0 z9eMcOJ|5m!x+fNqxiiXP#qh`%G?>8|y{2o?cw3*ZbvFZtnGGrLB>VW)uyG$QcZ;fy zt~^tToPPba*8uM&2Bh!iptc_gRuqu#6h zGx0;Y?(bBeniG*-bK|#ZoCi^eu(I%{TfeeAa<`m8>WPk}5$-_uE52Im1*~}qzNKkaKX7MlVp}R*4NIWkoZ2 z0m2T9eEyn>IJoWRsN~q)JYDNoH7@C@k2J|hrPfxhZnskuLa_|Rsc0TBc=4KI6v`ej zeS_@LG0i=ka0p~+Xh?;ZS8k0}=#d+e&;-6cV%tfP!3B94d(;GY`2}bXlsq>a5y|s? zo8){(wlTA&^?anih6p%{$cg(Ve3cARNioKH=<#Zm&fbykMwc~MzitAjgE|Fh%UU*R z*(7v65OZ+8A&`*T)-!5J=WYvf$bOmapm@%u&(dNE&bR!bH-k^p6iS`rHBjn6t%i|f zx8sgV&fm?`vVLBJJjGW7b*?pEZI)e54ZS{8GOL5|8`K(1agP&k5EjbjR_2ox=NVf+ z?-)8ZbeFJ%nSpScoE)es%t=T5l-9_;fsUkFCBv@4c|q5zQ&g3Y+ER)sB~LeFN=ww&&6ZGaRG&T~ z4*w{taz0<(kh-Sx3H9CwQ=xl2r{0dVF?;Jf8k|`$KQHL6E*VbsSO7k@;<|wYAAMX9 zO+-XZhTV=2h%1UHe&p_3kQI=1zE?(=zatlD$uM(ijn#GFUJy=9(Uj{J?)B|tkk^!f z*FZeqQFNaLL%$%0q_{`Xy#T()3V|cMz`VjdEpQwtV?a2|LB;^!gT@I6|5Om4K*yAT z98nDI$p9rVRgDbjc|~f^WuZVo(e;ATphn?_B-jxXbVIi4?`_%s1Ysj+%DIwbz|(Pf z4X<^oLBjMH>!HM}SE?fu2094HB@jJmuz{=vgH5L%vMCg#{xrpSx_9~BfUzcbB>yNF zYnU%F6lx^4f<336AQ}ec3)HhpO3s6v2ayCPK=)l-W!cx1nc0iM?PLju5?)Lxk>4u! z>b(b&7Y(^9NEaxTzq)sz7fJ!~L*m*WV!4T~8W!UzyrviiJqe0?LJ9Qg>p3t%woqme zf@}fy$qaRV2vI=|2ZJ!kWH5X>J+E0ucTYqbD|ux1{ui*P@X7+f4&H9wo0p$CS0RKfb6?nRQcyr!sbV{DKJ8@d!eLN91%-smencEK8f( z_NbK#TgU3Sr$3KiVNyA~?=u=(aaa<#UfVFPEPT%Cmg*x{bW+;P4@2Jw(k~<;Q`zHo zBVablN3QE6w3$gm1>Vi?k?=QwI>tvRdav_s=r}~XeF$h3<6_9?1w?rT$4F&h^|>*5 zLEzc5G5)&OdwU=8F`DK##0x-}a|cid&o zc(0-5xnXbE8WwaaW-#XUCcy|ke&z~Ka~;=M8aY4viWAgSS`Ru^idGs*xj#1zHJL`= zBEv5>u-kn(=U&mL@Z}~x;7D?9^ti`G-#GFlPEw|_FouDmibS*;Z^b$7S(nYTz0 zAo&1r@^n9W95*ag;qdv!M7HZ+Y+EV3?obkA@;%PNTpOFc5L|-Eal9@kGV5r5o)q(n zNxoTPTWCG~6sUp#Gefw0@19Hxs4bY+Ogs-4M#UIwWA^tZ5ql#*vNa2HbHrBdd?{#| zj8!$n%&}_A)h_QO(jP|4v}6OU+(I(*1DO^ri&&?1uni?W?g4@pr?pZT0709PNKBrb zi;6!<1(3G>z2sCA|M+&uG!{hR=7h_>s~@dVWf9QKACgEg!2g1dtRV$8N!Ed6WObD( z&upRIIH2-EaNWQ zNW%q>o?YW-9ygLc0z5R&77$--d;Tnv!k?&JIzK3=1cU*f$Xup#0d`Z?ETg+H^YP@M2+MilZ?Z>$gJ?|C zy}N6HwV^a{$Ct5J!C8Q<;I^^am-~xji`#{Sr;7dW?376Q-qW&+E_Ja_D-{jRloN}e zyQjXfD3&641=~>TWv5Ewpx<;5%wP1t||k?5Jk|tjL-`@WA&Z7t?!j z)e5hg!uv3g8ywit=Vsd}LcnZ|-5%CSKOd5(Z_SAS1Rf)3UV0;IiU)x=)oU5VEH8-M zW)@PO*>oet{dtALVYRupHqcnDCLs$^u*hYca&^>icqVI$*mMp;2Y;&|Tf%kU)seMF zp$pI)IY0tUOhrNP+xjdZ@&$o)CH*>8+^GP9XY1Gl00igWfGa}HmdbJygb?WSaa+#=2FC3%I*C39a4ZI46aFCm{5!xZ{0LSdF9Q=Cp6<15z*PzF z^YLUMgN?0SkVXZBlv~(Wb<6{!z)UQK&}Ep#!51Su8E*iCpO7Y?QM6HQ;qqlLS&bbITgTiFwkicK$-@o zRRH~GDSH3FncSMoictLord&`zbsT+>^p!-Q(t_eK@FTDpAjLc2WNFCB-+0FQCU6{* zd_ej1f)2sTV1@_&?*i?Ch}#t@gZ;4$wM_WLeaRd^q~Cx>fsSJM4niVB0UY+NHy~I? zfewlF5HW3ahYYIoLR24P3CLuge}+1l&ovz=1C*rnYp&L}KRn<#K9vIiYI6$FY$`;v z0lgW#0EdBSJjju79bv?G*H|Fzt*|J!VD+rJ19D7%pG}M)8IIom=HoSf8@vyIUA?m& z3sBxFhXE-3ZZL#2x>Ar)5NaZ_1Nx%Gr#OH+Wnl*1MDAut(gTnNjEAURBoy?M^HUXu ztzy8aG-{r+9`O-T^Eb<)iIn?WXnd{m!gJ`|QOXiTrD(X` z5iV-*#e3|;%DAz&*rx?U();Q{&Q(vHY~@Nmh`aj4dffLgoK`!rB~p-7i*NjhdvP=5 zM(p;049{~nCn*H7Er5E%sp=a(#^Y3EDvM&+hC)RYRkO?xvw&!IXCjgxMM?eB`sQ&} zX9yH||==U8pi2RX& z@`qK%RyrEnr3dWkvkaL4v=>>vQE&o)$Cpiup^+^Q5FmezzY+~$ zALjS^snH!FA>;RuA+iTy>{R*SqBsa=8nwElN4%UQZxbE?T?~n*a(`rNEARV$`13Qj zADyQ5u#>&dftPn@^nw6OiQP<*!WsSMdjt&4qK_X@$xc08o#~t=f8*}TAyQpR?lEyE zWp2~Or_8A;R&6khDPh8WV$rvZ$-awVbd^mkJo;txxD#0s_iLIUW04Q7DhV4hpI09z z#jcT#&&oIpe6;3J@%WUt;1O5k6uhI-YgmLM>gw^*QlH&{C1i?Mv6Ck43kG!*>fEt` z%>Gk&w*G5`CFoh@die?!uvyHwNe4n+QsVofx&b1oYauARmQp2{_1^rXhYZlFQWFR| zQ8ZCLv4%Z6UYAumPSn@&BDM4idh^TTK`)`qQm$lSWTrPHyKi&Ti`1gzQdfKhzFNNEhUhq!tqwlJY}y49>0-U%bK%oFs5RC` zjs|Gs+N?A$4?VxCHaL303qzW(p`gA`v9+xuT6TU$aM8ff*1u=hnq@Ad%`J=cX~V}A zpCa-8!}0AH4K@Xys^u@7doQ|cGTyMjI+E(cE>liQQ*1DT9)0*W^ND9-4Ng0x79+)3 zlTZC#SB?4Ow|Lc_-LS3|sxO;(v(33Kuo82PQ70|Ka2j z49VGeoQT%*4yR$!>eRYIOd3&(aGlP~CaMrA>t>@P>D@QDR;w)rVZ$}}*fLgcByai{(l*V?_nb|V z9}j4Gvw4cWv2LN2Ng+M!+({{i=H*hmA--3eFZ~w{6sYmP#co9@tF)EhImjALQ<437 zZp~m&*XLL@ikDBVc7}bHJ+22k&6C@QKGkJ=X1pRX5@#8zd4*9Ke?rO>YC!=?Z5L@m z35TxD5g?n979I5};d<0_H|Ltl%Yt>Y5C}OaH>DI0H2JnLAsn6y?}VD`M2SS`r%0BAstWC^PT*e9fkfR9B(hh7kD@ z*mE~KD^mfzkHe|1CputrD2o z){SDee$F>*qrK(Xp4a&8y;c&hvQI>MyO|=>uQ}u@Ox(TXBB34yajH)h&ehJXd1g_p zeBR57OLnA(41MN3rHtGo9gKSN?xDDspe0nU-3tTjIb4k^+$iEgvDqA>xTJ>zu>|l+ z$(K9fTiWqj16otmfo_BziD=8dS`~{T(fJavQ7>w zPhTh*z|qAKX+2~1AoGmW1M^uD)zo%hy{XK-(p(>d{M(||Dn~ow9)@9cmB7X&FNrnd zAX0d#gOc9R+o`ZI5~_yg8VQ{|O$6_P<(oj%T)QT^#dgND6YLGg>Q1#4i^$bYKO9yx zu(vr0ZT)hCaPeJtQjSx$9?MZHjx{ z>@Z*-th&=(P=Bxa%*2~@!M*dg1V?6<{GN}wrrO@JWG&hwR|#d93+%@cxnP`D@~TJ4 zsIW^#B)xdeX+2MSt1f$U+f-buKo0`ocZ(cr7;5Mec;X z@Z+`pF{9_ghe}2!NXspbXKux%f-gOdEU_m#9;E;B>n4H8wBv(oxAYvrP<6_=%;UMB zugH+#M+W!Id~%^j7mMvK#|E2XWEJ4uAiUtXu37DvEH`i92yT;>;od*(RQ($D(kZW6 zZ}6=X#Vkb+j|LAf5!NuWDt`R|k`5n*l&lMYb zc{Y26a5253swmn$p15I@&vs-zoWv8@wCllukj+PAZ1~8nM{n2n^V1qI-hT8X4!hnJ zw-%b~GMJwO$`SjuHy3ZhG z78P+!Tc4S17o$aHhpn<{G#Kmbqa|mW3|@qfLE}y?%`C%AcDB~qq;4vjVtXtH*NW%dY#7iv+|K)053S7*0nCaYua6Un>v z{kKI`>U<}S%8CZl1xSkNE{o7Q8&>4q5m4OT{d~D+E);Hsd8V&>o)e<2)f#&AGQou$ zIa)}6EXlrE-WoPZoNyB2vOb;bpze%!#X_)ONgmi1KS^wuhlNl>1xO)eewCwQ1QC#z z8)3j2Kbu&_F{objtqcc>=ZzNxrDjJ0PxHm!Cvbv`T&C9IKVCJQV8zN1B-XxFI;mmRD7i~yz5N6SLZ4h%yh%0b0Iol zJwHlh5I&Ha4OH<#{~zOP$nbY**s8G^mA1 z#64phvmB{Ae64f1SFCwp!wDvzpF0JL`SiV9UWtq)r>^Z=>EwS-GwObc`pxb+{g{|1 z_wCqs7_ie#+R3P7vQ7Jwq_Qt}=K}>*eR3Im2VmQ>=D!F52{s-05Ri5Nb?| zCNR`1Txd}7+h`eg^kk`YdZ|*jIWJRo+h?XoPjk-=K;hWChP|L>gY^8>karpS4!OmwD`xg~CFE#OQ*cy{5;H5fs zD@IS~Ol@W1Hco2>q%ziXU%a{lXHJWYjl2ghfF;bC?!@X2pZ9O*S!zq+WplQi_`GME zKVhPm`h`1m3*NHC-1>1`t=U)i?#s`#Jey7;Y~ioAqi3eJ3Rqc*^NW)7SgTr6UVE&B zmBu)WQ!Y5385>=BkXl{8{vb0=XEEPTF@D(|+lHN>qiXd^>*jKhZK3^ap_0>};h@Eq zZz@{|oINGU!nb`jCw{4TP+~J@QFZCr;*`cqupVLcK0P+?UOv8TsuC1cVm&^36TAfOC?se)H74tIl zR!1~kZw};EUg{Xmqu%TfPw-<|yEC`-3`6BCU|k&%Lw?^1Qy&U{|;8K3Rp zE!Y`+Q|7kaNqfI_U2^8Ug7J{D`?1Z@e(TGzSv@wVyja!>v!1E)1m(m!ap8{Z=qixE z=`pao*DaUo*vn&o_kx@qm1D{B8L}55Z5>o_*cY*w)pzY|oiMVr^y(JXtpQEr`%ZVi zL@#=4-5=P{ATvxDg z#tkdJ@9TwYJ1zf&F?sH#agjZKW7Hg4vkqQpvusfDjzGWYcEYr})nK}nRmqYoaVYOd!j;|-UZ{p`ydb!^d5XVT&ims$>j-OT2TP2F z70wHii6gj|EIcN9+pWlEAKK3Ywaz$x++%=$>39OMw+CmIMJb22=+c#q4_3y$bXWaArFZP{pU|DbMbF8%Aw1#?Y zl_e=U2=%%dCnVB1dFauojHMa&MNxSdpq_7>xIz^kzB}l>02|zErb*b_o^5U3+ZhdS z-rHKPO%UH(Xb5j@%YF@U?s9ttt~q8@m%x&0H0pEj z<30X->~=#No=0P?%JSq~+0ORppZy_M;r1Yh^p;zPVj`hLuA=f{uT7i-V-+iK4} zv6Ur>-+8!5vGXwNTDqN1hwc%dHDl`f4nBRi%kYN6JtfQX-oYuS5OenDadDR#zl_}p48%Q>!740EN|!RVr*v$mzNf!zjDRWOqfTA zTabs>n3vsz+t`?$7tUkOF38W%$Ij2k&t-1RWh!WD!io64nW?b3y_2o6ix|DJgM*Ew zsj-Wty&cTK%p9>9{9jnr$=>XWDcnhn{;Zk337l3{T9#JP(!|Nw$%B@QkAssQCXP)j z3UdOxs!7X=V~fJvg+a4y;V#Bt!~gO>zZ>w|1HV1++XKHn@Y@5wJ@CKpfq(i;Ht=90 z=+Q-AFc5-&hgVJRoLu?t)p{44ek_WqWA|8Rf6sTn&L7oRx~x0x}gnZOTuo}0&%k6#GR$1Y@Q4rk{zg`2V)b9008 z01oFB;^s5whVz^L=K22@wZ{Mc5c>bZ${(HMw+DWE;I{{Ud*HVRetQ7>zu(%1#lHi4Q>O3N>?~yyCsksoh(gZ z=irtWmtAOuVBb`I#6yB%2Rzjj@v!EvkN$;eS(>?ArWJ%qTDmx^!kwh-Z5{0Gz)8TL z6ybIjV4J}HN1twP?S3BY1gj@oIMNa|gKLIos7f)U=feACNRBx9d9R~N*Vz#BNjMvM z`iJguUR9?I0>5~c`G~_s(yD0YcohW=<&;xH+(8Qyh^O;@_0Z24&ht}-b8-K`a&Ccr z4}IO-qruqr**hK}4lI`2OHV#bTaMCG0e3vm80f*azhDgSUyL~*WV}CUIIrOMhR@aX z?|fd`-rWH&@CJNbpI-*Aow*h8TCC~;ul?^2v<7=DSO>aOjE6|6;@cVHEg&6oo%J2qFq1>s!05=sv>UIAOc3id+&`520M~ zxvF`PHJtmDnV%V_Kz97h2+jWkYxsG-clYl(wK+Y{PP-XSw>UXyNV~bUxwK{K293{h z5*(^W>2WS#wV`%~F$bjMw2tjb=N|!M8BFgP;eiJKV&3un>-O^foV}!nFga1^m^FG2 zvJyNn{FjpS=dAqUP{Gf)Kddh69cfj0V6@nz!9poWC?83fuisst$GAB_Q?#|VGI?fi z7nLyL(3s>~E}4jnmGI%zL*x$H(2Q&25xR4b$(;L`{QRfp zJ~snw+F2OJIZDT~TY0>A57A{&xp%8jG|$fTyw+Z(!79I9$%1**2u1Tre9=M1a{gl0 z{+zKt%v!E})Ai*6@7rhb15}Sn&G|7N`+y(d-c+g+t@GqEasPlP?(OZ#N}(J&jDpRq8GfK;`&I(}jqdzk^1;3` z;Y&?Fc&)8&eJ*cDzLv_nz-w=NXQ8+Qy!JM?m&&@p3%r@F=tjQgD!ajJZ+G|mn**)< zMWp-5`29HLdH0W7-eE=fm7KUTdwYJu^q9E)BEaQC~0e8ZYAJ zt)5F7R&0djn7+LeWTdBh^pAu@=e^q9a-t@Naj{1xZ{9&4cS=~sVvc5fCs^*e##)Y& zxI!*+pc{F9ZMp+>)6Y=MkGjF1#tlR_keu2p^AjYbo}VN@4Efs@@T~)l`9;9|TTc9! zsW0?L2VTzk0A2u)zrXn(?F_$Im~s8JGW%y}{lh5!g{%eE*9b^A7bY1wmiPAT126$- z7H~Tk{ymIBLca>u0{?nS^86VzC!78BZj#N<(QqsS6w#a7+Z#kDgldK8^=PAmEc!)q z{%dsp%e36rq4u`7|I_QBcK;$6{+tH&e;H!;!?1T47h;H=^GgWBM3q{@59D4($6%30 zKbfmHZz)`+Nh-1rbMz|w(ma}UbkEiP3PI*1ud_6cc6~4I zjTY20MeS%|^@+966SRcKa^GFFdVqNp-7s%lF@tFKU0v42Qp?6S`&&A2}i&ON^rO%HBC0?$51pXt!I!sTC21Y1) zccljhTK226=3jgCKMU%QOxxGVq1yj1LliXJme@My9B9lhX6VmVygyQ9_;~k)X>)0A z4irjzrMuf}JDn;)_B;NLgP_zMts=!l&GM(0a7;v{MSZqSaQLzwROR~R%laK?<}c2X zf6d1Kau62$BlW%`!S^@6$OZ?R`-`*azo$I^U2{fi(ccxrzv#~gTJwuD=wEa6fZ+W| zN9X?o?7mwATK)a~cOmq@w~Y4}EBC)K>pvSxgnpn?2>g+%2Tc$8$-dC~4kTK9)i5yY zP@Mb&F6)`WA51(U54(sR?(hC+;TD_UAs}NcW z8TtLqfersf<>2SEmLILm@&Adn7ls?c2@LWpDRaxBqZ_) z?VBGx{bs&^cwe2`d0pgZ=(oEx2Rpjsv$xVZtSfy0u14C;YtBMjr+l3sB16Ss_|Nkqr{xl=~5#Rr4B5o%%`%vX6 z5*--CUmSw}$_oE%_W5B3^ZXc+5||<|z~a<2hI;3L2K{2q^>YUO2>N*bLsEY2k!I&0 zmSaItWI52Bf0^a}h4}n!F=b0iU~g}0jRtdf`_NrKzuk=$phMDns`#@(%AL$Z&Cmla z`^7Tq=eXxbf%;F}^EFWakj@VYplf5B2tuYSD~;11WIEUXN>G1fI?w)51(I(Lis{^% znLL!MngU8m2bsnDi-YA~OUZwhtN&c?0D~pCoDPyZ5Lavfng>^G4C~P%wGP@%g2NIk z;4&dpdR`7FdO?>DmOHq9F>!x#-uqGbxOn$pLFgSOLIjq_q=9xDg-aH^%#133=h*x^ z&IOb=rz*1XToOG3&2x3$3B=hK7Q`vPH0*QGpWWGX7zkUkTP~A}b`98K7i0dQ7}Xa` zrB9GUs1$Oun)^D6IoAb+_RN~Xqxf?XYPSN_8qBZS`*sLvdB*#zlxq5^`kWh*FIR6; z*x@;Ma=m%#R5{9nFWbroF!>UIPuVu zoai+!5iQ$ktMrNXOQd7-ccRX-Md`SrZEt%txur~!t#9T|T>GSeDu?>;Ibpin;afKc z0duOij94AyJ>Fl$uAiIWxPIh5?){_3=3WBl{%gJT8KBPe;(jvsf!6$D$^So47V+;Z z0ryMzhsBL=ZvXjmpi06B9OCPBmB-QeBo)hvp{t+%Q(eUSi*?b@8Twxjj#cH18c@;u zhsYs$Gy;$KP%s*yG@h!MD(TTAN2nqa)xJo4U_5`ZZ~t6&a{s8N|ML`4lcLBd6q~(Mu!Obj<_kEoMT!#f6VgI%5h%6=N258=b$N4&iu%0?7>N8++F0D&vc!Gh-_yPkD>5@i&<;|(^A%jkZjby_`pXH3e$_r-1eRW_;v5ZT>k1R}9?Js_~eR$xO#fxBiC(EYHOO#EW#bxn| zN|XhH7iN5{#*G_Z8Fxv)ZV(gYkrpLPJ zqyvsMI#?;6HL-RozMHZh!^T2H_fVw40sjdeC#Or(V>}Ue4Kz6#Rg_d&cTPo?@SIab zj!{Cj;Q=B&6*9vVCXtlPN6@Fs3qr?2mjV`+PEtt(-+w=K2%XvS~nG_YzPkX(4IotNAbI(&WkVgx&iS#3lJPdE#w zHidb%B4aDMS>kCFj#S!scZVyuHP4&TpH8FPrCh!gmUxO3-HV)1(W&Y_`Xfx6@iy$S zHZf+=Q?}+0nfhK=vRghp$$y$A`nB)l`R!AIwHJbG6CFZ^P8@FO^S1Ey>;D_fhurCmP6uD+$NcDkz5@&6?aS6g+gciK;*v z^(0TZjm{IfXu8f;@7~C}<;>Nl-cA*C=_i{6VHFD9KF5O5yzi(J)NJhbpV+>)J*zmiTfHk5jAwyZJ=^)Y4jUv)pHMzMJ|>(igxn`HK( z)uJ;tE2(3sKQ?cY<$l;u&M`sew=qP_mn13b$BPS}C3PkrtIk_(|?okpq_sO7%hB$*a)%#YWgoTT;&ROhvEC10~Iuo;Y+0RI< zRFqW0NEo=!mT9DTme{T-6@7kZAb-_~*}kMS;x=pHO|4s}JRi1PxwE zcdN*UMtkGP4mm@b3^#?4xC{1PlI-vh&C{p+jAocVpB|ngU|WH++DP_Reu*k&4&}Sa z>TvvV&S9#RkPftxIfi#PvWf%P6N9f+>OTrov0#XHI7@GJ?Uav``w0BzQI&uYPW+db z?hsLVyhi>0F!6dfB1RhL}Z zkBpPh9HT{lc)^x|YBaq|Ex#s z{fB9Ml*{=2PbC_jY-iZ{#3k$VN$rZ<{fh$a&-$gT2v!x)$B7nw*%!l-q}V%Y^Vh0j zI3%7+5!FGeX9warB5=L&X{l73=q4vcBTA>XuRWpl45yb+Ku;z;IZc(o{(DWXt>&TX5 z#N1eRGt*QFbmcmovn@R}Jw-_qP_4;rSVYJ;e^#`b$5OI!hi+)SAgbl*B$Kq3fZ6lV zV=OLh*g0|zL6!~*Cvjq~OwX&I3c|s5HL%@Lo-|%BV|yH-vGLbiPB~?Mf2L@5la-i%NfyTPOlq~6%^uN1Ug-5L%(EXSi#FT0|+;4iG2lXHEL z3eIBZ%rt50SEpL1Vw9Bh!d8B3n~Aj6e=OUQ=?Sx10q>4ffj~vXr;pojy{DhD7^=6K zG+4gs54FTRb|aPMxU$z^pGDH!a7M=QC@Y+-=1b~N|h0#WUC(%W(?RM3MKz^Q%<0(L0k9G&?Cv;UR~>!@Y#hJj=&=tf@dUy4ZRz z>ykpJ!9voAL!%G{U;aH$je&RV=Wh_G4BIgpa>6>%Em@bnxnOnuV^ze~;e8u=2BF)R zQz>95l1@*EpL5PXmW8VphjJ~qb~i_q-!O{ruFdoxD$Jl)ApXhpZe-YOvx5{ zCv`U4hGT5-yPWr|81VVGg2fg%P4F+W4>O@#2;qf^UZyx7v`k)}x+dnBm$|i}#!pI} zUV?`={fXbRo8kQwVe{tM$hh^2irMtcll+50VLgE`?rd*Yr*_sP`y)#^RSRw5Z#87X zFNiLf?p$ahe{X=#pHx)l&K)QBj3g~@Vrf|4k-OqqNyV23i{(968Nw#Db=uKRR9g1q zEAlZ8FnxF>xWIP0bQwlq;x)^*!Ru2I7)~i0ZhLcr|74;tn#HAyYwgdx#{Fh?@17oi z`|tx^Y5s+wOytXuOn( zcHR`K#>hBdo!N$$sf#sx|IpOnNN&8GxSR3Gtx6kno*{z#*vdGd&8BTHCa67XX>=8H-T&EG@S-WLTAMa#* z_X~+i&feaENQiIMt z>KmICm4;6##!p>hB3??TeG`zsMz5azHm|5(hhtgt`RbORGU*pTjist=xAo7Z{dIFk zXylJYbuk&_(_K&OL^12Ec&9fnNh$V{_pv_3kxV#>=}rv8j$N2FVH--bsn>>Q_R87@ zhsbW}c5aV+$ztx*{g$5GMf!7bL@pccnNf1}v7Q%$$kTK0o32K&4GrP!a^TguX(e&I z62jrz={S}s*(;%MSh}NA!e_q?BTH5u_01F7-Edl6r4k-pe7bhAZy>9BDRG&dBT$T9 z-xrs{l7@Np9UJHR3x-A_<_;U>7^NXAoWoI4Rjdrv=0gQoJ>tZU0Fm8kIh4Q7!2Ys)j`ozAtn91Xo$ym>+#S zcC$Ys*RR;yE6JNg*sL?l>+*zxyny)DIUOsv=a`#@=t3*!itcW&^}&MWCCkdY1pQuy z%E>iW?g0@uyupX|@6|4-nD(uoe7pYql9J-LpDJ?;aq=O{#BX=4`L34y@l$ORxP_&i z7~@<~86&NwnHZxMpAx5%gB1L-BP<_nNe^a~5_7 zcZ!7W11SvNgCAZS!{})dySP|?yFuh7B~@A}dnY(8KL;nfDJLgCt$+{*uK=fzi8(hL zEjK49nn5GEIoUZmg?R*p!F51i|DlI&B>D9|l3&jTK8ev^c5!hKhQZw2+&J8LIP9G) zU|hfNc4Y3c|9(W=?3~;hT%5w3g2J3!tejjwzT44{_WqVP|M2Js-R($-Q;?I}+(d|- zi`SS3+`UxLoLvYm#LdoSE@&noz{PEB%7eUH(SNvmsUWX8znK{yFS`IAKe*cwzlk8b zkclxDyO|K5skxvDzljhh^7f>^?_T--P>|Mwj(etY1z2Y!3tw+DWE;I{|*AFVFZA|+wW|ZK?D(Hvy8>%l0<=QkR;r*ius^M1@qpDXPJYDF z*I(AL_FcO7gLN!^uKho;e6DlBxi_B>tYeikcpUqHE^*Y1arB``uUm9eijqoUL9FGb zgo4X^S)wcS@LKyFnVs7^uB6E)dmUpQ<~W~rJ;X**6w*(i+EhBY(x{X>)&9BDVkyYh zXZ7V~i_NoAOM%JBGkOM!O};UfD@n22oC%K_Cm(*a^5tQ^%dN${+kCr^@wC=}#VvNV zjET?k&%_lYd2?kON$)b}p64q|*yh=Eq$xTX&L1M)6v=Hhk}eNaN1pi8+8Qr3ytJ+* zJ<)$e{6SxR&@_ra=R9w0S?&!Hzc8f{`UI?%>BepqD}D>1AVPHosRwnm#i7q}C-}|Z z?#1j~apFsmaIuQ2l_O>0dc&r0&#aUUCpUvp;O?ul)6I*#%#HG8T{Y;vH;n@>*7LsO z=ECl-$giN6#WiVlk74{j?7d}R9Zi-cD9K`GW@ct)vY45fEM{hAmc`7>%*-rH7Fo<> zu{5&l+o`Ia>fP?$>FxPp{fhT81M^OIP@YTeJ}mlpLD**|k)k;Z6tnUHN8t=&Cy|`uA<`zt97uHElDUI$11P)| ztm9(Pghm-Hooh#1D^l!qamS*xsYwb63+ZSM-r?nkf(c=xZR&XtDV~|sTqtUlNNnmd z1~I%M--)P0JvF5`v(JQc16;!qf?PX#Ic8DTbG-JP3gK2;UyHqpL`H8SsPV)hnZDfe z8?dTyLCa{70(Za)Cge#RLGP2KN2aFwLuaNYLWo@zjuIe~2_fP3xe_Rx!c-+c$o6)p zz3dJwl3t-JB%cNZ6w?qY)Dtny&5`OH_yNnun?TUShLs~(uCmsU5E^QbrkU)M7$<_SDwVurGR7VzT{!(@IJU>=kOTEIg5U@d zSeU~F84-gt&Cg^RlP4HDC~JQ<*&kSd0s*NGigT2>^k5*6oaDX`0|(eM?iy~Y)!oCd zo2*euQ!@RO!6wKcp->!BfgPV=uT4KT@J3F;I=)-MN?*ky zoafJ$w>~bL9{HXstv*+uRKZ_AR0w^DKA0e!0oq83(Xw8$!#=ww48``iK5YKWB$!7G3Uw)gvbz z7od?nzNlrg2CQH?UJ}v6>ffDs=P%KKP1UKOu(5tV6=2CAQIxg<2rlfbRw)OYGrp4d zitYU{&jeCz;cniwIRj^}_hqjYS(`((izo2)gUr(=TA)#6X+~K15u~gYTc|ue=Vw+D z9#u3kY^{dit$A0rCP09-XfglOVso*eE&>si9u$3>Ldf=0u${RK&^$w)Zg}}oDoiU1 zD~nJ|EQ+ne7G2ZuCTmenk9py^(Bwe0yDd3dCG@J}4>q*z6??JN)#21xXv2}_+1EXByR#&Xp=!HJ0DvhB|NsC|Ox zme@OloQ9?YBSndXJKB6_BH~t6jnMpd`Xcq9p}R6(aJ9y{uIymFApb7M82`H?8k=wS z@vU&n%#d0Za$B^hXVDI`@pS6!&bC8X1- z8QHJNoT&(bLnJK~5FU=pEJ>wzW+p2VXqg9p_*86O0}LiayRR+&+ysGmp(cJ4nOWT* zT7?(yJo6k!a%VOw*r3~BiQQ=ic7ng?RPz~F|J5rBU8uf&P?yyV;jZk23X>|Vc5`01 z*0jDTta_mgCTk(F$@-BQsko;+01EG5A))V1QaKZ{vt0QyhFIId7M6Gb8XzJF3rpJ388&nSA~RNj4a}dxgm~T5SV* z#L8&Udax2>w=s!aqJ_;mOGKL@o73Wj%hy$T*w~xGNo7c|FzN2StIC@5esJvQLU3g7 z`^xe(6scQiR8FNlof7SF1SO9t&%Go=cna)&r3oVZ6 zU~y3R{HGm^INv^Pzyv}ITd8lgkn({@@mu`ctWjvT0A@1~w8A@bTHoBy3;D(YAsA>w z7rsSoF1%JE^TWzB^A0K*9uIbr0sqL`y;^vA9cELom=j|WjoA_b>$&88;%N%BEiXD~ zWjmAUT)n-sY2N&KVHo88Ieu)wwGxiPVj`8)Xrksa$si2)Rf13=KblGlN?fD9H`B8_ zC-A$3wX?)=#3wxGyWtEsE9hsuo$6X84<;?fhbv}} zjyrsCbMwezPZB$11H0fS-utNsjNwgy?GN?T=F`O^qESKbJ<{6ZPLR%d*tp`Fk`*H9gFS-H zuh9qqju_)8yCD_HF9TnJZf)uC>LxM;W5`$@o>%1c6zq4XtQW0dLEPknJgjk)hCo3V z)^QG>wa+3%GglBzU0Fl;+SKDo8@Co zqvy)nHoR5fW&#fSV*-FXY~mpd1MMXf+Cv&av-m!?aW#m&(9k)6B2xvbj5OJJP~mV` zgl$V-fwY{lXP@hK;sc$UY<40c6R9oJOYq2;8G{za>}T-u!u;)AQ5s54(usg?C`lCF zf%%)rk7!~wltpZWMxz6b@NnBrx_B`;TZ*ba6Px0uck=G{n(H#Q48F$*8%V(w%vHqw zK;RWID4P(C*fApxdL^8Ld`izpYojUo#g`_5EJV2RT(YHLie#?P{q3!JYP(QtXXLLKhnT53SZ9Udr@ZDO`Tlql3ziVAKdm|&~h zr{8R>pyG=GTjF!);%f}zEzYkxTc&E$Y>H|}@3BMyUmHChMY$oc}WUgHdJWuhgF z4S{A;g~IAEYeKj4C6MIcmi{|RG=Y?u;@Jx5MVwp(GxwnO7iFEA+Psdn9+?Ncvm$c* zYa>3#@>~!7EVnW>PcoA;;f{t}ES}?Mf4Sa0DX9WG?Yf^iIS`QX0O8*S02rk#bjm}Xk)j=go4t|`+8a^7;s5Z7Ci?~Hsnb0X3p`pgFM?2 z&jaqgEExKU?}Ouq#;Ncxy$o6Z;dS0WXy703lRsXDf4mI;i=e=dX8-H}|B1k#2>gk_ zp9uVkz@G^GC(yv(@X%khuYW)Tf6w0k5e@tY#ONQ;K-T{|E*tB=;j*#*2e@n>c-3#- z)xRaPG5zP@z~5c{x02a@U;JMq_`Bfqe>EWZFUV{kT9+R|{R`avJG}ex-G7YC#{5rY zwh9gFm~X6zZ|AzbF$xHlB=PQ0;Xr4k*7oACou@#rGuV)W$(?O?l2ydj^^cC-JKh&O zOhRM!O%fh0BEBdgbp6{CmuVQBXq)$j^`$ELPd~p!oP>CJT{$#buUS~N{cOMRcC~A5 zc{rk!|E7P{f48&qq){%c{ROq~gU+VJ$s}Jj#$LzDdi-RAP=02BF=(eya)Q$ASXC7h zp&Njv8Ya_69dnHz)^Chy?B}k!S#F!wT2(M|^YLb9av<}Ke<`>4&1Lqo4-sGm5^8B@{$D`U`zUsO~|4?9Fv)n+j2M5K3;X2Tf2&yVSoh-_rgsM|+@8-&+w1v1Q2 z>&smNsVj3;A|`Ws#I&4*DO-rR^33CNOPy~aITsmEBL>|aI=&(m%F%GlNal*kh2d5@ z$w9{_gC^TD_`9qT`3gR~(RUz9ESfs}(>HsJ+?BjA*{ifSWxJy3Lvb>_v>{84zxiw$ z(wLDFZUPqlCqAGWHE3`|`q4XEuo)XM@ih{O-I8|-N@*5rEYP}zoZ~s8--a4_;D5C=0)pXc~^C;2XDl3SvgWI2qi7PCE*RIC)G z&x{Zek(pBea*h@d^RpRO?*@li7|8tax*Z9UN;ooG@uYf%HZ}3>U*jtX9$-% z!^8RvXjNtn4h;kZu%v>RKe@81WFe=;Gu&t)W!1NX8>GmV+EUZ)uEn1RFjxcnU}!fBY`dcCxK*usNp2j5 zh%X|bh<-$mMheiP%0@w9QyI8KLEt6Jn>UyxC0cB)QB;1mWLyGbOp z5@?fvSY~ci179R^I?*;6V!^Ak+YcL2n2>gaNl_buLo!iL8Wk2pHARq~?*I!I9!-!? zqngXsn>#VKLbvMl)x&T=d{y8c@`D1EAhDZj0j4v)Z*+vaTs)d!r`y!osorXa@(Lpu zF^aGo4}yil&IZx9O#&B>5(L5@vl_D-rutk~h`oKbcY;Qlys*Vh!X7aKK|om`$v;>eTcIrl8e1p4%odt0A(sHX zt|6~RLra2;clRBNKQdbRq%23w*SlzJ)u-eO)XNr7do8P?^q!`+c0cAf25tX32tX zKAOeHy=|i3@0-iO1gMAPVJi_~OoN~M24!{DI%$G4uJPoe`y^Ts0qiug1BFPA--QD1 zLIapm;#v^8!~+_xmqb@k+l>gwrv?1F=FBM z#KE3cakdASJT;OKD;@zP>dQe#26qY6s@Mb+wPH0TV`*vL0?qo`IeiYV<1?J zw5R10kg&;FtFg+Te4+Lv@jUc}PI1r5gDz~O{br_rL9)UAnb&hjHL{9>_Ms?+r5n!z zj*{e&;dyor1SgDlioQ~B_E=!$18bM^D#2-~v?x9sArI6Ek(%n zT2;subyz-5zGMeJs{l#C60hH~vFbu1zzEhXWvj01Sq@6g1|X;Bp!Qtz3R%y=;?C4n z3bKh8yv)WHeC^bEapkU`sVkOX-AC<_cN;WxWc(I+g4EXKfn>S$P5e24=_=^PvgNg( z|Ew0#=fd68D@u4l&i&y4q0CYumYzh+Q}iB~E$T<&{8MU31DnL|De+FA`kHpsYOWe8 zs+%HAKBnkailKphg13Zauj@*AZEHElbu^ooc6s(@hX8<+)3YJmOajH1nv7+2Gq0GJ`Vv;7-CELzv^RW zyY|x;*!Gb}Tl(%y=9~YAf z(&75YuSk2JSf#uYNp(_)#m)4oh$sqSNYl_mNL_N~Gu4dQqX@|9{ZyK(!5zl865KyI z7kefnQg5@Wn;$uPDXiDau9`{?$bw3G5}HiY2>bq&y#8LEqLqg( z$R=U`C7Y@pdeq%~;*~uIgdXfm>wM*Nph5T%O>3hlbbjgC*FzElp~k)+8bk1UZrUzl zUGl9)Fta`TM-)zMI@d~EqY#IJ_EBAXa7y%!>zn{3J+>tM7eXKd{SYN^!@(^e5bwwH z&wArb@JO?>Q)^m>$TO!;$mCHhrfz5U(bBb02w1glc=jNG4z{*K1XP3zWoYqG;OS~- zv?@ECjaX&t;UyWa94@{*b`o;q%kHF-VQs?fJwBEPPP6<5kLplJj|GmClo71yr}U zbIZI!lO=U827#-r*9(3YkQm?Oz4O~3O21n<|8S0!akOou#mzV+XTikLf;b&Nbg_LyFxXpfs{^ zCxLt_mE9`4lm~5|6TKM9XfLRI3(8SL7F@Yes(R0^Z)`U(X*f*&!LhE_hRl6g0=SW^ z7?bhJZlHMG(L{?KKtl#Cvg}b_?y$!z{8K<;q!#Z;R0u_&D6j$h1cf%4Oww)sI7#VC z!w{MVx`IAMjJH;C+hd@So9#+F8)30I+1tVF%r`a%xi%M;qgmz5PK|b4)p`}J!zau; zD*iMt9CGQe6JLgQo8vF~6LZ(Mjre@BFTKBA!qouRA)iHf!F~zTLa1F6#?IB(C*)MOF*2TkfZbq>B!6%_q*@ z-ypaiDIP6~L?(HF6f(WcHJn7p9hXEewzSzT{2X;=#@%_b96LdM#O?s&5X7{t$JzL9 z=JJi~Hg|nD{`mqSGm$zY&I=p`;_>61G2QW=t*+gK%gk_p9uVk zz<+-P{;q5BL8{{+bNQuO@$vWZ;osVF{RU|M1q}C_ZTEN7hUK4VX8)6v$ND$k-M=Q~ zvHm9E{asR$?KdIp0~BKW^@g6puflH>?^oeBV)v`?{{gCF{?9>mzlZZ*1=alrn0vp& z^IrkfvEp-l=!^W~L;gzz%6|dXv2gqf{lCzi{Qdj>HO7CRugA#tPu|;+>XtFz+7MoU zL3P(6)DZ_Z9X9bm5#OC*8u**>8k$a(%agD_YmmKnnVOKVP7SrA0TROE^>3&3 zr{%gl{+v<$X0TDN>!V%Obuqq&H&|s6-er-o>Fsg9{c81iq*AWU=Fyx^qgt+J-nce( zO)sky=(8?9H$zM*y*pzPr(8a)Uf^6$A6_9}erF|6sZ|+W?*rBi=YU2kP=9`4mkPG% zsV|7rFvp=AXeYN&=0!Q18_>@^;a^)8cXZIRHnavL0s_QeGs_Rqc>1zU z#6Y83;>bwD-p&Zd8&29A(26ixI)6_feMo1XFqBW@7`F#45yPNz44$kj1hSjD9qNM|scQe1!4ex%U^)L(iKq4 zqO{xgK6S8#dCQxKRH#d_Q;P~NlK0mN?o(9}uO?~|l^~X&t~)otdKmik4}K1-5p~TF zb<`1LYz$1ALU-ENh24nel&0)5#EVUJt2JRjV^bV}uqHNS_(rXCB`3Z zWs)3FGZIbHw!9U_$jjPaO%?}IfHd@U?8O&AEW}RjQLxuVo)_ssBY6MuHHPit>Rp6( zG1;HgBT|!hbpXNNpBUCG5^)R*Q~(`81QE>trnrs*PNZ`MF2w6wlEsJfwv;@}bFOZa zP>ift=ZK($Et==k?)YX~`(rBCNb25Mml^rvX2<)rfJpoe-OZ{<)iScCepWED^nT`C z`kmXEp&u|F*a_I1+?rr=@qV&`H)_dSu96WP+?iWRIuSjn^su(2{7lKSLglx8PwPfQ zbM`GmfBXFN?(!+iib+?k{v+pJWdUjNtRpnBzgvK3gi0 z<-MPZKPyaVT0Jb$V0Yr{(_t_ zBF1|uskKEsZA?PbBhs&6VWuuYRiZWvC{veX@a3{@%>(0n$ukv1**M@r#hbINTWiMm zY(1b9%lwf-tgjyghJ2C|_>1IVOUGkO%{K_=+8Sd%+#U*((JtU*fkq$pSu&`S@>r9~ z@U{aE@|bZXuqL7YzVwy^P$iCf?CZm*)498{4gZ@w&Cu>@BLgxh6a+NG4Iw+miuDmu z==b2TFArHgNsLk8r3I_Vji*gW5g^fvbbMsmy@4eMq+9dEy#nL`{iT&PAjX_B^V`JH z3tb@3M^f0LE9zF3RGqJNZeuo?*dsk3K=~hcI%-pqMQeU<9thFa_nB)5l zbaR5)dcCwM%~`PS@M*kR0V-^!Hxaj5XFq2~E|TC#ES2hDhSKwJEJ?py?Q30w5%>tt zglvgfLCsukRt=zhiiE|FhgLdLVYOaN`m%ug3@nCQD7OR?!_EZ+r8pSoRbi1o)^;nl zDB!bYPV+^G7RHRV7c9O~nL?wi3W;U=uBNKE=51ati`@fvLiV0G$)Rfl2v=mOV21~W z{h4iovn|QAVC#@^@~Mf|ZA@oC$9l};9NpRC0?&8E9Q@J6L7?{w5VP16i#J-<%K3uf z__ZkWO<5OC)Hmq$c3!MRFOAwQwXuPS3*28{IXN`BdQ$CDpz>$d9O{HVG;qWOt zaMK@FAU>hm_qrmzbkj^129s5i_+(YO{@@T{vQ?GeoYc*Ua|;sP_ZKyhBwYeqM+xGi zSMySrTtmyjiAT^rvlzy}aakXh^I=&ELX(47ID7KJMtW6iRF+n+>$t*G`h=(yi^-T+ zI=k3FwcTEMfDzLBGgPV=G7v5-gf~!GQ;QW(u;lqf?rCd;`3}Q%*O1(Q3|-{q&Gds= zMv{T>i2g!+@z}I8kZgClGlZYruLY)j($1My5gl4gTN0aUtalK0po~9moBzW`Z*mAq zK(ZUCfVkC2dZTb!_f_IhHnEzvk^QdJ(@F6$J!a3c>O0Jaf8RbJw;6nD@zY)HA>3l~ ziEx8Ylav#zr!0AdxKYN~!irR)sjqGmUnFemkWjL?1oN zOk2y;nahoyt!$%rt~ck2?I|=5D>@q*djBv!hiVxR`ZNu{S%RFGy@M)afeleaZy@t% z)(bKE2xvmQM=6Y^CccozG~0Y&t^+f%kEwdgC*{ztK?|IxLZ+MUisqVYgmcVEx8tBg z%(oj9W$0ed*-<5`^VPdJkB#Y-n}uO;b8ZtMZKXWq`sw?*q-_HQIlAsCdYsKy!@JGw za>=SPdwu&{iqL9G3EfU2*7;kH{X*lHcIycee*V#|^ruthl3^;diE+8lZ^nrn`mpgt z!+~xnmoFNueVJgL)AwF5wm900K@G0C#~=fZ-aOPK2(SB&=2${iH>Hpgp3cX(YldQc z7K-#ac#Wm#kJNzN#sp0-?ahJFS@1%lFU~qu7azPG)2O|L7|0&rIrUIEmo8-XljkXI zm}>LF^84C-sVK^<@G{yKC=d=GFNGu=otE;aB#S=tqCF*PHM}g(o(}Detwy8C1Ll3Q z7=7ZBms>cpa}j+%Y%u{Nsd2vM!LBhABht6R=ZUfdtKjFlAKcy50H&gEZP|3!p}29e zir&E5Y@}U4krGzmQ(rSKDQh>BwP`232OivBDSX5qBNDs9-yZsKB01U6ohanvlCoss z{Ry+{79#R`-I`qRNYB;^R!!vi$g)a5Zy+=d_~=V!+JKZcNY4qHn}N_-kw8 z-%%K3;$UQFV%BG-F<{i!r(rf`GNEB-Wo4mZWnpD7(PuDZH#DICUH^~5;QuDnd5FMZdSO|5q^M_w4;2I7Mv#XgT!{q$0N8 zpvS*skj3_IBnH|30}_M(lT`GVAr{Af%n|E%)Bjb-#NQpUm_BfrfAGX&VfZIgtl!uB z{|cV?u*YJ==lH*fT>p84tY4M?M(+~+WuV3WmyY27qB8h#;N$3TEa{hd)<@~%E;FrbyW*9kG?SMgS;8VFd8 zYUgE+)$eN8RUZc36!9DL8}mORJ4gMzSaZ_ODNxICQOm>W?tUCS4=-rjGMvp7{{VKvq zYHf;RGI6`8-K~Ci!-Z?873iv5TXxgaXR2e+ia4l%7{obYhi;H@SR1S@)04+pMujal5 z^z{YR8B#RkZYuw2f%1ft9}`Ur2>@Uk^e0ytvP)XPIQDj4)fq_uvi+%nRw!4polh5U z=oIHu9;j2^r@&w)S&p^91mts@WCy#dNbhZcS!5{}I$o|0_djbr?41aBE=GXfx{x+b zYz}~#;4>^y>8s|LBF*V$vWW-ZPS7?qq1%`J1r(8>6ST9l4^3a5e(dx*SL5c;BlW3& zx{ZY0=r3<>@BRcUDCkH)Z|HR@3Nhd5joOp=PhIH5GV$+9_WI=t(0OM85v{B4Fw5dNL!&O$Y;ZsQV^1!>z_kD0smx( zeKhJ7de+(0PqZ4JVBjijdD}#0B3cYaawHI&L#;QUdzOk1pLPq(oWRWsU`YxB6Dl0B zO(hC`d*mm?7U@`L7k$5Z{zi{u4(7pV*R$xDgEFr(V7EX_Od3r8hydpYY&f7>9A_da zVg^70oe!5Ph@k1eG-xXA3W5p6&CcS+xV~3(lqQPVUqpyUcG&Bu=?;(!;*g1VQ5?g) zQ#!ik8sJ3qv)_ah;T~O&7ErGwO)SfiicNunFgOc#{xP zfj;fq&oy&qUFrLPy!zMAy^lM`Kt86qEW-=DA!^0szT{v7_Escd# znZmE&7kqFYLH)_sEs@*P^DYqx)nbl-btG=1xSpfpcWU_XI!OT-XzNZ3mI^(O4Egjj z$^|3PaCHcLO{|QyXSVQ1g z%bsq%vhan3I>cO|Na0t=MSocnn(c%j2Jr8!bxq-z`g$#2>v2P$P|85E!Lfj}d@aUh z1p^Et*`wn8%>k1;$LGOgaqAK4lUrNo16D?vv||=*O?#&0e)?TqJJ=K3GgxR4OW8k$ z%AIUG9tLfV?n&#MdBCR*(Q>Mp7Om5?HRddg$jumCtyq4-o3M859zmvQtzC2x2dI#| zXpkUqc(*AdkB!=vr(n09NR&sNC_?8yzhM*LP;~T5oTJ6_NDa8VT9tRsC;LLWVIE1J z3+y%W8k0%jLA)%{xmO)8*@{ z^H3~(S85ELRr*eBRwzWRwYWi1wder6Q+Ghx(1N9>9gI&_2iTX zFA-t42BI-&v1z`C8E@PrNFa*>-dq0 zB@h`>Pl(yVN*RJbN%-*B8^sUmKp@%1hH}&TB2#qKn|E>wht!Xk7c)kHqhp(_ybwuJ zQveoE#k%%8^ddmkvE^>1paF@6zxf*V62fj>QsUgik(CxzTw7O%fQAbLdEzq|ie-*bJS2IV0S@wmEI4(! z151L^zC{tcan+n?crW9vUR`(sS-Br}D0riJk_6>ErQ*bXxdC$}(BLHTE30rid|q>55(v`4*z^7%^4LM~Ac@ zr)tG2e>R@Pq%EG7rtbd4Sb|BLR^b1+NNp<~h;6SU8Wm7HmwE6BKW(&yJV==`{)b-? zAF+mQSgVUXMS+~alLq$0dbqyr6u}C%NE0)CKN{47ZL+%*NF#}4x4>V z=Qb!@CTvP?s$Dr}&5vT2adXltYUk3|e(1olhH3mn(^voD$S=lgMqPXA^;=huQ^1 zj&fv@LR&uv3@zhB(63YaP#>7pSC5ILyRs_o<{|e(Hcg2}wDeWh&NeK12S(XV5IHWc zs0AGAs#@TQ7S4hT389Lp;pvBN{O+MW@17T6{b)+b~-AsFuGu>3-C_#??S{BZPYHR>1O2!-HupO*|8|TyzmD-3Kb`n zXQjSO&gAAHjkq~37MCS_`w146vhLHxIabhLm_QhDnd)n?Z^bZ)s@Y{p`*diSKZd|oA(;K4FPRyiYkAD5S_VVALW{s=6NcQ z*Q1pds`t{RWD2WRWmrb%2XO*k_8ILku5fCf?ktB3jjgNE^!sRlDdV)OBv*(lVW0}N zSxThT@#>@5jNG95B7|s`-qZb}jOf5TQeGB(7_V1hK-X<$%oij(zP%Z^NCUXXFw-Yb zrH6=B0h{qW-U*UIkn(S!B`^_f07*@>53KywY6~1Cq3GaR832vO@_0))D0>7t)_ujS z#Cx>SOmDMy&ybXc*u!R1s}BB~5@vefi~2i>SYbamU{X^3kXh&#%6cMQ9$B z$_}BOk$4*goMPF+l5((6sdJQc)k0NWSmBxzYb$U27%8gR z^6HPLQ_2jr9BtzOi2jPo5ANV7agt%M;&P2O8YFjgJ`kzQ z)$>$aMA%DL^OGzq<1A>6H^ql{s8QH4cT?{`(mrd|9g(Ff=$kxk zGd6-FAcb&Md!*PVs-x=~+||TY;DR$r&JZPn>MMPySXSzzC)g3tiUw_o<$J!hkOqAT zG!@PQQaituhNLDcbAm7nyg6qQ8e;h~p|z@lAmTDF@oz~DvBE5H=Kb-geMo0Q?yX@D z3ppi00Dq-k-ro|-f8loy3#MA&{YsjOpKN-iAGxe{@jFwhij~4)OEBs1e&yGPhu}vN z9lJ~Zm1l)(K)>#uEQR0srXBMulZ@q;^L;v88lw(3^GZ%;eg0PCcJud{xUbE>ZjdUm zUx`&$a)L#vS@Y|zsCYg4*`zM2yv` zU27aB!tT} zK0X%wy7^*#KPX)|6k4&YeDVP5Hy-5afLl9eQxQCJtTxQ8N~D0PaR~A*#R$YWH=+L3 zA6$(y{|qRu{NtZDMvTA@NxN-)mDV=fDMM-NL6qI0g#}!3Nej5{?3|{Z&@^u9hTyqt z`zq(kpLX!&S}*JewPj5^(2->prtx`ekN1iTqGdV4u@0TxoX;B^C}Ei!S~_3f@Alag z@2H&O^IZo8M>%5Lk4&53=~CQ5NO9CQ*T`lj>pCGU1;E^}xdX6t73 z*UcJCIyVYvk=|s%JpwCY7Betah~gZ^CA8>)5@zT|f*7c0P~+#Mc9wUO?7&!b?&jDj zkdfArA#Z^@lr37>#?3X;B+>PUX(z_Mqd(FXHTKcF^F7{JfUzV=!1;riB3VC;?Oxng zPJan&+GM+6N*Lkfxs=jWqirJF5vnv$`3zeRp2^zK_1fUCP9qSVn*FV6(bmGa_q)o9 zB}_^HyN-Tch-!a&s|!Bqct+-cP>gT%xkKi63Z%Clc3FMdp)gNyCQWAz%KaZ@8D%-7 zH3h!AxR`jHH6$L_db?Z`_5y?7LbRhJnIwYjir80Ks_>1|#F9(51J`dQg{z5k>cOVU z(3m^k(DmWy_P8f{7!OQ7`N9tNDc8cIPMP9UDy3LJ3Z&u%CMxCaId=VerjtY!&D<^? zCW~nMhP&n9Z~uOZ0hM5-zI`P9G+8iw{`SZtx6Dz3kfF^wUV~2EJDD)OC+9%lU5_Jm ztejzU6#v}EO?1@y>-n9;udKrmW9(mKjGdfA^$jvfeWca~<$35;;AB8226>ML423>F zlVuj#n%{(N)55K3Q;)J8d1^O4ZofmtezK4_?o7OHhaFYQJ9u|1T%Brn1&dexI~VFi z`nQ=rhG^h*aU|7s4kQ(|RKuBQ7|=L)N%i0>WwoH+G(`cQ5W2H~W3rBiOVMwUm*-J*sW=6mZEXca>1{q%S;NPy*jD7NkMTCd>64|t>Qe2%srNGJGWzEN z!n@nieX}>f6F8HvD=mCxom;$9euQf?O}eZy6ZgPLM4&$)MUf~tVD>f)1Unws z(jj2+8s;j{BBi|UfJd1!=d$l}Vse&XLJd0-B zT1?4+VoZ(4W_jDN?MWqSM{(;-!$x;6oy>lxX7!3Fe?9<5(t(uvp>r$~g@3e5w8Ye` z`kU~1L};<=Os0S>I3*6#(&<(?6UlS6E}!!O=xc(qqppwZ;rDgkE}vJ1tPvi!s@$W= zW;HQ#+!Rp8sQl9|xBLB{cbbTMqYi1IBaz$&!Fp?=hEK;6$L3%@-ttGj9y~|<6;8fM zjAWkXi?|A4*_D3jjx{@wbLP#6`6Q=bV8J0i&l%t!rXYv;VR}y+@=(g#g5#z!x{^Zz zkJos+IoPp0FtD`&AGL3Kzs3x=e}Vx*h0H4g@Fa0D1~GUpe6chj(ybK?aWtanv#-4~ zK>mio5ejqPK-(y+v89wHGJ+fu6k^<8_Sr(?Z8a`WH=bHOQ6$A|S2@R=)C|qe4k0%N z?1_!aT6abN$8oR-&*?#gpAAD=n8+NlCw#n7q9~R|b&y79 zAo=zqJC4dPv2dXgJ9KJF1NY*)%te0J>E@i_*%9v{CnuzMuNA_Z3=X13nM6zX*_0XWE3zTaA8Dkyx7vT5s+P}CSp)%Y5C-b6K9_>%(zEHM0r8$R+J8ER)vv7zge~olBoEj~| z4elu~t=*EB|5P>4DQzKFM~>=F3>Fc6}n*ervPw$=t{%IZ=^TDea+csRHU9{UOoTcO9<{S8BVkK+FXDpyOF;G3G^iLoW35#1?gDkjQgGR4W9%bvi7zdhlJBQK z7vDV}2soih_sHMip(Kj8H-z&w&Ns293Rv?TD9{Ru(1%VEznl;#^Qalkc!#(+5+Ef< zxpsDpyIx(()+c(=fD`E3>XB+`y|x*SCwehDQ#=p5@GODGCc4`>+DQkeE)u;JCP@&> ze}b@Xnx&y@f!VzwR1!wyh@TN9K&=G_v~@=ckCQlS0O%hI%zyDazpmI|&+FvWh%ydu z#1ixP*w@2#P6!?3|08g_R6qVTIIR(_o3OsHM2j2F3VtK$sME4_$2CAA2;Au}(Ca z$Ooq`r3UO$H3mxR$DKO`Dx-SDiN%QO69`i=82+o|5D23lQC(llYBRsQB84VqG&mA} zxKu`i?#{U5XB4HsX8=H9yzLnmVaU8x1!OSzS$&gVp;JyxpJ|=EqL#r?t*G287F-Rd z%!=Dl5K;0uxB;oAu9X*?#3;PK^KL{i2KZ)tJ8|!r-K%6H^-&z%G1{H+`zA7xNH?*1 zT?xt9)%V&+P3@2n3`74Sl{q|2&1H;eYRe6TQ;D2n#7`1@_hh37YM9t`D%S4Ax&4?N zrVbOphGzK7W`~Gjw1dHi7)+yUIkKwtqsvRcxDsPPG0e>JnU!^;k0+EF=#Mq0&;&AZ zEO+*{kIz4ll&IC&Bbbtm4)RHq@*+&(a)?Wx2^#I*?lFCLjYM+Ha)zFqIGH_c1E_^J1 zd?kxfqZV^g_^ss@oaA8Ev9%^XW()EQ7sbtN*m*z(q?x=cw()SHGBo|Hp0@pYycM6@ z0P)sqNk_p%Y^OCjlQv@F3Ob%^ zHR#XHG||o~^tr8GkKF^-xRHPq0sWI_69cdc-|hS8EmJUFxgK15ms@~-oBy=}jp?`}LBy5J_=U*`K;grguQ*kx>= zy0~m^biSI{tzPj{)N!2)9TpTzHYlw*I6FOGy54tryrTMifJaVjW3tO}JCjq--|#N> zyNQt5{jy0^X8s3zZxtNZnq})+%*@Qp%*@Qp%*@OzizQpk%uE(DGo!`KvMs*au3fvU zPgM8qI`{VJ^PmTXQY)8o{W(&KnCl<&8?#jT@;F;>k801Ihw{rM^=wE~LH)#~9;_RN zTA;!CO>on|7*a^UowHo5k^rI3*$C&MA^u$#Zfi7!Xq8V1SsyAQKDs%L8dO@Cd?A7k zw@%7vL6}-@%J}A4=MWdxCAwtwP5W?|W&KV1(+gJS>Q=F;=_9DYszuu(SOxRED6dwv&aXYs_P|p2r1?EVd7+3#({5;Ue79X8R+f952N3rq-XJ_sZ-_A(v)PB zUBX!gK-F+oK@!;;?j~0p;x>tSojq*$;`-8G^p&V^NbM|zxSX-X22@O@h*4IU1`N%^ z^r+qsDd4{U&cHNmmujf%Q66^#6zMMRMQ)Vx72;yrkuHZ08rQ)uzqgC)Dx|Kngf;B` zqV(Ins*#lqZ@;At-`k0&_OP&vm-DsD3*5G~pKe`ezr>8OuJxAY`fl&4+kKv7nt!>S zfp$Re0SjK(SGvfh&vN!3OR3pM&_>ewrGk^ElHP&{&XUkg@M-cQTroniFEtD`Ka8B=pm zk?Cq`s<~$3SBFZ7+MJ)>Md29Dc(XwrZ^r;pqTSNvkT_>==_34nmY-AcREKAP_T-46 zk$EfgfzT*}dC60s#!`Qcd1NRQBu9eaUGY2odUGV5vqL|ktD>C_gNi;OCozL;r3)(P zSKYIeEIT;M_z``PXjy>q31p7lc*wM%a6Cv83XtHXK|gK);+WXU2{Yd!uNci}_G2w%S+0f90naS|IpOy8GrdTFp8g@2ACK@9{6Jr(z zV?z@o1Lps%DVEWIfysc4o{@%w!{ogwmdU_`#*o91g@(c4y|=@_fWw%bp5vn__Wwj% z=tm>ehXNl8d?@gtz=r}K3j7OAvA;Vc|18u0A!z@1_5Ke{vG2W;e`Ifb)bku19CY-I zbRYHnpY=Qw4HLaG0|O@`2PY#v6+I&-{ogRKcZ`fv$kD{W*+lsLi|4;^_TT^d{)^|! z$Z!hV8M)ZJ4}g~t<{@-(u`uRjGG;PnGh$(*VKO#id#~pi4Qbd-nHXtInT#0O7}?nw z->duote$71=V0V8qGzFDVl`!^VP<4Cd57E>m}uBonOImD8JUu*e+x`rk`3kyB|`)Rf=*4FQ&8r|P52WbmitG_XOzt>GC zXy<5b;`n>5^g48kCPvO$|AjQZ-*5ddxvcFRmFx|SO#TPPX1(8+y$k;vHvIP(+wV{A z&lUd1S$s_FtW3PTf2KT|Z&qk%*{uyC`Yu&3i`9jjU(i;IDB#y7vVue(5TCT>aKJSP zk8tOThZO9z$Q`0(Zn<}+Y9uJ05!1k<)4Tm(a*#*8} zix8a4V%exmZIM*Iu(9KIIWQ9&)Ni#Iga^{9_8^61UY#uAhas%1Q2s+G0^;1oH_ijE z>;|^IAy?}gTe+2$`?c8?aP1dSKp$_9>qO6bL+;y{!a^EoVg4*HRn}W{vS+_)Ukz?O z8h=h^$^kJmN_y5QClq0eEVD8yw6zegoC+}s^t}UX9d{#ayI_VGDi3twc>0iE`aAJN zqUeSK>WJ!>y@QP6FNKq{^PXyU4Kuz8o}5W2_ifKP=EF*61!iBlbGe~}d?Z_`<$|Zi z(A3T!Tj)=iBB>VQsR zn5^0uREfBVU>!(5iT#b4wZ;RQ90&+}bWS+l_B)Vo(DU?m&7wfYo;NlVYXSzRxP1YJ z>^O(GF^#O*EU;c8s0T55{W4=lzyeV;5@(;u3UiPY%jD4mcy~WIOsIlMKvOUw^ep>< zlmO0ze!l!?vso@gMhX$`@ZF?M3{#HmsXSv~i3!DgG5BJKwQn5ZL;(5)pO_Ia_iB4u z`H_%-5)tx&kQm_;eJv2fdm#?$mpPjgmo_JJ(SO&1kaxP346$(YTAZ=z4w_kv|eEJTDcbdOZ1dP;ym4Wg+EIW zMEiieGRyc?h5tPYsRZ->BDh*aDQX+e9LM@+AO>hUk`R`>W()C464{~@_d=!v&Qm?u zbq1LeNYpLZ8-A=Oxw|_1->QdZhk;j+r4SedBT=(% z)ZR4ArDRh`#`BZqp}b#(adX@G&GIT;`V_uFhjt13_HPKV+I znRUN$Aj9kfFMXk_Rwgg)_V~(0q?I@ZvauJR7XfK+5&f1bU)y$XRIQ8!>@NJnWu@Fk z19}K{g+KJc<}@@XsY~wVs~~3xPqn3e3Eo#}NMTOG$*QVf9TXeFyhQO)k)d&Lm7J_D zTGmO~?q8~(O-^1!g^0Nq!0<*b;sb*>?cX}!Fy?rQxqn&O3PXG3cJ;^7XZ~^^9C}N@ zHd7^(UZGCiG|Y>z(!>R#m2WEeA;Oo`k57ZWqAyOzFMiXO03hE^_w!q!2&n+n&ocEO zj1JG!>t~jULtN+Yl~b26BlklFf0e!r_a2oW@r9 zm|7PF<5)^Je+Tw3lRmqAgg*B?j3Y)ZAL$JGBI7c!SIF!GOdYcME}!0LVOlQ;_LH%e z(yL9Tt=i`dmT8Enw}Ccg>a5|lPwxcfRf{H?P%FmF+q3nZcmpW#TA-K}1&1W4C@mww zSbT!h{&Zp`mf5Lc`6P}`(}ujSG4S!xy@$S_B&|wd60a=ouP<-$ejIM%;8#iLBo2-T zIYXxiFnf8a^!SQItPC zuvd>4m7%1bhVIP<*Pku39~%{!7prgqn^6xKQv+$>Bhm7pncX3m&^e*!}qI~G75bz}0U_Zd0=2*2D9 zaG>*Idkm+RaT4u8@GmSG1RzWNJmDc-UQn(luQx_a!dpx7mr<#K}|R_$g!9z*5Q9(j;0D zD#jyRUu5s{xx8TEAwyrUYfw#%22o;tGwLhaEH?!tPRsC+K{$KoqHNevYPA%C|L${yzh`^X@d&LF<)e9z!pPp zZw+b0pJfpJCilLr2#);7A`ceyloBoc#_PalGJ%G z*rlO7DfOq=Lkf>a**umgR zrd65b*NAxGXE{_JfCaZ`cWyMX;oN+<$?hAt9;n9{7V&NI=eCvc^}MxRt1V7fDA&mC z(&%5!55iJ^84N;C#`e!C3WB=rIpp*-A5&yHsr4icZ#hU8gZEc&Q->L4$sK>1wIG7< z&F}!28#B|BO`~b>CT%%d7O}n7u5_;>YHt+KKG)F3=j^ALG#GdovxO9zoLI~k@}c{c zL#wSPn+xEu5ME;KV(wAPJ0%LXCMqHW2IQ#z?WF!dSo^EN;u5IfMy}+OP(OU&x;A|; zr}Zs=jqstEB~IZRq%8N$)^^*2(G?{o17}LmEk+)^(PE)>E`L69eTkvab{Z)x1ua>> z#u6eaLYsqM)*8n}H{zC5YGNa-s$#(CYLO@%ZHbYP3*>5riujO>jfsL47^nOK3J_(P z+sP>s#571uhhlRBmxb6!qWTV0<>$JeMT(ES2|4Nb28Ovp`;>eW%u`ep?}xt+T>OWyUQ=O;KK@FqeBY|u$J9kU%` z!u3)a24wrMIk9QXP6aC^Lie>53r)%AUdO22{!7SqX>f((MDPgW!+{KWchfeP_BkPw zslY=(aLeIYZ5>FS{hBe(Tbi_Z#8vlOe!IT86Ny7757m2`iFAx-QQwyKF&8#qMf#e` ztQ>QkASL+(#~qCC4r<+bZ^&O@h2<_P!3WcAqM0VxJ1k zcIP3WE4ObBr9qyeL-MD2#Em@Jsqu50*D)@!_p>Pqouikuwrke$0&uTW!P-=~`f{?4 zHB~m4{G8P?c`@40mzWIf#Q3f>);)L_TrAjP(yJu<^G=+7bTr7@OQI*P0&;!W%hr-F z|9m3UOu_sN z1&c;H*XR7+6jH7WFj(4F5zH}I@FKa(RIPCOSD6RkF*fIfn0778PWAKSdWr=HP0UpXcT#5@wHU}dYCf# zQlQWNQQjUW7G21oNmWulOs);BYnx6^a%H5p5q6YeHQlI7klC(NGIpPyZhe1t=bXqz zShXj&qXfhqM0B z$z#PW_qaD}Kl@42T?9XpvPZHFSJ2Ve(jw<{TR3l5hTo1j368YCEq0 zK(4iHFJ77MfX`LBG1xKKuC*%V8vD01yBaxjt(9l-zJoG@d9A~IRQ{z$hV@HE&FruwSOe!b|M!007ueA zga3qoyZ4vs;-qRRFwHWS$BllAnt2H_4^`g!gjMYTPJ`Vy5cE$HT76glw3+cAwoCrL zv!E^`O($()Yvyc@&&WZ~^0!TfznfTp-DLmU&W)jonT0J6*=2hd8NS8)*abBf8G0Fe zAro^8aZg7RB~MvpBTp+M4&y(jF>t$cz8h#ZCe8-$d5qS!PMq#Mga*cTh9;cvkN-GK zM~MIX6lW_QLV-V?z*m=%#}~45G{I-3rKd5Xf6w@T-`8M%ceD&m8L9E#CrvZZy)TlH zo`#;DlZl;^nf~t^SA@Kf_}q@hrkskxqJLiP{U;tmb7yCJPC7a_H#b^0CR)3XgwKzJ z&yR%9zyH(xw|@Ut- zY;^ckw)V%<9e75D2`dLIM2@6v_*63F4)|FHyqO7Ac2QWcHb$lwlGHoAz zJSpkf+~%ph4iNafqTDxB*uUuRcDFS>R zONyw>E}_2aqpz{_Q3tkcR(}x4QEH@ZF+ptf)qFnK=$aFy+wGU*#-Ub=$MZT_y2(o^ zUv@cWmSCJ3Rc|OrZI&j{O`?8lePv>R=LCJGM#U`&(2=P~AilGq^xoFzve(A}yIi#U zLcm&oASV&7dXQ@N%Dw(tKyO}Xhu$!Cq*oQqy3Bj$%S}h*otAefLmB;R(W=m?6>!X> za#d=?N=|C}OPRM}(^duAG9f)>4_Mmu=ZQM$2ZIEYQqJV4^Ii%3T?6c2sSobhr%EN3 zP23?_hhrx0+B`6NE3~-EL!ZeZy^##sfi>CkxR=wB(J^QB`A2W_>|0;aARN86P!EMx z?oNK3XO0Mp@ZNBxC|kyx^Ys!4h-0NA56V;FJgmZ_`MrsHqb}uGVAI?W13#E>yt2`#z{F_kB=Vp3sD|b(Msn6~m>3 zp|{MAS*~@eXv#6ND3*D}G({Ba-M0K^7Eet;M%Lb-@0E&rTC0RtiR3#%J%0=;vxi}j zi1`W>=nO9cF@jNmQ7zZ!j!&V9m=A_n?y$~$kt~o(hZR&uTLTcLDk;RZ6bdF58nBK%5vPR%w?hO(FtEbm zXiSax-(whBa8DD1;iM3nkAU>(3Sxzp8b}4ghBPr+hD6SXAmb*oCJH0t*7nj^q!P1| z;-m+>3V%Pgf`ofU4-@!~+QUYf(Wj6?7D>~x^OC_Z@)S4^slkNdlmOMQ6ohQ}a8?Dd zAC{c0L8M7isM+Ewd`cJ`)~i>BKAnNkECZPEg*jDyHd$8V^voCIM@-2au_0FzKzu2Y z6)6(~(>~S~C}U_IeSV(0Dy;LUD?>v&JAi@$vr`0o)Hr{=#b;cdP;I$eYbja4V&qtd z5s;vQ1pM#cfay$r!cf3ovCNFK+ih-suimp$du%978bRGnp~4}!`BedKq-GuUEc00% zGN({k+6A?g;?9@0y>q=pO5^Sv;&a*Y7}63IjKOShWdCE<5?P-p0b0=9!*Y3^&7+dm z(!NB;Pv6(IzKy3sDun*q^LC?6_#$_&pZ3*&0f_$4#bc(l%`djZyArd1a45*_WP1D}?<1z4 zBW7U}cg~tL<32AptS*z?k9Fa|*=Rn&&tSe;NtPV4&2Yw%M-?e4kX&v@=tbnT-eoqR96MS#odlLTK_O zR&bFdX#l4q!#)`*B?!=PCNe;$ran%*NJ_CGD!1#k!-?htsuA(1OiaMb z;L)$QWpn8c?XlWCASpu2fB+NPI%Gupu8ftNq$i~(KTn4AUPnjCw`)7wcHW|nG6gTh zUQ)xO=Jbt?NEf>#Dk#(gqO3VdfQ>{=nGAkZ*|yjD#^cXif&Ad1=}Gp8L=0Y4L1QFd zQ7Pi7JjuZ77`Kf?bA=$?R^E==I3b@vt=&|aki7s5Ql}ci4xun-e!;XHg zvU@mn1TgjN`dB32Pe$P}EEy(qY8Jt1MM2GunS$y{d<&pb9PW+!(0ZdZ+&M6oKH zC$_5Nm$wRHk?5JF1a?S$#;pw2O4akCl!aBweZrdKYlh?r+wCheGxsuC7) z+scB2;Pph(+G$+xLpzksdgFt6q}X|)(An58r{%3)1s@}Jkd~$3eix`vz1qTpqmZX0 zGtCl1N{8)l0Q_}=+Xm;vlXM8G<3xd8lVd(noZ7TCD>}}aZ1@VFDGlJnCS>xdzCvJO zN0OR8Q4vI04+j_@w=*1jfCdz!>N<-*l|Q)Two@2Jo0K^4txN5ZZXJOTh@4p<1|L^m znRyfL%$d*q7TT1xZ@`~{FK}Q3&N}7z*q5H?$F*#D(T$*-u$o&i+nYL{_dyK&>kOA5 z@-`-poLjJY1(>O;*r$0V=R6@<7`J=Hd~Jk8Otqyd)zW+`YpqoKBhfL;T5C!S9IVoe zuFv8pkLWDz01s!sOaa+f?|9+(hSw3$g1bRbFyhzP6h(5_o1;8O`I1h&z4xoGM()AY z4cff02hMf+85G2whYMuGWkY4z>P0GBF6Qj}RvLC5Jr=e@=Z0BMn|MmSq8K28zF8L4 zH`mqhl(_kLDTAffQ@kwIE8aa+Ad@bc;(1R(qxer`L7Q$JO0zgZND8YfCow5ur=?s7 zP)@Xf6>Sbb8Xc>)+3|g4zkXbkB<$9AVO@_?+eJw|Q8=mN;+Tw_mxIXA^*x%7V{+dv z)vWW5oF|0aF5_C%hLcYSrA-{g7F<}jw`y7jI0{}f<0bJ!hJ1)|C_@f$0Ki#+Ss1DH zJ(tYpPC3-x+}^4^K+RS1SfdpU$w`y^@fNMJOQ+8AEJ(lAI&FVdhdAz$lGCq)1r8>e zJSJ@MNkzI3%T5)Bxj@=4I(=mt zA$U||S(0x*QHDs&)s}>wTojg+3)1LV@Fb@@)sGyvMbTQppAB?kTUw!#)UR@i27eE~ ziiE3i)yc3rP|Czgiu$>^QSEm5V6i{_cY!O_!S2A#&D>%T^wh(Y)$Jc}WJhWBk5VtD#gDi~*2rhZ zjb_?}V<7Ay?>N~HU>^i=xn+Msj)^cQwiD-677X_ZbcS=2Bx+$)>tR-*2b<9p<%pWfeHGyujD@e2@kl#q~ zJ-JeaZBxT%3-l^GTM~C!C`h~m;j)(+Tn4nM{3N3G47p{lH_1b+#yCPkfk%&=U)GxxL zbK{B=y_8bZ=@`b4ireXFCE_JOWV>|`Nl#CE9XsDnA9yi2ADn`Et#kCVTq-}ii(lPe z{Y*oBe_>Uo+!d8l)Q?Gx6oIcr>x99Rx@yre0&e|w^VhD`5!NgB*+Kt4-TdZfp8z?BQo zObfV>^JT$7uiT%XLLVlT9$U1es+`5k^x(4jKk#1EzPRklmDq!-Rv8hQXBGn2n8r(ZGnw z=s!aW*_lmQjg48DY1mlaX+maJLv|VtLjwjHV-6N0Q+7jELk@b=52WzF)lU2K#XojZ zKNR>-;6s5A1wIt`P~cy1)qeXee|jtb;Hv$u-v1#Y$r(K?*tk z_mM&-hJVpj`{Po7F86-|Dg6D`|B~x}&QoKe=lH`@`zJ`@JIeW&LjMU;$i~R_51v|s z#+vQ!pPm{pTr<203Z z^)rJ!3S+Rw-~Zs$NOle~f<*anur8*sw~YUa;RF(X%5}#u97?=o`CxAV;w*wSH=iU8H%kDTF+vV9 zFh04Ip-5c_=pE=|XOC%R2l=EsmuR58s5wzjt|>d~A$~3k2abec1L<|0e2jFy|99j9 zT!XV|3R)>Rq84SNSYBHIbgZ79D}~ZxAkN;O3FJFc0XU!abM^m#3Jn!0M3}?#f;W$g zF)rWjGhm5v#CNE$-C@n47A*vjUjo2faDI$1$R~@G(36xz&}+~PpYUO}A3g}j2o1Z} z6Ttf$Oane(-xPNV?LrSzyB1 z;BTr>@d$GSe_HCOo1SG{vOBjsU>p=sdgWU-tA z_6g()Xb(`yR={>eTpf?XcrQh^S*yg(VR3*zOb|o5h@S9*+%#qf1f-i|NisldWinT!h>uZ$TuGdU8$ZsS0JN>HrOroE?WP)oI1h&IpmxO5%B5b;7nGZdBdS2vJMsiARvMji3c^&q!A^agJF;g zl9ms{3c$?`Zeqthe7r*5nDokk7}LfXE;P)L9_b->*zNPCe1kY^@3C;<3Dp4FkvZ+s zPK11xlr42C+s}1TbhxbBi%OeL+zuzs7cMW3=)eP_R!HqezHjMnXjADuKexhh-Cm}h zQOE~&L_Pya#*NIhiUrPjgA`}6C-;8l4*=*5;tGc5j2EIE*KlGR0@_|aNm0A%&l|-+ zCua=LzyZ?IMB_jK;%Rl+EzyoCpRXyK2aHYj!acK);&?i(5A$PPC7}E^GlkF-=5sSd z4hDR6d_L*7{?H|R1U7_J(BR=aQ7{Wuoef!Py9%)9U&RjP0jqnCJU?-iDi{^!sb1=v zQ!1dkFp$Jn8NoSNx(JOhwDbTv#)^LULgJ#Vv9TnwP~Jw9rW5hKO$SoIyY2@9L?ZrI zYMTYt4y`nEkEYx2|% zZwg+HA7u_n5gt}bsjjC2;BBL|0b>(0gVJ2X2GzR*I(Lp~UA)fV&G1E?0y@3ML~jCE z?QjdyH-KZ}n~OH;$8>eJDVAq^v6iyMr6zuI-=8iuR6+48*$~amF2lR{0i-VDghQtl z7ro6;XblPmu@U4fR~e1FzdhEUx_Lylh&hnY422RVxq2QrJq!h*N+mLtfMICT_2qhT zvbu>FK9eUu(ew~;!^;I`aGKdB_&J9y-z{YF-pdy*MA_F~nT010Rehb-j?T8d9kc0r zsF_LdG;QC))hAAnAwuYg-o@& znNT6novybn2vAz?$Z0MGMe42yaij^~5wPvmQl>6HMrD|r8wL)Vwv^f% zR{Aai5%MIBk4Ynrn4HWtmxJf{*H-RWjBTn~d|eEH__V4=ZC)+Qx%OH_2i0=>&M5Zky`vm|H}j2T)>V1A5^Gt_`TZw0uiJw| zH02X(Xa?$mO|=3-j+f*rRNu~4f=m`z+5=UZf^%)jC4}?%aDEmFBL`XurTEx22Vr2P zytcDt#(sq!DFkV};I)1u;lMcZH~DHeD}lEkC)sLzul54xXP7*V%c_1Cl#C(->J*)T z5t8sk_`aIy1el0lOMJIbsT`PfsDii@v?GilzeL*`2z|-QsFfzNtW|*1;lQ~h7P;QOaS1HV*k{?+Vv_g0K)RvU1xN)9*9UPqvLDh$BVf{>AA|`#K9{32WkVp`IZg2u)!YQdZvN@%vHN#U1ktYIVrDUvC?-gN|OC^Pmv; z5gRVPqKA?-Oep#EByPIRb;dPP-;p$$wv`c$)xc75fS$TVLvz-t?rX{ns3jE93%>^H zBG$q{%N{9btx9ulQ(X#Mb5Ud9 z6-9sP*VV+Un!=FWcUCN2+xN@JG@rZ=3uq%tINUt1Cwn(tdIkhW6 znn7T8X2+ah*kuBES{|D46R>cliGR>Y^g2&-Bt$_b3i0G4)x>CdW@d%DBoWlSdqrls zS9@0-(#u$Dl>)8{p`GVTz+9E(+5t>COaPU_X9B!!qb3xBU}!%eRl?fDio!lEJ?hX$ zaVcAoR|zMHqo0V8eFKrS*2ZnhRthn7H}i9z@Z8m40@kp-0>K;!;WUM}P-MKMk=f3) z-<8nf=%lA;*>fX2Z@wLs-Xd_p$LscMD?6!eg-sm`KVz~L9iDXv|fU34r%I#>El*P@Xb+(?Vsi!me?0AoQcjj7R- z?K7~+wsIw7zo8s)B!|CQD|G7+ZK|QJL(m^^Eb3X%<;<=S`6Wvh@ro*B9HF6z$%l=~ z(M8Of8s*pKQpP41tgA+ihffQIfD>GPXC6Cgk#56t*HAed_T({Y-<=w^wkNF@aF@sT zA&+M$9(GhJK5EJJ4sM-tS3-M@Jk`_!ShDt~l9dQg1v~_r36x3FJaadgJ4?py*eBUg z{Ny#Rx!QhEYw8=%@Fs0lO=qyuw(opYWYSRqHq2I6`vfVy{v#ZR zuDbg1a5QD)i)ajKfIkjZDV|@VaTYv8#N%B+yufWUaT2Vb*0>g^q)QV%yRUbH>la=n zoSpV9?6NKb3gPKiSCUm~9V|ZRBsp8Ew87);D51SL>mVv^>54q&%JBR zq8-7F`{WZ7VzV;vQvEI0D&X(ZqKrdAWLPk4uMT;S_{yP#QQv7*9aYvwBV^tpL)idr z3g7grM^vurI-mMSTsf(lD+3{_spQ|G}lx)+yb{@Rw%p{*>3F5s2T zsT!0mgK8?Wi7Rx%GIVYzDm0|TR+)yvI+^kb8^J$`mMY*ozpD`0lVcg-*sUOfJjFTQ z&Qm9@%JH~@5Aj~HVx+c(QK7d%p{36W%-Iq+m0Rlx6{SIDm3r1m_{1KY{kYf!k+m$i z%gNplq71L6l?7(5UvooDThm;6W`mGi)hmWyA`jgb=h)=llf%GKopIzBmQv@*mr%Sh zJgKsw`6KH&J+Yh8TQ{jusKa;%wYpSJfTN5#_a)pG6k0 zOUeibSpdv+L=KI_Gl1fwJ*Buvj+DViNs6`(^!Cg}A()<4;TNskW82MMd{LlstpvFbR zUT&OvnJy`6pOEa91O}QBQbC6F!tu>5_;(>@_nFu|0g_0 z{Ev<14+TCH_)y?Ofe!^f6!=$6$A6CUas00+-yay>UoP6;O-Ba${{-b@p#S$M9|QgW z2b7QTUosv4cB%g^)A7H8^8M}2|0US}kG3N#KF53J2tB?cowD*Dl-{2Y8SxqZCbzOO z8$JiipJuQ!`}<+~KRG@+`S$=>6GvwY6Q}n?RT&{BM%F)`{WG!l->}X1V}HErpNs#G z9Z63U8QA^F!v2ZHmUd^g?V1it!^Xw^ zDPHwvhR*9lzH0N!N^E)k*up4zr7D|Qq2zbDQfbpf?PQA`ZOa8quavU2`Y2)c8h+$mlWHN3_dhZHi8g1KM4WEus$%y5*Q!vzv(9=f6_PdDgdx`@eQys>Xy_u1tB zNB{|pMi^HBEosvGty0!Kj@?lTpXNo)r5XrUiA(8%JLy?#3&G0#8;Mj7FrG=T zbU|!^W{W{dt<)7&XPwP!W3=oEXJwHxQ;iS@IMbW=FrL%6DRAnkzmc?kdI7;SJqk=g z)!Z(`JUFs|j7v4ZfUc!Pw22o<+uNLz65zBB2%u)6Mp}xW3FXIe&)(&n%PV*Y83T-H zCt>4^qsQ80g^~Sc)Qd^X44IasfAvT`Q_|m$TyoT3Anbmp{27#io`^dzM4uapq5_6` zqK7!-M|yqN1WjfT7YFOF^=VXXtwbCgNC*URFM|@h!_V2QrSdX zg+`7U*n5>c8vo(LBsr(lQD#ldanlI7s`%jmEF^k*paa?Ai419pY}g{xfI*k-G05eH zqdA6aoV1|o(cU=vQUHjQSBkZFZW=#9R? zn1Xf|aAg?I%=|=gX-4~9KLLU{b@6D%Wcr@@bJG#=cG4LGqIM3FO2Ps1mu{&MADj!I zUo5_+8GIdHLVk377v(qyM{ahTx}`}3#3;u_%M>!?SlrU}2=c(wRHKHJ&#uv5h^H8iJw5W(g>70Uz@(0jtv4HZ&y{5^BkB zbZ&UnajJT>m68YenpaWA1z%b=Av&Nj&!oJ}eBUi+g`u{kG9GlSL!CfSdyItQW#4oZ zhQny#Ce&vQ$KEaa(+iWgq-p4NO|IKQt7w7e z_I~c5`=<`D`})VeG2KC2M>$&NI~p!+AXKaQET6*m!X_ZDW!JSUbY{v%HXc6bbeC*k z{(EmF^G)O^Ekc`z>?HMjCl2hG2sBHkb!3f-uG=%3}X|e-t2tJXq+hiaSY6wT3p=P zz1V=m>R#{C0htoxY;D zh5Lz95`w5AGM@{wZ@sG1=X1sfmR3XH=c^c7IbPs#13i;c?0;btVT6hOxfND@gfo1) z9v3&oK30FSsgNY+69ET5bc4nPn&Y8*ZgYkoF&^&MK<5YH zaZ8Cxu8XRn0%m(Kn7}LZx7QzLI`T@rDxB5+O4`&d`1nYdIPK@fal{OgQ#aL|;+&l* zxHTnKs0QxVg18_nzj{jpDW*M2w^A-xhVCdbC7ra6@sXWj$PLimK4;oY&r_kev2v z&l^5ce+9*+ZEXW;AXEo|mSabW^2gLVeVQJZZ}U~e;Ukc2GU{&C_ZCyG->2}h;5ne5 z{^msr%Rpoz=*w6cQF@lc>M!(ISZi=3>rNWpERP8)m1Ak!66MiFU$@7)(}kZ04H0z! za^L&unNVD?qpZDW9*CVH8l5zgdl(Ymb1H=~um}w*535v-v(#64!etysh38y%G$|MA zellvq>b0YIQGOMBL5_Hv_nUMJv(FUViNI*B5Y`DJW%#PR&h-?BnuOk>{!at=PH}ZL zVgIl$?F)uy|FlpO>jM_t+js~pXHP!bP_W1<UmbHGn}@oLsAI>f!69`y}lCPgy5LW zwiE{y^)VI4Gr5cbaJP7;UzQK}%Y>D5qYXR3J;O!2X;x4{B+g`Vbm=9k6M>m)rg777 zk)|LRN8|i43#p^Sf@`xa-s=4eI7A;+Sma=nItcLVzSe8Jdr?fUu6k z7k4kx&8&##p!E|?Qhdc@vTmc<-;;Leq}A zfo7lY6MGlTtRV+G(H;4C?`trxpz@lD3qeVc$Z-OYAsj2RoP#knb3|Rt%bXGpuB$39 z0of~0G%Z2&A&0BY$_8Ly6THpK?m?`XT_bIpZ4=&pM$VXAi3U(8lh5+@a@GO^k2EHm zI`_$~?xgaL_oZ1qt|osLKU2Efg@Z@c$DxW5(fg+6MgA>Tk2@=DTu0JHy$ryU1^p*Q zy>q(>dy0>h#tAkuEF)FJc%G~7p6>WkLRSmw z^k#jbx#noGT6hV*@V4G`O|`xS$g`)dTWf=n2&`ZmW>({!$!u}$9{0u1)T;?SFZYmk z)23x(9#DOCGT7FhrX^#K>;ib6B64w7Uy=&5+srR&J>E>3JGJ8M`w_A3ILXPus5fv7EH!4F*7}4dueZO)n;$OA8|e zv`t!Y8H6WhdL$K!Cg}^V2ci$e@z*`%^8+;&^_dK>!58Vv`*j^Uq_ZYL!K=uf;bqcV z<9`Y4hu4k9Vw-k$?LWj*Q;Ryp^E^gg44^x>5Fg9mKRi*5b%QCFRDn}zH<&QwzBp)8 zSrX8=zb~afq*y%mU;=S1?m9!Mkpq9 zQFxJGn@xnc!@!C_C%7t?+C%-d2N`4#&8Bk<(zOS&#^@+8z|~35PZg{Tm#Wi?I`#)q z$w4(=Qf)RkY2T=;A{b1)ARr@~44zco6ayO>*~<*g15wr}p4AQ)V4E%{wLPdYx8gAR z5X28DNyVoNh9oxk8gFpSBfPcTL0p&xv>)4GDgNuwoAT_gf^2}ME8n*-9YA|84I!X0 zaCADm9&;AgnXcqy$ibNy@Qnf07}4od-`es`q+$+a3=NiwxAx5+0yVD<jk3@OHmD~JSO5`=erU&>j-8A(532b9f& z!|tz)HA#zM`XvaMhf|~OySAn4$=ufEp$bXt7s=SL^WyxiT2SjS`|*&WYit3L3p&{7 z&S&!`TQj{R%h!39ehS~_j+9t4^|g$fF~@84ELwPgvIpED=Hnd!Zm{0xVTK8HISjzA z8IE2*T9lJAyU7)*6un}!KK7$~bA&D2`e=<+ZFiwQoXP-YrBHfY-d5|@jAO&kji^43 zH!})np~F5wu8i)I!Lmm)(cZH`l{C8c}o%w=Z+B(~TKCcRx>_rQ$X~v~S66-F2sv+qP}nX2rH`Rcza~E4FPXQ|I)#r~A(I?N9g2o#}Z|`^}R) z$o}uO^I|`Ht?&AQo#S2tfcTJRP`2j;Gt#yt_R6rfe&Di-Zj|~#w^$x!e6v~`n^yhF$7ZYnq&?sK}(CXqW9sK;KZvF+ugk zJuCs}-Jo-H2jxo#qe`boanVsalSTngJ}+J`-cWRyfQmNyGl~To8PCwMaQr6{$B0NJ z-=OkGgZuF^dqn+;E^3|WdxR=XdfexuvI>dO)6tUCu(_9z3HyE=L*Q;h09o}4noY2H z>#LcmM9&UT_cJ*JS{HM8DEtTPl%;2)3K>bbMbl3C3Lw@b->UIM-zr^h(Wu_69gVrI zFVv?BY=wI@0CUp=AdfbU>~~9Z`-MA{pdSZ~Lc5T_WQWQls#3gbtE79RGmX_v+oS$3xCpEpUh*j+(rn5^zTr)^$ z(43|L%&S3ElKcupad5t+?Sn9mTxz^0heF1}-16W{@1xX17-UIstTWvSbox$Vy!yY%NxQ4_C=W!D^C$ zm(nI(X)_CmmgR8$35iIryb*ixoH5_XHSrQLqqcWx?@s_`nrA+LCsII5^EW~HUmWu< zj`T=_U)RCZ+KSr7;4hf-&qubO_j?T-^$qR*qq3Bb)PHnR|7^pi{<9AI=L`Sl?biZ+Dey~yUkdzE;Fkiw6!`Zm@Yeww zhE_kPYB>KkKI7;1^OrxyT>kv&H_P}BNBIx;_^%xEU#{N&mSg_Smj2Tr|7)b+4|Chq z#_;EGQ)9!QvqSBz9qsfD?SC_?f9IB||DzrFXXWs3_V@o@J23sf7%BLhpv1p{WB$vb z|0&mhW1!&gg$RUb@o0ZfYy6z>`ah=zn19a{{E-j&PpN_5&fFg>{I633^o-0*f6Fne ziN~!Bz<1mzkHL!oQy76tCyXlAEW{>UCnAM8!79P3Anf9Q`h)?Hlqmgl^wi=GV27)< zGTfq2PtQqxdwX+|L#1`6-%hHW_q-hzws+v+c$KrdV9C0m`LdU!t=XZa(e_p}@1*jr zUPFT-sd~n&Srth)rUd+>Db6TIR57tXXPlrku~jg?A&e%pOm=i0KU*s&aj-vXJvg7M1>;-%1OxsN17_I}2@5Tetv#3dH$5o}vvYHz04J!N?AEr5q zfz30r5@bxQ4yAxFSLn;nQJqC7Y7_d{eBh!n{z4#;xu#=UZ8&oE7u}TkD058C2eBRujxh9RLclivE^nVi*no0Cpc=YhpbI}5~nnqK`xCr!aGS*9y|q7g{@?ZuozW=2&ic6 zG-qDh=9_D}6k&?0(XD_UbBB`}7I8J4jT~Gm8cbN9j&_k~aL%ykR8B~;$WR9ZX`jyE zTtHxzRxr4;UrGNvLAW)FS7)9XqLGRmQkh@ecS;JmT73QOnZOh&Y=~UE3BG3@iW1mz z!zG@?s_<-Z{qY{6B_oMJ!YbeRPx$PGu_X5D0mO>@)`^qD4Djeuh=5S?SUBu8tX~OG zNS3VFrX4;%tH5d#U;?|NW1}4eDnhWKERU2SlgTy`+P2gT)5W;-AT$yhwN%qStw!7e zg&cGe+cuF~U;;MUbgFKi{-l`Y%X+*g?UxB}!tBTmdJRT&X%XZj>@>&?+ylfBqyrf7 z6%k4TEWg%$-WUi3j!cT_#;9JQ$bE?=q!+K5VHM9ftL$2WfJv2b7-YkvbN=}kzL^}{ymI5vxL=5?L7^#z(TN=X>1FXhUPLZ24&W*oVCc*n zKxC>mQX+WmDFxft8SneFp}okJ`+cyXaJ`;`OKJ5MAZ`Y+;`3NWpb9(;v1am;PB?us zXM>6L#@$coM`lN|pa0v)cXJhvW%dQiMhfC0H~EAeUM9ufQTEtJNds}GcOh=weNwnH z!mMDH$Y9kA?)PhLV;sO}-J4s7#&{*UuW_X@s(oEKdL1)gHv|Sl=MXA!waA#|NwcUZ zX2rgXw^(kMTbV>AWbr($VhJ_*Q<$k+l?L|HXj9ri&%n!yX}ov0Rtd70j|1(WU{I~x ziQRxj*r00A@1?sQR1l0G7Pao;I3SaH9#dw)}tR#t6$qUWM-bS+%z!f zsU_(bi)C6KTej+x6X<9((tzQ7t}uKOkp*mZw)@fI#x#)EvjmF=eZ%?f9thTn+|>HH zqTGx32is{kO0xl_ zMA{IHMCG8M^<3gg?nl!4J!sxM7<^!)y4gvGpNE??$941YZX&V+N7T6D+Qg1AI|(H<=y4!xy8| z(d?th?hbY(&Tp2D)G>t;(j{%B_9or@>)FI&D zV>>sIK)h?f9+Rl24Wv-O`_beDmc%U0t7whZh>a+cBZn1)2HZpNR!3EZrOzO041xD> zD9#T^gB`Mr;5v4)>NX{NP!mXy6&54E{XRSI zL&ljcZUz7bH~{ns2ynaLASXiNQoARIloMZK6HMO%*2L9k`^&qa7);Imrny^}SR1yk zibf;4Y0p%p8WQ?_#OdqqaNEE$lq!7Q3*UO*YOw2<@|rp@zq-)2NGGm6nBm!VogIG* z(#&<2?_&5;t2ce6Mv=EE0?!7axA7)QMACJ~D(|iMBz*D9w`)=(QBfMp#x};QOD(x9 z{Iainp_OCyf)Lkb4u{oaip1@008R435ZdSgmF7zXH#L><)UWO~@KCs5YI4wVE?1Y^ z@Tb>B9`P2?<_i<`MJo1pd}e1;&KP^mE#*?B8KRB|&yN?f0}B>-gThivwR?Ab@e@La zkO2>`!9!Ehw)>(222#O?ZynpY$$A3KE6jJ_E6||ZKQ@hrgf7j+lz_uCX}WJ8@Cp2W zWM+~12BE7&%brHV8;W!b#Zu~`0^mSLxAVcwhM5f5h0$xW1Z`6YEg5XF$}YEv44?q6$Dv&uMr;P}tRS zZ)ek^n$?{@YXc}E>_wP#Z8)M|-4LHZs^iul3VRmQboD*k`-eOuZ znqy;P8l}Q55?(Zh8&jW7aH|;0FY;^QVFAz3n5Uro~^$I>Hsks zkbN5l@P!&xr>Ra~NVSvMD1bedPxjhyn)a5r@`+!BzJBwoyQl)LbzC@?nfD-H`|DyAB ze0`Vo*z!{Tn(0aik@=>@T5^I>Fnv7Ls!hJv?yO+m*inGF`a+~IoU81$P5#t)?M8BX zJ?R{)D0I!szhzFZu(MPdQQ?rV@yw<=Hb!1WaMOyL3EyJx`#kMVuA4C8cr}RtHU+&&7cklm4cTb;=!9bsdmW9%g(U9(EOR+8;r5-Z_9VMe40}G2G4GW{L0qs9( zDW+$oW1(lzWuVlf)77P9Fr+u4WMN`rq-0`bqBYW`)o0Py`vnaDKQSKv{WnzqpB&~t z*vT&iekt%vfnN&zQs9>Y|2#1Kv%>bTF3;b%dw&5@|Hcmbo%a3Hulm#8`wvR>@7jBR z@tpo@@6rBFuKw@Ydkp`Yz4!aB{#fpRyS?{M5yQV9{GWpT-!b@T@mT+3`l`a8#PB~d z`+jy()6&xZ9Ypx|^Z3VV|7)|4ftleyA;N0ocB>+h9VevMB2rBxba9=L>yei#v$O5+ zq4#BV)j?M{hh$12^b|EML$PICXY!{vRNkM5^;FFsZUcLDX+IDl@O(ZGwRk$GFI%=} zjyZ2=ZgAtKo_xNmI6EoEe!l4ZxEX&bJ?UI0GGf+xdwM^9eqT@RTeYqvL!9j#`LQY( zP`phdsc(G#pj^GAS)R)xC1&557)v?!HaoxJm)6=xtg9s;7~Qu;7IxkBDtu$oqgWv+ zs*0qzIi(!Hajj-17>&H;wei7@7)|y`Ho*^+YN~{ZSujSZ0vNll`UZ)mVDtQ)Ny3!Y z*o#7rOws3!A}g!n!>njFXWY4Eat^1$LeKPx;c*Me==Nwaj$%emde`?;#8#+C1v@}RzO0|QXzO&LQA{)Arwz3>+ToibC)z{d@_ZP#T(Pu1V z%$3hsA{iGfC@%KcwQGhO^P?btOt)1Xex)1tNU#>yMbs`>s#|fji7zN(8BOqqh#*6Fd?pi1 z4vC>mnU0Alh^_ukOaUiO|1E}4U`ICO$b!%mEiQSvQQ1pftOd_e?8{|AHg>TSzMVvh zNDYtZcf}F~36;K+!f1!x^r}D=(a`FWTn6}cI&-Mv!m-LwL*4{E)zNLf!rX~i;%Zja zLXjr9DYK%fu5=XX`en+}>Pz~9;^xX5R+rjXD#}v480AY}Q&YiM1!-C5L&qY+{TTF2 zdgd@d=t=*r$mX%H4GrmGw%5K}d)BlZk#@`BXy=F53hXgUY9&O_=Mxg$2CdF&#g)Kd&fXj2PPB`OCY*ieq5kj2apo}A#T zrHzsGmLv_C42toIK}5*z@MVm}Ho>(DsF59~U2|qvj-&KEjh?wbd3iV&x!+GWUU;?8 z(rVmn{HW4)>+z>VXN{c`GIVtsu59jo0kc(%Sn4w7Y&bDu7}r`|DKsL8$Vwe)oQ=<}0cc)zwt}N(1W%o&F)<{blzc&oOtexu=Ws%NJTl>RG0J;|lfS zZ_wlN>^^iUA}eVP+#HSA{y6qO=mz0WqPzJ!Y5A!O2B5!^ zN`O736VJ|_1P!fnGtBVZ3K78&KsLEq807hDNXk~LnB;ZJKS(cfM;S>1KK0Fxbd`ZU z-E$tFKy1Kl0b(XYw6~Q|2q&>RBPXhh1kNiBSxMZu${G0y_wDe9ZKG9aTn>;#NfB1# zoPNw51!Mo{g&io^u1VCWF&4a(z+W>2=a(XO0iDefTtLA`%WvBA2%teI7tw5}?e@^JGQ_$uK)#c= zNU(E}#^N;{Ps)hpdchTM%W$GMdR?4o*WAwDn2&3x_fxTp?Nh)Ue+#nRV(wRckwE4z z3o|9c1AC@4F}{ToIP(;wII=`U#1dfwaZFFVD?8rXIAJur~0CEdcjseLM$cn~ySp1{3tx3`bb>eM-{UrDa$?sJ%H5In4}Brp{*qshyVW zGr+MQ)8S`U7kg5kZQiRXeoc#GVtYF6%M5D@X>VP}W`5%k8x@&>J0@J9%rZN;=T=tS zfvCdmRqw;s4)^sgBJDiqLxlr3TZc{W70m%qLv2t)iBw(1P(u?;czLCkR>^F#%5j;k zv^6k@Ox(sOqNS@Wbmb0K(1`SzAey~NLJuVE!=pOaH9XK`x~#gfmCwGY zN>*>#P8C6{#{)~$)iurD?vk5c{D@q^0ctCf(Qxj&{#+;+*PAwvL z1Waa0%2ht$goKb_a@+c20BLn$?*S<;^8$d>TfFoJL}Gt5eJfrF8a7Rttvhfu(lLx1 z8#iW7^b|INMUSu>*K(6|y)qHHs+5|sA~mJtP=BN1$;QET6Ju0ohAm%82?;IO8}OC& zsUWlbj<F%hz9f*A%ScpEZu&}dTMICL%*qL%y~G4P9MkJMUC znJU^Lf$HprBmZ+p%on%LW)*Q<)hgSW?(GpYBFK(X->)iFVCWNdMh;gF=q{KASK`(? zmWCB=1iM7ATQ&l0BBca)Mzf_ay6>XJ1BE*$ETynaQzM zAP10u@Oqj01gRO#2gS>@ze#=9_1p`C;IOXu&BY4}K-iq)Ik+bX8*dLwV2Tl3+=ze= zIx7g#BgMyd<%PYB-ODye+eL(B2m=;8(%5fA-h%^kkRsUMB-jE>zr=O=E`Lt~08fv) z`%ZN!1ijPO3#)Z^spuzijr-PxQ-Bv>9>`i?ePCso9_08_m3gxeBWV0Cglqg!M}dTi z?|zRK?qyYrA(J_GTUjJ@y}YbWyl|sLP{EAa%`SbRZLAVs^sgM z1kJDSyi(wiY?+Us?($Sj1iK=S;UA#*hTo z;mjVt7|eI>Y?ps2K_^9=W~DXL7^O7LpPBI%MhcN?R`n-q8Y$vS{W`3j2A}u3sm7(5 z%m==sH?B`!)z6Ma_v75+(?Ndc4URLDJ;-(+UpqH6Z(#Z-?F4!({e09KRCI42$bKFM zN4h9RF-kS5ri~pa{Y-nhmk;wXeH@9pS?>e_Bt2G5dsj}nJ7p#QUe4_?7+(qA+tDEf zidLf036~8_C%e+^dHHSyqBb_kg-bwLdf&BfYKiZ=RnRt3TuhBvSCj-A5)5hmpgj9^ z7oTQ9`CbV8KAH8bn@6`Q9W(#P7X+r-k;*HSQ1Sfuy48~u#i`E^K1ds#e7Qz4HI+wO zg#tbX0to+VTBI+4clvtAEqb*nJECLJ65E}%9I|j+&?@vWQ>VKHioG+H!J@vm$<%aY z&dl)k3?n%zPNC^0IfNpUDleJS0tCzg*3O?>DGZa8jFdbHxF1K;BVK^J@qShH5VT8f ztE<*`1HXNPIr-s_%Iq6#zqd%oSg=&8Bz49+e{++rqB`!zUorF=_&p1VtyD8V8+=|4 zX5J*3jz_PJVuF*m*3!WY^CPJ#4H==X8i&0Zj#Wb%!v^v&SYhU?=>>-U!&V`>)HJvp z{PEtV0l?)@0=Tb^g?pqMXbW56^y*qNC#a>AX1QmmGFqhGAXTznv-ICbAK3& z)A=bY4EZze!TDC_3L-I@q3E!?5Vau^Dw&9!XEUckMO?%ewCrZ9-Nn>WlXP%aVhO_s zE~y;55qS1tbOPeIqykqnq(J(Y&iBR_|<2RJ5v7Y;O>;YBE% zgtWgFnEhZEQJ5pSF6s2}jD3FE8h;4&tN6SqksBRR0lR+Ui0~~$xDb(x#71eniNtdD z3vQt;f^l3`?UKm73TgsSLn{s#;U|5d&%;_`evpEh6N3bzs{x{kNqPq&gx53u0djVq zINC4Ktw{+B+N#);1q~-+;@Cbm>FJN5vSmgFDG`QHqX4VjG-?GiwPM?2^OCeX+AodjYuMGp)f|98-H(0=^q~DKB*mcb`wE|>w$@)>yZFGh&{r%8Bc{{ zhP$AF`8pyvJg0>N+{@Q!{J;amQ10~z|H0>6);e09_a+K7}rNj=}13Dtj6g! zUzOMhkFanR``xtB>dcmC2$!RrQQVbDImWe?U;NIy^i?)r4ztix!bEYfh| zcbL~>nqNS_%3edZLr?R8QOZG~&F8oa;KJ=RiNklf;!%2XbRH`Dx^fK6;^p~xWwH*& zDJ3uX+BT9Lf(Unou+dpEn9lPK~T;Zlr57ahWe6vnSoP|`fz$?)ltDDHTbKANXF1^h53_M zS67tAtFoLSDJJo&S^w6;4HMcM1P~iEYRNeva$;>uHSD*0mDyoxBb1TcN-DyhU5iAm z-RGp@2i=sbjmNUL&J@DXRm}HpdNm<~j|Z?<$dDiUx4ZyUqTPu5s-F`$NRxC#D?U`a znvF)>E}HjH39rNR8!byo_c5qC==~yruURDRf$5@nfqmJ7s09sU8+Lh5Oha%T?p$9Y ze4(QmpZc(4Ra3JTgwTDM+rSvUq=UB@%4syNlm{fqv1hFP2`ydZ6SeM z<*#FHB0WcV7M?GG<)3mq9v+82N3`URKe-x}vvY=$n?=$%HMr*=HZ&k-@2OqY?NeCDO$(JN%cy5s%X z?!Kv%+N(%uyx};_>E(di%iY7#_Gy11RnhtC>~!0XNj!qFc25vJDMj>hkXjX|b!^ua z;|2f9sG(V8>=<7P;bP3fleVC7W^7&amEO%G*`Fn>;l?v|z;I6DJ5_@$xnG=Vy3qV` z-DgHni@K~n!P}|1i>eW}7NVAnHJ8D-4QUOmTn^;Jx0O-meW!r~EM*B!N?pAEEyG|+vDHPF)X3H6kD8jQ@kxrEg} zZlsN%NKuO;y8UGWf20)iF+?nlmQvB*z_taKkJkd+@~Nd-b+kVsr{fc=B5Giua$Pdw z)ZV~Id#h4~@W*24B`}%?w8JmJpKcQ(K}u&td7uLz>j3Z?W}?qfa&%c|XR%l`Fu|%0 zuh4GGqvu2b7bb3vW%&6o^dE_2ZXI1WN$d^by97d2xp`OjqBNDE3ELF+(-9_6AyM&@$R!|%qwDj7v&*F?6=OB;!pA`6*W2*}0a0HWE>Ay+22P}t0-{fM@DdV2w;ce&#IH#312LSM`h7=Yj%uPh zpF$N>Sk&Dl-;npae?gRe8Yy@-;h0_v9Uc7=%7N#3h8ctbg`B+7@BF`B;+c_8Q$!qSs&9am3VJ? zpY|~~A759zNW@{P$$%Xn*7J~Yfol8y$J8HRv8c7SS;mlCU;^EfH->DOM3yo!QMTeI zlunx+}d!l5)lK`0JYjo?`u_`FngRu4DHpQL;b}WIab2dS$I3( z{sZLv6M9GlEIWR7vee9Bg{%~u2Nj#e3x+eC5A@BdueLdUdvLM|Mm+}x;(!bmD4nX_ z{#irm0T|ASDesL;+U`b%>C@@XxtBDYL~f+;ZcjPR^gQ%=T4&Wanh;w7r&~`p1=Rr1IlQ;KB zw9ow>477_3{t{CnmPt&B0axn*q@1`Zbt^Y^KX2RwkFTY$64)< z2j4CywcctEX#B_{K|`S{WrPU#@PHU)Tx?jZMReCDylCe&m8}m9$S&!%C9*PwDmU)+ z)X5<+aQ%)jt0#d$u?#-BT-;u-Vr|E^xX#En<;3 zB;4hBh?CWz$T0LI@|~I+8Bd?URmG{*h(V%K=~6D!=Eu$cw*7o=VTU>${tE+9=9>Jz zxT01tPAaQhf?KcYC3c7?SeeX z3Nbcx`klVvq}t`M`A}&vYWPA|{G@7fDW=x-!^*)<0%jaxrO`|^CV8Kqnv-1sOEZ$# z#s(BcZ%~4FQ#h0$A*lk{Jso?Z4TxjRu!%zmfJ&2o<7b?TMaD{X+zjL28pH96J7af) z!1k|snqAuVb5y~V)rMh;4|jwm#6a1Md`&Gy7I#-iIEn#2Sdkps6Aj923FG3i>xJNt zK)eIpiy?^2a=(@D-fLJbVz&!Sn5|GU21kCOfHu_C#w5jb*1yrJmRm~ydE0f)l9TJOLt%(8 z(>{G_Bi5tA_}2j&jh~lG?!%pi;WajE?KEq79TRuAZ>}w~RT1yvm&H=nrUMmIBp*_V zM`L2fC5{h!2yYVT7j^Pae*5DIs}RgBnY;cI+lLxO62!N4-`6b&_tu&0l@KIv$UU0l zdU#I&E%ZC3_Tu(Z1dP59;!_+LE?nKludDNlIp-I$pVwI~cr^g9COFeu>NRyih z%sr4XRiQrI`YnB0xgu%BuW~HPx`XixzY_fJ7@w*vbQ$=d3RW<3`Is18?KEe4ql0F9 zw#;zLlYE_(XK@gj2>era+5Ok|D{Ag&T#3Akbv%eyEXL<}P|l}iDY8C8czKyvNX>eM z-mAkL&dWGRR!`L;g&gq46|n}VhgTE#Xyud&UPFP)7AA4k5!2PFjK$0km-Qrb#-}fO z5QfV0Q}Vg~kPT|s&rU$XUCfELLa5j8TJ`UO{d6OKQazA)&Pks46TamQ@?g&W5fo4#Lu?r zhl*YnQYel&Lqva_CynszvuSrRTpyZ9H4lX5+E#xO2?#*6%|%Z?t-NywhN)zi(yaKs zJ^!6#ATYgS5A0++8s}!(;Si?SLyP{ck|=u>(!nnr;L3<}Vq_`LH>q@wruE-E}e*%_V))3 zfYG1-uE2d~uyj0@pVD5^7e01CJYk-h(U@;e($()?K4Bkg=XI?1_IxT+!MhwpH!a(v zE?Sa7mCmZ4z^&&}#a_5BuUN*^HQ=J7L*-ME7kc(4B$XenXeV_{R)DI1(WU`!mzw+PSf?}lQe_Yr>ftBz@4+t5wN1L8 zj1CWsYq~tEI4K{WlNt+iIM_cPPwI7fkGX6CbsuAZ;(;cyc(C5NKY;>VQ|r3_Jwuo7 zZ^oGYBFcXe<-dsXUwzU4ibwpfz4zaL5B*YzZCcvi1OdP zzW>$G{r&3wKN-5eVaR_vlz$q!bbrh}{<}mu-R~{Ne6c;w^z5JMFNW+Go4JfEM8j6_A9V!sE3Byl-0P{9+o<4 z-5#G*qK*c6G(VDVC`hg=Ti%Q_Z)jmyTPUKXpjW*K8(=&Ru)nx7xerML6yBiDR9$-VB9d--){xuDV*ZI;0Y& zAdVzEneOA`k=f?*3WwyQord$N;sbst}1Df)ro+`1!^7b=ld}eme{NK|!-Y z^hGU2fBojb?Mh6SCc49x#wE(r*{ZJlKF6N137$)G`i2-;sIAMiU^c^VuTAMpbJ+Yd z)nRK%n{k6SmGO@rX0mRu)GLW-!<6eD!cjR#662GU1fGH39}jdlE?6h>MaKrNkepdm zNn56OwvS^@S4C`A0x=gU;44H^E%1BY>-k+%(V!l3nTP@B*PVnz+clJdnoGB4SI_u! zKKQtT;IZJ-Pe#S#*n0d z8bb^ai9(uX5&Zxu)w*i1AhWXRK_Wc;pS9BKWOoic>W^9og5Q4DO5;c%ReT{HhQA5G z!xoU`vwJojIGLrv5pAIinI;ICXE|e83gi0%$nQ@q)D8_wZInq&tCk@2!BX|ON3XunhZb3 z->PPPNgN=Mw42f;rJ`)c`yp=9OT%dKv)y{iCmfVd@Hi3)Cypsj012nM2WW;))Ix%d z(c?{E>u3<^+?^KIuLnq@Lv-sKwxMh84`2KBNsPF*Nok-j=EVB2b{#GyS$q^xYx9MV zG!Hdh!AhdVpETHW9pNj2dbCH-rqbaXCBMZcF{A+JlV{W{EWOd4V#^ka_BmMq;meCJ zNEqoEg)(bxj-ti(Kp^+m*yYPNgypRDLj;HBA|`=X4}~+NE&Qy&9lB$fgdGyyjQ=?S zu}LRdVf!mq(q_SF$CovrZ;}pr=}DE;k01TxT-GGQogGab3LW|TS)^52#(a`7&>@5j zTB$hlbZhUVxPh^-)-f&6bn_baDD+Yta$wAM*G9bt#mkI_#s^@;)Ea*2PQ}-O!wGhz z&n%;*1z}b3Y-ZGH3`AZhQZO@lZcCXD&u8;c%%LW1vesDXg)toRg9og{-?D57q&Aw+ zc2xTmhT~cqa9ol%Ls}}I%QCm7x1ce-!F~L;+JYBY7i851%<3YeJ3< zx$_aE&|?Kpq}SM!#mANo{ezbWPKDtZJiwW|LU+_^6 z)!Xtnth2G0D>=S*gsp>T`CRrrhUCfqP=(v9U!l1qw2eAIMtM=$B!42xUzbj}-Bu3= zd}Htn^M0e^=QsLY43HG{%(+g)ly7A9BmguzY8T4-_T6e6@ zs|$byLc%$+*WF!DTZ?;`$}k))K`%W}!-L<41JfJ|Y{R>%Vd)~*E+RHtpD4XlZmDX;Ts zz_O{mWViJTJKtTSp_leZ?ts(XLwVB~SjM-*!(8bdI*rykyUy__AZI575@hx`r@mFS zzy}VstCj~M@7H0KX~?Jyk?B#Xar^b?!iCnFpZ&S$vKcB-EMe(R1RLK5v(`+sR^cLe znkFX$M?3s07S4EwD_D=1Y(mTLKBeYmN~apc5_5E3=H#s-fK}gg1xEE>e(BHFBHop3 zoOC_JqfguJPW7>-fBqPrB6PM7B|;#}b~suQX=24nD9TuqDysGFpD~1;qp}ZbpDL+C z)*}deIbRaEDm*DXyK}!;UWIx5C?#feF&$q zH|In(dtpLRB;84Abo#OC7-xQ;Eju;x3sGY}O9X;nZb0(x@v1Q{ucrQtLKBS1!UK2v zH^CvmetGap)dUb(A0NmK76ubb?)`0gmHeoqhex@qoL5Z2B8}Ihf-VVowkmkH<~?9l zHBY<`!BNh>DNNyI$v2w*^tonx(xiRGac0`Rv?06_-B{xhC;e z_E*4|At+!u%}?m8TKJLtM6vk;afr4={xkZW4C3y?g+$HBL6MnJ##x*A6-hXpD-N6$ zUkvlU6<$k~k2ubY42DkmeQyJKRVpap#06&RlV*ZR9vFbzes@uf(iiY3zx@z3>>P(`<-4 zOhh9lqXA)?1*N*2tt!H^dN$e8Y876e7ljvGF(=kzI(>f6)Rrk7-@Z^)ex_qw?DP}} zCI&^a$gfF|IaIOpVywI=PT#_KXP zNY&1%pLI&SD~pOnQ+n~bzl7mi=XCujt9PE8O4952%NAaFWM1Y-iuZ#KVoJ)2u3NN? zNt~nlV$F%i!z!{sa;j+CB{)R&ioit22A6h; z+sIHvc2lsGc(+9$45I|XvEGZU#h2qS188ko~lxRgHuhneBWFYeQO_Au# z=6wWInb-?iBWy2A(vXIg*ut)Af{8Za@T!u5H+YurU2r8!RW zb{MO};D(o44s%vgj4cEf5-=%>B^QD9=Fs?v2bI{=ygEnd-P3&&Far;e705a&Delw_ zvR27@Jz-&at}^*WqhSZY-{sZ7d-JN${lNufp4WUpA_S*gkQnw^3zijO=-?$WH^p;m z+ZeSvNFapVRRu|YPlpE|*Ja*D)xf$C zr1|)WK9{jQ&ghfm8u8vs!-sNhv*)UIK|56&**kL!Yw(z38rupkUx7y%t$2UXn7xh5A==Kj(&5)aZv)k&KTd_Q{$ z>@0|QPG?8RpP`Tqt8DRl#@4~H7!j>ab}IQ8N+Au9qXp+J2B9zs(#4@=ZCvTE#69xr zqx=w+MWKg;GQ2UfiMZ+28=z)J;Pwq=THj8P_Xaa0@G?3gJpQw0OxBiXSgl;4;dpP_ zi*aomtT2PV$9=!0qVgM%9s7R_E%;%qOEfpD)e z_z_COc`%M1Qihg#I%5QL3F2m@g#UxRw+fDHNz-=4%w#b$Gg!>bELqG97Be$5Gs|LT zW+sc7nOU-r+p}ZZ6aSuny8G;NW@5s3by2HU=9^JbtMbX``!v3RjZA_f8}*k6zG>ivnY9AkBuJiaMCu+^fXFe*08Qzv(Vdwk9=w*W-W+vn#ODSW zQ*&2^bfF%YXx^!Ly$g1liVQ9t3>{7k&Gh2E%WTIL-UZwrnM?a#ax@aicI ze}8u>LK_SoguAOuR%k!DFmEke9md%{V=OYXOm@Yx(57s%IU^+c+DN4;svT%WxhRvR zaIU7co`^TYw-_c4ccY=Xbf{d?8Vh^k)CYg2#KiKz)f_<+O0#0PqL0aRTyLR3l$wE4PCb^ZcL(lkyk?2wux?Zny(`KCzL}dFw4*WJ zN;eMoqyWbW&t>>|*}4NBoqOL@hyKTh;>{GE_rZp^HwRUM2VfkO`|4VvhjXZB{$90{ zajVu{ogMTqGwYBfF9buSoqo*L34TxZ9=lNQXNo?Ol~4fn6|ol}O>DvxcNw@_Ev&Uy zosGq|+{D9Yk_*t&=C=EVdSrX|9&T4qyjO3Geo8N@(Bp9J>DJ7skAOtJQ96*e#B=+0 zAr|iulVG<^YDsfys;FC2(*4zk$cqlKCGCzEhwk6-yS)Yv9-AfMo`WQFy7HUA$`D{X z?q=F>8=GB^lC1d#OT(JtF*0P^bA+*{_@B>#d z{e+DFMJ4M0gd4K|bKLOfJ^z^BU(OBLIB40J@!8pFnejQ8|Mv3734UgFt-r_igTnn% z;9Jn3$g=-ADP&}4Vfcjr0mP<=)KcR|Vj_nr#xqTeTb3&jkZ)Ym*)%bJ7$%~ible3q z#R9qBI6FOKnrg?E%`ZNkc(Z3*FQMy1kL2AL7ul4qJQy1>?$q#l-d8;|@t!%A*Y+&%SGQS}$GFj;W0LfnMOT-@(zPjq_HSnxiMveM?a?avCxg9(>4h_d!Z3h z`G#PMT0VALWliU%CmXo)_ znxd#uMh%g9_`1h1+!hPj`-sV`19gkJ#ZN&^VV6OohvmoX_m(a4`Qc199faZE8R^X{ z!8#+QRYcqwYbL5IFfqGL%#L-w7bI2NKNJB%s^>;YSE)y-o;BXaCZ` z@0jn&{+EwZR_Os0R%5J7>MOpnL9oZ-l8eO1;Sjkb6PO>n!%MLa(Xn(PjbT;?vA~k6 zrK#P4zK|I3iFr~SDJ6wT6$PzhU&g~gLbiqagd(QD64Jip8S{m<)x;m;I;aIzA%f>> z=fQe5T1pIS8HEx$Km6m+lkW8MOI9#idsb>Ocf&pxB0CCto6J%`qT-I z-V`ha2yRrV#UB;dA=~xn?^TxsmVMmSfW1Yf75~^U2~`GC2HXT((YLIIu;mg8VG-CQ zKIaKJvIjvGkKuTr6W#&rxI)}$fEAMx-mF`L%B0wDmXXAe{Gt$2TN}@`Ixu@702C*1 zB;RWgdv3^D!J;{ea1S%(B!m>BfUcMa4F-vn$xiQEXvlLuf;dgMcNuYeKY;O_*Ugnl zU173=-QBCQzF_52k}wpWL|u>{R(yDsG?}_ByxPzT>WiVGEhvSEq#K6p3BitwW(R-x zl@86cf=Y%X6)y7D7#ErEh2h|*F zT;L^Kah2g4(!KBrO_GRofv4nQXEG|Xo3;e+JmXQ?y)mrOcZ$`pSx8rCdfvmGvNdS0 zfkzFRmV%WpGX>@iE_o+vS`!7%q#f}CF{|mEu{J7PZk)Sdmmhxk79CG7$U@oIcma42rpWlF=3t3*@rgB2^|9j^e1LL}QA8u1#pMhceHI zMWU{5p1@Fr=3Z2ulFThg%5Amu{7hB)BD!2<(455;v5&~8Lxw~-6Z@MQ5Bz`#$ou9N z(1;F(bZY?i_5_>#As7%VlQS?-{8`X;XHuYS`gGS>Q{5Y^`O`(2W&}xQ)Jc(6ROOQ@ zj(M7NEz8sEip6je!I_L6@#NuO3mk)Nsufer?Z{|md{y<4!C_x5V6kuy*J;=QGOhjn zCR>Io!#xBsFRc{szFBj(WrjgZqt(H6VLzg>$`~U%s@{!GZv(ChZ*a8H#H|eP-G&!8 z-0m%af)0A%g(&&xz6PFr0P6;dm2M(`ww{6eOjllc5~7OXx1u6x_7&Nh^)?O2jh}&) zl#esKO!PsQWNgNSWN*!~dr6){32&|IledQ74`DUQACTlG(dd~^QI$Ef6PQMyMcp1) zYkORbX^*!Fbm+<_tY!Br3&#xX#(_se3haQ$$GgalCZvupQeW``B{M}WDQf-YjjJxy z(kG2E8r>eEvrqD9p%4>@%tQrrJkEfMM!U~DSLa^@(ZXg?L9Ogc41gRi1SGyu zU))sBs7o)yYt;HJAsFEWvzp6O+c|{u(Od6U9)Cqww|lG1;CuLz=PzwfN1kNCldjmv zys*%wH&ZVCie@sgLVE=wo{z$1qZ-Z`#%@n=3s57_gJT^SR=IDx8rPJVV%oICS?5@R5nj810ucR0?&4?%@)| zxo$$xWr;|SmKK|6u6%08w{Rf2&Zd)%@>lBXx#oP(T+JR-c}IA!b`}AZ zC?-v{o;6gp;0=7)F1oEXfTWx|^rwq$Hf4!-Xp8HbcCrYtiQ}X6`zXjPq7h=BlF1~W z-Q}?n9$m?6qqC7mR!(}kVuO)Dj`q!Myu4d0XzdRdLDpEeJ2%K=#bdRsPp?1uEkv_r z_T=$0r<~T#pY+8wFRI8kF2AtX9@K6g(MX%b?|{iDXgHThxwXD6;kYqwP9(=&vXWeC zO*9q?NQnBbaFmX~bnG$cY#=Dgk$IF9x{&gJ)3O&4*dw1ji*`Ihwy8zwa(}&A*HL8v zN!`{@mRyZ184YS#J4U^T8*%E_K~V{z#+8kF)n1UNq&VQXW;+1!3b!1SD6(7ZtJsWk zaQNy$fHGjL+Lp-KQQ4UqT06GvR-5B<_*0CHKb{k)dCg7s>Y_Sl`CmcUQ5%FrOm2N)CyLm)hD z!<~gKT+Ju76ghiI?vA{|rxPqIYs0DOyR*&o{@^n>D~bDa!jlm6 zVKVfx#F z$=^_fgM)*Po{{c16#1VBMSgeX{0&8ZC-6Ig-wFIq;CBMQ6Zl_~z&{Q}er}TgpHSrI z)BAsLsD7z&{|gjh`ZwX}&!Gs@zllEl*48!-pEZAM$p75L|JPUu$3MwJeqlI&IScuR zx^n)U{2#&or8MLpBOpK4^+(`;psimC$j=q~V_g3^0byol{*{0PXjs^+iq*bf=*-}a z1Pa%sTk?Q~gEBf9#|d+#r_boyGPJ1usD^nPgoYYhyZe0aURIW#SFq#@7{4%e;+AE8 zax!6Us)UAWH;>PrcK4^38WpeM*@qugZ(HOwCR9HZ zhFz;t#*@fbjGqz{(Zhc~Ee%8XmF?LM={|INoItp}O z>ZPzOyycb)+uG4+a%PHn-Ea%zs8A^_ep!vzj$ zsOR!_m3}}!)HS)-g$npK67RCgOZiBuV8WSna~gLtf>n(N)@wN!EqX$KOBnM`NPJq{ zfE{>Dxx95K?eIciI$HX@-?A%HM>lk-1RGwAlu=wgiBjUWmU_OjQ%$QGS(FxW1<|^Zw!@pg6*flrzP;Fb$W@LFlCHU!9|I&^wHf<&?~lU^ z(oIXe^XdFr>)OSk@`gk@42AL^TB4{~LlrfaP~?Kr%&^P^KfPVEHT38Uml0u)Cb2S_ zACRAjTrN0fmkPswC9Jp|-zi|FQSMs$A zMLu#7>>O#B)%H=UcwRw$4pc^F3FFjNM0J(lvd`<1ZtY-fZCr6aiFjckDN?3+5z}WW zB+Uk)v^mVO20^q>rk$Ya=HoNL6!=U(d@1d+5C;LtetBye8>ovC zP~bod?D)yp8o?NtFB8WZcJeoGgcDri8*y0Y;^TwX!9B6kfj+bRruxj3xmN?i1>zyG z6k^k{p{dn|rD-Vo^nG0dg6MQY5q11?NK*RsRkYts%8s!Q62f|m!(?<<((Y4`;zRgW zJ|YQ;M+nz@QKm)31qcJn_tG01C737CgtD4^BymkFfJS&usI|K&f|5=PT0zn#TNK(; zlZJp9uXZ^Zc9IyRot>2G&I<3Q zf#xEhmCQvLfV$G#S0zf~>I9X0y#?sa0s60-jZC>~S$H<%D zD-_5h9PMZE1mIKbBFzMm#vs#Qa%mu*=7#!n%rew|%=YE|zXmB;pc?p29#Bfy(b)`trV`t=#eQ1nv`kwtkH; zyZ~bEPKWit;sW88o3>A+9}0 zrzM*P9G6s@^CM7~Fai}ulyfp+Iz+^RxaF~YI^j85l9hiaGD(Hd$wKv}>oeBJ4#)Kc zFGe%D@i4eX_oaS`BtFAYzlxG(tdoIhzFF2IQhS3*F>7MpAstrA`H@IsLt!FTd=p7O zD{yF&Mw-;fgJd-k2r3mdjE|0&!Pof}%I{VsMi}fI*J`{#ADCo)^g?No5z)Z+VzGAp zB;g-nOq3+Lai1VnN#cEE&?-%u^2yqDiSBYVoi(@e#W`bOM zi-No-*Ai#|PE;|Ht1vRJSi|IWuqeJu~|RyhJE5d)2ryMD&!L5$;Q_#4{h)!OID5EkdLrbWygrZNwq#$ z{`h;hfoP90--2iyV0)TQ!o%6qTb08bH9dS+m4Rn*q9b&8EN^=C;`4<3cs^QslyoLg zbxtly>S$C6QG6zCt04y`G+tise1$f{^A`$hA=Y;-Yz_iuQh^wl1rVg!}eV$$#CC9E6MXik&-F+X-+pH)mlu+EAs)5L4zru zP={x_S^c0`<$0>Wl-g6`p+-wmN><{%0QuF!R!&|SyqSg`vQ~Q(+c&>_Fju!%1rQ-< z93ioAgK~+4f=*D9DjUL4!SIlNY*(e>QXH&DiqGVnmGMf}I7qND$4G5(B@jD7T?yw^ zIiBH1*SE*!X#svonc272cr*|jiMcnPCxE0Mzi44MqUfu;#}YnH3r?qqBRB-7g@Pfy zEF=+$*rWWXZRXd7#YjDLcbKD-I*T0?(TWGSL7jLOmHvze&uNsdF$a+ zz>tobp?8t&uOeR(Vp5(O<5GM|r1RE1VxJ_Zh5djuhf1F7r}tY9q%Qyr=4zk8YeRBF zrlx$+j&ZyW04x3TQ3A2S8;EILV9d}36&gcO^ky0_;qZghIYwkPIN3tAO#LQijkExa z05Ma$b4{vy4LcxAH~@W7x5=v;XaR@M%+07tsZ46wY!T3tD8vD|Gd1+F@ zs(HC~J>N3*S)F9CMJXFVrvEBN=|}PC4C61VpUR$mC6^tfieNTw5yR|K`$T#WIv^S~ zU7a{(E;>9Wb^8Fa>6>+lfV28A9AS{CO8TY}M5)9IG@+O0y-EjZ0C*FVNv0kqEQ}BP zz;i3o1m& ztFffiFexeU%xOxf4~EdAoI-k0w`9b~b*N)a4k|dl#2)O3=bIfTa+miKQ=|EE2mnm- z$-#tULDWt+e3!F=oUIPqZ3K^=ks0=~IP>&KF8yoEi5?#Lq(We9eRJ2!WAl_q=FwYX4yiP?k|HYSmW;Cf zZx@hZg4e?VZ)ANwg{B{J0KRgABj=*Vi)mWzDM@y9G|ZiUhhelZ5{kQqI8$i-94(1< zq4Yg`4h2rAAcph|G|o-q2ls83y7cGpRUW*gELg$wt$l}T#1@JC zuMzqRqrBG?+ToKo;6Ebh3THvPI zp@$~PH#>}bwpU%9Q*SG^@u9a&a(p9wdVU7U5G`)j=iK=2Mz=DyFY*|F`aHn zft?#V>uHKkAxU8y1jBJg=eQ#NUNUv4u62^W9;-G}KM(ZFTLF=pE@uAL7kM6U3)~0z z3*dIi~l*zv?ek z9b^-65EVRci}88{>X(KHMx>YkC@{%eJ~LY^e6?mjkjvmwcKw7DhVi)s-TX{eul_AB zh%UvvMhNc#iRnIc=DR=Oh$bVQXfuPLLSX8Pp+whgZrXvb*AP*mFU8?PqMoI9;Ks|pN`K&Kuyec5_S-2)|49&B}D$k}p5VN^b{Ly087@oaLPbfCBid;H% zwL8rsjXg=7ELttnyYaVeyL2BhB#S1}RvEailS5-);heXa?J{UDIQW&ZyeuJB5zZ!` zUkiq3yn+XMSHJovs0LN#gTf14BUY!HhkBVQpJ!=3IpE6Uk_`a6oIQ-guBYG5ohG8B z#Sj;%F*R3oLZSnQOH+I0uVrII#LXHJ61H9+w`nG~ipw6Yt0#F0raf)p9L>9`?@Vt; zxwc1~Zzk8oTi>gGG?PSz>8brwZvM*&)!#DVZ*KlSk(>XP3IErSg1=}Y|MJKD_X{Nd z^3eaH3x6l@JAvN`{7&F^0{{I9{1e>#mzp2H$b?KkCBa{K>rZj*-!bsN%Y;n-<_rEg zH)sAS5B^;yWd7+8{@}UHKaIA337|96|8oHS=RN-z_g@as{{flsX9oYC+y8$uA>IG2 z04X!e-xlF#CD{L1fb{2b{?|~QiH-dit?&!x@{bOaH(EL~bkH%r!gcW4{0O>?@qOVe zaqEaN!4o{wNRF1|3WyX_?6R-NnyR-k=cynNFezG|DvMeRt)8DR;8*R}%d0wDwYW3O zRNM6WRbR%pXY#FSyY=f&!V3n<4v8Qw0H zE!ZKJuM>tDSj?MusOgu>mBWX7gKui}5=;0SuLsjo`Uy5O$HHk`z$C{)k7_hTqdFXI zVT-t4xs>p9Q(IjnY`vdsU}C-zFv}wuM&(93H*J9n;J%w&aJYqPk!VQ(DcD_I({ip^ zw5E`JYVjkvZa8;cLIc>ve)obB1t_x^VvG%@NobAiwt0VYhBD9HXpuc)T{_$NKruT z^bDIpBbA26!qwEv7byY)k|WeXz}t?W3~S`UP9qT;s0+y;+r>6w^uR%?;4=XX7s(-+ z)F$W|vRqBf@}!F3`>&(>gi=^ufP5*|l>!l7mgbIl?C!IlW=Y`Zqw9m!vJOcE;0&UP z)(Aqn4?!8CN~bmmBNMUnNdd-+&;{!#i zdO_l3=n1hm=vk(2@kokuKQPE=KnoyfVw&EcY7hvL5g}r1 zYDQerubA8+Fkx9m%V>ch0dXWEU!Y+K#djiLki{r!>6}U+u0Z2?84p)qo36YMBz-IT zX?NdC1c_K$BiMzL0iDP}8DplZZIP>+Y*?d>>MB{s99QoSS%vIi%!RY~{SW=6RWH#j z`38JmUkr~E8ozm8>&Ym;# z*2~@PvX2BG({hu`H^~0w0R>2@Y)x*rrT~5;1k;7a{LbXbkYAHZARVB4o$vtUOLulL z;5va2R@mdnHXJ+Y9>9!5hF%yxoIjS+PVk};aE&kYykKE#84^Idm7GEyG(%EOl$Uo9 zEwYk>rEs65>0wXk%4==;ye#i#d8Vb}^;@k?%M62pWHc(ewp``fXJ`<(m~f0LgJvl| zNeCvtR^E(&5may9QRn=C*T`z-#7noS$lBCM)pnhs9-+O`Ab-{vk_F`GGS3m5=)}zg zaE+CKE39cku>%%v`O63`S-xll8UtHdVoN%%;^f2+X{!_=ciqJLY9-rq(3B@a>BBhA z4z%|PL{LMBUI>;h$k8mZ9`~U3n+5*V8bvpNBs7q%VLXRfLUKzJ6Hv~5$OudHJ~!xu zBZk#jHYJj4mIMbO(_OXS`@TO8;K?e^Z*iCTEr3Cy&V^p&I!C+bd+&lb znG?*8aC$Lvn>Yx6qErg5vu$QTX+*Xp#Juo~a8RWrMtl@>7`Zr{wh^BVMKC__bbZ&W z|5J=6;sde1fOmTU1?DiaCtoO%(vqX(*0s{?V}#f@N3j%31f(IgoKnH43qBDAG@1|0 ziU5V+jGQy(TbP>GA3VY}+Hy-dt12O=5ygNPZX{N|(ZI8K4rGqi@?6EjMqAF;tV>0o z_eZ~@E)I@`4NVJpBz`{Jyr>siNr#`i3L5Bp3W{B;z>RB|aU2h+dee1;Mf>x{>yTC`a5%I*g{J{pB?pE}-MUiS_CuJ@rHZ~=S26PDkMfl~27sxm^Cap;DYrI}9hB+cZtODQR|&Iw@ueDxh~0e!b%Ye!@!W2XAYZhR?x zG89bb`+&noOA3Kbq1q6|s>!3#K-T71LAvMRk3|eB%x-HZ7*6Kyr4|VblG3CwIFy8n zK+ioM3Gl%$)4Y&=T_D#A)^O_KG&kjApNWZj{EBReqP~OqMf-}}wN|IfLTkp6)@@mZ zY^?P&pu=rcU#raq`>|QdT?N5HY{W4*+xJX||OmXLi(^S%~=ha}8tR z)eM{5Z%<$TXxe$MYyj{SYrukJh|b}BaxEtzCdU7X#HXJJZQ%|%KbjK7t}3z>&k!``65n2n=`D;$nJl|354vyfRXD`(Yo6O5|0+{# zM(BzOq>e3@^>D4&lKPt8k~(%<5+ZuA1uyL*26`9XT zZ_9ij&0-+VLLkjTV4V**&y8|*f)sO9l~n~AESvtwj5^C6R}C$!&ohDl6??T()J8xC zD@b%O%S+>rdaG-k-J{XviyUcE9BG~X^0poFI|*a6zWE0GsO5ZWwxC}Rj+rYy`NEDM zeh^CvOQ|d5%6~dl{xqvPzd6V%VFDqi1iI+wb25-wtLiL4#JNc+roB$`{Y$-OMGXXt zXrwkNn8A6wtn~=G4cscixqCHe2MB*fcRoKl#{0l=h~#~S+AYF-2fCkM?4u31q3|>| zI_0X`%jJqm48CAc zD1WK$cs?TxZlfw=%6%7DVUn=Nt>Gh2)0BJj&EqLq$jTBziO|M70uG>{!yagqgwZZf z%b$-c^Ta+zVsrf(+G@jg4j$#XNS)GtFdK7PFp5fFvPY2~dl#^ph|V+C04Y2g^W$pr zEOwkqA5a#uULVSr8uEf#`4o2cBiI7$cprA*=+^zDJrglbvi(`+Q5FBa}Jx8MiI>jVP zghX(NZPupV<0~r!k54svth%8DTO%KKunsTNbL*i67N8!DGck%y#}r(qNfueon;C>}vuc#d%o?-b52ymOo54Rit~UwV6!$3qw`zhkTZ0@@#!hG#W~~YL!2?rqv49&J>@cpNkQmRjvqJ2=M66Qy_nA+`Mngu+cBnm}K1rgj z1n(d)q%ChTnr0&vO3`RPiW+=KZ7=;IDP>JiWi+U-5iVa9RLX`Q5m$e+!hJ*$^c7h4 zXq-yi8!fj<$%Ox{1|?&d#>9>#urXO&*DKFS@?8P;!Eu>-YXE1c+cG;Q!xo0@IVs+Q z-Q=CnE&^V*o=#Q)mHQE%gM)XkKn)gv5^J>ki5om#ml9Hbc6i@y+njs~EzJWEwgLkB z<^@hsgcr|w58M0GlwT@%74qZ2$4koky)bp=DAe*K6o*o3KAbK_?f$(ADaxv`F0Pf) zAF8}(-!TuG6#ClOnTk^q@n&47UwG0!W7t82e5@dzYt!gdT2kSoU$W)6s`(qL6Z@m^ zg8PH+W09-Exsw7n#S=zUI?^esZ~Jsjr4iEU2$4`vjW(i`r@U|H@GDO8^ydeQhxHFp zxMdcHt2K4|-(Xj5C@95u%*d}nz-CS}9>LH1L3KSF!@;6=_f!$R2eH@3&t%25lha<~ zV8)3wiH{0>4)9gN-LEMEk79PC_14JDKYF*nU5iTK)oH+db(dToa@XlG$zvT3N49y8 zQ#Hs9eq6Wb7JU}Yk;XY7ADobZG~XAw(yP)L-bE-L4x|&Wm}*ZN3< z$m6~8PNH;!&}MyHx6P(GJ&5>-<*e1XF7GgO^7T`#z}rWLPBtlRatYVg?g!uw?X88d0c0>Mg5L>IRqVSL)A_RF>$IIz}lmthJCQWIx1pd`r21$wRZ9Nd>b;fyvLHq&| zkN&VKt<`K&i*?*H`__Lg3~%{W@d^@VlVvb(4_j}v{}93%i711+R2K(bieAdpz}6|0 z`T}EjY|p&u9AAH{?dq5T?+R0_5zyqOhe0Z)1L~ST3Xjrd> zV0>p1x@(1yG*%-PXLHU5-;^Y%f7TLM%c{+pCZLpx};Hv~)03#aVH(*XL%sie>J zevA^LNIIW)%C~_ep%@;a4IcIxMAOA-BBxT{gmylX_cn3CIW%IAg&3MVy+-0Vvmot9 z5FqxEz0_lePI)cOGj{VvpzjpgN3?W1;>g}h*31iXMAo&c@85g}f&q|tFaiHFD$e{% z3!mRq{5KWjq-WlMxU?}K6WCk)=3{^$pBYSmb*IC1Ra ztOJg^{=`KBff|#Y{jRUxqCoXT-LgbQfSf+c!h}%`c%)L`*w}C=0a1Rz_wNe8wQ}zW z7Dt6yFOg|&r(KXKFs_yg%+i5XyUfx;1|Ql2+W@Cd$*&aex4F(_eU$6YA+KCJ zGmD4T=k5kpg@I2?45YTGfRFUITJ;Zl+=+l21mM7ixu%ZxUp8H0Pgg%)MmL`H-@iw) zmhxddqc)=GBT8;|6ZnesQ~C}eP?>p@#)M^eBBA0-*57R_kg{|lQGstWk85w%#|~@a zPb4!&!K}?6Db>3+JfWJ3rWJ}QZVEwWBJ~=26@)KJy;Dr*IDxVdn z$&->2v-^r-=`IlDuS%#4K1MqBK2Z%hHV;mMFNHj4_aG)4MJ)WJ# zw^=WABnzKJeDw19#W z_pxC@vN0P)fd7WZk>oT``0?pP0zS&$#Q|6Z31-1!Fz!HN;1aOyreIiyY!ldH*nCl9 zbOL_%+a4)K$9+P?27`g;e-;Uf|9JDDHVs_~k?k&((1{O;K@Ax(JPb-*$`7=7BmN1v z9-fL97WFe~DyE+))|v?)H^^Jw5Wpk_+A4eZD}a@`79Zh25GV|a|_pqbijZmf86VD z6SKOu-3WDH$(u+Aj0E3%3f?4 zoXcQBEQk6Tm8+39FZ}q{@x%l48$7+$1qW1y%!-a>(h#h0ef~zYaedUcT3!?Wk}EIm z72zi4}j3=u$Mx1dRt zZhB9y#Gm0|#leByLB^aTR~vfa`E zQa=qwy={?DBuYhm(0b(+_&q?1$a_|hd))p!@}kf#ujy!|+;opE_Aq746ICPPv|n!y zfUek`c?1p-#xY?kxaLa6gd8{<eQ{h3To5^CV{Y{LV7Md2wvk#f7!Ua2gE_6{Rkd z))x!>X`y6qamKG;)nV5kOuaDZ2qy{@U6bv`!HM&MT|j!>;gCMR-k;jhU=A=CLS4vJ zP}y>OP-#N`6RML77|Y z^n!pzD)W2+jx5AlLGUYbj~IQ#kv)E>moqz3(AMU`K(4x6PEZ8n7${%a$kMnHg?S7$ z5D4h(tjfk@RcLY1bSqE zi$w``DO^exPR8r6B)`58+h1c1P)c|!Pvc1H>}XQfw&{7{L<70FtH7#u|16kO#e13BnUnzn@8age?clC9g8(2n}UWe0TnT% zRRB(;as>|Q%5w3`D&u8(`88E z$e4Deg4yFp=$nmt2#J8{gPuc2>L$~^(deq8j~{AA#<9mTz}E-v zk=l7mPoQ+$Qvw7@fU7k#fzM1-8PhU&5Wp5_x*`rQ%RlM7gPWiG3V;KzC1OY^H4{SQ z3om{}%{U(ghtaH?eIpD!-mr(Jfv1CRijclct}do$R*>VT;=E->&8=7LSXdwxqcO9T z^ze0llL>c-NOXZDdT?|cA>R33jyShf)Pj?LNP_v(Cw$+6iLl$A$x$M{-q5B6EKJ&S zdE0klQNkYIJFZPXA*xG>c^G?7^TQ~^1gfByF!*kdj1!&|ZM#5`v%&LX@ij! z-urIQX?xOKGE7e6{+IG-uN$IeG5*HVeEZd6K($T6tv(Nd`L#Uoz0p4{b0a)-% z$>vL>EU!v%6Q;K+Yi=DqZ2L$jagch?o*5;@t zL0W)GsdD9x2}BvdDk*+n#88CP&=)^vgo)tJb7RfEifSHYu5!YCqt#NsIse&^$^A;N z8#L%jcRd*B>cbxOw8UJ}5}O`=F}gW3Y1E@GSqc<_YdXt*oq>gaqf`u$)r%q-xm5%O zJa~4z6%@pE5Mw3l-SvbkBX=4V*%Jyy{xLXRK!Tqdp$1>*EAm@C6|W*JpQ^!3?l)_y zWJh*3#Gt1EDX$|^?fC)g^B~Gk@3MY4w`og! zX-7>dm0GxwUClX?w2JASx~}tk=!Qa_f?iP~+&gK|(}LrMOO_+nvTPW*GYlCk2{`K% z?@s#iNgf;r{nM@~=u|fP2O?AUSHN#}C{4~r-{)l&o?|0)259fhvR9)#(lB|DwOXpU zJ0u0=0!C?6SB`Fx!FmnVw2F!{>qG><8olwGrOVG*X5PUBc*t!f30m^Z4>q!tZ?){O zI@@0Aj!U%=8aH=_XFGz^1W!&*m{|KjXQe@9F{q6$(z=x4yF~htc?JRX<==%piF_;M zxfSig-UR(FYf9mgsM zXNDhkk|+Z)s!XlmjSIyX*Aw#NHsk#op&KI!MV!J&B zf^;6XIpBTgNR%igKr17vXS)DmC56NZmMUdEi1yOxcPM%QuL$_fKShzhw7L0>B7dXE z|A{E_x1jsKX7lwgn#jNWG5`Hi*1tUTzv#l>3H(mrcLKi?_?^Iie**smiu|RH&aWu) zr+E7dxT62%eZQbcmVa|_|6I^z`6=Z7UC?Fuf8pga{c|Yt=dJ$0Y=1e5{0F?;pHu&z z03z9#et<}pKXGw?uH1hOB01RDegTnRG}J$@iXeF%R;7sIlL(|>WRxnES&pciP*tuc zHeU<2!y`b1sl@_F`{?f#zaPIkJ^~Os?%S72q-50X|!V-xA%3)-ywD2M#9B2~%{ zOH`{-{?siL_SEbb@A>T7G(uy0f^zNk)Q5%VbDc%-G%t~_@6Yp<)t-CH7lx@Ut&9;~ z=Xs@>F=<*b<9)J;zB`mS>>6YVp4~k z!py8^h$mX;8-_C`9wI+Xo__O@oi1w^*Ovq0cQwQje)%62OC;k-1j<~|;X`D=_Dd?k zKB1|8zrEiDTxx*>LY?C zhkc@lEZU9%5hg+eal|4ezAugUsX?AXunA(A!{uUOukwH;2LgZ`Sr$q(-vD+6`dQRz zoD;}7>BZt)IHfK#3=^KdXr#arMyXi50U9w2 za7n=&NB~k$0?37ec-U3YEQpK@n21~qguskrX=I@oRT!DO2*=O}`*sFRqyFKaLx=k0 zRcwNhl%CT(91iP3M6AqAL^QVXXM=$NPW?3bz(j_w2DA%cKSE4o?g{2)bP8?99YjDT zA}|5wjVa)iTT~2;SYOOM^Hh-Ijo1Mh82gSBfYnC~MnOo|WWmy}1j9^cSrY~G)8<1J z&C*i>hy$ymwE~S?NxG_@-`~@CQNJ9lR@wNz?LLXv5jY8vh zDvZ#jop}dj5&G$xfa)-0HfX|#OAG@|K&k3wBzwu)#44nPTBB|<=MHRWg9@F=Aj{#K zC4^gP6p+@yTBp(F(|2jc*XdlcRGr3n2tX?RXNW4>xw2+V?N+iRY=rBl<{mHP%#mTGaV#N?I{fGGJ_g4d; zpLs7zwqq7dBdtf-vMBu&;Rn630{ySBw0;9YFp)an#`Z{RD~wn#&t1Qdt7>nT%Wp4T z>?iq`{BCcJ)djVQsfUytzDQ)p!9{F(Lbh*FTv4~@D=W;nr|R7}YrcB3+IVgJN~|S3 zoT+6O74GW~i^8W@rC{tY|Kg5-Wmz-Q5eJ4EIZwaks_XN~TSEdA+*dstXD?tkhW8cR zp04EHEj|k!`MD%^%fM;I zXS;LD`4P}3^rl|vrqAB8c?=m<5kEj-(bwF7#gnfJ@nZ;jk(xHCELuv>(-0BhCIx9Rcx1Dmx^pnq<6+@_p=+T zT7ehv1|mBa4>PG^a-J)Z$I!a*#EGEt=ju9+%Q> z*aT7UctmzGm}fDE*fjb0!fRb!^26U%u_3}%wuuZGx0`ooyl8i_RKG{2{D^4X#!}|) zM+o;5{e%M>Y}Gl!hn>!Nnuz3!S_(dJAj4t9drYhAREWo-#LWPMO$=OOs@A<1w~Q|D z{*EZM)X7rdAJi%rL?UX!^;YiV;uB%ucw`+sV8)lR4y`0&LunVM(YaRLUIE-Q3Qv(| zWW|xzjvS*(>H{g;QNCDHd2IBm&FRgB<5w~5HP}1m`5Z76KQRAw)NFf3pHktyZKeM# zty<V=kYwf&SyXnxp0 z(;YR#z8?isJ)H=F#}pK@DrvPrv}(4g!`3sLdtaeRd*24B;#r^Xb&(`9cw6YeaK&7P zOn3B^YClFJL=PstVlaem2yd3w_ioKjJ95&-hhP?COM|?_w7!Ca#X{qp*k0N_R>I2B zT;T}Pn}V4{`kwryXyj&?LrHhJ#Z|wGz_0SnY@%DgxM(|-q+)qPFi68oC~}QGi?zR2 zE>vqS8ix?yXz?}{oYG+^WocSd<4y+QtU=cvqaw5BgtBg}f#YT@&(lOaGs_zkSeu+o zAEfD0Se$};$)Pl_LAG#IAvUasNHQ#g#(kqOZJshgux&zK9Q<*rB7iOdxedn1R@=#4XIl=8(fhDlDMH-6A3U z_S5e4axMYKh$DJgT$i0rA}MFHYq3xd)}oI-9t?yo;MGg)Xm=%2k6c=O!lNjC3>!q^n^830r%86y4y{|%!_@N)?bG#f zaLk2Z3A|vHQ4qN$UIwC8^-D+oD-i|JS17j1*0gXeXhqiWcEkK*jeJh*vXnzI?-(r{ zn7mm~57Y{Dt!nnAF^n7JbqK+C=A}qco=q_e(LR0|YcfK2Yep897*Ep*SX zT*CM`&Pa1dWo&ZyCYMQ1QX^X}a^Ytjbk&#%tF`Yu_|j1G)T#An88V?!z!{Al5tZCF z;KGuxVk?QL(|aC=(uVl#Sa@nC;he^Voo!9HW|K$2)MCi;cSlK3!FbAt=FA5a%;fzH zFN1^{*|fg5&?;)Zyym}#d%uCo-IH*u;w&%`ZPL$xghnBpiJ2*iiX7WiYTGUT0tytE z;Z<{hTBe-lM{rim2?S$nuu+XdQ?6F$S2e=ru9RkTqV!t5veP`EC`17rRG1UayRMin zXy3!EF1zV=g3<{*7v4B6&wi(9zzw!OIB8mKwq8=k1RfDeWZFFVnbu}3cGZgx>E2qf zz%WZC#YAy2nmvm1aB+7xl$nV8>|?^A6he>@S@$SO3&QSWS$RUbH+F)%YeJ1)$r*eW z(zRjBrUN5b)2OeuX*0+u{Qxm`cJf$^DsZP|iHw_8i$w1i=&?+M!X8&n9#I3`G$=DX zEyLI0eFubTi*kSE9}s;CZSn)LE0BkfILr!#0F>T7<0DjSgxu6CsL6{dYyBbz-U?SRAE`BP0j(h^75^9{r5bIlgLlMxchnXIV4cF>`EBN_ysogL2qKr9X%#KIWol2J^zI# zD`y>~Buo`o*D0~+x{v?_q*Kx$XWYV7uJQyXm2)%dl}j3rCFfNVOY<<2vc&xiEGV_C zgC!$p%^MKxSAxvDawf^IXX#srnip2G>g2vd%_ojuK6e&;==j4|C*5>8mFrRZ?Z^m) zXPXN`zu+%sT;+%8Yu5M(D7d!CJf@C5Q)iaQe=R)CC9#ddxmPkfwva5K8)TYHSk4vsvd8L+s1^mzZVtPMlGv8u*y#WT=~ zA;|I3EzUzt$;>r}*ir4sv(q|BYHyF{3ZjNIO5@M1_{IOZ)6qkR9?ojc(*Elb#0OWEnEyI0LBkNR2W z&~>P_X`F(d)wL>l2K%{O%SHU5v}taG*DIlg%_oZ?-%oh2#Zjyu2@1Q&%`AVF9-TP; znUgr~ITTmaDppTqNor>9kUW1nD?2NokmX;Li#(kB#1CAUA^ z(BEgQfHA|b&f$nDPkK&%{K5JWaHgBjM^||q-_cm+;JM_w>2NOy660Lpcw&KHwxkgQ zSWC&CUSDdcmSoRY-oyc!VvU#cnF%pSKUCHB9iKrn=YtecLJuL3Vv>TS`FODw_v~{` zLnB@NF37#{Ty;wlu2Rl)laUJA=(BIcG8&C@2~(#-VqR}@HhD*m4VXfx7ZF5S{$_k> zeuZ`QFixnA@HPtUCm>JnB8blZxYdP^o2$Gf*$AC7Mu8l`Y6d&C7qlyUrI?=Io($jH zazn;<^tebpyS7a(zQLE&Ds@`1$?9sK@myj*zT)opzt)0PLSBACxGYH&4z&Hs-tB&3uC0po3nb{Vb0{Z$l@49&4-ZvLCI<^~ z=T6D^f@T2dpbO*rw|Fb-ANAA8oSyN|kg%Djh@p!q?|(+#`bYiz!`l8N@F#&k3H(Xm zPXd1u_%D;d|2}X13&s5lcKaJ|{p;-gU#XveyM6NSy!C&jezN`#>L=@8>gPZB-(Mo) zzs~_>`6t2af4BqsR|@|(&?_4O=U+9|zs*~ff7S=({6{77Zz=S*xBIUvky-yX82!K6 z8D{#|78;~?V}$G&*cMzsMwS!8Wfq8Ltc?RJZA zi0|4DAQQz@e0r@~xrPb*L!2c5j4z%s@v+U=hxBJ zdu7x_&vaA!Io&ob9UVG!(GM*fIw#b9dUdjT!HPSE@WR=Op(`R4cl1UA7}pXsYP$>- zT0D9_@9UrI7W|UIzi}sjRPdlO{sj2Z4ARQ?MwY2AiD9KYGd-Q=$rt;GDZ;9mD>%Jy#Kcp>2bXt3hbb}=!vP13Y}|pO#7@L>k_Cc+ znP?!teiJJ&j#m5s$hdVW+NG3B4T7sFNhx!Z=9~)2ie^0DFI5 z-(QK9ZGs4QSHTevTx<$QbO58P^9-r!tXC`FHFJ=|66dHwdkMWK(0yOCk_UdD1N z@!siSRl>`Ha4x}&i?NiX-j(rE5}8L9>oCD4V<`0$Mhe@aY8DgU_M}hxH>o{9ijP3V z`x~k4LD^J+5F#^bP?3dV)L>*OAe=-e8eGe_bR;8uV@LnuTXKPNjuhEb7S&E~ zHsci=B;kpV#f2my9|l_IN0J3!Zm=Mb!WgE3Cz@&?ohy+MC#lVL0VK*%a!hakE|gSK zz&7z-oEeVN0T~zp$rgvxmXk<0!Q4M@l7EWx&;XN`DuZzG{fA*P<}%5NgavhxTA2oK zd@pjMS!QIfFKlX;lhRw?KA$YjSZx|$Qm>@Ry?_z*{o>NRH4`F5B`pIRO_!^ph z+hoe9OM)I!8h{yrTGbK0gKs2g`N!UH6T@Zt@=z-t)EA|ZfRorH8|-N($=G6ImCLY_ z`Taz_yG#xl>Q+5m_#{r7DHA$tQV*mJg)syaGL@Xkk$`y>x^PDnA_D3Na#DERC=5&G z^2waZ=mX14vk3`*^NaZK#IwiK9@GHPvvRsOv15>D2|1S%`8`pu0{U)Sq}^ef{l>46l@6&zZYFX0Rkbzl3u z_Q(rtcKjhhZmwlsqUYKGVM@NYHB(FIJ44_`FHYU`RzN81>MPf(6dd~~zZ;3|Wv|f1 zXY>0R{g54YpEFIcdWsnR$isI~+M(@;!K`6wG8E{4I&r8o>5OlNS*f~J9M<5vl5Q(e zK#<O?cw>HMi;m_n9nj&ajkZUz{415upy^i+W zE8&skCF(VYd3p+g7qE@^wKIsGcfcuj=+oDLm+*W26n~)|3Pznea|2n1MQ>@gU5<7_?+Qampm>CutYjKTH;edI*v>L{tX%ITootEAkHuzO|o4vVXIv!EuY!^$gN)>T-1 z+A=lEvGdCZR#TN-Sp6Gr6{~hjug+%X)0Q$Kindsw~QeY~kVSl;Bml9j+9g?Y4dEN5UGHQ&0^$1x7LW{%g=|KduMiYcJup*6*;-@3`~l z)V&VqWEmaKyrm#y433Sy4~~CkRb61{CJe38AbO`|WdY2!Wy8bbD!LUVh6HRpSarLk z-kx&nvbc4Rb4h34IywTXiuXr$If;gZ5>V{3LDDn#%~47RlYXgw9|R4LH|rl=oy6#?A!fhX2a*C|UwMH%lPLEL%VST33Y3oAW3d~Ac3 z<`sH)^v3N=OsV)XLUK)A9Dwwe#3yU6EE_(-_~ju|u?&tnz`EKlbzeMPwG^GUfX5u- zoDAD8biUTj{v+K=zJl|i;XqK#E0^Y6D-o+X6j5LQbDcBT87B{GlR8Ke6mBLJ2<0td zB78OkuFVxECE+piViZ8dP1UzlETSE|-rPyM!ew|N)ovr!Zj;iCbFC7G_NpULAzcjV zaO+KC0bof-pv}3y)PZr3SLa64PInNS*zlTY?~?_gP4oHAhJ(*8Y@1P_jaQCFK8}5z zq;#6>$&VBU-P7CYvo0xmwXm{PwbHint)jh~_I=5hG}xVqA}fy2=osfiv9gDr48eL> zlF>;in?E8daACbXtB~$Ws^*RmqWj>Sp{MUY3Lag*<`B&US%cMiL4pa{t|`nV1ZG0c-+Tq(=dZ5Z{9@5Eau z@~4(mkvhH>dss52XKa3v-qu96lZNureEUI$gT}#Phha%7(2a@a#MMey%QNT~bKjml z8Rqc-gExRFgm))qkve#H^hzt}!}Q2_Odlel2lh3sX6J2<$=Qx*aTuX&NB zkJ#oz`H9~1=;TW`LX)eVctfCLTtttD-aLL4ClOznOpjSy3mUx06+LMJ!dyfi_oa0+ zxE_G^o;mG@75xGLz4{aymCyTaDh#|{ve_jz=2fY>Er8>?oTjjpa6yvU&dcB~cV6UE zo%x{|j4GrmKQ0XsqEcrH);Hcb^ow@VCoeN%-zl$NR#sf#mV} zxN%N}OWE>l&Td`x;%8csOOs`Xx9O&y8{;bsDS4e%3yh~o0BJ_@fHkD1cUWSIvi}}B zFWac}|D_ASe%z73?TOZb%MVEbRImx^ze-v!>?_}~`$E4{l8Cdv?nis-jUCLZ?z8dZ zS2b*W9-h@UyLWCuF))PgXej<;YmJ8UNPEg{Yz}y(jk*JT{{_Csqkh?4Dm8QYYVhw8 z>Tj!;P&-ed4^@e1Mm9@Yp*c1_lRr_+r!7_7GkB$BG=pfDFGJJ?9#0J9g+DwFC5-DS?KB zJ>NC9md})74>#OYmYqy5ugdkdT4c9y+9!e{0J}{PdxlclbX~K^_nE4s)+Gor%R6+J zoaw+F8InujQ5~!mv*T9HvGjU8@^%xtI7LOT4}##bk=!`+8)kc2#OzL^cJKE=G3tOr z()wHQpm*GqNLmsNi?b8s6Pd}`2s0s+X4B)Ztl7rIT7lreMH2IfHnD%+_P;9-R-lVE!0oQbg+C2{3c(& z28FJo9^dKJK(@!jkpa^XW+x(5J>dr5U#;;>P-dyeQoQdb5gjS#r+upeZAEI?Pk>!u z^~}?%=@6dCI~;8pvk0Ipt^yys56RSmF7lJ9B3e3Z*m>j9N6Ma)C535I3GFsQfa``R zM7Z(APUCGVEIj5J_c)x-!;EP%dP|1?!HHq$wiCoV>ajs=y9&T19Mf) zmpT9Q9(`4Y-r=qb8s~^j;L7R-gYM?`8SddR#qSv4(NvPlkrXA{JN_s(Jo&0BD1(S;tZ zW+^|1l#zVwU7^DOt2G8F5ubm$DS%WoF)ZnGm;HCNdw^0fnie`$#&&h$E7e|M7nfRw zRF;V;>f7yaccH?ZH)jBLi=g1 zs^x@Du+s}|S~8Uw-}zB!vK>LAc&zuRIZ zPE;F6vE!&Tz&@HGBdTtM3DJpMilhe3nJ(u5Zd&!MJ^ijH+( zkdy7`fONi&inx1^7HYc(-opb!X*DDQ$iA7+%;sMEW< z)~`m}vR$4-)A~cxSyOpbM$I2r-Pdd;eR@v`<6ajzAojN8eEQh!^a<#LwI0zI*(2cw z_Twin&mAwnF4P{Vvy-~SvTxTq66^tWJUymbGVpz37hazcY5h~l9bx3sW`Quf(0IEt zJPxzLrUKg28-Vw9E62bhoL@F-6us4D4HxpRO}x&b&MJk(R;D$h9n$@-n7&lMW4aT$ z=8!ya;m}_zq=gT=`1MprwwF>t63#Sb?&!@l^Yz7_RhmE?-E?L831g6t)i+pWQw*+1 z@+v^ljL1v#`MW@Jko(BuzJZ?!)TD@x?1)7}ikXn{|ID8$0uCbWvIuR@@u>sce}2uf zZ#yo(qLWlc_J`gXmse`V)4x|BkE+o7@cFo}1-^>)e1ggP0(b2ofwG^vKRJ3%>-*OE zCi@*=@W5vGP!y$^Uy8@s9?C@Lp;q+ExM|(-W-<-e-|2#CFNeyyoI^5nh9J38NIDRs zCi%xH{@Csz=~_G_h9O2rRb`Ea(@ZL~+L4LITVC;Gxz4E-vAyUh&#URoKg4Bgb{F1% z3#7CCLuvSz>qmdYRHr1Ls!B zPOR^WljtEoe;8LdLIZ-un+G0Gv4kS;^`L#Fck0OixK@ck;h%~WyQG>DFht z4MLBelSLHCC9l9z4_lK)5gThzmVujk$55z+JMOVWxG*fFf?IN=(8;WeL*5Cu7j zGy$23&BExYx7mnF&=)H1^B!9nKOjx?(?b zB)t%}XjB`17dtC(+s0NI0tBG|L_~{as5;OkmJLd9s<;#z0nxmri3C${%)$2w)#U|Z zm;fs`evL5nWc+e6vqJq|M>;VIMlNlABOw{eGWtDMDZ>LH0EROvKEO~}Tr0^=AZ-_O zfrcqDIjUV2lC7)`CI(P|E7T_Ytq7*5yw5K4rWh#@rH&B*8(F}PrxiDtkrKt1{nRiS zID#{r^+C{pUNmHwl8Ll8)NraYlaTsK@qnU1N_n}JG{#zmS*j`-JG9=X*zq}gm{6y$ zMQ{(R-(GAN<6DeImD|$`U7~DwouofY4RJjDz8a1V&uZ1=j zc-^5_X!rX`UE~K_jH2G6Nrj^Ne8TeB7gMjTqtbU9?gqf1>0oUjW;SNCY>AQ1k_AQ+ zWWKe5*o#60Zf-!KjWr-Z$2SM~AkE3A0 zfP4s#@}lqG-hw{O?tS$Pr@FdZ+ff+P*7fV+v(wZf%3-A96cg^7P>XtDU6-6NJ)5>7 z;qTSi35z8$X@n$b6&S9Z${H*Ij!^iC&?{|a2T)VRP<_y>L)|?!Z}H0L1MzF4czvVr z4(-X=V)#M7LqS!YTzTZRdVB#&R`K~$-&K>lKCId~Hsaah)xV3nG?B-d(hjSDT!AU+ zDPa?WJK{!pPx7M!X+;>oYe1HQMz5gbhqF&)mkUgGc&+}ZTxo?KncIisfT_)6OlIz)w8HUfPjwM5&I@c|xW5(p*3Or4G57z{67 zb{)#U3GRvL?oaCYWtSC7JBt0P;=bIWPz8=2nUIFD2Jwka_sMIemv3Yh7N&W>yW-nn zlz#4+7KW8s1;*V1l5MEzW~A#f1m#JX3(i-9hwUYqO)$@PR*(k@IBNecLWGy?3YzNgJ6WgjT^GVGcRSR0T!s7W5V5nj7ad3VDNBUzk#gd2FB>gCzX! zfxV04B7Kj0xgWaQxLS^#MJo9kb+>KqDCDgn2|eoi#aXiU_W7Q1@N!T~f=Piv_D zOxkmU=Ac3OB6wR!khW!BviW_Fh>&&F{=!nGfO%6B+LGJ zfK+}}hF}!qmSnHico-I2#=fm@_SL!ZTtF>{g`ccL+4y^*F*}L*&~8dJA`@^kkD%|a{kbq^ox7}FQ%A#jJQ7i+R9W*Xj>O5EP3TF9FxB0@ zKh(C-A$i|B{L2(j9fvP^UjNS==^Uk_)8?n-EK1nd!$Y&U+WIK&b_uljR|^OI8mK)-K3QGIBSDftg;p|Qa|zQ)2K@Qa zty~e6aNkSHeWzdo7u{)Q+?eTR5zxC9`Rr>bNpYSwg243SiOfD5+P_1VJ&rJibcoEN z^sc~hu3(TRYuW&8&bHjyD(NwbjVAaGl2Fx`4)Xrs9EZg*AX3c_^NKqFn@2}MOl|~) zZ_}7GXD5R3-m&zS%3;gbP15wXy)8VwU7>}kMU4)_&Lc;YB>P2XJ zo~GO^)96UU>sABmjXW6o?BueFQ3DcB8o8B-zB1eCunzZLbPoVA*5e8 z3z(vC;xl+EUvR^XI0wtU5Xm3^?d7C&ci2AG$8f-)%}Cv7H|M#seg}r0t9`{x_HYH% zx3y@PDjm6V^X^wBXzy7Nw-(=z(^yYus(i_7)=;X`cAN6ZOapAGvp_i#k5B3zPe^&6 z0hYc_|85H2=XLN;6jB8#6Q<<-a{0&P`-ANoLKW;f1ipRRwaVrfFHk!gBpXhYP|5~* zQ0(iyz98?`ptSm~#%!1ymw^UU+S&%hw-vV2d=bd394c%^Ru+g8_fgb&Edst#MQ*vN z$m&34QSJ?t*#m~f5RH57Lf~&n6nnoBcd;lCY!l9$llh}$s0XiFV6Avu9=NZE#mz%K zR-5;Lb(J}3(V7FF5=gP}fObr*CmIJ(080$>L` z&JSNZ;aSl1Jr5Q2{n@7t`|`CcDO~O&%1Y+;s{)k+?6XIX?__azl)JJzGHM^Z879jU zhgs#Eth(U?MkS%4I81OcE1)Fx=be{Op{I!@L2Eu)_k+HGpAfKWWVZ!4lH~QlxC2PF)mU$d`aMPTr%ajex zv?U`Alo$y7M}BV1y7%D~OflUrQNtBx7%7uQNfoSzX5r>)O(fs>m0Vd!e)kL@W%`)t zOxD^Bp6Ai)>xUnu;_sa=nIphNu_E|l6?kLV9qivcotfLyjd3+#>R@@X$Kxs17KD}b z@Er1mIk{Bvfau%~u5*h*Y#tQBrSiJL2HKN>gFUrQg0snXA!B%YUkk6^=KX@4B_F;c zO%RdIo!8~*w0)`Tz-)haQeO;%vo~0LM@b*p3*8JUn;hsK(C5b4Eb9bw5&My=R)U#) zy5y)^n+9G3<}1Uj63SOI_DfTWvl@LbFfrvU<>K<|Y<9^g1AkcqPeWRETd@`%IoTE1 z=dzc+APgjGbj&y)RK{p@X$HhOFaHht+*H`hOIOg4yi}k^P3&|;&ang`udASMrcPh# z1d;OEsh*Zf%tg8dptVgdg$;7Lc&C9SmYpG84$kAd)koG!I@J=Xc#p`AuiUjK9%CC0o>KDUU`9Je=0Ak0l=O zSs~u1C^+S4YZ5BFiLch+;3UmZ)A9K$qiop@+a6;~$01SU? zpQ|#rQ|R!n$2`HRYCk~6_aW!X3wL<+x$-aaUVv3ue9nK9O#SU%;Xh>R51IPUNT&WM zo`0ysp9KCS@F#&k3H(XmPXhmC68PUIQ-48=e*qMKCsTi^n}4g+W&8(%`hQW2or|fR zi}OF2$A7ap|0iVXFQxNuWa|Hi*~$J-lBvHn0RJB+Q~z7*o@{?}ONH%i?46Vy42?~B zc<6!p_P0H#YS{OWXcnJi+g|_C%bij^ZgMO%$Pb zBzMfivGX7rhoqO&GoNJKNJbGW;f_@P74|)H+)R693YG^j6K*Y~>OtfIDpwQJRV zsY1hL|G6h`yX#{7)Yeg-RaD(Ji~rYNY2`%YDZaVVW{WxhR*vlTRdW2kooi|o#^;d` zDVLNep7`*f)~4;Wk)uCb#J+~vzMUXxN@PcAqkd3jh6@0lAlTI`t{;p^GVz0zd=F&Z-_dg*Sfai$WT?!R zlfJE^g$uoyaLrJRWL_RkLxY5!tzk+4!?*V0JUz^6 z38bGQ*0x8=~+>oV;&E+@65~3y{v11t*+>L6KAVMZiAuJ+B zMK)AaCWR8|gU|3jFE(StZd|uA0Gq2!}eET*B_My+CW z2N5abZ$>mb3_RRJHylz1j0UZt6D!QwHN^1pppp(k_nd}>PeU1I4P;D&#ORGB!965}uhNl_s@JWnPJ1)+$H} zQp*_TI{Ap2NTnue0@9Mcu-m{dRNP3@rCHK%{5hAAV7?DiM~^AtYph?8u3XysCUp}|ABHoyr-;h4&yp960pl@tFXCsAZ&S;lt zW*<%af;E`BC@VstSjJP*NPXSDlfQ06EN#aCg~b_j^+Zi<@E;&Wg*hv>3K}UY6d=m$ zcu>H17?#`VashSOL_a|!!^MxF;%OXx1S0b}ywAHGf#JCHAEF7e;njyT_!?(&uZLVlB#!}*uHOBy-AJq zyj-TpJgQp-N}of%FTzagbWvskzVaKHm43E+Pczsc2w>{-hl~@E$npz+Ltykty*8w+ zHLS<8#Gt|Wg^bt_z}%a11~XF6B5=S8(PJP?D~mqmioH)@#eKeXpI~ zy0*K?Cg(8j(M!g!(YoS&`tVuk2{>3!Q90rubfFVzI%kO4r0adDbr~i5YQHh8ZQBV! zD<$@$ivFljOEpT6v&>1q&dGK>LCm4vfb;}Pq0gu)=xdl49$`JgCJd`$7RX|-w{=f} zsuLe1@@stjDI?ta6qw9SS!oRbX|==Qkaw*9vpW|pnx zrcU$s-bYwA0{A3?h=YYHrBV&kS=cC$_={UrbUF+V?i4;w)ldO{o~4d7BfjNJU~EIO zLcIl=I&WPr>-P2b{x%By2{9F7YtB`pVy`T@JZA@H*H-vw4FISL^@ilZ;si6k zYU&#lteK_~`9L%k!A?kN1y{km&`Qwo9-pfx9+Th{0}_R{r2K8Z2&c`A2wwO0QobnL z1w6JN!mIg`E_@E-57~*GEw~jnB;6{{hYYTD;O}Zqh?}0W3k096Yv3h_0s$|**SPET zoFUdj7YmLg$RJtb7YiB2_yA0qKJZW`j&vvj;cMSO^L%APcI8E5cXJWMph^M6CflA3 z$5cf}Y%jsZMty8TXhbfG&hx$9uuf6oQ$E;25av&DQ6%o27{X=MuOI=c;~6<~#0D%` zpwjD;z=pEZR0zzP>;{S5wIW}ki5XytYwSkJk4&O0Y+8N_=^k(dGzva!IEv)`NWiiM z7{XH7ulfeMkPia_JqZFZKvTO)39O+`%fJoNJOI+@q>tLP+Gh?f1`s(Iin?P`2V%*# zdB}0=oNy6rq}XgwYTmNa6RM2k4qt;Tg9-4(<311s*DB;px4aZfqkApghhtWLA4g12 zm5t82eE>AU&f$LW_rnO3JHSH)NDs_#gf=|4+w`IJ?uOb7P;?MM{j z=tAs_@WoCxM0>U{yEjNWz!xL8fhpcbVBgjs_^tDYgWuF6iYM^~m&I#biUqEx({i)r zjazwguW==J7!)en-PRk-K?t`4PN0TW5a1MX*S+tML7z1fRnGS3yd?lmEH31wkn6P8 zatDz1r4_><)8kQv2gmN}DkpwA-@YoWApbl@LOYj$Kz671nrz@rh1&i?`mPn_1N7ga59xmqd}Z-RQBW@cmcy%92zPc zi#hS5lXXCA8t@yYTk0MtKi|azdnj4lc_CZz>By>prM9z8znN)2IJ)ap@NQ`P(paEnEiKb7EuD}MQMJX` z=cqx;kzUSA-kOZVR^Up7wmVWR8i{cT&TbC!IqS$>dLYO3fQb}Lx7Y6i_=`08p>CA4 z9fbx<2S~ghdN@{hW*A9Hbxg|@HcYksC@3Y!N5k4Y;jBmCjz2qf=%jOxHkjo{!=KhH zZ_UG_wbcD8+F4ymOS9qE0(2Q`&nmnjm0>SF0K84`jp2S9Q!yFytD4KOd$XAHXAjHmU*7s~7a>Ht*nX)OU2|Ae*4+oNaEwP;>u%u36 zlP7>JnHYzNpUSMdO$emcW%3+^$baqAd19HS)BaKnItQM-ARw{?q9_Q&!emv)3seJ;64A1U!>zNYH4h57R&$&W^9tIK9T5HyCv z60sHd){*B%1g5~VF_lV4TgYf2Phf`{$+J$tS2p~Cf~~%4SD-G)YRWb2K-+Idz5?XH zXyE*^CrXOn&ISEui0;ho!TsT8!9S44R;MVNH-nf3(~E*|(=wKPMHrchod+x(dfBYKiSMcNC2a8CQ=N(n(fp<-)7_KeIw2t5c)30$;4+`d`AE`YZi zIMEo3HcPs(1QZ+3*u8SR3g;(71BpEp+csg}a4Az=H5mkD4IN!+ntm;xE)>LJvS}4% zyA?1-y*77%)EaD<;~179WHn-oKmSdOCV&%DNH$saS<7(X0=z=BjQmMzMBfEnxIuraS=c)mQf|t;ECcsjUxDwo6(oq>6Jg|% z!St-!6>=I?t!HHqb~#yQSZ^-T_qp*vU6?qt)a^c_B>%MAblkArQkH>@j8(S+l&D2b zz5sH|j>Lh!#IoX_)PE}RR{HdEIJL6jOv4?P=4#7+3%%p<_2L+cFIhstao4Qax^Z_gL} z$T^wI>4z1Xb`f2w<7g+h_vz($L>%T0MVoG=aVPy{xjSAkx6jkkFmNu5PcQjgIu1Fu zYu+O(U79KzY1iHb^qWClD9V=&h;#4CLTwN*QWQMl<`o{Z%~yILMu6bbY1-V&0ZAm2 zgQmFMn{3eAey^n^R0;)2=p$p!Wa}WxvjpJ!vQiBsDL-gctB%a{e7F~ zj6-y#%st#Pgf^VDQJ!gbvXBvBseXvF5R)`EtH1x0f(mBresAq+$ArPKVm9KPkhl)+ z%zNuADpFzu$Xeh}B7+FT6LKIyg1_lHo~GR%qBISr-VuT{h-f=y zBNB#`Jg^HoQ2_5@P^f2s_%-j9j9#>r@IL)&hI_1%dt-He=kd^w>LvgV%^&(< zo@QC6$+r2^bPvL?bIJm5{SCC=YGCH+kkJ!saEc>lkIus`ZNW!~J@o%!?=6EW>(Xpp zEE9J~+}+*X-Q67$ceg|mcXxMp*TkK~-Q6W|yHuWvx?lIH(^b{CI=&M(;154od#^di zf?&sd-Z92p_9^oE?#&dk6OpudgZKOb-~ZH%3uT)di)eS5~QYFr3INiMf+LoY$u zLcKV+B4l4>z5xQD$|^qoy*UMzKaAXe%qe`#Dg56!r|{vR{r?S_`8RFkzx_6UzH92g zz4U+63qKV2P~by>4+TCH`12L`7v>cHzJvDnr}zKhp#6&*_J5yKVEL~cv@HM0LHk}q z`)=g??>T5$|Ft=V-?#efa{ujf3jaj)@9(YuO|Cz2O5xoKO-IM_cO108pWHv3Qea@9 z{iB1nPR-K#z54gHLZ=$1_(x7ts|QsGP&rm3ciKXz z$!hZQD}-EKK7jTnIwt!!$+OR`o;u}MIV$TO3_6^r!w<(ET1>7w^<$0eUfzyu$!|7= zvn{C}ok^o8p|Ym+s#5ekp-SI)ta^j3_2UV%t*5(6`kWAoZhB#X%x7|vMvLN$`?hyj z&L3&RpBKzSywD$$RisS%=d~%)r;~BqT+5dN5wMn)WnO07i-tu;rvjue&%QLyU2H@u zY>Q|kK-`=ezxuUkTyPJdF-RyRfmOTi{qFuvdUd~y94hSt(Et@Dx<62S=r>j?c%~hy zQ9Kar;MkVC;V zVfETHQfTR_75L7ZvQ4&4lgKd5Gq#cYrCz5DmMCRFJs-V+%!Z{%{e*}2&Frd@K?#GWJC4!-3zNxGFFFMo4Iyz(#^FR14$XFN8Zf(P zsWHI_SnUVBaWanC7wREqJ$AzPk~S!~Au{Ao!5ZX@#prIeZ8AB*qE)GINvHnCq#96N$AQ%2eQ8-rA4`-dJl(*#RRwDC01gR4*UD_3>YRS z2s6ASw`^1vm_e*Iy>h!2@r+z^RKGKK;D|B!Ai|N?@Mth)NODFJ zexT7OY;h+pL|=_Pu1AfKoy^2wY zlglB-i|>QMl&$iPFID0lPek7Avsg|mOZDz+B_?Q&v&t>z>g)gvv;nK?1(WTGuO7=} zjo_8vt(a$14xtUGXLkoyZ|ypkulXxF?n9`*#%zB&bQ6*o;biYWZb85t0bC{z+~LY}N}fVKu2LFW|T`Kbe36$5$=qN-}EwH7`}Yh3sw;lU~YN z=@MST@vRqF#nKb@QLNrB#ew>4L#3;A&8iJuO_!ZiE)<1dwCQb`O!01^M)8Y!I z_8a(f{;)qLyt{^h|11tIRGiINYV5qByk7i_S9z3H{M53e5tcT#@*1Tp`19`QycP)6 zPMgfb`CyrfP&TR!0rf1FvEj}VTIWbYP~_|PU*_2~EajQ#u>9_H4WnN>m=QXx4$p$` zDweRDsy~@5yIav4tQ?J0s(Gc52@o4`5$?p9IzDYb(F|^C>0Hraf((97X4&-dMfqxL zG5O<6**Iiu*ripeh$2}7Wod`HsOa_nlIzn%`U%DKYV-(7>)iE*_nlsw@Jh%x4P7#X zDGKW7<=7oKLr%E-0o0d8B)jfuhy}PpaB%}9yWoWuPTc)ck9~c2rKU+3iP6F5mc(f* z`Vc%My0-DV6OX3yd7k2@5)}$EQ`s5K@OSbum%$#Kn;ku05zc5n~4ux<=~Gyp7Kh+X@leu&m4x+o+f*r?|ow z@b36dw*qDo=S+*gd@}eGvT<|NBB*|7ChL&MV8WX)^r)+uwaW40V{W;0@}OE1veMS9*`zW zW!>wS8)0W-V#uFNwdY}CMBgVGTw1A7sw5&ohv+QB_R*csu%%JmWq`}5u3vGrj6{mZ zVovew<3tC|9d0_-hMGkh4Y2vpEhu007V#tGDV25=FyxNuH}^b{t&ste2kF zf%*$qfZJ*BRn+|KRQIK0?0gYTn%GJr%r^jc%_1)bBqc+(=sSus_Nx^O74-bNx3AO# zccP#Sx4UHo8hEB>-=<6@NM7n=rfGC(@-lO!V1yvgUz&4v=kn9^2?lYLzDwZ7gfdj_ z&?a?u=UXcTek^FYtPA+ z;zz}YKg!^_QFOPw1ihkYE1+(+rr?81{$A8^Ju4-%JyKHuf!Urd0we5c$a$OBVn3P& zdBk?x=J8Tn%E9k*iiC^jf|s}h62`X+oViUiN_F1SPy_&;IKUcKQ_16CwwraN?sWVd z3Cj6&_zflC67}LWr(oi^Lg~~@{1V)#2m1>7degPuOm!gT?B{91?9m-v%1?XD<3pBi z?G$9mQm@3qZ)e41)Ef%OA@v4S;IjaJGSyLjryL7q1YXlA)L zp%e{-C>k#qk+GVW`84BY-=vu><&+Q17l)Ok(WwOX93$r4O&#fU5mA(l z+w4T$>yyuG0HgR%GaGp0JwI7)UZ0;(?{&WJj*C}~hkDk8z94}03iIv}BbN3d&~TY+ zZY$nwM4=D+OpL>u9%{oq5SyQqWccM3w&8m>O8an231=oKxZPM1rVfl7%)~-r&I|EVq5Lt zq6Usg?^fJQo#V{)8*XjB-MwCxl{Iq*3C%0ilrPGMB&;S=wB^E)d>`qKN00{_qO9MI z6SC&lsd%=VRiq)g<_PxpZbFg$a<1Ti!TYZIadoA2QPmk}o&5Gqj(IXUz zBtt8Z=0VaJ+brSZ^<UkbT67KH0UX*@13=G{4 zmnE4<0x50>CKi}}jSxUR?rgRC?Da}SF{L^DQyXF&k?7UoJxCe|n+8>-ScOLm=Xh*{ zCDDvQ){!XuX24eJm#L-!0tfr}A_W2wPH>Uywtolk3bqX?do2+qIo2N!>>7~7RoZSpt^N%+WQ~>AsA7Ou&Wqo*VKWbH_tPM>+YE^M@ z4Gq|ht?exJ9JuiHY-}t{4fGsLt*vNm42|*sa`5&3o&DWrVd!XJWXFZiXK1Z&g!}Hc zz?C%Bx6`w8#ie7Srp2e>hQQ^dv3tK%UQn1Df|JID-Nn+z(#S#Y{Re;Y*T(`r6!=i! zLxB$kJ{0&+;Llg!pJ!RWF~?t##veSlEdQJ5_AeajZ*b;so?Djx%5%%|pFFoL|CHyJ z<+nYQM!~_((ZE4g&+fOomyzvXWLbZ@=l1vJ|0dYKk!JmWXj5f+2Uv7;tbds@{7>1i zzn|kjBwLIObhQ7$f4ge8-4gxYrn(U$sm2J1@XQu3K8yLpYKxFL6rr^knyxvCKx8k1 zj~r7IXJ<+P;42)zn;UZ0*nnE554HPc?<^?_87wBa%H*2T(9wXNGGSbm;FHvv0 zWM)iQtd31HMb?2;Z8BmLJK0$qU9zJ#C<*8hP`X+8vLpZF2CSrwD-aUH$mda!r`RUC8Bc4+6;0K(P$0!M8ZI7` z8TQTTO#}hg5lGO8n0^wtDMfPE6`kn&piej=4c``FQ)?%*0U zz@ZC8m^_n`hA}miN^yHeYDFE~8kx z91rTpvJ&+de50G;UlzrP+2ZleRi~wp$J&%_sEd|^6!~f1*4~6kUyq5ap68gMmNrw6 zkDhS4xQ@p$RHmdR%uL~u2tt#{fxVtiC5gGns&wLMc6{J(+EW*-ufgi37eh)$RRm;0 zQZmZ$EWDu7ZHen?QKh;qsx~x27n4WN4;d`YG@Z;wBvw}YAeyl`zay6nG4g|05`{LU zu$mvmgOSHq>VNgACc~pa)so|)dLpPR4f=$B_gt6k+)&IO#OwedgZ|qs1n&O%=&X&JHf2GpOa;$XLBqX4%3{Ufn#)t{ zp%=3E63DT2)$5wkRaH!%N8$d8&q-V+BlZc4&57W3`BO2(0-oVG`6XV&uX0;}RG0PU~@7 z0G<8gVb{~|>`VOBDPM*pj($=9c}H9%gp-@%#|Fd;f%mi@#kavv%{lC$GjX3Al{75P zq<2+jRf|)dyf^H=$6=WZOs(i#+DUl$UT$sct>6gXu)Pn9Kisn^_B6PafU2O1PKCC5 z&LYG#wYN3PR|5noY}m**GVN*&R`B&HY%(J{@ov;?+(l~Io7a~-Y!<7{`IR{1T3pCh zxA zw*fuwSq!gvUIJ#+tgjgiMInJI6xqjmMS=E8*SbOt6n?t|bO&?dlzu@IHHHYjw@%dZ zK}Zvk+0ZFOFUZR32*r}^;ukQ0+ zeVHj_5(;|7#95K*b*Fj5gsV{B;&PT+%^jHPPPA+4#Te(Tz1@bwj3*~=Cl!uE&;Qxl zNWa^<#p&sX8_xv?W`x(h%P-j>P3PW}fdYbp3&%>PnC+dkEhdlgt6yoxPSQ@_Br$cn zD=u++FMn~i5L}c4lkz`{5ErkxPKwVtQN=DN(vl={COUMnUnANq6|7#@`O!v)E8DB| z+G3eFE8pswih6iZX~to~z8nJ&OLv@L9@m)9kL`7_pNa+eTE>Sk*XU|6^u^f02#dpr z#XeQ+ufq>Dt6%2DhvD|Vh6AnFQNWw(G}e?xoq~Nj7rfmZDP`cj-eM(=>I{5g)g0s> zpJleuH0-+%2fKP8owXm}cZXj=K*5TdY0}1Mo)#4UN@Ym4#^R7O6is%EK_q6JX-|1lv+xvRc}ayAERZ;LWg@8uPfR z$5HBUP}tHwvyc&5vLk3HfUQ| z!E@>JJ6jFC=uVIh0EVnfY;-4PC-JjjBDX#qZN^z)5eVHV_rad{u1e0{%w-{P^%%|1 z2%WO*HmjHj^N8F11yIuc&iC7Lv-*izM|oeN#7{7G}xM4KVSNW4(_Zmmi#_Wm$;&xnu4a=p(jR+ z>1+vtokJ(=m3>xY7fnqbB$@)mx-6~{jIFnBzacRd&EZemuX$#)+(MSR+!>!*p-bNQ z4yZ!DOR{F77OWex%8GA9$CD$;2Ge33)CZ`H0Un95CX=OgpbLJrBMa(qb-ptgxHH=( zc*Fe35$GofJaA*PaGty;4rT#6JyY4PfKeBuj?-?>AG@UVt(9U;U*){zRfwu@aWioz zUeoce9^;qx91MSHVT*Gls=vsgXuA^`W3a0&NUiBnVc^m&c@Ysl);6^+x` zNcl1}*dx-+eR{xNOf|XXKx{)@#Ih(1BcsU>KVV#A$JYDTK9)PZq^?DUo zIs!x9pWTAC!|FGC5ONG-m8Y#o8C`+{WCqbW6JlyNmf^K83iAP6V8uXB5C~9rOO5k|J(JEBn45GlGiHtfmu% zEV?>k^)9Z8b1#4hyvnzIx9!t9q2`58RAgwZ(ZKmqbzRUy9j`vF5d&>=P%tw98Hjr zr=b00W)cu@RtsV6ZVxg$%N}54rLPF-_rT&p=O+WXO=;z&2Q7aJ@z3WNGg6k6M=QS5 zc&B-lL>#ZV{Sa^Ht5ufOsaJKlG}U*<;&N*8q%*y1?KW*81=~PcGCzC; zf0ZGHUPHb)nRoetF-+vFCNaFjE_-&RNjl5eytI0yUQ~sd0)c4%tAjLV%`i#vG8qg*#&3=|~#wp@hPyxp15(Tc{eiki%6s)3CwCr))UK^72^|C@`VP9i$#)*fqABIQ~39Z>!|IRI~zleuYXSrb*^D}yH zz$#dH)sav-%bO&E-Zyt5PU%am=Kj&Z#AcaU!!_hrA*bdJ_J&012=1AVq^Z}{ zXX#~l4eqi>6+#|mhtup0mKQqjbsQIQhZzJFUnpP{E?v1(sB) z)sgQYyEArksnTR?O-P--M+d!P7Yu3l*e|V{$D&x8IzwZlM7vl*S^y66ty6i21wXLm z9+n9g>IOKsR-zuwsTOlL5{;@iFyk_EiK!X`kt5|@9kdfg#H4Yu*6&&#hqBotA7(DL zcobQ~7Th(M-fZj_t&Mdpd{sDWbl3feUqnI|O&Chc3;qcqyF{gi}w<~sU z$%=_IiJ2nq)f$Y?1t)$1pD8o$^`ne;J))f{-ENgjvN-yQR^_ckVfc$I&rP{;o4+F@ z$U+_-y#g*Z{A6mxH-33+s9ImEAcx8Aa^fmkNBJqTC77Z=58?h0MkuqAP!>uQ<2-M9 zi{o(4kVN(@{04QlhnYR0=7B`+GL-d3wKQE6)@3_F;6hkDWI#2R+({qkTe@-M`tXnR zYY>&bKr%uE^J!;lt~tB;pB4ixIOD5*B04n3d&SE0Ec0j7AW71nU%1FxhxD+Hi2f%A7b77kQ&;1Bzkz;Wp!^13=NSnx^K@H*{7*y|4lO|PjEn<7Lh73P5FHL0_BA#CZku9RxH^b=@ zuI;fW@$)j_4XwO*Eba&WBGqx4gvYd-WkT)^FsGqd^PY{d7;0fJn`g%IzS3cyH>Uw+ zs}6WUK~@yhZ<$5U7%jqf>q0Ghix!QuV0>R$wq6r?-X1i$+Aw8)oai*y$mDgnS1i)Y zOhvu7Mc}EOe)A_cUY_(?A)i{M1%-)(eb&>GkQRs(1~(zHEM^^+7@UFZR*iWNk7kXW!Od}X+1ID#Ww*7MSi-U{)1^JorZfzxX(9*? z5nZ(9Ih9#IFJ;do+vnWd*m4WCKmHOs=6=Up`ltLN$LJEt|pq37@_u32V zOUf8~WjNaSLlRrY>yrCKI5^YoxCW!oYjZZ&_CTZZXZO#A$o9i2Mp)#}WsO)5&3CdY zk*Y=l8C$E;6}hs+G{V`LE^1|y^CQ#t_8jn>PsrOr5+Lu5To?FSl;;a{kLN?{GULP~ zyjcFkJw1E&;VK^p2(W#b>^gOmGGY_oL&-k1vDr^1`EW@Y+@%R_ps>%G^{$dl+ zvS=~>xwc0lYo>7r63pn!oFn+>FXhmuq+^^I2VoD2T&NwxlkkUZpJ7QS{JOB^E2e=r zpAM(*q?$F9j>prqJ0-4qWw&&s%ZkUuH1oWyEZ`J+^j-NQIA*KL{T^5y%H`DgNf(_QIy-CF69Zmo z!$b@}(3d?zH(Ng3{0f^)YB&m1EaozUPkZo{Q`$YsEa7_tXf;ORDaw;r89*4)H==B> zX#a(M4f>z3_Vi1zz<>xxiTS+zCkHxscx5WMU8}fevu^;~*RgNx2#yCPs`5zqe(iY# zU-OXp`t#_o7*g5cc=?7su;EIJUPuwvz9mkE*=`OfCrPXs0}U9e^63E#!ekQ;t|)&e zGaIBr;7lfp0!#%`O#*T~>Dt9Z1rsQ4HHE##=A;ZPmjmU~7WTKr4j}~`fDmEFAd5=^ zwM$chsg-2Q02?5vNoIlR@o<4YfsEL%w8h+mSpD2XkhI=qLnpeLfd;>+dckHY|KiIj zZ$qX_Zbc`n1Z}4|g5vpIET#Y-BEHnn3}jWh3D(3w0H|lAs4Dx&^2>~TR0npF8^;t(g97DFDi&n9Vrf+TE@kQ2Bqj$VvY0hQkRDHUPNR$^G2qPwG$h|x2 zrbs{Ms(&>HeVxTvZk?w8w4lUzPvv}j=h>Uu${Q=3_-%w#phm`;WtwT)|JXV4Tq=+rdYmyu1a@{(f#GjQIYWL zeL^*36og5>HhuP$>rxUIry70xZ>4m$)EjW(I@(h(iJTqkaeTrLKWBloDc}N9RFc1R z1kk{GJf$gA(GC;~qB(l9_@cQwIw zCqTgt1jnN4`7FiZyad>Hwi|m~t&3h2ksQ&zj%Ng!ZIk-Rf7i0`UhR=Qs+R+2BqUTL zRBzL+b^A;zSEF@q1#kAc<$LmZlf9TH!DBMsJMkbAcU6~(t{;U9<$~Ab-VQZo1_mEg zZcgtx#bCR)m7^)mfFQM(Q7cN!eBRw5MGMtr7IrhxmLY`^qbmy{7$MRtrrc7f!jPiOp_+TT)ZR@3v7@qzIaH=5j7O77xqBy&{P~NY3bJufn z*A;6a%R((9-R1%UP}=ReDA4ljLEn z2EVjBc2}vRBC&CQCm*)gNIqOe(6k~of=?HM3yR@$aDvl15CrA=X0f+yOavqk?lkKJ z-C0HorwchrNb04R&JVuA|1H`XJcGrO4LvsW#*XbYD+GM}*E77*kZiJ^UOPMiLtL2^ z4Ry1kbsyeV4jfaZi#V8EwQo@SY65NCm(L0C=ZgDdCO4tFXcp2SL%4D<8&NhY-ZU-QdSDsPJomkiCJ;N@0Uo zIUDPaYh8^yKkH{wqQ6VYu*xS_CVi7e64m}$#tIY&S8WF4j&rvi<&q(iq(m4S{QSbIlp^svIX6GWf09avEK35PK?{2z1`2EE+| zFv%R>Fx6IUl)Yqg(==aGM5Y2G=;kDIA%TWMaJ%rl?SxQ<&L~thf72d0h>8wvpw`yA-|cclItK!PvrhM1rf&@~W}O654@)6Car@D{#sU7VL$ zY$2gLym~c0RMfoTL`aDUpHpwzI@ZNB5juJ*A#FqevfJt7yN(xyGoV+P5DqI!=YiHK z{N!nilo(T`au1?#o0<+uAKNWTR!xTqh9IsKcgzgX%M^gb^qeRvP-6lO@_pBwTbeev zc59Ye1j&x?bMo!X2L1*APWNe@_il8%;-=&fzN12d{b7Cx~(} zILmB|j3JW+(0_T*uKawdh9X=k99@MbZxbCL%wb&Iwzo39498R2Eke4x2JWA7nE7Md|q%JJ78B>0Ab-BHu%S$9didQ4fXvn$W zi*$FQ;btS!*4yBkXE~Ufh-(YW23}~0W}5qa*;$@b@%&bk7WMNXMObxU;10Wq42wSd z<>pn72o=}SI{EbI6T$*9-(&i{zW#NlGXi!7J2VCrJpQFEHGBhuySj{u_&ZjE@h!(> zxcHvlPD{gYhC9($?45*2qt$8sfoZ1#Azi6X<&^}38qGh z_~xQ8BqoTj(?sQrrB33=Djs`~ju{R$XDhh&n$Y2sbH&u18NV}PDg>5swvRY4Du^sK zV_rAK5TffRWR)fwK%fU}hU4>%_`U7@AyUJ1v${R0bl+-^7rdF+H$ENaf{Km~qmnbc zl-e)4uDkM1_X^Tw`3~RC`RlMr9$x|-!3mgVRrH_u}?d^Lg%Hz`@z2k&^*X&rO`2u@MNuy1n8(A zzZ>Vnlq;(!vA@m5X{vlZ?>>H%+d12kuG4JwwcA;6Ami?DZS*|)+ZAXss zX=@$`$Y5#q9t!1}IMeDWeG@0MT+b?zw`FF(QpH*+5%Q9!R;??iAo8B0fYanJPP9so zSUp{;wpeB4iV?8nU{~qHIYlEGC%<;Hd?~Oa0cP;JR9!OV$-GIgxpd~96OsdPc#3qq ztfgUr@6@_7&=NG_@k6tiS2C$s?_iih6Yf@O_K?EIN^S8me*~Gx#a!qWhN$y5!w5c) zDFApG`f}vJ+^mc7bR$0f`qSE3PWIk)__$3zOy*jx7LG37D$t zwo`W?Qs0^#A&ffMRp!&wJA!?S!&$8!HGFK2@bqT4Ck6r#66Ml#&UsIbP=HW|3QMOu zAeuTna?7j53hTpsy_UCcaQVQ{6>-+BWs% zyKpMKynrvdm}6I}J|)yxtyNf!jkm9d7g9f}Zn0Q@Ld?<8(^>b|ML5!`ZM1O(NAW+E zBxv{R_cNCzil}5jTN!c(kJl!9Gr6()&a|zb%u>os9*R9vxW@a0Mw{IckJ-PN(d>8A z^cm*mw9s6BAGUp9c(&2PM4~4)EEKgJoN>vNx^280YT3W>$Qkl{MEWgh%qPq(qX8<^ z$6I`^c7m~6SC+5RO9w4MVTeipF!4AxGlF-`ID11uBeBJXeXB9s=q*0j6)-gqbH&aQ zaM6q*{wZlN?<{n56zg&_cSeW4f{8xQ8j3@J6uX|-TptVCX_1;7GQMq9oL!9I&AjG4c{*tk9z-}kHI z%LV~Zlpwy0$zRDC#zH7h)ubeAQN$gKfV&0^IpeRCdVhuA@v`{gf;0CjJF?VqTg|?e zdG=idtW(WVOXqoRo@rj4BiK7m+_=Ih(Ti%0B}UotkXT&h*#SoREw&VUZ(zOlRp&i@ z*xCD;`tM@yzj!(RdW`acxj!)X|BaaYqb2#DL#Y4ost>a8p}>a%9}0XZ@S(tm0)M^& z{{rUzL$J~x9NVnFo!EcGqJOwf;JvW-uPw=}|I3v9f56)>N!`}NyX47BH^ad(^+Ft=)-Z5j@nrMb*wcTRiAS-%)|%Zhm}Oq~dRm<|v%P$=%yR14YQ5RgZ=WNV zJwC-(;C?j{ALy4*+;i7Ez^GrN@u=6J&E=7n)2e-{*J`= zvx_d7?h?dbNFLlmiMwb|P0x}}m%Z%Rg3;%PU;{)OEnoKd)_S{S5kWomGZ4R@J+A+X z3N3A5JsB}pL}4jKWsHt^hKUoWis=fq3C5Y~X8%q*Lm$+}i-`R8EY8GA2@MPz8B#Mi zZDxw6-hb3*7NkV?jgbZ{hV_R;F0F#VPf9P)Q$hQzn$gg$IBPmp%3ID(9m@K1A+I9U zCq+xWo+@Kicds~gm*Q}f8ZgTRNb&ZrN%)RLL3kaA zqpzPazMFBzuEE#x!NKN`W;;Kc_TQVL%HXO=kY zL*z)D0;5ab9cq)XEF91FM=(`6oy3Pcu_H&uPJ|zPUR8nK_lK*DZeS9<}0AZo*4#^iK-5ADR0c*9ru>W4fc# z<0w)$3Y;SbjyFR*ls11Y3Lktz&DfI|`@A%_PNlc5b&2CW^A zI{MVM9QBUAg$&BBTKtI3_j>&aX%WRZ8XN(zc#FF9M^As$1CJBow3&M3N5|NR!C`CsS+1uq-kn;T;&&TkgB@~wa$=t>$-w@5t zMbnrCiBM$DcdP^hcqyBQ#LlspeO8Lq^L|ts7_rW8wI1l4bSQ4zf}dSVDFn>Q0gNa( za1T9gCA|FGer#f}v3i+&xt0rm8^`)7>t)aOK~GIfvt}_>bXK~@ zM5a7+45L%}PVh_78e8Xc_&_4{1JwTc!n7mjG{n{YI9F!Br|vK7E4NmpXv`M%onLDZ z*XcbqT0Zpqw*do*D5a&&6~ldxEN1Q-d|KWv0Rf^(@KiDm$_fit6VJHly;s;gF%yav(g3P4}$bFU% zU1<@E_ESdW>s2JHgAQy^W*W06XM@Rf>x)MDV3beF%!$5X<>e;|t6Z=d0VPS#DrMUT zq9L>32JL3!HU8uHX}l(MYdA;n<6luXpIs4<(5{HGz|{GA+L0VUwJTQvwoOQ(%N0g( zHx7OzMWIkzFt<#KJI;st#Ik%d`bLF;eM96O;KO*z8Ix`9NenP7NKNcmC-JPA6XSH| zq8gruZ?+*b?hWe~&f*IMJEBvM>_=Rd0VC^#w_m)qW`!ZN4-~^G#n{FvYS}r^rpcy$ z5`GWP7X6lBm!VaOT@a3l)&_1oq|xawr-L3+oT7)O#bQ8qS?}}O*5UOM$roPU!eOB$ zHC$C+D)~ri0Mg54!Dwyqd`5(ia#f7IGlHrST1^vq$zaF1D-Rv0cw%^b-4h(M4ZYp& zLM+GomcMuSpp@Je!bAxmgxiljYaf`S=uAaps|dYg?1}k$6EPr@i%BaAw3R;Q2~zt6 zCnNoU9>cU_dgt2dI?Bg7sgU;~M=aV2p=UjB9c+SG(b7R#0{AK$KHH(FwH{&lGb9p{ z*E$U|9R%XbF%ji^*Klb7mXKWC3$ZoC$n4H_i7%yjo63BpcDp=ZT!Hu#DSD7<$-P{% zF^&I~9+X$02(8K`g1FWU4f@{1)qd^3liheSrrRPsdcVgyR(DRk=Gf!de8{UmCCZVrg&be6Q2i$FBbG) zRZUTaH#O0{7(vw}N^~WnX6EY3AmKd7&;q2=_V6xEz#(MBsTIS@?6W!=4k3=emGQpm z38Wh`oeCJy7!<+~)jqj3pZ?_Ez5KD+Wk3$;V#>M4uE_1V(%5NXQkgUvX6*>Wxe|MY z9!P*3bE!fJfxX;L7^gHMkV-``pWN3YnlAK>1eq!KRy3xAv@Wqhk$_*ds}Z!Mp%^{L zA1aPVR3SYY(xN&tK}Tdo_$-=5(Q9Ac%=-Q|Zfnz&W-~eFKuwIh0{yWFJq^T2S!lc2 zNr0NK17vZKcS2L1e??xOf8DnOjmucuEs6}`JmyH8*%Ktp9f+d1*m)}xXuOiOd+%^+ zD}f0O@U)wYId}_!8(>{dQZKuj#a>(HPF79|%*GQOt4~%ysbd(;K%xGZtFCVe-y|&C znC;&3&m!k;nYG4lqc9a(U(bt6He{WV=qPJD;Qej#qOlbcFpErH1Y$RaMRaqdjhEVo zpSiV_BA*&y(V?AiPhwv zLt}~4xseAHwjc!C3?QME&@c5rU6+sW1f3FJwd3a7y~b9r7L{I|RizTR&04t6B)?>% z!g@ZKf`08_6i0_1^nMj6Vp?Ytmj47$zdm>#k3bCAsCk;#uq0NbHmnv$m;&CM@U51R zxz@eg>T?NoksSU7DM@--4L0)x5lG;t5yb+)ka_9m4I-fL`XrFoH1g9Ean6g=7Uj?>Z4H520j~^C8KA zjDe2`Yi7qxxEJJ8!o;l0Z>DCl;~y_LnOKBL5(>?sTQ{#qvuc1h1YAYuql(Ph=zdb7 zCVS>%K0Bqq3q()ehJF}oswvEK4t2 z-JY7$j@o#9=rmtH5#IB5iucWx$%*=j>r}kpV#p6ym<it#WSaNqAsX8O<$-M>y;&G}^qn5&MH5r8NPd=sn;Nd11$1Qu=2%$R!{rcWyA!*A2(G!^ zrNM2W&l?ot(YNr&wmjr3%4uVa3GwN)^XoY@4QuCS zS{8bOKKc!k7NEd@!sYLgNwyCI?njpN|K(ZIAHAsmkU9Qy7yS8WvH#pU|L3myP~by> z4+TCH_)y?Ofj?h?f1XVKMpl2tQ-2_nzdybI2LtXO1`Yo}CfWWg11{TtGT^fPHsJn+ zll?Y?{`U|i{lA7Nf8X=3`}?;e%73CD^|uCpz5l-zQ2v8RX>M+s_jVE+BRdCEBYRFx z8Yuy0I)=YU|5xPvN9g?fA^t-|Nl(xAM=!3ws--nXGyHo+YIkHS=t+pRgq=?hV6dn3 zv@$OS+bpkFS9b5k zn`v){_rpVHRV$Xx=c~&refk#%%b6FiD_id5@qKMHCLPJ87HIM9NKm{v<|0(j(-ZtZw6QONjF9csrv1n9&*Q4p!i6wH6@{YOc=*kkUKF@zx+S!y>(nv z>)QX1A|R!dBHhivFbv%xA>G{!-CY7ocXvrkcej8@cXv04l%zj&@BJLlb3Es}pWo~3 z=RAMF>!qxjxz{~webzYM>$=|8=Q2?e*C6pyRwVD-3hXu2lfcr|sVsa?f5Y-pAeEhw zOUFjvd1NLS5VxMWoV&iges*R&Z~4T8z2Wgks#kl5=$Wx5E3?uRkjh-~8E=gI9zwTR z(;Fy8{Hd6a}5tfLRz^t+Dyc3bt zbG{d#N(&ss<{4=e(B~5>fUlGBTH?3Pu_kK5X2xm* zZB(OA{K3g0Qi}ELiQ%Fs02RfkhxB>HDXUY2vAja#6AM;WRMip2QV&e2un1S;P3-y# z`Q`E>Sh{R7h=}qu+0(I4 zLx?5mW?zu4APdjQ#CPkNj#|I(Pa{rU2x1MTDGl-Dz-`fMz2HS~l~4WR4!qmt_wP18_Q7V#Kk70QL z^jW~hnQNi8y!t(Bf!&HD;w+N;m4$VzIf;bH&?&6+u~~?Z{Y?^4Njc;9cx_FJ*!X?l z89LRFghNHYjdyF%j^NKA(GusS%9e6vfBbc&hlgx)avJ8AhbAGKG%o?v)A=!dPK65B z4#uXf;{k{P^GS&Tms0PDP!z&gAD%*w`g4)N6PHQz_ilr9+*;HCg{wyS6a`a(Npi5M zYmPIe@@Le*Ao`mO4|%^L>dkL=&BL%v5iCs*svQgiB|G1GiZQ)if}awod+xWXp^{Eo z)rs8vUcVDTi~94;mE>$DRS6RBOS{ct6Iq4E!x&d3c`=PPWek(AuCmu*6+@To&E?`Q zIeO&M0c^x$NEku;ne{YO2r*Po=54Arw*fiKV4q?m-|F1b5gO6lN@e>ixN&pd#k^g5 z0)rjcb_L^MOLI#PIa52mv7ngR<-oX+bYtDT-6zK!c7|gydFQJOjhM7=lzDGuQ4X7* z&D&OV>zNcG@HtXH*gn#-(NYUAe)YwBD4z#lYjPjMnwBO6rYuhYQz zO_#>ZRC8HVv3tx{jsN|ii-rEBF^P~J@$O4|{zdrt!*ktF?jk<#_Y`U1Lvu0$gV5m{ zvT$c&ZA75ysRV6W(GY~UmyOgUheEreEb@lRVJZ)D{7OJm<@{3$`~(W{hmeK{TG)kGP&Er* z_$|H}*P{Do8@0fx3pcWG&h^An$XHDx6SI;Zr@c@&q7CAZj9>Ofu3PaD9(YE8N=$_a ziljX$H?CVf6rh)7@5t(XIDYd*tz~)rfpOQ-@|!MiqhSZ(4I$>q2bKQl%&7HO=yI_% z9GEJ4Ep>R+`h%1bI(8f*-GXB*QrFvxo26fu^$s|~SV!N@K2qJf$qs|?g{wmtzq-?r z%*a1VW^k?8Q7D|wq^UR4R&=leKyA!)ZjP^W_yd@wh47;ACHs!DjgG}4%J_llx#8=N zlHLXpq&N?dE!q#ZLt8q9FT<<2HY#i(Te(Cepzry`QNB|~4erSgK;2ijZo1Yg30LXM zZC1Dl6# zV160EBzWa*C}ac;&z1tUB+ohIMf89YD^`D-FW6GBuj}BfCO5^qCh#-P%IB3}^VVn5 zM#>3+hH+@b-X4(;!1hEVoiS+5)BM}e&0i9Hpsd0@TP-4a>qnpwgGr^s9(`dW<#t_T zY^1*q2*bW6A<#Th%-3AiesjllJv<#Puv{N6YE372PdqOU{%Yzl2$$!g^%)9TAW?Sr0Pi|lodwZK$s!@LoJyh^KdkU}Fp}>(+|8dh9n)hiyok){>!c1NymEV%?TBtdsgz0%!CF--xf2d_J0< zp`h2k*M8V%0B7G*q1tTn0(bCPlbyN$brGjqWTUV7!yS(F zmo1L;v$tnvYSOz?*pEt&`$$ja}l3 z^IkRh>&>(a^sUoK%0<{th4~u~I9YsxcjnhPY70Z8Sx(9t`7^BG#)y!ZlZ#v% zm-dX?MK4R+RAj%UAUkEgmh!S3P4FF+G8gz9m5zSt>*eLWq-6};+~sc0)R|fW8#}f8 z$=uF&zm0<8ANmIK0;-&$ndO&J?(byY?@Ooq`kVXuoBR5kdz;DoAoINf_X^xAaIe6< z0{061g$n!+qTD}4uYZnm|ER0^DHO`|Q$fqmQSRSLY<^vT!}g=z=D+H1K)@eGAb&Er zEo*6~V+SDw(n~<}jdl1foz%YX&BVq;2LchYfNNpz6YoEE$6#b{h0TcLh&( zk!pGQUz$vQ-}$#>gV6Wa|7eK#4^eK=o#P}3_~UK92fabe-yiw`(^2&kO)(*}NGf3-4w zS4|)Zx;l3a)UTK>H-m+p)97E>t}n~~G||1iIa|O|LT5Q0#*?~tdv5GubF{NRep)bF z5H`tkV^^(0FX_JU>g>$Gx>_xH&mmB>+O@)8e2E^k4nC(vum~P9JJ%tan(YikB!ZsR z$l+L@KA2ruFP(lx7B^taBT}ZWasYeg_ALgWRLmLKcEviyX%N@poDuNF>$G>#u_Xd3 z=W%OhFK7r^I!12+r&u5snts9rgRhJBgTuL#u@i3PWoJ_Mx{b6)Zf^+%4WpsqVSozN~^O-ae3L27ARg6 z7G0m_s{oy&MBkli z?MYrlAsZt|=6YZe!prs-Tq=vK4$jciwb2VI?@c81S>h&xS_nDe0V=_B_2CN{3{DqB zT;EESshnQEi2V@KL|-Xc`Kof|gD-!3h`KN$HLr6Q&oNZ)>YNb?TyMi;mgfEgJ1$(P0NeC8*bo?WQQ(gxyo5X`{`B*-(_pRCF zkK3B6wzI=SQ#~2PkeNiE3R@3;f}L;~k@JqD1js2#g=qoE~2%pbR0W4onLyT_kl@OpM|$>zB8y37d9Q zi@SqKNo7MTeIB8T0wuqayC#~Zh(pT}0~I5163oPMP6L?rOGKKXmeqO?v~mm*Igx9& zy;sRl@r@FoGNEg!)~;ePx>I50=0&3LPs%+N#E2draXv_V7fHdFB)-d;&j^`T0@ae3 zx}+gnM71%gB!CsE$JB@pUvSPDTD9S6!g`s~Hk*8|#67kfjD%ByFJX?fus`9U!jbT^es=Y)rQ)y2s4XbWyG{R2B~|8>YBI| zz$MRpMR(!(N&3@yK=5>bv}NscXYs4pa=Jm>?eOc2$6Rm^WG0x2bbz8Eb~Z(kO!|~3 z`YRI{q>p=W8OZ9i!^Mf+dc^!kFq(ZQ9u$Adi?SUcC^_Px_$<2{E+Ymz=$P%Wni}b<_==qDIUi5ioS1K{2MY1n$m&Oxo;g(oO$TTSOoZ* z@q*m*^iyBK1teLNV?}onBl|b1pXf}>o`_nYDe=d@FMH@W-$3?I^r`FF4i7=g!E{nV z%|%-r+3o9viX=yZmif|IN7u9M@pTWarumB5u(YYkg4YIDLJw`3W50TR*qZt9c$!dK z#31`pTvSsSV@dTz#R=VdeUI)VNb4bODh5edAOr;yb=uQRpoSad6=$liUkFo3JJ-rB zSC`F`U*0LHH}27RV?z3688Mw9$iqJoX;7tPW^=m zqfeSapbZIz@oobs(E0nu>$a0;qnP4%wEZV(<~Zy3YQeUW+R9d$F@W-7!s9HRr$j?q z+0v4ajg=!_LsLULDcW{K`_c>ngw~wSzV3rd zCy7o5dB!0NC$a@%UeRVF3#)4jLqpfIZVrO`5Qwz-J}#0`=YhVWRE=rT?blP$@((Cz zwkrzH7{vYCw`Gya2zBYP$B8lk{!-tndif0wK5+71LVuFBXU-gORDanf>_3F?MAWD0 zz2(}R&cL&;_Jy^I+jY66tdVZf#W@iDaeYyajNlO;QFb=+GOb5c>d4BvBxQ+#`a+~y z;VYD3NuK%-^BriGUB4Ky2l)2{YslMGqWbO-n~Z7K&iKo_Qb8AUYos6Xe|-JkLT$$z zS>mJQlTOx6sa#ge|OOBq{XaJ(v$)>0iJ`U9eK z?Rsw4#NvPOS#yd{%8@ddIkBoR`#dB+^Dry56zPf?Ao2aMkBomw=u9sCS^^Ljf z4DfKveLk^M8@Ma!wo9^hP=?|#!*hO=$V_M(IvrSYe0Jx=vX1EjaAgo`|65H#%#pf@ zc7K4Jk`TdY_tuZCk0QD7uQ;!%wMq6HT*ahGd#-Og1*R@L#O+$Jz6_gBphoBwfAA1oW!PvipqZtmWW3y(8bE0{BQ3S^H;@;ZDU&=#AAz7Xq9 zxXeyt^>GYQ$Yd0r2&J;mcgI#~)+Muhd-ETh`d zW`Fn3ULg_Iutl|!6`VI#ddby^8|LWDF@o(R4&h+kqlb2B+!#$GvyeB#&dez@OyaZD zE04zlU)aH7!;E0ZpgaI$Qreo2mOFm3XN<5z3*eSu5y|8eO0bK~voi19wDOyJwfO4g zZ5_Jm#95c@bMrzXmiKFuocxQj<9m~ekn6{-V9AzD;BhzUhwrJF(3gjozevS^fIoG+ zzfZ;7r(%AQiUH988Q6h9;_rZ-lerbUfDPpC?pyHg57(a<{YT*7PmY(6U>CI1vp2u< z@DLT`BDS|T)@Rp;uoxIH7%&4MAT|~NSVxZuz{;Y-1YiI&vFhpH{eVDBe;nQ2{-PGP zb~+Y%kpJ3W4`jf^2GU^!FzJHW0AS$V{<>fTFo0E$S%<*@41%zN8Gr1rug7j+d7p~; z3xiL8-PC_>=Knlo`sa@GuN!r*z`X+Z3fwDjufTs^fj^y!`7Jd6dj{c;71j3;{f}CK zp91tC;7={T?$`78>-pbT=!^hHpgaSRor#&9iIoNj`q%6Ee>M7#Pv6h$`F}C~SL=B_ zRz@~P1`sPi$AHBE00uGW16UytW`K@96B{!lgv|iL2Kra)c>|CR0}upc1+am@%m6Se zD+@pe#AX0s(Ph$S1?n>}8!+qMujl`|CFI{`{?C7f{_R-)Gh6ox+$(Uez`X+Z3fwF3 z=R5fR#@75|J^!QD_iyWY7N%cX8-sv9N`dKriB^GtKZ;dRcy8?0jeew+3i3HKeWV*CE^2mAJSVAXF|^!GFQ=U^2h zGnnZou&Oah%@T*@dDr48)rJi9JBCBdca+*2C;S*`eM2C`W$A( zDy!2B=r`{s=|o#haBS%uq`9;@p6&g5v3&WO)1zkTc(-$;ZxP^RxhYUG+bJtD0HNQ& zoORmS*3H`lojsQ_DavX>c*8e_PNrY)zgIf zr>{MxZ-E?(1FgM+hDU80lZe|*hc1vN$9cbk>D_4{{!u-s@O)+Alr>uSMGtFeJE6-2 z&9koXk5We1Emjy^(m0LqpQN%v;XF46RN1t&tlBU?4zL9&)u$D6JJ`LDoOiYNi{h|6 zc(K}GiBG?YNkE@gxTtY^vpL?c;rPW4;=L=vcbj;0P@hC)=`v}ZBSPZsMQtZm>`M23 zx21X8;vKBy5x&>Erh}I?xTYk7ISq}S$|uQcnMW?F7YAow49+lx0$~FjRe5n` z{klZdo30tvTeaaQOmo<=sTZ2?X3B#Egw2N;_kEc$^5Qfz*MU%DUnZ6+y1Y1oSv1q& z?KT=GKl&Z8k|=Nr;N=xL8R1yBh?X$Ta_J#+`|LLb*4U}~R<}#%GP_v$`PI3>K3(5x z@1irpMqT9dR;x=DtB2VwnpjSE;$Zip|5yV{Zv8@fl<{Rr6`ACQ+ty*UsDvydjgv_R zODeN_-6S=0);ri-%>Ab4Ta&if9h$7zTbiXqLs?qMkBEmz@c8+^x|;z@`Nm|vVGXpT z-Z)8(Wz>8PJm-VW%pwCv!tqhNh)t_m_>yC%E)?d%y{FvK>;W>r5HtEXavtc{mR(kr zUS!nD2@j3jFgx;DtIX6a>OBz))`1=y6YarsoUa*e{o_M!4YT?>yrPa0Cg^ZneQgsW za7Qk&7VncEX9%iwRtGCV%(f3mLRg6qO;`PQfh&=|3I0A-7vV=usE*mFes%mc3`=Mm zad4b3^!F?p2tPn-7YQX~c{cRTnvo^Y=ZJ&ydvHrH-<1GGT`1^Z;woUv!_QBQJslK+ zLvF9gDka`2f^>+H7?XuObJQ90x^IYSo3o`INlEhVBMlgfa0{LC-HDpxq(IAt)B@L?xU+?LqyPm(K+&Q06 zKEucHAZ%W5BYY!x-1MP!O~Ei`YaYc_bf3!ynO&N}jI-YT_}s4mrn7v&I}CpqBcfQ% z%Bkt%Yo7qllTaqu!{tZ@%VHm~Z0eTJjhATnWrcU06CyeS zJHwp5{TsN^#m*h9QjfdQKvtunTuBG1hPalc-WHh+a!Okg^ zgsI@wx%_xP_Z)3#0f%H%&tci0d>Y^#%>=U{_C9l#=4%%46`p3T9rh(aCZ`qE$v}Xovv0iv2@&)ik&x%IBsSW1lEa^s10xT51$;jQF*{aA(&vg=p)isnr)fs7n(z- zwJfL~f2=*h+J9=(tfDNqa6vUmMS=a`S&n^mz*Cv%li|YBSgB$Cc(!w3TH=zNRb(;p zJAPoSR$DHE$8hDN6O=S0H!*>Wr?O-(=P9dk`d0POLawo>VudDFF);}GlIfA?h>b&o z*gvJQZFKd{v0N_6ja=`uR9vXAD`$H#;Smnirbr$i4@!QqI+OXt_Tic?`J-8iBv13V z_2s=NC+62m9XQ{BuY)}HR174iXe$XMYhQja;Oy6Rdihl>An}bgVj}EeHo!$>3@V*R zY9jSpBf!wKYja>)m8<4mqwh*hd*7?TL8i;jX{v3oLFCo*r1EN5H-QPou(*+G(Z=~g ziP(y}C#Xyd;BfDrXpXL`vzc&kt4^*`s93KwM|+~4lU&8L^h!mIOX<}tg1V{3zznuk zc41K}O}051oy<>DA;b z8?oucsW>%BRc$AsEoRZ6c4TK5!t+YI9BnJuqs4}%taW%bVji~IXgjxN2DZF)^hoBb zV7kvC%U7M9FRdl=9%%PS=3m%2$c8W0Q5%vW*V-t`BKsD4SFZ-DHzr5mrkVDMnACj? z)<>gVSF6X}#2#8_Rg#EXRp&FKX(nis^W@;3da-2Eh9O~7TLA-{DaYAZOB(G2i924u zfeD0tPqn5HwGqxDS`YmRQ|urY6pMR-Vb?T#8^}N{uOKfz>13T)&MZ~>q z+(S3lgmtxiae36%6|RgN8cvz~#*-0=I`YSXmT1Y@}cGUQMXtZR~K?Hdc(roYJ5nj|MKVaWyK$ zS4l$1N6WX*DAB9}t))0HXVQ~4L6b?DxkSuP2M~PCiWo~N)1Y<&S7?>Q2 z$}#EE6TX->lInMzpR+KeZ~=M>A52qLn3U6&i?aho zJM>wT(}bCxdccRDMu%9QN(XNfY4Iwwv6gS_p`E|`Y4XhSd}H#)T-)e6ybg3T#`WC= zTyW+UV=+{Z!OL6LY03*gR$a8!f_SY#K6B4_yDZhie9-snqQKoRO$5#^lP*US1(p*r z6H53hBPSwTquKcl;+A%-LK}z`!r%g|(X4=-r-JtS%rCR~^E}Yyz{JrzN=2WXQ~?u- zB=fNcV$aDVVekpeDqBrT&E7<5%RQ&;eLm$0R?%iMs%{k>!sF&>V=)t}gc6@cJM|VQ z>i}y%@$GC1fVFbA%)kykBd5JVGE1~@#6JwWLI*q^y%prrnMrfvb?=l2gtc>y%1ETM zl*Pc^GT0C7r3bXi&J}F*SeJBkK9%odQLY$hNFEQGFpfKJV=`4-IN;=7s-0Isq^#Rw z@Z0ozUbJaAm}aR`hf?rBi>@Poqt{1yG>Iyy3ZSIR1l1d(Jg!}bR`4jN2hFtfWeS;M z)AzroGBlIQJFq<=0SaD_fSA@N*K6r-s5dg+?u5|$o=hi}lb7p?@_Fqys>@1;#E2n~ z*X0<%6ely)OcC_x6uWpm)(JJJf4cuvqNr>Q=;+qU&^P{2cB3$x&SGEUEt%ys6s>Eo z3GgM))@eNgt#dD|_OzO!mx>LwJ}X@HiDscB3nXnCivFr^2d{UH5mBw*RP!VO`Anx` zu<&CKcSWVoK@iRsGBfoktji5InE`9y1@u+4O+J|L;uh9u`h2p2?SW6^%WBNsQ=ZAe zUiWWbtuhx&d`Y^(JPx4Lr;k_&dqZ1N#b-3FE;Xbh#Cy`{2YL=#9%wpzJ9UEP{uGq4 z#Jrp4{`$6Xi!^MInZ4VjdRQ#BF@VOo`+4?*ZZSnA*sSq&`Vu5*xYz`oaD!ZxkVN+= z7SY6=5P9c>i@P@)E%d<=Tgo+6Vm8d!VpQ{lq^Th1JOTjX%io6XI-~RWzY48@(Q`;1 z4~`wB_M%2Objv^^JVXW)_EOn0sod^=Aj(v;)tJL!<(_|mVY2;EYfECJ2}$nliWG`m zh=EsWoC$b0QwVYH*0%WNe?9pv3u#@qsXPvfC?? zxb)v-OJH;t;7f%1IF{k^FDKB;IAI!Kp9MLSG}h}gz%;xyRFTrxn$}}}Z`F%mQQrY@ z?(2RC^nUw=*&4cd7&2iKLU;Uzy05>E=Bf|Yd)iPD-5^aR|HIr7RR$-EQEU9X0Tanw z4hlbBu@q-g;w5R^-6e16GilrdiA}J`I48_Oyvj%R=n8gU+0IKpFx&4HntV4$gQHXm*eq zmZtXaEMTL;E303zfDGTOsD8f(z0V!q=MMh_-S@xF0=`r4AA;DlqpdJH= zi52|Y{`V~4Uz||8j~Cx7aIe6<0{05sD{!yC|0H+#Qw{zfG}c{K>Bs8*XY*kY!+kT6 z`(`5l*i7U%T91Jp#Kz9Z@F!WoUyuId)Ax@o;4jDjiUrhR1+f4P81E{27=U++dI*^9 zuC@ol0syfAb=bhHjEr~Q%m0c6)Mtk1-8ser0jx~AjCc0~K%KkV9$g?nhm8rO54?Lt zm)YQ+1^kPb@;|1({|>)b;9h}y1@0BNSKwZO|H*p(C;PNNR#e~D^S_&Z{b9bv!t|3J z+uv-~Kn(w)nF#2vd&Qk|*xy({h97|8U%>+YnEChQ!M~ja{KIDL&ROkemo?VEGkW`R zuK%ZDKt|B_>-rnJc?SbBGJJpdkF7<1yQKeb7?6RP?I##8T2;+bjRnnpvScZ9%*V*a z2`=zqwOKA8u_I(`&r1eFWm0rrA{p!ubmklxK0V z@wKfzee=zk(Q%8^q%fxC!u(uwUCV{j)x0A`Zo%|$Mb-1e0|Sbr>0tu_{-FL@6*L47 z(Qp=!e^ypikAJ^k0@|U0SYC9fu5HXBrGNkA^!l}3ktn@exK8o7?sisMkkX`9hwip% zR47qa9nEWZ(v32ptaZ3`(zS2>q-o>?P2} zSyc?f&*XH{`fx;U_zg%tdIsnn*;Yr3F=LGg`@D!jBGqrR7SjxR^WmUeXY@tpDPDI0 zN%+BC?~xgxGP~O2LQ<5(XEE?kS`tP3g`zR0ha%<4asBcQ{7_iym7E^0BBldboa*(T z`kd8Nq;;h}c}$^(%k5CfXSTa1-1~}n-AG9kCE!_9uw_tgHp4U+NcK=KA!~fP+H5A= zxcBVU9wLg4INLL7)AZ?4tE6sLK1Nhe#m7h|P&F}bJmd=1xiAHXMyYU!LCRIQZ>8yh z??R%v;AfuCL~F`XQZ$#gaj7wS>srMjTTJzE>Sau|P&es){ua|91%Kl|jXS^j*i?!s6O`2w$~)s<3e=H0W}Zqa^s7_I8z79v+0=pdg-})7b3| z9-BP(e*K{b(*D_0 z*EO0=t!wTbvuWyzok}_#w$>Lkt?ru=ct<&*xzBp~kR3!h84&Wsxd{!@Zxdq<6*Lt0 z<3tDsbjbAVFCRO?XbGTR_G_i3mP-aA`ugnkZ=1PWU+&bz(bqa3Bfn?l!d~w6XJj&I z-}w|mVeGghI`@Xh`NAgC~9Qn*n$?NrS4d?5c!;$e&0Bq)vHU>7vJIM!h z=CMlLUszrVpYbMknAn>y;&e6X6fhzk?9IAm_A||xW%h$-jFh^p?ZITtI zHyWmKEuuayLoIo&a3!oZ*6u~I@Sg-f?|m;#ZsN@mbc=Cg#K)YBC|*juO(z>P2I#~Qq5XOu;jQ!PBLJ*Eef@TE@?tdJjMu4{o^ zWy232)xp6`cfrU!>wt4O4u3aE(Usi768^kYxNvyyt02cSgBgo$#mPn@P38UoGIM_O^^?Uk~7WLr%e z5&48(t05Lrcl~YXJ*K1KpWzQ0-tZ;Qh^g-t2~8+ilZULQ6cA@6LK(Ct^={@lk|9m#1tQqPQ9x z7#em5N~xmha)~oeVHcm2YS9{nH`~*J5&NM&-K*O1Uy=5`1#XUvmdo24Qr2^mW;vdJ zo`Qe0Eyj_&oiOc$39=nYsdq2jr-Z$ZEl;OTa=6J(R6futR4z4gr2074QO=>9B1bnM z2Q#bT!@%4o4)^@xm2rK(Y2-V1)XOD~$|1pCcP(P$BZaX?`>vhv#pH6@6WK5Pq?3gg zKX3xN!m~Fylxtw}8IAfA+$9}84Qo8}FAh;H@^|_amj=BPq_ms(Hjhr&Z+&8Y$q2`4 z^#);)UlKvouv6yX|4d4)x-9w(NMF&nGvkQM!T=9z#V!z~d*4j@N} zp&R4t0H_wbopqKjB+wSuv6nH1VxW6H?^rH}ZD2IJb1ZkAVn!qT^=sdmR8(kNMe*EM zVWTm{{sUtUYQ~e+>{_n*vBf6avc~5MVp^zN_A{6H*(LD{3ZZwu{JAI2#=4Q7F>Z~Z z*P|QkZXjJb$gApvH_DaPr=ry0Dun^jx<-bd`6p)a<|!nqPe%s_g|zxZFlQt!6zd!9 zI0HM5io_O~*u5uXrS0Vh!p11sH4FC+B!;O=5_Q}hQFE~|9qR4zl!?(UWW!+N`p?dg zRXVxpWoRYDqi{;PBtErRn71LZsBPBUt2t?c`l{ar8Rpwx#Q90S4uUp*VIiWo>I+tg z$u(<`%iGx5)HOlfSr0B59w5)o)*Kw!%AW{c*x#D3!mV^Aa87v5R;+p9S9tVjrxQwY zajZ6mbGA=^P0JT@;w|XycdoPRs4JnU+8#H}isL&x=-2on+KI>Ys(-DEzByM^=8$K> zC9kq*a{da)>f>YhM8_Y<%kKH6h2Zl6l<$)M&?S_we-rw|&Mi{Fmsn>@SXotUoToY> zpd9FHUiL3i%~xR*S({F+$pId$AUNfi!k7BEAVYa=DL8Mm^m3!LCpsb*hNm}J4+ki3 z!$pO;#eJY(M7H1FdW!0)`F&z-Efhj0UiB2kk$u177c6yg9DIW%-XVGIxvm!;!-)qn zG>fr!vSF+I0{E8Y4|w;LTFB}7n|6Y`9(R`r4D+k$8~U|OLm$1s9uyDTAKkv=&6RIa zF(GupUGE}z3r1xSse{*cLP5DuAkim)v%8)SUBE=8THx~e)WUs)$>2)#Ohl0}IG;XQ+rmt7I zdgi{;@C);)Zt2r{R7<-SmV<(MNDAkHBBE*ngY-SHPIAzCNqI-W?$Z z0qg1Cm3O*` z0|PKCSYMZcO_%jI;OxEtmYWJ7b9L-8+0|!tUC1g6_JQey8@nKm6X86ZE^w_unq< z-L2YB(BMD!ivzJS|J;akPi58uw+r?4V#!w5{3DLN;$~>y2R3mmosmY?MVv2uc}Jtg z{hmW2A|qP{^YRbov0gcxXp=CYpe#wAR_%;!=kFvm-PD{<($mu&_G4=8nctpnm>lk{ zjGyiXUG2_JYPma?YWAOn4yHKUvv5rHoiQhACr>vfkEfIC9Z@``dKxW0p!2!YrQ*Wb zpub?+rgXxfqRzYW0(j-%?r`H$))8NK!RJx(HMj4O?(}^B6vwJ-u4jzlB~^(;YpOxcu1v0MrcOp4A`b7t+e(yqe0i+Q zCpbevH`Y zRAre^Z>R}8tUsTqi92*}c6n`ihF=o-)EE9sZ(o8bBO()WCo4`osyr`Dg`KrkhupVY z%wudYWg9XS(FAL_3Uffia6?VK*v6M9%18t280nfw<8vC#sW%U^UXU6Rx8ZU3FylAH z@rc2-5_1URAPKDZNklctY$@loeH_t8G5*ZNPYvanorvxZXJ+QfGFIc=J%G%hkP!NO ziUx4kxNk{N68uc1Xu6h+;#wBpWQa`cgcy@|9@1w8lN4i)$ZQ|K>L5o*E{i7;OYL>s z=#6I+TuxQF`W#8VNQZnjLYwJOR3JEEzcRb$8ch~6!5~2$lL4n;ANDE3`=tPwuD~aE z9mtSF`EcI@hoDtZiI02B1RgV9l5lz-Q7ccuD$#rc%xDcXr`9I=1`|~UK6OYv)6PN{ zhMgFBI-O0<|Gsd#73Y!t=~9z6G;Lcj1n(`n71k`Rjdm+F2Q)vv80wK&Zhl{}?_y(N z6dod0L7wLu_#i*>h2WPoo*+lLxalVgg-eADaOs*GFaku@WNYurCpAlC!G~DZ%n3G% z9hrm&SO(Sc@68B`t{xwKG4)@qeLD*^xBAumK^%16Xay=J9i(YPA}0-?*@=T1<^8fi zz6!j#1*T14~s_Wk^Qn(=S&0+Rl#8g z9BnN5g1_>3Kdv>bW!2zj8AjB=o(*aXN=Y%PHq}Q|vWMg{ah+9b)zLaTY*_mVq=ea^ zoR^q(AW~*A?T2F1ubDsdwbJw74zT%!DSiEhlZT0gx|4`&LqcPKF!j7Ei2H>lCHVswFg8~vt`8B5%Jp96>{L-cw=RF;L!-Oq@(+0eYDdv zx7f^ZSW;p)<<}{_DP0FCg=3=(wUriYO!dvW2wKg1>M%u?&iyDA8#!Q^u>zxE<^A%* zkBYeLLa<@D83KGFWW=ixNa$~}JPqTfzJ?vEc;!74I_}y$6gX+-ej3y-Wky`MOoYHb zAhs?-mSt2>G?4z_TS`f4>lb0C%YftT3++Z{v*w#8dLBzXA+$a)E!)~08Y>0N ztP)8CH3l3CtMDws@W@1BK5r&G_4hjGS)U=sNkgox1GV_)1GI{jt&@8=b6_x;!Gqac zV=yoMR33=WTkY$jRlx0ZmlJ+mr73~gGfUq;i1E*v6W9(ob0hR3S#!}n=fNCAYrtKA|;-iK_J zrt0GKq*D_H;VwNDqIpaiOzeV%G0`@QGS%z@W}9crKob~#yyGxlm$Y$3_sR3|tBAcq z=+aztC$uP;ok|pSKRUZDZW!DVIH@hXTz(zVZ4m^cm%~@BU)w(kaQdMrq8?SuKG{ue za-yao&2s4!x}w-pcuB8HVV`XA#zEzU3gtV_oqoJAz4+#=SA5b%NV>ATJ{=#KZYESZrO9%{+&RIMw3zq@(y(9eQrg-92gvVMjK9dP#_pjmzhaxRBrnNV)V z%g9lu(m@TJ1b>AK;?87$>~{lCG!SKq{aU6lxpbj*A)ZfDx#vss>AJglo}*MDzD?Go z7b?7%Hel;+Jalg`eyH?HcCB`RV61+p`?X+$=cgROGd}+V@`2cFD>-^Jih7PR_Pkl* z9gDmTM|VBx#+ePT=o@T~M}Pne6)?9fOx^RB>HSbA7sANcm>l2~R6}7I{tT-<%3j+D zk!2r0T${nrQ=^rI?qT=Jn^#heQAS-+s77Q+iUC4sQcAjcHELoyZpURWaA)@IyB?|_cPbfxr=wai^)Qo!wdiB8N0JYLMS1|#sU8J8F6q-hPJ>qL7GM4aE zTxlm@vjcJOnqK3ZO54{$AH9B2v!z;(H+=Sju5A8D4gTPAR*f6esrJ+y7(w|+KU zcu|sc7}U-!^x20*N=B>FtSZL1a5C#8MMIvIld)E_WY-k2pP4;~;p=#-42gV)O>AHJ zr=dU0Vdie|d*nuHIB#7o>;8zZ?!DGqTz;Yvoo#n2<4w#~DK4U(dFRQ60i?GIDYXpM zk0(Z>3oJ01W#$Oyw(Mse@gmw|A0>es4jgxU)N>V|&kw^^fojB0t^G^61WCE2Lwj=h z1)obY6R!BbtKw36;*!iE>kkk%8+?XlzJAU`(mp9!;W$zj$yLd!QnS_&jGu476@0^6 zbd5-5ad8vgOh3)acP8BHgRhlBHy1}>2J!5(S; zVG0Hj$D+rc&LroaYznZMl(PP+n9T{-z18 zBYBfFD}7`OEL@(8+K(q)7)4tVQvZe<)t*Xk8NDT>{$zHe%Yb`bi(#?)S~xOAwa2k< zCWp??Ko@E62{cP5l$?5~vAo8k#Z7_bp5w`6ce63jVrS7QTc`^6O7>S`iWg!H-V+?z zOGG=6macW)FB)b&wrCL0L$ih^-m$r24m42yia%kl&c6& z;$YHjqb6K0*2wXe!nze)&LjI&w)$X%s2^`zoTSoDfk+2?7yAZkR*TxWh|@n_H(D>B zW3zO0So--c^?HbQ^4z#k>ZYupuaaYNS%%D3nUOfZIosAbW7{IE>WWWRj zF@khibnb1q{!RA`|8koD{8#9|JiPyf7w;9gSKwZOdj;+lxL4qRKvn&qY<{tx|6$kk zH}r;u>8B!zpQtL(Z(TS4303u@)Z!IYx+mrzwd9o@g3s`^tlT%fV*bg7tMJZ->#ir~ zoefvKa2{faD8A;K3|`u9hro~4B>&Zu6aB6yXV%r>IR~jBD@h3dAq*_7jW6f*fY0WK z;T6pG{huGGWrCDj~$l^Uh zfdAldZk9d^q_AH;`A}(7d>hCkB{hK4m~~RR#`2UC1 zj54GVE7r;U3TJPRBp3WXTYA=@#pk=sK=gN*2vKCp^1Is?5bP%RU?eQDHC1X3lk^w-nE7}^d+=jV0>f_pER z6}k~*;)j{iiGro@9xS}uUgLU{+LCa?I#%h$ww?7kJp;ZPkcrRa<=q=W?l=sOR0z3b zH?AiO%y>ho^P+di1PjueVP96FqWQ-DO(@P;u$8mPPDM^SbD&-FS}1hYSQ{_m3cKSX zaszRXoZkP(-dRV*wQcD>5F`-Xg9Ueo!reW%ODH6`yE_DTcXxMpg1dzfg1fsvl6(5~ z>D#AI-}AdAY=4=2a3}~x9k_> zt+~^TBcIS3^o`i#!OXKdKIaZ&Q*PYlML>dH^@Gu<1^41vc5Uu~gUAA z4$P8r<{mcB*G>$5)+_AtDP>M7{`gd3`nr%v5sjj=26l{b9vAk}CeU+TfkB-#K|3yo zFfR67c6Z_))DX+PKx0yK8pOfvuq}8gVtV*7k2vysrwy!gUtXf-vPdggURsE!OCO9R zzi!m-t!%CMB}sQ4>6#&s&`XvicwiKqR+Xqrs2z+lbhFs${SW0tFKgy8rR#L;YrPVA z-*M0JOvSwit#SRQP;CkF@2N}Hmbo{JJm{|sx6;j7lLnote_UfGAAm0Ef4`4_xKHRy z*Bm&X&3)g@J8sHtVC-PDAK+(t!71;qf+xzjkQY3~cFlTu8N$UZH&5VYNPf!-tFz8J z0z+pGrQlUzwAs9jHqJF-ku>*oeSDMWWOK*Nb#3G2k#3%Jx6JL@Xo35TlyJATJ#OvQ zvy5~HgpX`3CNu57CA3JUq1dX3^`TXwBX_NWpM54g+%^fO7Y~d2Kd%#gsyZInkTAFis5n zNUOLOB|1f%+-g6J=9Q0?p9~Y7G`cu|KH%t>Lo0#vqE6XfP-J8DmYpjyY%MBhj6-Ag z%a&6yd51}~b9so6%uBz*=v^BY?oR?y&3XU8Yv1XK*G9P8Zjx^1yU4q zOdhS2a#9`B2$)+j{LZ5HK+$AOuIj4A|CE z_$P$OnqH0DdlxVV2ww2A$Go5DsURTM%*pBPp+JGlmj@98CqgBcjYJX>Y|O$$3~PWR z1UnVgv;6{ID!?E`#yrno&WvcytcROsrjCTJCz@1(p0eSuH_nNn#pP@;ZA(&Km^UZL z5dS88&J}Zjidy*-ibH82lFH=@RKqp~&43tHIultB%4SnA1fuUnin|qee8d0+gCCG> zJ4BKbG_ef78p1C$*E=LH;xi^ggqI&lr?zGenT(f#@i>dwP8-9AXmKx>i9Vd3R|P?bW@H5<1duB<1&LpF zx<)X_FvSuVs0z4jOA7Z334!Bs=n+nmh%XFsa~G{dYrK71AMion6RNdKlyA*;5ip(&lc>7RU z>2>)cR=X6^J?AM%G=&T}sq8?=)rv5Yc z{(IWTC>~rR`Vx*T>hzRYfz=FK2fUqJqZo%$8@*Kx(%gMG$hh|wM~74Eu2l{V75*%h zDa!EZxc-~QuJS2D1Ce;QZfy2l;V13)X0$|iDU{AJMS_Zoct!k{0)hQk`lV5HQECj# zSoaEEgvQ`-(Fn(Dg(0jgB9#r8XgX`?DR1jTjbJmJ5dIs~s7EnrFIh#pHz9eH zy%P7QJFi^|cZ<;UB6bE#+>?s<1xF+pq4Y?mje^6x3yB@nTw*R%kC+tg1JxEX0sNti zb+4mY(0j+m3F&s@6;Z=}2v4%weV@me>x9Y-60V68-DC%Hv0r>B=sWx*WTzllda(c! znaHbnHYDkFoyHp%d0j3*;Q=wtUYxcM7FVRb3ICZr)elr++aWfNkZkcF8WZi^bq$MA z+P9y3<8Ywa&|+m#9!p<)}}_OtJfl2*o&Zr z@sbr(mrzJL6dvfwwh!Oj+d3K)^)+s5#nKvY!JuHj2ZLjfqb50?(O`^@WYaP5w6!eQ z3&)GG^ZjyU=-5!a0oIk&P7;1CFl+Z_WXB9L;9%{`up2elB z74+KO_>`6EPLxC@Dc-_H%RJ8?7RHqaSxOs7v{Y3NlgN*YbN4LrqJ7b$>){Mz%YoSb z-Ie08Ev?MK#Nb?W%uh}CduJA+?ZWHYi5{p=a(i3;r^u-uj6%`mNs<%S?!tLSj8e%) zYO&8BC6lX7jnpLOjWC%B8!-ySld~_3SSIETF=^)QFo?&KH%U%hkUeiRN~O!*N=|$V z(sE#Q{q@$!IlVpogJx?6vt^W!8X@7Aesg8?4VwZ68Z0^6vVx@ZA15nH!=JXOl{@vn zUBAgZSRT-MV-_2wom&cd_3HXNkiAsuX!tl4tF_8U9c1V85MKYVEvfJZT(@!1C&#=_ zK!kPr3R7e8?EVS|RtibDkaJuev`0ENHxJ5`jJPX+em|0&1!ELKS!h&_lWN_}L0u5L z=!%n}D!=Y#CQk!);d&AVhxaD^6)EPB6=49cvzHl3nOh9`2F-v$$JO&+o<@O}JH(uz zbbq3L%NkoxB9BC^Qc+|F``x;UayGTBIe{h$+s{8ew%*{*?#}`W=!6Q%OOh16eABUk zOr?U_SHFK#8%ir|^RA=)xj1G* z^BdPcqVk^2nqP`z($cfBvC+~o(7t5xUcAn%&2((J2y`qhO^tMQY>h0;X)X2sR%_?^ zKf8>zg`ShQ{NhwSI0YCn(Gf%}MLX z{+wJi)3?=m-tjMfy$s+*ffof{6nIhKMS&Lu{`Cs{1uE}%>*D{7i~5<~`MY8|&!7BU zWB*&a?}f^HiRb@-%47OVRNmjW{f8}-dckTa($^+7|J@a_abupid1_7VF&R7_q zy~>!M7Xks8*mQLDbXZwg+5XJyjE#j}$AI2|o?4gTxfc^N3mr9(#ej(#$fl^7X@Avcv0X*fxkfI{o=CzGnMx%djH)j8y3Lt z;{M-Jd5nLnfBDB$-m}-%e<+UmY_|3M{{IJ+_v^^N&ElU<<^2O*XTN6p+xP$P@j7Fo z`*~;fH*WHo!(;kc4wLaWQ|jMyc)w2KZ=?Sc4v&F}{&yVS{!b2X4$*z9e7;}*b=l|x zC>V&KTvp6%9gyKr00AS2fJ0n=s8X-_;p)L)bN@6VW+Q=4t%eT9#9`EKGkK5gn@@MW zgEH+X?O~EdJD1AC-pEGh!p%_e+?InfOL_a9IeU629Gb*ZOAC&aa<T zVlm64JIn0Bj3d(5|0<0U1N@2;mcs%868kWJQZ46cs0`WU+vqbg~J6* z?cPmtwz@8lQ?i&ZasrNvAFwkEmg+77uBmNi;j>~3z$*c_SON(1!pJVI=3WvPl^$u_1OqhN?3_o*5(_SGv{ zHV94*({HaVD#~FV$qS2B>Yo;V2tHqGivzv$^IHmThqKTvHB}sXgrV=ud znI#0?GS4Jr&&l&b5I#dL6n0-Hk&1%9pXL1sQ=^k<@J}ET@tm&7-)RXa$`?=EB1LzO z8m7WricSb!n)Ts0@~!ZHBNbx5fHl0wV*!9n{|}UZvp3 z<@Nh3vaVbNF%R4;RPk#uzGJ1LpjV8=Q|iBjjwed10(et+8S5;jZR%J^9$U7ELYVZJ zXA?L<4H!aErefIDwTIMl0g}j?ba4<8p`Awb&;as1J0(%vSSEvzi3?MoFMx)2^q^TS z<&s@GQ9DLTr4v2_5j#e>W&@%hV}SI;O&QU6vXQTmOJb(;vDrY=-rSgMU~?s{9K9}_ z!yAB)osBHxswqyAXRtv$8 zb)m=e+q~Z;7U75Gdutktxw#k>IhV6A!_bgW5#wa54i9m_-aQ(5A9DLW0aTwe(JRJ^ zVt*<~lZ{k6mNdP$B1X#Xvf{#}<0((pXOC4*Ru^)Z-$PFz8I~UH&sORh?+&V*Y}`-6 z&*I}pmPV2{A7*l4?&uD!7XfaMi-RAVMn4jpsXI2T?Tbvz`wJ>9ZdJI$prp>~uBJ;_ z=M1cY!oYBMe}ckv7TUIhLR`R@nh*SeAR1g=)FS#shJ%(Ot~tZ4*5<%&ayA?#CnlUk zuMR}Ntxw_dcU)dOa1bvX3Fu=EPfB<11GdXqeJW@mtjzjiUaH?IIZVK%&tK6_wCUh9 z8&oCRp`iklv;1&u+Uywt3zsRT;tyXM8ITKUAQuUN8Mk&9H(uZKNPMCBE>_#S7DylL zr|$R3Hf~mUgpDcrv}R*xaH{&0{8p}jCFRw}(;y5}cqk?mWKmQN_KerDyNDQo$ieta z(-0n!AIo||oGCau>aRC4nm7djPC0=b!C^+L^^!R9AKuQ-r#&wp1XxleHILU=iUw|B z_`spm6j3qNQjrLc5ZdfVUJ-&L_#&3jV$daA%1q_WqZ;rqO>83e z25PaWcKQr*^f*apz=(2CtH@lJRb&uzLQmno-3Q9T(4%?voFobHcE>27+IU-5f)bW= z9s@9Zi=@IjR19^(J1&wq(WSsjMPz**oe(5tvKuj@8jAX0 z=Ts5^Xy&hj6RC=2FG?yMnYm*0m3vsBwWwU6`KX5>ExbHCLBOk0p+y5H4ytdk0nQ4M zU$;J_^EkmUfGs+_+Zq^M=X%FeLppX@_wfwg+xtkiV$`A|!*4l;AGW)Y7}=(zSU$rH z>Mi2?xN;wGb(jcERkhkDjxr+yFa2JCL{K;{ zWV=#FiRdnEt=+BrxrQ0+3uXPPzc-Fa>3$%C12RpIHAsPUa%AXSSX;L4dlj_6}P_)Sv_WYVd9 ziX^}b@j3Fl{UKMvZ-rQyVVlp;A19=u?l**`bfT>KhQ37_r$4e3ccaq^!#8Ui``Ztw z3AHQw8TY|c^7_S4L)9q$L9B~O{>$}K^A!rOeKovRRFWs9eQa=5>PSIz0fG&_oSHJl zmXvZ;@~7sak!6{~J>B1#IPn!awqtu8rb_{b=CwW~238X=+Lg!I^3-ZCuSXn$Gc>%?+ zSE;ZY=}<@$xzt2$_(FHkP}N>w$~#5kR%sFxot<;67;(X=D+$z5(w++2q-8tei5^6x z3^Ze5;*I>^^wH)x>c&iY-3vk%9rXJ9sQ?&}&4hOgL`9s~^(iMtC*B`T@HTDiUwc=g zPn-YXT_N@5O@`Y-nP7h36$UBm9R%uCjQ0aMR5$djv!^^fz&Z+1K94aI+vdx_&d2`l zOs&IQd8AAHKs&awowmfa<~`*^<7I92jP(rL&@4)4XJ3SykIS-XPvg_^j)lSW0NGDX z-S25mEJ0z?4t2^zhIFU^Ss`!9AZ*>1St8?H{EKK=B|Ro)9x0REQFYjEb%fYs7b^Nxswpy6iqmIxZGsHjI7zwXM=5JM2PV z$z|t3g7QFxehGa3^t5CfJuIyQtME1DIKaO`Qv3!Jjc&oCw+abs~bOi zRRpr!o@q{B3@n=ynMjBr9@G_q1HZzo?Km6{^C-o3%2Dm3fUEg15}$2PMI5+!+oYGd zaI?!iEqHAP!%siGrhIIF!)V1yv5As1$DOdmVxn#j)5O}+UiaV#dsoqTXj+q2xq`uT z&sc0|C6UaTXPO3Id7Qtp=n?S*^_wl*z> za4@)I5IDIqJJc!n#zg6MW)w6~8~Li_gSac^-X-8W2W!=}VDo7)34 zXPprrY~XfJ>lP7Bc7C#A`f9$QS;a$Fy(!>karuXw$7;F7USrQM)T}CqhVBnhv)`@Z zdPz;aq^ABiqGm4!aDSHU_zU}9o(8@s@S?zr0xt@@DDa}dzg~fV95wrux%h+B)Gu#` z|B%1Q7QNxzK3{ym;& zVg6|q_J3h2b6b6LTbt*0&ot9dn(U8JvuC#HKcHqzzbZWbH>eo{)8FVdIg6haDDmiM zrS$cTboebC)qj2!fDJ(N>_N@S#Gv`_gL@vv@7A^a(^0d3$N=uwT>q~={~Hb9o^^c| z>TmSlPw?z#%}u7?eE$A}mcKjGe*&H{16Y3tp4F&77ujS%a!Y?MvYANbPoUZY%J<4h z7=6e@y^>@BlJHGS&_3DTeAtG>0$$|OdSN%PGN>dkER4ss#pU93 zQ}^niUZnY{t(~jM`R4ZUU?|+-=)3#X(tv$6D|@@r(%xO~fVox8;*PXkvvTmf*!|~J zdpuY4TsmR?`a3uL=DFb72suA@OdKxAw8T3A5&H@&?1l%WwA{q~lkV-c;O zZo86WQH=|qBt39(QXQ_5POEQ`9(%TmYaw!TDu#-i>z8QjcSathZqHT@AA%a(1rJq( zVwXsh@|(dKqMFXGAp!VIJs2E1t|6Ismd%c}WFcoRYdL|=Ph6Mq1xa}>ysdRhXM1fd z?YP6S#YN)aCb{EiE1V2-s;aE*Idst|Bx1^}?~QBkLWQks+#Jq!t`_UxH)oS#eaaww z_JF(lX7{$-SBQKPp$e|x!Ms$4G0E_LFZqx<3p^VvY*3ieww?yy9BL8Hd{x%mC}Pe} zenbT~lQh4y+@g8!wf?zg7l<a5ldQ-%Tj#ZOL_=K`yPPO{xKAJ}gq0vcgGV9Oe8tegpXoiM)lGsxduWr=X6x_=q|4sNy1t7bD=_E zXn1Y;1{65I%q|dg?egOqY#WE=+;)%5wiXX(miF#{OhJ3NH5?pzxLs|u-CggQT|I7$cxbh?9dNg~ zEW5QekBqdH(8&dtK}@&O>3yYB@Fvd~d6se@A2Spr;I*uo&lze1ESU~mh$0j5Cn11g zBO>~Ha>!tOJRt8_ku2`V``4$81^93l=K`{&hDE{)q;u(^L4%KPi04;5tJL%vU}5e^ zK0HYAEouyDCS;+{;^I_ne2Gjj?^w;(cTZ2sc2@#fM=WT)L=e8TLG{(Q>M=kgkhZr@ljW#FM|&lnucPBsf@ z+X)CelBMRS4Y!)#a622$`6Pg=kLMDX@4c}MvVrO z1{9sNrtIv}1-@Mw{IIP7qhY&oyTP#0urEu&Hxn+CmBn-6LO z?H}ABM1qjO8-aYy9d^QHb6SEjCVnE>!3Z)2){=&lOCne)hfUc_57G~Yjch5|AvF?| zoPgRdZdHQP4@QMtA=yC!+M6y(y^=sDzH#p}^RjIiI0Z0%7cJri9u**ZHhrZ=8MWXgHlkI|_$jfA8k-Z6t z`o0<$C!-ghMfM6##*~jfnyiBiz1Kyuy8%>}RLAzMu5$t}ey!Kd$vUe`E>7(gMtOYM zsvnak_=j3Ynd6dUgYemG8N-bbF{8=uFS!2LTKXGO^F9j&?7EqgVxbffpJ9C6c|gFw z(G((vsq!>`_k6sWm;!qGG8si0fr{nT&!{o%|WZWc6Ut zmIZ1(&$>uumz8KKtcxT|pI$Yj(G|VCRSxD zoUg~w(T!Htni9<`q_%-C=Mf{YU^ zhf*d1%zOUT4J5UQ^3dmKMyO0aYi&RT7&y52kP+FkGq~sbvJbd=1{5ht{x-VHbfqQS z6x&Ans<^8+K5FG&eBDekx))oI_!*d3HHi?$!w=tZs?2Tc&axS~hegbGt+>g8KN)vK zbEb(?%NPr8vW9RB18|3q$d50#My+62w$EE2_f5zabPH%tOyedR&1To;;CWk;4A5;5A+SZqE!)adTX2kXKvO+P*Ybm9b= zDvzzk1ml%nWuo7pciDId9aKHK%+w};Qz>tPA`B0{gN=!QZw^;RL)6O-ml{!+p9f<= zDnQ@hMi<+`Y6?0n3KQBjvJQuTi~n_MYpz+mNSoqWOe3Yi+`&wL)V$TSKIWOo*B1E% zbV=qD>#td7B7Ks3j9_l7Ai!utmKpOyAD!gAg2d#D4ID$owEgL2EM%}g=Fs^mtTGpo z<~4i>_*yLC(UIiGT5Ir*mdSCyILrF3Y`4KY&?PxvSeJLqbJ5)-BzwWZeeA>7Vns{ze3i4#=auO%*jQ#AmQ!W>y*N6S$)9Ub~qq z;k(hPd?m?8bcMdH`_MMejSsw|`g|ZynlkzW8Q9}gSH!>`t^r(UT-5J z`RKeQW`GSx%JE6-JhQrj*MnnCit*|_GHEWWeD6*%bEBfyB9#irCiXkhQP$)>a^}%y zKP}Vbnmr-k%0MlU6o~b2c%(48w9J>lHQ(=RDn}{p>p2F|JEo`UQB&Gc(Lkg=pn`N$ z8OQ-*c3J$n7riv?ATuqtsp?aI=+m&#`h8DmT1*0UPA#n9jZD=F(=~~aE_F=tfkLu3 znmAy|M6K1sc$YME7TVOQ&*=Gwh}$g?s`I=mW?Kl5m8X8z^SL4 zlBQ2}^s)}vq_;r{Jo2Wes~_K&dUIcH;OMe9Z}b4aQWs}2HqJ@0Xn)BdPlj?!q2SOb z98r#n_9}60&%i;;T@B2e+dN+Ay0xsM3GUp(ADr<^#&cWgPoY(LLKk|Pg*&*)!kO*; zsM5GxvkZ-aB8IE>kMoI`4ibg^o%V2h8A_YS_pX%R=?Hn7dO6SpA)r{o>WM5%rC)`opNH2+;p1W(hC zcQL$Pbj}dO>_mtZc}<5J{d75mR9dM~v+2#}-q9>PV8N`(2n-;-qtR@GKy) z{@IfgGNc1{dIbmXm0Xgp#Ln$%$|Ww#)8;Xp@G8PNjIe*%<5#u=pJR78LNlv1x&TB& z`u={5K!OCe5E!?DG@Zph?}W9+sSA5>8+ONU%)>hCJC99C6JFd}0qr@qRd<8AZ+*BI zqO@%csdi{ITi*xvqiWZsimxaU-q5Ghgn%9@G67|)&<8!Bu&p)eeBjI8PI@529KKE3 z_RWDIhdOHj*NSFh?~h{Ah~CJ;GqVka*-m%0hB!wXLHBrzIk-GXZdSCDWxA@j1IJ)B zFzIbUKJlGH-dX@q*sdOH?07yy4}TA|DETyKg&hmjfpWMHFaqI>QSWO_EOVAW;Tp*LV+(0{H}^ZMm+;vW@ff$ zgSkJ8=jnk=Km!JS;B)_HJdlY_M~51yN2f#00syekG3w~BGO{wg#Pfe1iT`uY`I%}CiO+QrV0zcU~Zz3X$vdf zTj`}Tg5V7lz1JXepOk}%_8}T~?H4iQHBz^}eE6PdXP;(m5gKM&PP1%hDn1vt4W5cT z5KM1R(Fj)#z6^7;zogajaB{ktx#(0WiEn2c(LQ48Ff+n0C9qQhb^b>A2lP@`Une`w z!xZTf?6(Xsj#s`aYd@NVvROkWQa9XoX%4-5KblD+uJ+0_-vuWxEPJBxRcYGN1%y|H z_HXxwGrX!ud6xx+lUcQ7m+h869%=9MId{S`r*rO2(W_T-0MdJ$3M9t1`DtM25*0{d%HR)Q z#djs7ZyDDy^yNkSaU~TBGf6H)$CF%|@cSgWqzbFre3_zbtM~UsfdKnONnD^z7Y9F+pP5l7*9;Evvn&h2Q%+Cc+3<0maApjLNgM3g=r1R}~gE zN&-drz9AbJ?`x>Cgu20>oT99*RIM#U65=NBL;z%Pes1hiJ-t^UwwFOhE_@xMhH*#8_$?cH>(4Sw`RI)26; z_&O>#a*kgKz(_dyBQ)%WGZ#1+OQ_jH6ZUNeO0<{N>a(Yic}{Q5Xql#e*(d$F{OAty z8C^BoPCR^iRZ!%Xm`z46NDvRiQ_6TR3RgE2MO97+8c&+rll9krHP@iHJ zi>=D`=KYf70Q^gVdiHrP*E~Twk8ucVXadn?HwSk&x4X;CJt8wxYej>W&F_=rdMk*% zX9gD4qbkRo3yW}PLKl{|?ia78C{NuT9+wW9hYl}hunrtK`aKX19|IQCkD3GC(=?}# z(mpyrZdSQ8JQTV(*cDE>r@^B}1&f76Qot|H%prdL*^~GmBm$IZov;}S*%QFs_^#pizUriSzg8l#A;`Y!pF!sogd7P+ zG%1>@=qDl2C;QTdD%&aI>lA(l?eIN@=)PaPM{@Xbbzie$X0-afoJD((iBzMplYi>< zk?9SRcv{8vr2CBdhz^>etGKJmi$N@Rc--jDl>4Pcct!m2bnq zqwSfY$a&U*5j;Cq!-@F z?P1QkNTFsbBultbF)l8$;~y~FDB-gHtU7z>WbOMwbyvcxq=a9!aYD5LNV~hwy1e;# zE{b8W;qJ>e{a!Us(NT!Hy~Yav4UCm;=h#@>cQ?v7>VXfp?HZNQBH7g)bW8=(SB=Hx zP}E;(3&rfu3a-lLDnzbIqL#j1jzu?tO)bq!1RP_f_}YnnEXc?@grL$p;B?6Z%~$y* zXPUe!Q$4<^l@o-O;Y%Hrog{}1s`z>^Z)NVmDe@d?4Z);JynQ(gmN`?7JlwJbwvBev zCU$eNbW$BGiC-#(jI6B`iDwL}wX@rQ7lI8{Pgg)eWJPq;UutfCN*)Ycz|!z_{I_$J z?MmP#Q27bw>N^Fx;Ry=hLsuamIcT>zj*4sx8W%SExpg6F%a1^bdmZEnB!{+PIUdBsGF72??218ESV%!`TZP_QA;* z2=SgUB3N>J+)FOGhuA~YsoHg{iU>%3qf28vbBXfkDv1V$lp5-u9a^sdpQT)pRXL1U zl6*5e*|CF(^{<2;Vs|&#D+MDZ?vyZm=*=Y6FO3lePHW*YyR0&U+oS~+AVWaIDJx7E zjxW^Qs)IbfQrKFh-1TH^SuL!3lP;)#>y+B$> z3$XY#K;*k`D0~-!F0>|8Gf*h{f*F`u4GOTn(cx#wT6q$AAh;U_0 zn-~KY)Q7PR=6;nd_}onO!-04(q*h#O=X4WSKn)Xr=X^X7)A689^zp9PJb!;BjADq- z;$(yi>y3e&1pQIDu92p$cuQp=Nlxm-am5J@6?}AJ##;Q}Go|CnEXjsV4DK4`+j$jH z$X=%?jdkrr8mUrq)W^=Xak&?628P_SUOC5rGbO)a?}QRYI`kru`kogdC)_WOZx%Uz zuK_e;o-}9$i7q?vYO)I5BOusgZ{5B_ERHX~w*4FfLCPIbqMdQe7gyUO)+G$sBcx+k zKF%92or+f zw~vujWTA$s$fYDhkd}yJNzl=_3!kf~ayVZ@h^%@om=jdTk=6EE2wDsb>N9qV224+o zPKN0WqbTKy2-mOf-6?TVgicCO7#*O&cv&bJ2o*`L-y`2j9#_nY8I!u5cTsE_@4_BN z8hrXR_GJuj0$Z$7ZXP-aOAU$AyGM_@v=|Lv4meK8bm7F70x6e_LalJQrxJAd0eWuG z*;JYGDr(_d2>z#P%oUZe$&?T$HVYRu8r9+(MPN`kvco!K!r~>-^>BSfb?s+jzj*u4 z$ajeJ7L)Auc_R@W#zck(;$9nqpK2X(>FatS>_rmsW%ebY%f*IJal}5l5|CliFHba$ zvqdeCqs>^^#K8J3$ZLfZxRfdhtiq`KV^czA7k#a?jWFiFEs~hB=7&*t%0dw&t^1)U zToi*`Us+KV*+~|8C^IP5lRNcLMPJ40t>qLJh3jP}W*)N_Io+ywX}x=Hx_dz*$CVxN z22N2?s*>|^VFD?e;TwD7M2=3Wnntk!Ns$=)Nr+5JVo=OHfj8@w`}ggd(N~E0eBZ;~ zFX=nsi*y9OZ{ksL|FF=8v_+)6%HBlME*I)~4T~rB+RB=3Ew6-e;L$jb7Qs~lqpAg8 zGR-Ve$7Y-1OeVEuk$?sca9VooY|nfLWInz_RuTtUS#)iSu6KIN{ozLtO*!lb^Jo=K z%%>jay@k9rbd2qrO5~?A?4={QzU4VV_sfb@5iqz$X&zOoYbwnYkM+CN9=JB`#eVz- z&i1Em)2o;xb?)+aT#RfDSIj{JW}p2)bB;6bJ)E@4p4LDgwIkuBG{A!2l1;&iSlwN3 zdmhR1i%+!T9Pi0XkLkC#KLt&-*H1V~-aGqT*e(?wH*+7F(}+BZk@KW3RLOT9WUopVe62b6iZ%0}pqyz)6&r1h}=w88ey zL3cQp^2>u_o5i-YwZPK(;8n^DX|=nxN35(9-oaJ9%LTHL$GA!?oxa`t=B&|Hq^%mq z0mr-eJEJ2T%~9<+Q}(9<`O;@5KS|pOcltdwCT5qQHv+FABUU z@S?zr0{?mi{&5GuUumQNoEQ8Rz5fT!5Af4#;w7GEV`HPGW1xMB=l_87WBE&*-`}_X z?>N7|YyU@_pFRVS0jSHUN6oDFyxQiu*en}0P)C=JT2G&mo>^CyMMn?7{AZjWtDcT7 zn=bHqp%0Mm*+CEhG@xcb4D+`q$MjLg4Vw(~pA5Ae%E?!Vyt0Dr4<`b-sA z*xIN+GiJZw!Jn|%FFNq }^dE=MCpxn=mUs&2d$O6jSTHEQ`%IH}C@*@NQX#bLJ z;IHBQHuZlx9QY5}2L2N0w-Nj`Jdm54R>s;wS6<&%omNIrm{vjG(N>dI*uvbFlap3K zffeZ7^-`>);nl^Z?E{q_%02ak6y z2L4qWO!j4O5R62v3|PzIcP3^GSkeK}skgU#6W2pcI1lsR#^OiJ+tl38Mw0jHeI^|0 z)s`$EE&6;W98F`C))q39h#!shDb&Ffv#9J8)}Y!GGy2jY+x6z^ zzizic3w^Oogm;tG-_^a#In{~vw}r_ZrAVx1b7QL#^cxPi=UMZJ0;M6BnU3A&rTt+{ zf$B|Zio%6ApCk>g9t>T-cGXC^JDlVJ7JtY8X%pW4TS%LHLJh;L)K?z5H2Bb&CMws< zHP^yC>M>K&`}yHHww)%QLp+@->f)ON$5)}^*`T-;f;y&}b48Y!W!YbAd=~JGsLskL zg1>U6_usymI*${XuWi@JgD(!BB5f;Tmp=)<(%0df2RAhDQ8}i4H(c2%UWIy}d3NGq zM4G)fqxUpV<6wOLXtrT2#CO6mJ3NE8-6bHt6IlJZq7Bnnr7r^W=`mT&Tiv#)qs;Z- zZMOgCETiGD@8*<%1^#;eJsBs{8G$bQD(ri>4KbtA-KJwjJ#w_@Xc1fwY#7)UIriR_ zJhabsl300CvbPC_4V4wOkf@bTS-UbTs=Q_V3A}fcA1nLfijv}Wd)VJ)`U!H3*y>A* z$(fA5heAywL8(QKn^4aqY?+-<$t1KW#qyE~1>81(>FEnXc9#G%sVm8Xe{j9^vuE;# z7cw!8zLb^yMp@-`<#nmrmoz7$Y)7OoLf1rCi8&ee9{oF%mn3MW*hH3pH>nSQG~!$2 zfpI_b#AB4ABy3R>S`gQL(a3?Izl!jHHT zNe*TbTrG(QQlEYs2-;xiu8)?%>l_#Ix5q%fr$FS;M{qB zN%#UDUE7ejE2SfmSe^OdMqJ6>R<9jnm4=Tu=BhQqQbmtFNz>#UUytmZ$-|6X6d?wQ zzOdnAl%yO)nM9x*oVJLQXZ{J-mh0Uk^<%cDO{yNtL~x$BpZ`im9Gh9Kmi)pau{?C^ zbevyq{dbeOsKB#r`z5NvnRX8sn^N(e<4NkGcuW%{6RurZVqiERnIKRc(EIswR!_q~ z002|UK7jOAuC8M$%cX8>W*icb2IZg-{lPb$h*-W5@Q@hG)(@=-Pjgs3xLd7Zx=gbv z)O-z1ekKVp&&uP@2oskHFpiV&2usjB_H|i8#+Rdao}U^W^x8 zanEy?aKAkjcG6#`w(xD4acyVqi(6ehsL&L+Y)orHq;g%0h`6r$UB)4nKait?{ZS=c zq7R9;tBjJ2hlve*LU#z>&aVFo!2v5Xy`XHSz*h^J7_k|<080SDxOyh4oz!gC(+6n3 zG4?4bUA990= zh%yL*?NZU?UuX65P@~&Y3qE$B)v3oSYe3x47zP-t=)?0LLne=%nH9^(>zj5Nn$Tby z+}jcKNH`=LoT}g2*DE@hA^9uS?9B)D)XJQtn07Co0Nvc(g&qx*8ObFI)}tRB4HIn= z#;+BGFH4SGot8`SgJWzfm?717N8^|ms&t@|Fu%gUhTe)a%b)3?K{sVK#C|b5n=djL zYQhIxjet^2LPpjfCv?GWVfAa-a?!@6>P?-k9!f~hs^qjq{|a#)7+1PVaU zsM|GbmoL;X-(^{EX(pC#@zeUDOV{RrLaQ(7>ia2H=tBo!*+o-Td_U?SR|#5*g`u9f zDs-7_$dr_V+vze3BqWiHpKW#rSPhUPuoCuKnGS4uZE=LkS6@3yP)se*N6?*4 zyRt9WXnI!@$}{H`RFl8u83V^HxI8I~{k&7-BAdRr$x{10daSzFvYiC?>;SG|)hU=U z#@JROad2Z`#yR%?u=kcxbtPNBH|`b)4#C~sJ-EBOySqEVU4px7aCd^cYjAf7nwO-z z&(nRLzUS>TZl7`PhcFm}+Itr@SIxDmcCGcB^Iv{yN-$L>*A7x7!m69RGzaLTL6CuOY~I1wA~&5fxP^8s`R(b( zTzFS{KF_W$B+7x~_@U~%fktb+^51J6& zr_(|@0X^TLX6sFsc>+KBELdO>y8`!g*h*>Ot0pp-dnyr+&jgw05|YYIE79|mZf$;T z2H}`I15Jt6;-h?7Yc43Ucxl7=Ku(ySrdx_#IDm(x9LUKT&Wtn9n#b3z>DiVjL<~gO z)LX4~fadGPdi_q4{yaoj1rlE`JJybmQXlYg)gO@UsDm`#NacSK1XA67tM$U8M4D;L zpo(`}mKCLxJOjv78wSW`>)f|E;F!;*Ye4fIjOqN#3HX_(9a@)sp{J;5GDgqRK7at~fT7BN z7P`j#Gjz?)n1=2r>N0Zuz32oz6)n929W6U8D?2Sc1uY#rE$weGiCw_X@O6KKzgqjx zP}jd`{m)R>Pl>v}iNXAQy7iV&I|6S-B z1M}}>4}OQbn19(k>CZR2V*Z7L{R4F||DZ2_5o7&Z)apme|0!zq7bGoy^z=W$to|CO zVxjxaseV-<`5!*{`rhy24gUqFVq{?YomfSgnz{8#Kk{?ME2mmf61QCwz=fz%6Rp-8 zPW)tE$SX(rR#i&zvz^|c>D8J0SepQKvGP_eZC3i1k@P0})21lAwuY|`?)NXpPxTLn zrENE79U8~!8P6WBo=)!f7Y|33ckqcE?(1a|H&>%$FK))xZMO-oH;|i zV?Whjc5tGvkXZuC-&El}Ug~%6i~hRE`;?cDCS-zQd&z}b>no6&7F!Ch)c;XcqR!MY z@8NW_Aym?pQI>1#i^$bVy-`^c9DJyC@Esg?hWCq&t*81)I;B26GUVk^WHEIq_Ie+& za>0#3z-Sgf6H7K-V$S-v{AMuN8Wvby2u%|UCK@g{eFtk-q|z%)ok_GfJs-EOSDB0Z zo3TpXP}~%9mE<1K#eUuECw~|K%4O#48{};IS;d1Ev26WyX3Nj{@c2v>(EEk}omxrw zUYHgdfYwFpY7m&y0Sg~kGgvF7UsLW`rlOpd^3^!DNXRzl3kt594dA36&}HsCMUulu zFV8_zF+L}kLo`p8z$qmTMc8WOSjs`vkkxJ>Nhp-tN@Ngh&a6x`;}K?j8cqLfjPpos znpk$w65VgLJScg-WSfXBAec(6@|h_Jmzf!9zrTJ8ayu!TkCO0#m@?pg$<&rPS?zv( z^Zqe?9!Y+gSXXTR18jYwlTO|n0XMUQj|O@NIn%PAf-qf}9JkVRfGNAeUFTg0HV~Hy zJ*7L@F@VzmsG_1E{<8dZZTWBg@k zZ22+Hi* z zIO!KWgU9ydxsSf|c^NT_k%WL`33NowI?>U!qCiM0Vpnw;kv`f1Pvy37(urRm-yhb& zUDS)MF*oID)o|ac_OMA}9;u3nKpKmnNEUfFH~TQ!1KVG&NgFdn6)G#wwuId=?9L9O z0||S24wCDj9E#Nl>s9UcPti*0x!2GtavUEAdw^bxPYr~Ev1!yK)*A9CYl{khQ<{G` z1}<=&0bLo#H4~&wi`@LeasTK_CdJ&;M@Vo$Gl`f+*Je&c|(}cdgwbG=JU`SCv>f$q^7?+ds8^R*O0jgb3+33#{D>Er!^pb4DJY>0qAl^Sky#z?M#tnD{YJHH*nTEt0VZJPv@ZOhpnuBt zjc+uzJ8&=Ul;edJ*m}jf=9tUwGCJMFtuT6HMi;kn_XLG&S)yQk{E{c7!=dp*y*ba@rf9rb1VQODS928E;I zL;#_h?&C`mA<~^QBts^$g*5V+N)AnQFac!8A_mj zEW&EWdMs86=1490iJl~SLSYz3Yab!o7WM)%0Rj&H1)c#ZFee z!=+ul9A&`hlZTa4f=ZU&9tobZlt!gg)U#1t0KgV~B$g_HlwKZLZvwJ(B29)h$|r@h zLnTG)`IBz?X&(BxD-}G+wAm2-*~)cFxU6xbZ%vC>9W^Dff|^5RoU(%-`G}$fLJ3mQ zN2^Nnu$kR)8MD^jeCfGiFiSidg*i;e!m*z7=9nOfly(Xh^i%MXPiRmQF$>I%+cDBz zwQ~G=drnkQ))Zu_`D|4F&ObVib~Y@S;wjLviYXgD64^Rh+&8L+nIi%YMWhL||+;O)EyuBfiWh`&>V8ct?1K+C(1V?N996`;c863#O* zeChyoY>V5#(RM~ADKPz&RUkb*$mwTPaS6^ZvhwjdOf>5)YM0#T$4$^`Ocz|a0R3=KbiBejrcDWZEJuUPUjGHnuC5iIHX-5>V-~Ywy zj&q~`Ae?VUMeCEp&F+qwBAj#W5~b6?;D+Pte%K0@0e@9K~xRkyJg?WMit1yi|J1o z^I?hkE4=;#su9Cc5z8LhBU^#JB&YZT z2Z+KaFb<$=;kr%4?W-%8xN@83C)JMLf2l)iz;HP+DTl0#IQv4k1)(48K*H28>*u_Y zcTw*i4aPMb6|f{8Ktkey1?0`_OatQ?eo1}J!n}g9UkV^7T7EEAr4*<&Qb{sUsx0s> zGHarZa|OI5Kk;#V$BpUS#Y@|4`)!qJVUtI8lSkIcrR&)~x>AdHU7?A2L2ZQmQh^Q* z)GFm(OSjtj4d#sfJH_;ktQ*nsHK|4O{$s;07s3nXYNOcGMOZ;kE-oZIV5W{`k%T-s z5R5nNm^pT6IrQpdPSJRVUEEp?VhWMoC0)}~`gkN(_D^z}>sE=CQc|NRquWyiiW{1{ z%Vby6na1t+h`T(hsA9O=*cF)FGEK{)qHEzydB_~ZD)pT%_s3IWD)10T?Ox2EE=K`t zo%t0Ywo!m@1A$%)ltk)8U`Xc8%{8Y~6N>^7sHSu23$ni=`%w@q+z=X1BJWy8 zjLmUyriD-pI~d7QTmHhZ^5pvM`lJJClk4oRF-8EaR~sE|v9TI2He@rpS9xuFE2`dl zqN#h_9m%u^zWU5MkGK8d%oQNaI_)LVXHuJlBNuY?%IPJhw;wN~i}PBgsNI6r&vFtC zIu)bwOO({!&qAl`#z`n73006RlEtF1QwaGLP@Pq{iHri6F7RU@%klUrP+P=3E`19x zPSGi;fe+QPLPG<}LZ4yuRZEyMbc%Dl&47UW<+p5r^v@(h8WV%<>~b(OF&bjlK{Ap7 z;_jbjKn(Jp;-QLKK5{Pczu0%X@OO$V;xC>Abh(+W^d7xEl(k4I_%@Ioju{Rto~MC| zgBHcOjT>dc@D4^~m6@mAWh3~Uq~A7alcZnQ<9Z#-0P7Aj<#wS_@>J9nIwNA|?9}>M zq2nN{EqBGBsx5cXWy0XDk#IQuVnZ`MvG_`Lb!VrcR11PX#b&>|&;F_S@H0c~ zr{aU1rLF@PzOIeU>t>6(4yM*tG&TmmB#^!SWq%F$HE`57wBy3(d(~bw#C?tX#g#DC zv(vS6#ie7Srp2e>hQj5fv3sp5_g;t_ij&5L-Nn+z($GQo^@IQN&(8z+>4Bdf`00V4 z9{A~jpC0({d*I)|X1~k)`YjOYJA?U=!}SLO^9QkWD~BIRS#6 zjioJ&t#J2h^w>#>jrxkZh&%Pf^;p`+sD=x0{@|9L>r_kH`ZGC<%wVVcEN0XeJ}V0- z<>w?HHb99t6rTm0hrjJg!bNgxdf&TzaIo*gWz)GaAB_-OX{OeaI)hH82z4-H^_w z{sjKS6Bj*A*Y1eCbQJbv$ykfg0%^TYZKTKJp_oDSY@|HqiG}Pq4NDilB(vkmBPv{f zGEOu$@|&egh*{yYo&1eR>8dK52=mK#zHaLXz-I(!#d3+ygMq$zj_-595nC?mZ#LIj z_&Z~HP3V`5A)egk%>5Qp-)Fh!2AnG>O8TN5qXk7H%%Spsv5I!Mcn39ZZM-f`gqRlAAJ*{gLI}v7ofa~2oivg9 zXLme*7dAykMIwz-R7b^Y_$zL8c^RT2l#-LC@g7c$r*Qm zVb<@xY_`tEnv3LBt_(l(@YbEr?Tk)6K$5{J>c66L=q<~~+B;;SJ24QML=JsU` zi`>+d)X5>^YfK~@sgnr_$wRgM5CX0r{s)%sPdyilHU7}j z8sS?@&MHCCh5(LDXtcQC_Hnvz``SqLnPpw1t7Ym>pv9QOV{hOsHZVM|)P?WCK4KA|0 zc6EynIEU(YK4V8yGD}i)TlRyGwNwEO4XqbAH>%utbM1!x^6ZD&=;+%5uM~wkU7&UB zXRg`8JC>@B+l4JHlg<-3iz&n^t&9N-WUPu@st=&v@6Sh*i*|s|Dz-8H`EvnCIeRxK z_KVtK2nuXY4%xW+kPLW~d}MVb2W(^Ddfz@48K)x@Lf3JPiQ%n8Z7>P=!dCG$!0?y0 zoV=J$0PcNj3mU^f({Dk@%wXqz%N)J%(6hi6Z@4|D$=9&kPohs;GWeWUcb30B6%^mr z-l8B$VnP8KdC4KPa;jlv$X2P{hVFd5g&l>lYvimcd1nHJ(~_nzn50b;N$uVE^=J_Y zv#1L$w6U$#Z0gfewr?%$^fC&na`*&6wS2fzW9g04mXzQST(h;|TRT!H)0|Rzr}u{o zN;H7lI=xgfnrw{ZvSTzSq%BvGD!hI=2vfpdi&LH;`NR5T;|7Uxb&(`pgrSU_ASI!+ z3EhHWtd>F}+s_3>d7)6Z7j>`ObEIYPV@dYeMM*|~;uX|VgoI=u(mO+lA>Z>1Ok)<9OiWwZm)|4kFz3rBCna>Dr)Q%h*9SQ# zl*rYo=>aCO+q$6zT~hjz&jbq@JCKx4eIz-SSTJ}}DCZ(B!P_SV&pX_E=)XBa&w?Ut z)W;QakzFt<;#=<_-4wJjghUP5U@8qXI8y;j+j!m!q<7;m6LY(Li7dFa97Y}Tyfu2p zjxtp_E=?09wH{f2mzH%q2(d>?v4R}=a=GWc*Zvu*gow#^RRv`(hd(Ws-f{CR-1p$E zJH4-%qe3{ujq{^i5ZvTq#JB+(0Up;(%!2UHb#NGTH9d{zCY-Dq9Gc;b zZw`KILad#H#$yl#W!e-_6uTzd4MAZ{+94fu(Y-JBC2+?Wyocl0iIz@;n3(H&9xUt_ zO~DHkr{~WVIE%9cEmj83_uvrYbS)GbcJN_=h;a+^T{<=hSBMh3+XYzANnt5laJQTL z1x|tPd)X!tuvQ~ib9l&K*5bEq7$z{qd{eI(Ck75h$5A#0yD`K&B|`X&^s?>LnK_3n zHL=<-D`wPD&Vr`yHBp66(GMgv<@7dNXd)>Z8J$`Qg~bFi1P-RM&qf?z6sL3=G7B0D zfM|hd6zO8jfUY#{2Bw58UHyXbGAVQ57D4AOKVuo^L zr*BeMGD!lmv51NWetr=#R^z!v?tlqN0c=?*WK@hCS&$m!n{0h$eGN11 z#ZaP&D0P8efz^x!D2t-b#O|=pT_6iJVDqJBgGIV^>}*FQlf~;4^7mx^&{^SL6>Y65 ztzc!=C_4^$lwiKOenaiW-<0KtWb?+mn$l-0zKkeJTS}srqvMD847!zi8qU!XTEYSv znMl|A3?g`IIb#CGfl&|UVcW&@a@K6)3sF{Vl6>(f`RFzyF}Pc**Y0XdilFuGV{B+o zyM>FK!;6sN6Z>^L@%Sg=1T-uVK(K83H~y8nLQ$sgD9GYR0L~SaryF8X@Y#&z25ytF zkmB!4;-zlXs?Aw7MEvqG<=Kr4%0TlGVNbNjq1f_9+OEF2DPGl~JY(|Bxbrdst1qtR)IZKgFVFP-Bh6v^6DoutZe7 zh>-yDeXE@5)CVs2PU>7P{}5hoQ@=*RPwmhhtarzd3XrG-yyqPOom%V570YM{FExaT zX;c&13Ir44=jwjN>C!+t4|=var!vDRqSOcrIuG-?^l(mU+JX|`5?$>hO|Ej1~_Km`6`6ny1cj)kIfi65$f_9d$S1k5F$s2?<-qY} zbJ~q5Q83q9d3(Gg&&f!}7oZd_VWa}40L*DYWpu8NI02~Co?@#38 z+)fe@R-w3a4@U^y6a@vho?it6Wiz#Rl$CL;LwghlIZqP%Kb&*dHfW30)HQqMX{}WY zt_j ztQ1r^T-R}Caq&pF&?(?r1g1#=8^rBfS6=Y(dIrtO^Ko+HNeSFxS%IWOz+m552%Zji7){NPH2g5fY0~bu~1ev(qX4o$R^`72QcWg5e0JQ*F{%vNT$b0)5fwORop`M`R`1!MimbYJ%!gBw`>U~dtN=Awi&Mx z-y;uPKfCF3o3ZUKx^`sph`-#XTOaq|FF#7Ijc4L{n~t|$x!<$6g>@X{jiqi_O7%Ay z7p{*hDK27PU5k99=mg+=7XtKWSe4~_=2T~Lvfpph`NGIlU|eK0`F^vjck-yY`t zBOdmDo(bt08k<^ik({-3kl>mcaFHl8NzzK%2pF1}in!Ss%DYJ^=)0Ngvl)=^yke$* zP$pa#OA9M|c9&O#^&L>Lzm~tlCmMX*A5|R8e)t%+$10`n0c7HLrok zj4ZTlue&Bw;?mR7)6u*hBt0z^EiF3(D?20YZ}1BLJK_2jaQ$lS^%WPsiGza;I}MGq zvop0b1GV+fY(z?({rC6j-)r%w2Y!0srw4v| z;HL+Edf?v>-u%J9eqE;jwi5r2#(pFY{sGwij=%mc9q|?JeJ7`Xo{q@!Ls#>Euqx{h z2K%3&sXto&wHtrCh~{5NNBq&#U+w>`5}JQ89r63#*{r{cYX0G@{VurmU*JqeM!J98 zewnQGs+n424!(IF)272QMzmkJ1EfTWe!mz?rCuXyjZy{7Pa%#8N?&I_nR?tYURsjz zB{~NU9Fve1SL#M}pftCIi|uPob6ZoZn&P@AOUk#G;hXKv%f02Dox@wN`{%ph>DzUsO-q;HRBYc3pU_OTn>lzchYNVF?=#OC&IvFuE155UbYo5`m>*r3 zS29YCBgLthNH#z8hO%8Ma^t6H?-XV-?=N<|NmE|e$VC^v?yU(rDM)JaPVd0N9v6;Q zP9fhEE-YbU-qWa~-c2i^na@p?oeaKi$y%~teh^G3D3ZHYiBDEMO52aVHs(Bt>UbZF z=Yu4|U9H89TPsDkKffAx@H{&| zK0mC;!U z2(v9NH&{lKix;(gj?SfOPqX4(MPjaYHkn*(MXN7c*`6h(TP3$Wc)X#)Q9`MRVskS^ zZTWl0_o+(v3MK%>b*~Il20)e#?5TdC(XYL$oj$qD@kn6zz@%DA%>;i^iUE6sSkxjS zh>C7wzL%LpmELvO!LNh^gTbNOsMxxz0K@*;4eGN_0Cp=#RII<##dL3S?JL+x)_aBRP}U9~KuZxf*YzAk0H1dQDQ>x>}Xu5Y^*ECd*|d zT)LBp{n1ADxpncvQTh2^(-6-qC%hQkT6E2(g4 zw$%BdSlwKeWr8T0ZmfK|Ok>K@U@4}X9v%2SmfX7w@d7Bxf}mB(N+hN?Z%QG3eF4K- z_}5@X`=E%9gCdF9Og@2V6TtYji9k0SEW~G}Pbj&S%d|g4FiPQLy5O3xeCnqvP9bC_ zzR48hnR0Ox+pCpOe98J%qWYJAm`I?7#E`5b6=Q6h3X z9U!qmkD(ZX4OBdD%e1X|;jdvHZ^-22IK1CC1{G%+nW)Bs26AzHuG1$J*8xSh88My4 z#WTr+fmJ%cPrLkB+QcWYctatc8G~J9;_l(IVI_pBGy` zBhuj@TOm61>05ksL5jRavSA4SS9*7I#g?z4P2)nE7PnC@+Tt4|H>zV|@Z2zL^l}#y z$PW<5tg?D@L`_sOF%0GP0niAB?AgS2BU5pJ2djfGGPwWHo_784;kmVlXdZl;poP`b z{!4OHHE6fTrp|(;BBSWRTRJKosX{UBBQQd_YPg`o7y%DmSBw(RsvBqlRkkW-$;pCJ z*kTU$uzTTFv-6Pg8+e8p0^&!RX=?yyB`3KIFM*klUJP~sSDkM1N{A@rl-dedzPL)& zL4Xl>)^Uz!pRNX zHHyW}LM!torILSQKjz5Kcn^Vp5Dmp~5Q}mkXK+w6Xns)EfYsSXEE6`{2BGY;x(uWy zP3V^!dK#E3Ew1Ki2$cn#n=B!dDpGK50DV|LB$rNT!%T_XwFsTIisQ$SU*u^+Elzfd zDUV7HPMS@xP@iXIMXE9naT?x#e_)P{BD`r$@}SDp!g>?N!VfG0a$=mIgV9BA$q;AA zCs~I;!^*)r8@A|R`?bu?`@CW@FUA!(29gZqLD2uqnI}M}Y zS7S(l)glr#q1Dry7W6T!N7>ER&B_ogG&CHcG3*51@oZ9*b17OFNj=`*2jMF#=(p@m zo~pvQ7=Bo9@2ybWa=0~1YorJC9GOT2(b@x9L$+e%g&@JVZGhrgMhJv<9~!iPONsZw4d274r}Q&G^tm*TaC~0!9zs!vM`Wt?!c9c(Wc-FWrrVQQv+gy5sKhk%=?6 zlcC}Z8wG47z_`Ml$mT*_VE7l;nC$z9{o~oqst-2|YCSW3ZlbA{TY_(zvQ!vCJ+V>k z**BgSI0RYj-KXLGR#zVY0w$h7g)r&?HkS^kx(B$F!H8A_Y1=%k$ z8e@SqJo~%2WV4vF0p7&$Fd)Frf=2p^yh-=jKkB8;BefNqp#K(@$K#W&pyV*A^PHF& zmrms3PZ1eQg5euO)dU7t@(p&PSnn}t>J&7fM}{}?tE2-9z{-5#r>l3|%rYnKx^vWE zBBX`{raI{>XAp9Y(0s0%jl2l{-&FLd4eR+NRcS|PYU z)7^_$friik3)ooj803V76nQ_5Z7V+6igzWxrS!c~JtGo<+f`qA&t(^9iT>hQd-vq5 zUDXif_pFnYZiU>iLN5A(vE5YXULF>WE69M1*W|_bhAZFag+YF0*yg0`bjMu0E_1Gc zTYpc?W)*RV09Eds;KRlJw@r;yJg)}(zVtEB=oaiRv&bU5@p(d7Y3C0fPD2wjVSAq{ zG-&ZRs7c7suw0;A%?6paNzH|!@lr`vfMR#Jn;L5vh^SadogztXAt$!68V*j(lNP#b zU;L9#`M>c#a?qLGA`@zgyor`0M2wzN@<8zoo+GI@@KMP;0GjN*0ml_%s+$FpwGbui zCdAtQWB|Y}g6NZ;9r~zH#bT=P%tI~Q=~Y6yvHf+XOD1%uN4d}%YN|kK;-`j~cY&heFD(Mz&Dt6>?j-A)dUEyx)*J_M*A zL{@uc58JZZn@$Gu$y1~9Kg;1-w&u-aQ_i81gu;#|#baV%Ri?0KevroI3yq!juvzvu zba;-Lds-0L=!tPQU#~Gh4S}TFBNQrl4A=|dPAkVtOk^MC!(4E?%lC&5r9ZhZ+k^4- zPIBV7o2@TmnV*(wQ

*=7;nX1WtZLqf3azYATOT}EKB%yObW*4uc@2h@TP0~5u8^Rm zu&%YH5>DU=3n2w1#Z`EJwrh5W-4;xQ$z+9pa!I4g3QG| z3ETWB-813@E*I;)D7nOo$7olJ;$W{ZpT5h&lgheB!a&E)Y-pLYIPNqdQ^PGNpG;I} znBV>|COd9bav2tJM6H9PczEiUExWBwHyGh{od=7m3hJCdmqv9Z9 zhBY1khBwCgRN2ncNwO;2czFP=8nPW^F%~p$zB0W8*gmNf{xoZp2pOCA`mnh z$P&_C1#jxnCx@iYrMWi_1ZNCs)v;US~tv zi;>t-yDmO(>KQopb4>QK6&^@8YsOlK+&xSCM z(487HGTY4(n-!4RiDAJoa=yPT1(26^>h zPz&CWyUmXNy6x1k9t;?!t*p@#INExv-OEQU^#m{`W6sb=vGbA1LXsvYc~ zBgQOugVNa-xzhEfPNeMSy&-708 zF4KQU&wi}lf2Za7TJd+<{J*1Ttp7^Pll7m(JXwD*x$mIohwkL}!VmcNz3|%xc5FWg z+v_`QKVZ=J!f&aJY(G*NzrXXt==Z`81K$h3waoTg%dZ)HuUPNKUU479(t z%`QxPi?WWmSs9z6~@%7}<(K1mpycX%`s2N!QX!&=Uv;Y4Ip?|g0 zbo8%==zlRy&qhu6TKwOpe_XeJd#C9bnW^bne=*I(NKN}%{1emPujjwtGz%T|>*)UB z@K=8PDhvB(rvKzF|Ns6fqo;d~V*RDp^zer#gz(D(bLis+hUq=@MBke!UC_iTPzuoq)$M06XXAx8SR&`{hRS$hWGz6{>wM!-|u#|*Hrp{ zoO60w25S00Ip@E8OaJZ0f1O%>?fI{>%b)c8-<#L|@(BMix%_7Qm-*#?8K?iTZ2Gn5 z|AkPT*GMEf2HGEMtv@OY{8;P#dR+e^6o-|C<#(Yt-<83ag;1Wq?_j&loWpvCC-c^Z zkV96F!zwQiG&XRfS!y17z*N6*`Q?#oZ!9{;K-BfP+b7?3{jSMbXsajcZvKp#`Yi0M z1Bd42<=M=`>tgxlr0@AAcp1mbg)<#1Jaa_xVtKV|tFOzYdLob<`+*GQi~V^)+E{{80=y%sqI`tL$?)dRY^EU7Ws`Ux z!qQY>wT$iVLKU(YYKb>>MhrkIWRZHN$p-3iiRT-?a!Cn?4V_#LM2S?9WEv?7^@jXO zY5#JKazFNKD|&WJ8@1ao zw5Aiz-VCbzri(ZQQcZlG;+UmBxr=Q8jb9 z0EM4x{nEyT>edR75zrxKL?0C8y;5TCz`{&VpoKb-W#xP-;gQY)5+}D~k$P%<_?QR> zzquwRg~Hpp=66^nRYK*7SqF|ee8WoBN-XUXj zKh2nQVlt-Z2>ZV{gY&>KVe3dSlX(=G052=$2#e#tv5Oy;WK=^`viDA9)Wjsn@g>zo z%dM{$GZ3EyGgTid&8MD#=t(r^*ZR0L!#HDPkeRgru|UN{JE?D`^@4fniJd_(fY z77fH|!=tUU4}1DjCIn2lMq7!vyz&DvlXcz!(Gb(1Tq;Dp8Qpx)g|0$_5J|CI5m>=V z<5(9L)Dy{jUOV;_OOwwuMCdSv`8gt*Bb9w?k?HJ&s~_MN!kb(PMwyFKE((|>F&K0g z?6}L1mt?PVn%wDu>eDcj1N!h+>jdl6Zioe@<>{9vM0DZ@_3UiAa0RD8K8RPz(P@kG zbQU@R?_i}%o#Oy<(n{56x4R_c>yh?;nfFaB7uQL(1Hi+<-Mm!!V!pi3p15>n?%-2t zT)HMNSyhKIVd$`KUgfU@b&<=-S7{1!t(GC}GqU6!5n+s+FBhq;6H%>U-wNQ7Gz{&; z2t^?bY!LnDr0=C%8?WYxhAMzQj~mX)!AG_E3PJB zOKb~9HNzdQRHt)=AI!*@*}A~&=%5)UwJNg~eI{^p=>CfYP1N4-Kr(cQzRxsbVla5& z_7}-}J=vzq!OH`W`@`*4{zhu!;U*71`_Zy2xsb`(>B1Y#0m$dInj9fX3|z zL_6h!UCe6X-cWjbBN%}LcH^9dOKntxVZvD?eZ_G^3p9a^c``-Ti=*so;YG?ALMp9y zQjrCtxj@9AVG4s;0I5S(bz5bPG)%k1sog_#V2S4wDaR8j8)4i_*lUczeS#{~LIRM+ zStAmzA8Qs+uNGYj_Am-kiri%J(#l(B)IJ?hk`D%^hz@-si@bMmC5^4VH{TSj8?)RA z%y@J4aBxtpQcT69GTaj4(FasTY|)HL$Y=wb>`ReJEnOIwVP#ENt(MEdaev@&t2Z_X zV-!`g7M0?(UrzlMbS+rM((%#t>}mu?$>4))F{8VaQ-5kI9X$w{!G(Vyqm8CwU&~%?-$q>cK{^g*zBg z3i&kL91Luk`)>ybLmej|+Yw6WEtsRl{3PS00PV=4pTP5I&6__;?2!lyzsD4F%_1id zoc~C{84hd4#Y~FgwG3BdC9RGq>ImC zxN8rob1MA?wGjzoYx)r`dngGpQ{lcu&xM^WldAAj64w|@`y_`7n;NHNdsP_()u%nQCWaDl|di@*T)}eJMZ}7CrU|W zL5J_NB=G~a1w*aApazCMr}$`%gIrIDF_e{bvK?`Q>VUsfD+pfp7_z=9M%y;ZrSohb zo}#8=n}Q$L+1aPVQJTBHYLgD%42K$1-NdzP@`{!FIFEuUZ0O|R;S$f^7cp@oX^ftH zy(B9KEdr*^z22S3FEeR-QZ_ye=RV(MWfvc}F5j{f@8hH2aT^bzbqv>(Vp)g_wQL=i z3UaS0Y+21(t}^MlEE_bh2k#K?QQ%Qrk7X1ial$t8`U{->j#TXCb9EYR-K|78{5U|F z<#jApy9l@^4(P@>oa}eLxD0@YdKomS_%qh zYLST1Z|IRHQmo7X3*|vg;E}Mok?TMMyA&H}_=15o#8cFUX=M|vw~Yl2q1P1R3w#*F zj$=9v-^lFuR!vqu+CuKz>FVB;MT=Jf*w^bRi)b zZ`l%<;lTZt90LE2mjZfo@Cv!!syLS?tlY9>gA{{T&@9M?4^^wWy1@bA{^4q7K7l~2LziY#Q2QS~?Jii@ zXIMXS46*=;M>MoDB$TJEh~j}{u^PPJnJuhl22PHoYUhgKptOdF^kV8Ttd!e%V{Yp3 z`6gkjppvLY@=cuM@_UC7wzugUFt^s1FWf~i2^(V&40)|FBxqVLFxGSoCy7jhF$PZ= zZ`IZ(mx3e5Y*!8F6t88RjopGzvF?-~l2xKp#6 zWNm5zPz+Tr%1g-ELk?h(h+`LRk*F3&hagotz$C5bS~K?JP@5GS6S1p_#vO|v>Flq0 zc{`bk%p73VY$_{i6qN5_C*GrUH8W2W6=~R+n!!)QvX?hu{Gc>b_qd&+D|vOZ!eTi- z1cs01n#n|}aRTM!aJ&+$H%r@yo#n$-2I8;FpHR)whT|ha5e0UC)MOG%J&+vlfFe%O z)^0dQvRN}yM;dk3JO0tvIzUUmem?ocaH@>~cRqyrI38;J>LZj_$z|WCA=GjFg}BI( z#1zlwNan$WY30%#(SS&s*yC`viz71of#MYhR~DI^(+^heIm;RQ$sg8k2*6ge_Gdw< zDEcFEl4ua`XLV!;H97R(aeEb0k~ZrCGkkJ!bPm)nx~1%+ zY?pmle+$-RzG1D5;PZ_7xw^43+3$sF^WnLK22p~5CelZ8UK_U0f^kH>alm54oI(Ml zZ>+ZPAXIn5+#cv4=bqwQ^d(v5YW# z3KM&@Dc0tm-KahccnYHDo#7a4Bqlwh>SeP`wcU$V(*q^hqAJCQi{Re0>jBzP-KA9# zMy^=SzJ^K_*EwfpEjISJ$Y&7NdzU3EVikhXjET=O_PJe0;acW;#bV0OB~*AkZ6k-hWzBbRL%vlJGtLseCFvi%?`jm2JZ(C03zWRw!|$0krzo+dQ8G+ zti4o%WP4tyXncRDVqL8^%eMy-AsoW#@g6Ngxx|pAvf1XefMhl&C+(1=Dn0GCDs@w- zNVWR1gh&#=J!>p*l#uQuwB;d>#91C8k0Mp?iZ3=G?u%D#`~)6SqY!R(ASrH$ZorIW zo2yu9ES?N#A}EYh<-VYI7^ZzN-Jd5|f&7QL<{n`aSC32|G znz1;J6kS(DH4M9cv}LsxZhmK-NpigO4)T!uFoiqrgXVzwOEb}_>e-!m@a%!jH}I`y z&2?`}TJ*79+}v(&P&5Gf%q^im;W7-bPvZX9BgUWN1FlvMx-L{!_5|Pc3w{wWc$Iq8 zw==c*F7l20UC=;R&)V@-`}@ZR!N2J!SlawU*}%%4`iG_hwZ63_jf<`g4IMQt%|BI^ zl>FD#EiM1>9|rpWsBYtEXYoTd!9btJ(8AF2yK?8N1-d`ff0ZEr$3Ohxa9%%nt<3OC z<^PYpw~WeTO}DinxD(tZ5Zv9}-QC^Y-Ccqc+}+(JxVr}p?h@QSl3uI#>izZZUi*yh z)8|JR@B^r~s-9WY7{zr{pIQXkKt=z&vd7MOYHg|!w2YT ze$F!eHGF{nZTjQqNE1EH?;}mLzmGK0{yx(5hR^>!BTauf`fn@vmyb04^MHcCEc&|1C#nz++@$`TgK@On8hx#yz>Z7`1s@I>XyU+22ld{pB9`>wRNk zZ6|M|t8d7`LCtT^g!l7);Nqedba4=tchGhC@pEB$TD+gP$J@`}ik|f44IR{}-x{8% z6%1V*G^vHGtsLIAQc(DFu@rApkF@l(|9=+#mmBxD^ZExYoRxv;4=lV*&GIc}ALgY( zyBgP7eSNL16Mz>$op`C{qv}+89DtOqi~*@4{z&o1#<9*bemf)UGY2+eURm(T(>9x+ z?N4w+&FNgPPd4_Rw)c}BS7QzrE0xMKwc*-Ur&KsrT&tdsC*eykYu|S?m^;6owW}OU zqCzd~8`-ueJz`-5a(h8kGiQfRT{DrcP;i|V?p^oZ6TERb>6Nu8Z$eu0^2X| z`g2t7$1FdJyLxrpD?Z?|t*$x5O?n+V;I8mFu1iMDE#DNRQ|BG!rQXd$*1IC_^d&Hv zNIv44VmX$iPlr)oOpmsT9%{C3%q=YJ6TK&>F4HA<&3<0lx?bzngS#Y~5#VqzbJMpU zmps!OFTVGvcWzhyj{ogPjDEeXY(8lT5+}+>qd9hBHo|9g>4$I3Q@SD>z_HThNvPoE z@(~T`WA#&-A>~SR({J?xrP<1-YWken_PwrhV$rNgo4yxw=MFaqmm>qEt-(faHMp^s zgFG+Ig{i4V6FZZILgd0Ix(E{4pH0@y`SZA+@|*q92@Bmxx{cRUjpWoABBl;rJWZ(1 zvct8G&^Q*asF-h)oZVjo3PeO(E8{r`)64ZU zoZ9k7U`d&=6Q zh+RqiXRcB+iRvuR0m9UD{t`>*q|7;UoX3;@e`P^8OO&i*<|Gj^cYQb^t!ex7_0{*-|{I| zVCp69CXFCEgqXl@K2Yw*ZsrQCh^`D5B_vwE2x1V&NJiw?PGB_V8O1IpGqjOCi+npE zjqK6XU6E-De1w5C4o13MJby3c(r%Yx;6@Fon01J_#$WMa!=OG}YC+p-p{EVo87}Uld z?;hfMA$a_D;n6Fxes99?^Eg zD$;p60C~E0FGTsg7^N*4SnJ(~TS9zT+3%pm=IefpVEor7_--V<1gIf4IZi|L67Ig} zOf61dR`~BEYy+|oFPwzZz7V^#_DzMVp2`m{oRD+w5Y_9sPr6FM>qKXBde5KB`5-e< zN;beBsx=6h@K>jNpHPTG8>k`nYJL@)$?5Q%FN4e9>CUOJ1UMi&Uk=``2fhOh1Y4VouZ1zRsLr`JpwgkdvXmAvV?v>bmt|jy)ZUeE3 z4zS}OwE7Vg&+-k7uPI~{Asv6^OsVn!IOMjq^y&=wVdQHqxY)rI74RH8yaGyKUqsz# zs6B09kKi@&d_}$KjI5yi$vX95e0ftCKvs8g&=K)RDlN-TA9xgmgWqo<%$@wwXTGW2de>%60!K)Y;l zOuV&b(lm+LQxr!lZMk^-cO%sGsaeF0KuE^LY)c_5~~~@y$Gr3w}*vMKQouLb{cuiB*YdUcr1w00M=q-(JN} zS(%?)=3}myz=3FB%c6C3pf!LPRUV_N#2OLcK6M+#55XX7zAwIK@h1sVl7%1z_mx`= z6!U^f*eC!NV}mW)em95ado}QCDL^AF1Pr$CbT}ad9BiNvEGsaX8}bR{j&hq2W(VWF znR6sq&^JIDTVyzf5x=|;xhgu({-G2~m5Oj=wxRQ)jw*xaBia>sB!V2Ch=qu`sD@hK zGf4^el93T~I1D-{Wm@bC5M(q%I>6?SmgY4$gGQ`HQ}}4poJPqFdH8Pu@RS1){E5R# z#oOm0=BWVqYRsp$PfIY7H>M_a(b*TF8nM|^oI(AC*q+|v>cZ}@8#%hBH-_S}2jdZ0@E`P(5rXq0VvnPJIaoL%Bl<7mv25zM(EJMej zzei!|N<^+==aF>KVYKi1(caWHEl(o?4HZ%U9o%y!ZMTS|0uH-|y7lfD0r-pC+SgKp ztpfGF!-enmNRJI)lU<5@Ag8_|0~mHNuh~H<)=)dyHJh^e8WqE8j~f>r@8pzty4;gR z;7iVV(?!_kTkU9BJX^tNSqY0)d&VP0Gp)cvpVHFMV0mx`81l{_xLFvJilcw{g!v%} zcMT!oNC7OZya>K0$Jl)}Lf1+e8tO9=H}E(}B#V^ru-1a(sURx$Y9uteZdRH15#vJ4 zQXz{ig)6`w!AO!^?>rj>%nM8=y~h9zFVqTN zsdEnv-C?KkshtaR2ZKJE-8&^$fSRf(b63QLuQN@%&YKt>M77!R4-ruHy+LvfEfsOp zUG<{+;EIv#LJAm<)k^jo@@0&502Sc+h8rY zT#;#seB3X`y8Sd)J!-QRpzvq_xor@;bvu6DyJLS4%eoo=HI@C~ajCzgGWb8r`soM#xRud2ck=DMwA=W19@b>u=VVL-E0E+hse3> zBgM$}VX_xNY2uyI4Kn21sky5HhiB}S#<6GryqnBU4K9xuo0rc>?@LbIsfff1G?7{R z2WO<)fU!}6FCQtH^boNyYCht%qp$WV=o4A!w6zB^osrP{2y$yMF33%LAArM&ahD;c zc5CIk4(Q)r7cx|c^fxxYt45ySb^zAFwYs}`$R@xZd?dzc%B`~PMsXWiohz(er=a&} z77RcReSZOG2Z!xD2xB}{MTpV7?zU{BW?<9p@1HO;n;N12{;_r3PO>YKK25AN0Yj{E zRGW{msv#!Z)(>=U`@$~EGy_ekQ-iPk zi(1al7hInwi~#8Mz}WG@&J+WkRZ&pAE6TP|PpS-<7_4?3GF z!~!lk5}{G!l1@zw^KvQj5jq70AKZco<6`fuCBaqIi6ywKkR)Ip49WgT9-#{(;4b*s z(2p3w2kq2Kq%v#K+OIpiY#{-j(h(a2q10XB97z!0n~vBsQ*gy|9>2)|H>oz zKL@yf^U7ZgHTkjI_=*F>a_m| zsMG#8picWcP^bM#4F3(W{`5Z&@!NX-<<$Jo1cA{1T-$GF@F%$WTiVK>%}ID8*KcVF z|AlWE8Q($!{w9!v{-@%<9sECGWoCNAw{$;;B>u+AO#k!GBLb(W$6^LVy>_IstsLxUqYy+L^%5$7O_>`n;K<@^N z4@z4~)=Ccxf`l`foEw!FQV&zDgGRW$o6*NBy*kvjEl;^BI-hU)TpVaPRErMxg8flP z{5S22$rUNB;p9GEB$1s+B9;(hn&%fM;=&qSUv+22$n}}Ij!CmAjI6`0gRT#tFzKr%^~>6isJTH=Z9XDKo2 zrlXsyjw~@uMv*wP%p{8DiD7Yq@$ozE6C-+tf(gUD=8DNn*P~ljq+E@yo>ybV+Pck1 z52Lqd%VR!~Ci5ir2Z@_5GaPETte9EFE>wyslQ_8&3{1JOxx!Tc75^Mtt41v*(X&Q< zj{sA*9)ja#+>F%Lsg+%9?fZF!r;Rj=x*i9>lp_m@yCi6%C7oCc5bq+ld+OyOo2QG1 ztKih@0t_i(u7@zcE#-*jQdmDTETx!4qxtvqm7tJ`Q+fTVor>n8DCK5VoBjQSV5E9ig!vm6t2(QYy6;Z%`*i{-?|XYL`WK&qKw~YCN3`DR$LD!p zCC~5XvqhPt(bIKVznGUtyeGSyUuITO(2ZDz_1$-z=e<&>mJKTSBxw_c8tC*L6uWkQ zk?5pH{;RS9(B8Wf`TpK-x!2_)``~KzAB6PlE%*ADC;&v^kw}*bS)LxUWDRRF#V7{P_!HiE6+a$>_vF|oIunfNa%9Se(#`Ps#je+Uo= zV`S(Y%dm>jo#~1PkKi>c(_4u(1sX{_P8dXm=hL>Mi_cailJ2n#+JguS@L8(yiNc9n z`LK2?ICN8ocBuaOhBsU=E0GWW5F}+%Xe=YZqSnH$bSSpei@@K##t3|ABMQc9aJWvt zT>qA24iz+78eM}4C%k(DbmItm+-9_gzYhoN+@tR^k|$jX#aiwD=N*G61@!9jpdLj8 zZs-&PhXykAIlMaTNP8dQKxwh}RcYh8n1m-u6zI@PVuB59!P;NGMrj>>@*Lp8qeZ#M z=!;*K@R&jSydtqLKE4-Iji3|{IM;xUxH4j@PP<$MI2lLVTBN4!ddmFSba$y zCaV&YXMv<9P}9yLvPF1{d`dsr^|wQAl)E(Nbx4&pkynXMNjji3n>T`8Opp(=B#RM< zwG(taQ=q@6OxsRUpk zl)63n+A$Jr-FNT7+Wje9c|=Wg*&Y4<7PJxYtzt4rMU?UXHnZtY2TD# zc@eDIq2A~SE^O)5luJS%szy+JgHp8)OZ|6arDtqS3Ul~a7S(hrrONTWxH**uv-d#| z@vW^jeX|vm2T@6dr3VHz7_u;^eIUExTWLrm)cvKX74$aHq{r3_*R!l%o*e#`K++6Z zS^mYQCd1WLM@~tU9t=1M53-d+was>_-m@%GtSC%ZA|G3=rZPToPKg0goee@4Bz~Y1 z;F;eFU=Z<(F`%$;4~kMq$!R42#;`~aQ8)<}7MirQl)`w9H!Ekq$*aDgQqfj6y1$c> z2e6&a<3kpyxIV|>f_jeu09;Lg$~^4cS5D>b+OEQruw7J^PmCM-&P6@e$%i=lYh0$B zLrTI#HJArE3GJk%LlFmYq|m&t?EVn(#Co?}i!ru+e>M+My1PaNFc?BHS?~t%K8v+ zh(|la?iPll5~Mu`hUr`R`%ZF~YHD255>5!pIArRa2@(VSl$5`V4Uaz(8Exet#+kxU zC<+%8U@a?T*uCstx(G#!Vfl?k3~C&HUV*kfxVXIh#3mrSKVbW@t_P2`>k?3(HnV8X zq8d%=J74)4MHgb0%aSqpq5d*g!4dtSsZR6~pAz<*?S4!F(J@sF@Hi~_=&or4+a!*R zbx2~0PV%C%<0QyRA{m$jBybpV`b`>(CcJQ0-Ol6IM+6{Se%-e8@@;xZ;a(Sp^fD>) z66)R$h^x`H6j3c{AH=1Wq=o!gUaz+XmPl6KF-m=ZC9Nr!e7*K+V5RsXPdEUp?*rqf{%n}DjQ{x2CSEXo!0bP;HLVrUV<7PpZ72~lEsf@(cjE&X={XL zEM;*7Y`3H(65mZC!LXylw^xi&lkDuGA>V~kq~%nc9QCX%UoC({5jLx9sMe-KXuVmj z@q&_E4xwhCm#q{&r3%UdSXEY0CiS>)NKeay`ZZ2R3QU@<^JGgds125PdhhaMYScc4 zw!-i>@$5(A`(jFSjBleIYZW^jrckzNSJ+b_`bMLf1eq8D!#yl=Dbf11I}T zD6kI6Uksi=Nc0-@>2p~yOc|9cLl`}qOK$+jhus3anZ)B^Y^+VGcg`VQY26`l=$`=x zziTLhNQNfc7Oy-B`X8K6j%XRBwzCj9Ntm~8?~I7*#8$H_mZBQRsTRM&B*y5O!LKa& zl^8Rux^xgM)~l_X@}R~zBD{~A2cZb4Uur1uj`(N+1((-;U|>r-+Cs~M@=X*qhhzh1 zfK}7Bj$NImVvlthAf>FEftW}ls$8mIIQ|yE785agw8DuQwN}=|KEo2kc+9RvW6lIk zQ({jn>3$<8>)I=kT7wQ-nhK?qRC%9AQW+iEE%#t5F(<06r>k(1BWHm4O{M6^}d{!lwp0bv#Ylt`)5UhZNiPmgU>5cM)Jlb3U;T7%Rv zl5F4sZ=8kJP0T#e7sV86-Bl_A2{x&~pl47~|8ZX&5aO;`j)(4vp=TmgX8J=9wbiDu zKk4H=idP97_kr&A>edv}Qy;8%vsR8l4tN0TXO~?WH^mbtJb}Z?fz1U2vh>K7y%9Sn zLiqRIa$JZ!hElCF9!cKS$VcZ4Ci1~U$Pg0-m3QML^sjpbcjnU=KC zjIp=ow8MICDbJ@6cVe3sLuE?=(CKY5^GkV2)6)!!tX@gUe9MHp(xEf5ypH=-x4W6S zXU^ve0m(+^Bld@#ayMd@uN7AsxC3LGvbq3VoL|6n#vnUf_u&! zLn#N2gA?4EbMf70s)LzxY@LrX@|T@M9%q0a@xu;rFhGq?mNAu0ep|T1^UAnZmk{}H z^Mbg6eALP7p(_*39;({bBqe8bI#uRgD+L$@X#-ZnYhk78WQa`6nGM}o*N9cG4p%n* zhWiZKWh$f0gPApS;A=eGWI1hD+PVW~@`(ITL%R<<1+9GF5TdLt8ryKhCuL&uSc&*Q zXikb>S7nPRZO!Z*jTyT+Y$2k5X>71=+NU}wW;Eb-pWw2xll0)%)$i>gpw;_OB6m!g z^Dw>AO0oo6OEK%{0B2qis{ei}HT95b=420}N6)5ToG(dDNu4mnOKc-KrOeHXTI{3E2B@?(&F0t8?+x z??q=X&x;xX)eyrQuwObKADIM3D9qX3zaxCN8)2Whae`1dNgJ36jMldow@a_Hgvc z)U>tWtdy~{#W?E>53-d4T|+LeIb({Kzjw)8cZ&1`mTI6S`O(}_)<;O8M-8x9$IWIZ zf%@r3Y@16vCg&`1y;lu(J5iSgATxQ)%%cr`#7y~aH-*Rck9Xd957=q4CkV$K)4E3; z$7?*wDMg~yT8od`VP7jIVfBfGl`pj$+%FB7aV%D>9kvHgzQ&N1c+ta_vT?OH%(OKZ z4vf?>w;wXATiyhp)nQ^bt;mm<7dkE94xp}BuhHf|r_Ag)V zFJCSt4V?ll4I2#$8x0*f4J{iD%}?j*4;L^0TjH*Rp}^Z0=ZCjScKWwX|H0P3zv=ZS zx0jS;6R_5I{NXef72y2f=xA!dW?;x{WJGJkL}^IJ%1p_itItTu!mP_kNz1^u=P@aLX?TgJbf9{vf>?a!6| z2k{;2k8Adm=>9Ff`+0f(Ilg25n^N$+KO_vLYS+hG_&VV?Ma^7) zv0Yv~uzG#Yus0TwtvTjG0i_s!>^N)Ym%8QV{_4@x=2q14VAk?O?#?9uLA^%bbIy!eBcLkWg{YegvrYAcmuX5}r{F|zBnE$VUsJ?1yn_<|6+k!tX2 zbIiROXj1NPq};Bj<(O{eZmLJcyHcI0ar71 z&H@fNxPZ#w!YgTIZ7!{KPKB8`RS``=pnF4UW#+kzBfdDYRW`K%QJO%r9M4>sq?d2j zbJ(MJtgAfW9aoXXMEQNX5mLst*)1)>6d2|D=XevX6)l}DD~}+tIiDPMU}kmOvEICw z*Rr5^6f;a6j>v-fr7P17iYVAC1*V>4mS}SN?9obo0E>ruUDp2oJ*6 z#Z!sub&}t;{*pl~@gsv6P~%Es#szoC7;4ql(=OY3`mGw=6S35G+0E{orj<%h5K*?| z9?U#r3ZI2UP8Q~jSkFuc1F~LKj(0#14L7seIjExXqxICQ?%4{AVk(iI*g`1md`d%= z2xXW<-ZYbJ@U%cKfguc>jp|%v{RhlJghbi{j6%Vtc+2>P+^#pXLnMopYj0&6Lu0Yo z{@5^=Z0-AMb*ne`gX1uy!ttU)vyzCS8tLou<_ahnf9|a;Myu z!BTJX$5X+rL_MN`{=CiVxoEP_o-HnxLp97;J~LXVZ}M6b-_#>Ui5 zK_S8|ndX>$%Y}}SsYFxQ%xe@#6`)pbG=giZyLv7@z|0%8MpEAA6XxcZIUp2=KRYh2 z)Xwylu}=Y}7Bg7qFBvk@Z!t8C=`%&Cb8RPhvWIFxJ5LC8CEgOm*{B#iG!)J6cN>_v zsTrwbKt#}~ON3IP<%ukl_(CX&UDroN`Dh0`)!V^J8Qn#DyhkTpqJ{%32qA&A4M-zT zHd`f`Q}eIeU?WvAX2RDi`shX}$BOXn z9(sZZxEFm}fs9XbqN<;*LiGcsyFtd>$5u5ozZ8+JYHWV-5{h>yqYkX7euxk+T4Qji zSBUK??W}AdbF%G429ZJmM(4R3{GEimABBywm5J$zm@C&dXrAH?U98w2IQRSde zk8{562*AE*DosJ~iYOSyq0Z^<5*I5<2GDbJnn3ZQ^lx4>39pK*z6FF!`5M8eAl2~( ze0L%h$9INn^i!^%vL4d&=9)2bbV$j!l_LEjF#K6^TEZSv7$XTaBYk122kl0B9KW4o zg%;1!rpz(p`4qa&Bp{JR?!DX<0bn?5(Gt2dCmUyR(yNe+Nh(t7v3Jb!g#5@nN|hwR zM>%Z0ohrWEG*l6TtoWJhZ_O&r7sI!^r}5HtwkvX4bw}e<2;;^bi!Q@V8S_l1VUfZ0 zkU*94VIhoUJ7yZpT2IqnFbRymbT)}Sov-pf)(ov=`aIs}0M?Lq zpEYectD>ypvgokwSI-LAl1iz3-%DYkg4C@H-#nhdCSb?7LWd(sp# z7ePS>F|5dIHk>HiV{;HlkuV8Zggx8Z=Qoi7c21f{ffDYyJqQmme?JR24R>E{0G*k8 zU4W=6#8eeJRQZvUK*V&1(EBJ#kOoLiqRfz5%tD?kJ~%6I5!Rr?Q03?Y=iL)#RdPVg zBuw*H7+Wc9lnLw{4Qjpc8ltX(6VT!Yh2k<3Li{1S0ZU%;xJPpN(Yrtg#Z*KJqVM>9 zSx)#|%M6P2!%j6yCZK`D#TGb*=D9)O_#=&JUWj&8MVWJ>=+@%`d$Gknj03g>x2ZAS zV*4Z>aaoP=8A2LF;#CmTQ>xPe>SMz*d_vj^18G=u79B17s^hx);j=VbcNVI-YBJQy zl_;f+1AD@y%{YlfwTNak54%mNis39~^BUK8Mq>&Sh+>qimuSEpW$7&B5<7y9GBdi5 zlM8S5{>MpS4|Ew&KlzkP*m6q{o>vlpC>9qg04GjVp6{ma`4`{7_t62(`%N`{4%khx z(=!&pZ3PG!ZOyX@32#N_QnR+(z&?H<|7?qI0pg}Ly1xM-w$}8nCfBa5@PMOd$X4is zN8A2I19F=tjz8%ndr3a=_lEeCJBk^dn z)MHTyQ3y?|sr-O|%b5BA>sSEBv?;z`x_wY;!=Gs{d9<0Z5Gqp-LpGlw=p{`od2tkd zv4|4wQ7Vs+WD($r-%7;odx>h807I?M0#xJ3GU-o@V5c_I8lk$0{?6hf+^D`UXqbDc zVjVy5ZrW)vIu;1!YL2TwPSc3Eidw23o)9n5M{l-*j*nYcy|lJ(3aP%K&uVFAeB)g@ z#*_I#2M~mn1Yca)icj<5O5@`dNScY$Q^Bb3nfs#%k}kYeGazv{wuTp13>a;fbe*dmkBgI{F!R?Sxi&8naDSDBj zn|vF_Z*Updr2%p6G)!}7=ZXmZpf!gSkaTMipE&sS7DNVx&7MWy?kMk5k)>7c7bgVa zG9(#IUNTw-4_BdM!Q@yrItP^j=ueK9w5W!$=xuC?C&qmJ8ZVW3dtU}8pq4_UV3RBG zh>$`6F`XrR?@>O{qK4|otmMW{LSGO@3T{EQQoz#pOdaSsWFtkuhiB-#a5t^cKA=t;zO|rA=11To=rsl`kz4q5^lrU!NYKZRaXp@ch6P`j$ zEmyYHPUb|(Q{YF5o%?~26faNm$fwSh5l>um6q|)5<99aHGJxy#EbK@SoaZ1qhWlX3 zDo+<`)9{-%U;886o-iK4t~bSl?46b2MhBT0*Rf*F4^B8W;crjB>|O$8clTWHb;Q$h z`gugSaci$@b8jz93Wf=K#65MEQ{)J4tKT))Zo&;#yA_$nc7`^2b>NU`t_+VrrmJ!L z>?lMCB^{42Z;px^&piWCs+Ue^SKxGPlebJD3G5rL(@b5CA=k=Ki*O=r9}qA34eMPh z-?CfuercD{3k(pkm_O@VSxa7#YdK!$OL3;4)tQ1@m^?D%$a_-$r(#vj~UgbX=w_cyVmy_-at9d`v z*X6HJz-$KtD84oLEM?ha3fSTY24Y@#5I*s3^ro>sL4pWIhdtL}Md@29QQR3E^O-tG zRZZLFVQSK&N^GsFcj$7cYZk@{t1XB&uD3dUAx?(*g}d@g5?OlGfTKh2T3HzQ8qTgX zvW;4Y$wD^y$R#F-O0J1#t%EyxTE5q3MYf<$OzqL}^XIL+S)d67j2-WN#K05lQ(?qN z3DX^K3^N77zLL%d9CxQ|*_BB* z=APf@G}7soHsx_(Dle+--zAMdhLwN&ANTjU-Cv~f7is(l?C}3OY5Zka{IeYEpKSN* z&D~!L{8HeT0>2darNA!*{__g_dBfsQJoVdS`X5Q-PpjeIkj5Wo!@sh$za{|F{j?tb z52TU)r*-YWNF)7Ee)&&GBmG;U?vGGmIqM&hsCYEgl7Oc0z_}0Gq20d9A z=>FL5OaF7vzn$H`oHYI^pW@H8{#Bp<>q)O~zDHVm`oEL(`tt()c3%I$D#$`l`v(hN<_-fx0oHDbqvvQ{O%VpBu)pPo4 zQen&erqkZNow0K>L!?z=5__}k-AE)vL$st)yZtcJh%|f2y{_>*dA@utM^bF)*rhf* z?#g{JQwvmebh*;%=xw&86xGX;L#+(%0g}FzzUd6M`>EQz-jV+8?T$I*MhSo?tRN@~ zO(}$AL`7rqpl@I~x#S-V{X3ox~d$54Q$(Et!Tl^t1l*qlz?fb&? z&%TQB=D=2Cj^*mbn8m4D_X= zma$V_>oAofnHxV4fq_tch`UoAF~4IK-C2NLLm0qqXDsnb8P>63FLksY|^@ zzP3|99^3(AhtDn&-M$Zb3}QRyvdbhhM9J7_kB)j2?|1$rrwTZ8AuA|9tW9GA>@tS7Wo&?``b*Hstx$b=1SL zu>%DLr#h5mhmgwlo+?yY8kH1)6yKrMer2a@$v4ZL#lB83g;Ln6+f^*%7f(+NS}Gew zWF&uIn*hlMDsgId?WU%fBORQrTV#)`LD6jv!5f0gnk_Cw5!yoTsIF#Ne7~Ei;F86p zPXT$GVcXq>1s6;?8RGg={YheoR-2ADRg*xiy;;rV1tTn_THX?*^^M5Y)-d2_tqS$VvK(4-kZpRDS3+>PhHkrK!%m6rNV#Ifrl zFJ;H7=YWAEvn5<2g&zou6woI!ipvK6c+1b1e@STa63~c5IsU)?0qZ z-S_LXATx!rS-CmZiC62b*==hwSj^jAXF*TaYo9)*yki!KTcVwUT>*N^H(=ayKB5bp zjNb!ynxw@)Zy=^HGMt>-t42d^OonzDL{JwHi8|TxsT+zBz(3jo6A$3Y;lJQ(g}Qef zkyIaGg=O33eUIUK1nF z(4oWar3^o&>nXN$;TuS+Q4V+vYojosybKd4Zg`7kt8S21bw{^7Zc?(hSi^c1To`-ef` ztPVbS6bnz?+OGq)XyH)RnYLACGJ4!Zn+J)Ryr?c#I(0m^QeT$2sW(liGE8t> zEYe}oPA$X;=DAf-cogxCwjmT&zZyWz$INpbO_xs{rgu6{Wd9{gq=;TMy(2SfWu$u& z!3&4Zq3?Egqh<7or>ymL_Y+OjiOIyij*9opLmV-9DEc8LR|(Wv`E?N^3}xB5!?%bM~158UVDM%QG2iN6~kHK?qdg< zX#+@NIlQ7bc5}!Gkymc&s-5F=07~p2N5DGtc|hVK_{9?TMp%M%^3(g|uZA*$0=Qp{ z&DKLoL9k?(zp-$^9Kq5mO`9x#)TAV?iR}}&6UCw9n<^z8U1W=5RjKeQE0ZB;6UAVI>iKHmIsNWir9pvd;O+;zx9$hn#$l zbYfYS%~mJOUlb$_dlzackx=|_)9%v`uM?is;@6KlxzA{#*Q(*)k2D#82No5>GmH-M zcvf|asDS{?!yd=nl=qo$Z`BWRv&yE9rWAwOx8|gW&-V7FXY)e#f`uf~nZ84!6aB^l zDOp|QYB+jn1l2C2*6UF&EKK5@u-tyR1?_6^biFp20J+X(idIwz5LR^j(~KEcZJTQ- z++OVtZoj$@cG>|3A;-~)5Y-b)8VFnUCY)1y9;I)5~+qr%ps29;?6Gi{_mj!(MZA1El!5~`mH zsFM$&vKFl{shu7hY!Bged+@(J>%3x4!kBfTLSbebHBFOl+yFZd#1xnqT=SZ-XgZ1v zK%dwVt7}51(!zme(6&%F9Sy8Sf0O840JGEY@ZzNZ{y402z4-r8%8>aZD$ zJh;gr7%;7Q`o5#;pWM;Zvh;j<{E%YZzvSTRXy0cImSVjUg(|#fSTVs&x3oGpwlID6 zXwAN~w5tw#id9XBVI#mee9(`WQtC%lVGx^2H7E!$vYzhfuy0-*|2ih=dQ(gwMIFY; zU-|AS#u(99_=D*YWQ$K&<%9J&m*#9$ip1BB=6PGceCw5w${j3kd#`~F?>_qA-HM$9 zfR9)pIN=k$s;Lno!t|tbozzxw?H>Luf1Y>a6lgpjnIYw79Lv9!tHH+B`nZX{OLU zHy;3!@`QEJqur=iu+BSiVkuB}9b#=J1NU-se)del+qzZ8zV%p;1r8B-ecPR{BFF#_Jo1|ySIA7v*dvNF>f)2 z4l1*?+NaD5AP|DH)H#W# zye!$5;OoGKHo;_Rc8r6ZZEp;Yz7LZloXKxB&O`OnHM^*TCTV7%7g`iM>1U_yaMf!W z&rkP9n)l%3I%Wb+1Xh}z-yybTvOUg$mi>Ucod~qwG2&?CH9)A^M>AfYGH}lCXAcV( zf(+cw#KfCUTPbuwd<%6deYg{#-zXbXa^GlVxrQPgaR!yHCkX8&W_KB&yI`Ma?tuvUy;|*2JYob5HWwk_o}(0Dlur_JyDeAekxi;_p#R`d_iDzhYPa zACF!A<(K>?bn?&s`al1^?Vmlo7h$QzaP6w|Bqr<>Hk~oD*eyc)qe}c`~zeCms89?;g|fm(*GdCWc<2GEKY+^!i;)I{B@B1m*ubnoyPQA@ z;>5Jor{@g$)omN0Bo}6yEZyt;mX^cW$nU3XNh>4K#(Rxp&g&-?FL&2^w|B>TBS*C> zBNGej-meDD$tPUwQ)3g%wZx@Mr)E1Ct7Tl{cA5OS=h8TQ$C4&`4(*b|Or_HFb59(p zw_?SMW#hc%FPx9roE>eayDBqGxWi{4-g55tT3($RFKYeP?5kQ%SCd|+Z(WrwM+K>v zrS&J0?lolm!K+^z8;+SK^j&Qd`n6_W3gN-h&76lW&~Z}YtTNmdDgqvfqOEXf5y|1$ zEh^n#o84$vw8w0;e-O&_l(oe)`pK#D%p789DMD$ngW_#N zHzW_v_SUDL-16G8*1ZXQi5Ym%ntoM-i9U%x6QdmKy)Hu5Uwtuk8+RpColiLj@gW$)5e$3<^H`ac=o2NJl9#x@h zt>&P&u1dvxOL+z1I9)?w_M{B_pE3|r==$k^* zQc&2VM55J3GcjoA=6ac$x}V#S*?8xGBC**vs~Dm-WFk4;+CdC{fXlMCu1eJ+E;s66 zKDHF4Z`Y8&U?8OU-7H}qA2B8@ zrV0q&3PEg!QcL=y9i!ps;+Ihed|A~w^z#n9h^}SEEMW5NjZNzVH5XUMlMBbk&i~O= z8H-2v@yOMOyx_DPd6g%Lr=R0iD%`Gkg`_U`3tc4O2Px9%JH-Z+l!Bnuvh&as0pLbH z9bEu><)Kp2Vu9>lD>Rce|KN{z7_{IQAJAJ2dgHS+vn@Z@2VRby&9YQl=uu$Y=Gcle zUiJ6qUC17=V@Si9Vx2--@Nc4=GLvaw)mTJFCfiUwl`ukZCs^QD20$aADEgL&H7QZM z1d7WQ`~_P2T26SfU}AD$Q6p3pY%r0nC8b0pwoHrGQQeJfoUoecRi`id7?f-$d}>bq z{JyZUWORuo--Co5j4f0Xx)~Mz)1}uth+s*htiC#-=ui0H>&hB{A$U~b<#VBkiV=`M z{(tPfbx!aDoJPcX#*T?(Xg`!GgQHySux)26uON3rjMyyT94@oPB4v=Is0v ziYlOu$}0rMF%?HoW}@pmi3Yri#hY43&(i4NPk2HC*Of;2T&KqfXb zOWK`b4kN1Yoo0$JGY;wT5hy=Guhv6ZK8_7_g9Htaar%0nM$0}=*^#}1&IS`Ili*z5 z=A^DD5u79)BJeCfkPH33eT; z_LNrh(Sp@TXljrHlx|X!pv@D_w`j(v*Qb_7h=wnrKouC$T^vwF;SL@R|2_p*E z;fYeDU=+rz;tImQ-0MG>WD?ZajE+}79T5pxA1oUHj$=s9ISW0gY4T7FwvzM?4OUWD$d1q1#mRwpXV9W)7wV zM$K6xN6&JAR3<^wh(S)fhlIIp3t`}A68P+JwTij5>Ldb|lEV)W;Jtr@y{^ip;7uv6 zcvF{qC6%x#D{|i=I$mM0y*YG-WCb95X_cBxF}8lzeg^*-b4qW?sTqYj6q_!KayqY` zG9~ocdi{(_Is#5AvyHJ3yppP6^InFIVRGNL;W(+$*@6AJZ`%RQIS>!t-4=;0@soj{ z2sP*Z#syBcix9D|;sIsQM!5lMiEU6*z7w5QtBa=#G(sdS+2(2~cR%G5aTC1o31HT( zhYC^;7pgb=F+D381B@mmr0>z-AQqR#XU1Zps%|K1#QrELc=1Y6e)ny3D*nC(HYQD* z6g$IOZ4ud?ZKQEFk-*Q;#!44o*1@Xhw$%AJWp`NoR8Au(vQ8fD;V&*%SpjY4SHP7K z5~V@wLKD47}R?!4JTn9MJ7zD1lZYw6q{V4RExJ`>Hp>UGjKmc%5rpRPiz0fD@`t9C`C`ZDgY!YI2dK zGRvaFpU=GD*Zn%%d^$O|r4{P;js0V&FJTtK2#@Vh^gRG^iNjIpO#2hJbE z!wo2RAwPcoY%kfH0%YR-AF91i;K;5 z%RomV3BmGL%H~R&WH3%`fCC8Da;J;1Gud3haR{DUWak<;Okaj>PJrn!UJ2x&Z6~j) zkqH1nz*%kJZJh)v+=MJ|#2?8TY#a;;3o>D3$ZR7b@+E4yKv2WVSh1C{Aw1*_Fw&~0 z>Z1VU82J5LpGQnK%@mdWKF>Z*!6nHt=Hk%GUDe`D?d5q-X=Y5pk?Jzv0;|`nBE$pc z2cduE$@KxEb(w%BfKX;uI?}W0?T@Jlhe!)K7g}4m<741#*QO>bK?l)nq_VY8-(GC+ zA3w(Sq&Uu|3bd*CA$-PgC8+|rCXVFe`SMXin~kk{^(c3&Kq4)brV%WkSV8FXbtl1j zCLTtWQ~`xDMeVF4HgJ1aHiSsNJ*{kW&#yMa_hfU|Z=dSr_{X8#=Xr|n2upW!xwJZ% zXldz5uthgt0r`E*>W$Q!_D^E{9H}sNoSw=Htpob~;7}{IYP`tiMhUy=eyksiKd{m7 z5ZBy!o^zV0mvgC&QuMYiS!p5DDlkSt$B+35h0)9nseLok?6SxYz&$J`I*9^EuVivI z$sAO(&n1(n-;bC%JjzmOaF`Ac=6gm?Qu}JbCmEx+BF++N(obBI%RQh8HpeY~>3Igq zSYZ%Am<)*K1Og-PM_r5uz<5K)a%g%LLse2ezHK=K10HA)ijCDSt2_%*?aF9^GwvU| zkeF+D!BEsZ8D~U5|Dg61s74f&4OzG<2i{t+ucrHOFwNKTNXx;L=eEmd52`UMn##@uM;x!yU8z*- z)~M}AG(7Y}m2kV3tJ$F0-UlLGJ1XX-_=C&|_I*DJypfIlmN3s4#JikB+S^aAlIz2W zvHeB^^PlUI!Ww(_rBUk#rHQ4Y={I1_cp7jz6DGwL4jRjBs6&@*%+auXb3eg#P_n)Z z^_<>skESE;T9v==ZSQY4cvO_Am3=sKoh8*ln$g-P-i4PiZWdM?10g(unw?tETPmtV zPjK~xI0ULCS<_6am!W7I)$LYRR^sB9AF%CgK@Q9_8^0&(1#v@`#(?2^)-7i!ce2NK zAO?18A3IFN$bFS6yMy`U!q^Pp27dgZB5T}S7zy|JKIcircXT15pC5}SF$3L&YPWuD z4caj=K5?!01gN3I3;*LxF2b6WN5iS@#!>_;?qHJMcD%}#7^3}{n2ViVp%WAcCq&mL z2Ph|kYT9z}`UG(@Ky-U-ynQ}k79SG?4su?KLGVC%Z`qn11%{~$TbwFefq}{%9vydC ziGtva{|GaKQy`u4`?X8F8g@1@hSj#&@cXgkpy17|O6W%GbK`)77+3@A2Wl(vb(R)y z8!+87jD>Bs5~m(zrc$b0xpl+XIGwZfu*Ujns&szEIhus{1aDy3lJX}=djwaxV+ zOqZdC%~K477ma6|1@3I`f5R`jLH~FP;k3Pn>!y38FsB8l+DRV~vb(~SEuo^z>AA21Zo&f8@YFa-fZcslKj*skIf2je*hcmeY@~ z>@s%N29ElMc3k*;2G)9pxH5vmxDuv%cDi=1xO5*gZ18EgKjCuHeEb!$%Lxi|f8wNZ zVgKlEwKR0l{dnQu{PAZ8{v_}xfj1zkVekX-rCVl-_ZU8^f3Odqm<9e%G$wR{p07~wWR+Q zSnc}G6&^b_Wn8#tcjb`WQ&l^T3?4B0?-xa*jchrQKONO|O%w$g?ypfb{7V3gw~un|*s z@bn$CAy-uulOiaG0rG^BNcu_Od`jcAW5+`IQ{pS;*aqX5y5>sQW~ldnU5&2F9B zXo`LMc#8#TWoNkYR}+LR9KI{(p;bl>*$3=W(!pd&-@DZ007K}x$61^djX`4z+J^lWqb>msMRzz1QgwgOJ#bvMKT&IIE>Aa;S;b?5s^{ylajTfnLLES14d? z>r7iZbNu2gAL9?^hwgsFoCJ7(x9HCwj4jrrb+y6u$9{tKPd-0zHHHBT8yc|2I(lad z33QxzFN4e5v~ES5sxQ!12p+lJM3}WM7JYsU$(5|Jhw{Vw`{j&&25j-sC5R1kP#VZL zy=6FM!%F$GR9RpgGfSy+8w@W?ZKL)sF+eQ-!ffDp@+;eFxgtaGZffhlFk3Q$d<+zr=?7+` z0n7)|9%SvRy!w1_ibHjY3du8X%QS5?6DT!tLe%V;3Kk3T3U zaaq|=p<0{Zqz)WwYk7jB>=iqgmVDiP{FBx4+!Ir)g^95ofh>3(n3?Q%O5PT=5i5!H z@eulq&-~XzX*BE0no`&+!v3mO<+~#6WC}-mp^b%DAyZQoun`lJC>K0s?B!9|E1KlY zQc;)$A+Ai}qpdw(pl!&*wk|C5KZou(++EsXSP?=1q!EYN1k{*2ehw?WpYgDuU@b>B|o( z(Juwow$Dzmw)A9FdaYu?(22IY=z-&`T<|4Yy!eDJJ6XFr+1dO&PCpf?wKkcIL^a+K zPFq`t0217H?L3ZpS!Ow~o}A#p)Jw?MwAMbcWXYqfhx-y$(ay!g&dSR3cIEM2XSP=8 zud=KbCie*Q{S1pb;lR2IaG?d3b=C5o!)hn+O& z&K=r<@WYm#EdJe`Xrz`A!+Y54d8xPPA(4Fb9#lScE`e3L5)rnT;jYA=2<;fF{f35{ zL@>t1;C1=laQrsTxTW-Cy|K13eLA13^{Ed=&N?`;C)u3T#$phj7AeaZpg#@pXB>FE zyRhu!MsHpU!TIeex{!sQEfk5dnT>s6DiE0yTam5BqP4{oP9ntTnF*_u7v`cx40h## zzZtaq!kECc7sLwpfl~7oXbLsEXkIfGOj!j(7!1=uo zk#)zMe3OaudywYPEP#=mo&e;H;S7;p_(uEfea;quj3jtAuaUPd&7mKCD)&k>hY=IvrMzg`*w`rCkZY6 zDGhpfb0ldPVDnx9d8;p#hf0BHrUHsAE9RL==dcx(7WjfxWU*p{3o0Vo490 zKC~ObpD@5_(7+<9)3;|Q#zVoa%zM0ToH-7|gWB6WDOH#J+?->_ENn`4^Nz`d4TWf{ zMYC9E7#)PK7n11-n1q&9ZcNEWQy)A>d~MV9EHHe*>F#3K?vs%~s^l7aDS8epO$PUb4R^M7+f>xW57BSFIoCa`%f0%$S?B<&|njGV|F~A?(o7xp!a5$OQoiK{q95zTk;_cz8p-*cIM|@@vgiU8Pp8A4J zPG`@*E4x)mL!0G^gxo|kN&#)a{YV09>o+_W5*U(6)hxlC7-Ss@JFy-)5x@Mh!Rl;q z07h4J7M%nmGIyk`5^SZ}ma9!cn1N4JU_h=ol}yLm9w@b!Hid9Mc#6nkE(UEe#LcUF z_X9=x>gLoW&$mmzi_w%bmc|Y-b3Zf@m_gfy!*%RWW|xYCCTyq#n$EkB->6`flq^|UbKiZ1`3zVO=D)M2mLNq-YBY=8 zZ<%V9ib)S^6ysKHjp>8kkuutQIDGL$=^KJ zh?PhGbmj+3aJEC344V|=Q)Z))4NSgAG6hIg%h@ke`fesXt1mq2AS@I!FCi4=MUDee zQPZ0j`bKl#B3xk@# z)Yi(UcI}M3^yLxMa1$BAA4P>2lPVRYZgvE*t76INqc@YNc0P6=&7h6Vqn?@U83Gmb zUj!=o!|eDZI>9*-9S+d)nWS#I%KIQzFx^X_pS0cGU}e{SVQW{ahHrUn`t#mueN??x=7OTISee_ zXJ=@yv?Hf{<0U}>*0R5m8aOwfud%z~W^=NX*>2Znw;R{CVn2V%&V{+YF-B|JkzD+a zd#ltUo{nc4v&{LSRRxHDxtR)Od;~nd%=<6sg-FG z{Lu`$zjqxUJ?^8Tt0-}~<=6q(Z>I67o*ViOTh1!lNBHX52<8=+LtGI$aNx^N6Az#C zAFkA_eUCLcvOfb-Zp&9w_Eu`1bE1{wUL~32EN-u-#X`;*Ye-N9N_%*-b8SnCk3X27 zK;P^1J1lG4={HX+|c;$$@cXkKf!b1!Ger1HIMmjl&M`p*8j_sj1Lo zFrT>9)hg~|wWpJsf;3M&Qu_Rk!CH4at(78<_7||U`lXM_rIU%1_b3+hZHy84h}s^2 z-)Hj^wIEnMJM)0xx=d^QUo(A=pw^FD{2$BPs_xbADVCs5Pph<6pF1I4HS{qHFgdLq zu_M7L8kvN!O`X^eVbYp1IHKDm0@8i1kDltWNCP1;uHD$JW^pUII3_X^cQsd|HncKX z!sOo?_FzPRieC>vr(VzUr8mT_e1T3)v4nj-_@PJpuI>#8Kv`@k_8$_!Ur*fr{mSzX z0sKP%|B2Cp|Ih0||A=+}B69fezvuZcTFC$PX8t7bCxJf+{7K+X0)GWcpnf`hlvL{>yqo zf4{}w*Yht&f&YYI_j_gk%Vm#*sg*g6prO5kIvqXB-)1wasH)*IGvLy(GT>S{T3BfQ zEx_MF(ePW_85r9AE<>f&{2;3O4(jx*%+w!@kDiqgm!6H0n&so$k1q_Y|4@%jg7!v70{{EPJXzhRIJbpKFLs%j~XDT4U3qf?K2Vl{cm>jIlB#NSyG z7pzSel|;@%4FQ@>>I(^2%xGulLGgKVdViN@OzUSv4yH9lO-<=`$4Q5W*|oX7r6({HBG6O2B`R+OiusB z6q)`*wbZzBB^=_CXWN=t@_fB|cJTUV$7|2_rWR8t+QN$UgAJ&kdC&Kp?_Mp>WSyPn z4xV$T{?GckD=MfJD@KDV<(B5hd*q$$W=yC40Pvg7BDj~u% z@hslGZmI)%x37NCT`I!$TFoH^GjopFmhqAz%XK4#{Jqg?E3Pt-V7PPr-Ww=T%2g~s zl)=W-8o3>MB3S14SPTfy>ZZCaVinBky*>kbNm_6u3K3g>m!fr)_7fnXObB36QScM7 zR^bJUb)>~7uV0q)H_LsfA+6iNkQh_bPhA!1s%S1SZI4_n{F;7Ag6bAyg%W-)W}{y} zzB}J4p(4O9KizNx=22dNp#DJ~=SlH6-^4$|14sNsfY9|KM!E+ps(q(u>rC^g1+6zF zC~!#Esp4DiA*cs9D$2Lah5ZgPEnAxa)dcNmUcLy{;BS2DP(G`tvCRDG%%mzp$2&-W zgy5Uuyu@d-O(P+xAB6aMhCrWz{ag99BE^Wn@-G51_PLu>_{*ljv$gVg6T^5RmkpQq zWmCzt-}poAM~Me4Y-vy$85w?oN9_2YW7|h$p#&ORE3{2Qk1$|~-eafLh!D<#T70qR zg)!s1^-+8?IU^Onz+*@!K4G!Ev19{zxY^c9hKC9UG`0`wQ5ErvrNSx=6&jUgeyob- zYo(vXWFoQADF|>(anu686Pl4x+I$J8(N5Aep8dT8q6T!nF$wP8+Yk|El7uu=oiH4= zz``v3%8b}%+>-uRr*2%yoE$=87qTt+2p@0lyjK|3%7~8}lnJw0bO zag0;pqYqB{y#^1m@|);MkB>0M8X{KRizYi@?w&6^@?}J-NGYQ9T?L@MselsZG>}*n zUSHIbIQz40a7=46&M-n???S&eA%OAqGDW+33m+~CXcN5PyXqK~xo0<=>`jk?Whh>B z6y1|*)vlkoHDs8FFgsG#B*T=68abg+Fe7q;OLLjyJ{{_CDbtknmTg#ca90b#HxN%Z zm+Q0i?9Z(z4<2%vFeHV&jUAEK0(}letg4Q{$FOL81_uHe)|lq2h6>f8iE(6J-|IN3fk+ zG*kILgI<9djle$ttQbua7Z?!-8;!Z5$l?q7cItYThKSphtu)(@YU7ZnvRtSsMC-LEey zsa1vav;pFZ;Lr@V4u8V5^?A&M_^EUayn}I=inzv2fWtE6ytJ4x6lrt8+*Ep)8DhhC zzVNYS2xAoCvWo+qMwt7>!50q*=h1@ghZb+y6>6RLQfm00&eY!I@KY$^r9u}#tGX9J z1#z~Tt3bwxW#m(U`V`+WM##k83TVyDY2Q@9KV9`boFR(n%({Nsl^0zlI#AoY!NMo>qCD8v?8qPS;3&tXS=K;yeWybI|v;KwrjKoEC*MK01y&M)W${^NZlV0 z&->y?uC$AgX`q90G6M3b{PUMsWqpq8Og$}Yuxh5=-DU%Cxag3&TuIE7hjPW`gD4N^ z#BXyg*+=JS+vyl%{!Jo-%H2mM6&A#r{2+yrT)Q^yUQf@PD%oqT5kM6hRGw_H2W`d*fE0EhmJ&u(Hkz?Bu5(VOzF! zR7xkdl7tromYt^Pec24lS)d76)!8w&RF^fRF|K&Bcvvixu0h$j3yYc|de`~fvUzVb zM@!zRc0sdH7zmX|*tx~` ze%~Z}Tu00Ltar_f<8aZix{y~)O}2T{zFs@CYa(BF04+?*0=LyViOX8>c1Rs(w2BY* zxs6rAi`71E^O6n57Fe6%nq!dbDnW9`fJPEIHHX{LwK&12K8`Dt>MC-5IpNXx`C85} zxly{luibDqys1%ouw5)^=cml7yf5rG`t!ZTh}t=&O&(i%fus4s)psb@X#!40OSnlR z(qbsqFx5^#E4AwSMGzn5ei~u4ERZuhJa=OjIfB74t%F^<6MdAx%?WOe>Qk={5;FY& zOd=Uel(^m@V3AcR#0W9H1~sWhtvEsg$7enr=;{LTGQ8=nu3(SpK6EmDCc@A`JB0~k zqAG6bFI&=t&~Ji0hgol(9H$Ayw0=_2qIHFgd(dC#JE*Zsa zemL~y*IdsOCxQJoz8fC4cJC~2hd&C+0u28uy0G>@O4H!=jcD(xBKrfciJ&#4?MHxBNC+ zrASk_EW|BQYUUwB+ctOu2m5J;x0>MYZnV;AuY=O60pEj}B_???-pt`qviIE3I-BTR z^C>eiwu=AHi?{05t-$#|gLY=@yYBheggV4A~LGFb=tfSciit7WEp>vxDxN~?R1Vr64IkPjnP!`ZEqGG9>y;dW365Xk)VEhc;KAly4Rr{77}$S zaWDC(rYuf8PsUs=x2~Y6oS#e7mfHXJT9cNh{nMegMPtK5%kyro^k~cE+O428^IW8I zKX=%}id=KNQbaTVazT-Jky$C-EM2}K^+&EvW!||Kc3o%i^p1PbOp=A)f{)Rd8 zkI8yRidC9Qy39P58Y^@2ZNU{+*Y@#3bIOU@H=Ph_WACtI_YCr&5) zc)A&Z??GB&mu_wY+&SKcl(SjlIbAB%cJQeA?PJ41-)D5BpbJbW9tBu#-fc)?j}A%$ zrAOylQqr)6_sD&>l`mHna*Na>72|RZVs+lk%5>2Ln10?xnt_^r@T=DA4}Qf&{n1Xz z!PW*hH^=2*ULVn|U;4qX-sa41DdGxeT8>-h0|`r2B(ywZuB3CTeU!5zyUJUr>X4Tq zEY%2UhT5`}(b zsy@>|`PEI?ZO#P)n{HtK27xlSIjxQo)op+k7WB2e0V#X=*G#=LR$tWG`M=H73t|2} zQ_ry{Q{zxfScI{Kq&s}Bfu?cY5GWet7rpZ9trY$XzRE5+`i-wX#JOadMGVgL+Q6R2 zyTjD%LW0fRn%=;OhOPz_9(MBJxLi*>J_m)ee2+w~H8qL?A2Pr&+XZ&2hJ4f9sfhdI zLnwG#QCDOr^MWJOrty%pA~8YHQ4lR?`14P$9E2a*oDb72oK^PM}C+QHtC|z8`42q8eNNHCK&yC3cd4ll;{<*n3YR;IH&*bAhknAnUg^ z_d%~3`JI-=$5_f0T_*%55P&C4+UW%M7iQ&@53lPU9aDHkb7$53aN{<|~FEwL<(bxMGf)Lr0r;4qK_c#Zkb zm~#{Jl|!cR@?Y3YzmVVUwwris$m2}f_iJ(5h#t975>3Av@LGl!1xP=jWF<4zn;lD*Q|1s=YKfrE!GbT)SB1@f-<*vJT__DD=uSjx!3 zdXBJkvTSx02a5%)AX8E|o!)Lr5K7iQnU#$}T~+wK`Pj*J+9V`-k~n#iJl<*U0?fl@ zB_^`eSw`HCbNPH-#3*b~owW6x*9xvK=*;pAg^MfI;y`0vr6f|2@KY_rS_J>}f$({# z**v_#_nq6@DawM9M(-k89fK}B;g|LOm*=-Mj|yS?KoCuR@x|#>)!saHnu5Z1+>4C! z;-uZfmE2lazw0zJosFJ6oc*3WNen78_S>%z&NgCk_|Q{Q;q5}nM$|fqyZQ^_RIt}! ze5K9x3v4k|xs=Qh#sP##zHd@XR?CPZ0VW+fQS>edBQEtMbs+}y+ic6&0*33jt@tt% z`QJR_^)*&7zl3E;3Z^1XS45hCT;mo<8dob~0!zTsTp1xL96#IG+B&2qqgl6bpHP*C zLAL6wq(YxU4eLm2h||-)#B^ss$7E=lr(xX{MrVr~Xowm>U;EmcY1@46mncmN7ySf9 zuJKxW@=zNqCWgeey}?pM@#a(|Dr%)%t`IX&Z4lDiI0RLb$<0Fhz@3=`#`m?bwO==) z{K-O}2TPn6mRSyEjJyRgxJ6s~#{0^J>oWtm-cNd^^dGV8OydBmDb_qtmIBvv>%<)<7SMim??YFt<8*L`UDQ2Pu#|WvcY{j(|Au)}& z0~LDfD}tnhz`2h-Hr*DT@~nGun<;96W2I_dza6%l-h4Vhbj=pbI9qHi9NA{{=C5CP zx{tn*&2obBqdw% z3rR(1-mj)#N;f&^71>U`ra8@Se~BQ|9Rf0)IA$?Gs6Uc z<@PBh=oqsRGZ^Z5eQKf$UY(s^Y$YihnZC;5e6Y*lm>NY#SIRMF28~J(;&Y2}sWAXV zmk{p$iK#NK5q%#tZopC)onF+J8`U0PL^uB>s-CHd!;wqT^q)r3dMwSyrk{{@kvAnl zEf`HJ2){K$xyG2=>IA>&*ncf*I>_3-=i9UX@mAh_&-?wrKfMG;yxof+c`%vX$#A#a z01H#j?mZ%}mh6@*DhQQ#aCatS<=YC2I_aYX&%`v{90qF`{mwznJp-qKuDvi_*eqfXI1CY$kK0;?Uj!OX+ZAVq;?) z+y0Nc@#6sJlR3D02YY4J`Ga@}^^G#@Yj>P)CW1SIjR2=HjJJX!)<1-$58QEEat@!u zAyk=e-vu+iwOP2-bt2=xS1P%l#v;8M4j7pz>a^jCu(p~mTd4Al8g^)WucYRoBI3=w zowLK^;I#f=Q#`vyrjGSS;&uW>rsrzHFKJo6ZZWS=+ccG`CG(@L9sRU)$y}OuYu8@Q z6C$m8{dyg?KP$;Yi^5(lveZz2`eKzj_L4pdjNOgaSj)vJ+AZbAUZ=uKf-<%ce*c`e z*mvIEqJ02%a=vBu)+M67F%6&H=y~Fb7a(9_%zSMZss!zN@0$K{YRC<=&f|xWD)YID z1w@GQ;blj1gu*nm2G&Y<9QiX2e7ly?0vk9tBPE$3=3$>+mpQRAz4OKb2SuXy%!wg5 z2+!2rrhaVJ_F!s&yB13wpVv>y&!u2Lo00t2M{G8%oV*$(@9;QKNJntZRMO{RN-x8I zpyCEIJWRS_@P+atb22W1NooVF?|l#!g~p0ibXIGN_Ze5->!!posS-qYW{RT4mzMz}c9kB5q?J#oU+ zm%9u}>m`>a3X6t$y4Tr9AdcP_)n7#Dmu?lvy|L1fiq>Ybi%j$`k3(i+wfA!+DSe2V z{i_p|GUyb7$bO0Pg$cEDPAmxy`G)4tt0&!b9=V(yx}noxZLMb--7e|Sxm`aMyEd5p zwrCo|_{O4hSE<@oyBFf}EtUqZSnPsT3Y7eLI5wfevo=09nDg(h^X;ewfX0?i2iZ|H z+VJ@(9gLB`O)Jp(57SDg@haw18=sUP(eBOuC8)Jy=Wo&KPW|4zF4)OQh34FYc>JSZ zk=8KKh>(*3=dcfkXXeyqhT@5`8iD(9i2MdZ&*9q(Y9nhX%z^XM^v$I)4G((S;0YWF zlUgg@&CYP%L)n=3Qzif~fHPOL@0O1xbSst{@|d0Jt6sX z3-C_@e-ikUz@G&EB=9GJ{}UYa7uV&lIOy*)ul}b_#r&6o^uORB=HFt}e}aRU|JJtn zKXA~8Ktzix_g{g7esB5j(Bof@gZ>GN>i0_jjWCGi0|qhwHnsi{2K_!f|Nk(EnVI1) zb&6$brq&oDh;I|WV31S4?gltKNR*IR0@ZMhm^&~l6n}Jn8A?r>ibKuQ!%IoY0j}e& zjZRluMn_4hmSX$yw8g{C^Yihmo~Gx`xW&_obYgAo4Nj%?5bTu*^_Nf2@c7u5*c#o9;n)=Hp#_F?a7K! z2%X~{pBK#$$(%Fl^~=*UiEF2ogXfxrz+3UOW!Y$1tC3mRd;`;+9$BSSN^xcR81rN< zXVc7phWxuUx=475aosi^c8L&!WO->~+w(s9IAab;0+R(x``FvVb-%$kwrsqEd}AzLOnHb9Hq^811#~tumUc ze5mE~bS^;$nic2NRwO(E@_B@suE6#xlPfB>S{}Qwq@zXi6w1*h4vzB64Ty8HD<2-y z3|N#}Dwi7Mr!1uiz)mXWiY)7(N@!y9wdW{T_H`8!YZLtX^nEAVR7u4DE;7}|62&&I zO364b3_zz>sUC0W$cMAJCHWfB;`?po%au}5eXt-d>rY3AFkm4+Sc%gKHhpEJcV!`ENc^@j1l}k?|vEP@m!;e8_ zVTl1fOFCxVojot5!zVEEsmyw!6Bd5mGblknEJQ`*mYI)bQcMhD%JN~-l3MB$9Dy}~ z&}LWJ$47|PgsnK_REYJn5C*B>f<_fgV~cfJMo$DK!-MAXe0%^yKWY@wSdb~=sxVrZ z)BTvmFPVC9`$Fro!~-OQ8)6&cwJ4}QY$o(Vg48cUI~hz?V&e{a`f~3@w_M?cgN(4w zpbgnJP!A`_6R>2n`u)Ud*KYkOAUyG9jMhzPtd!&*v&vYNMn7hi#YilnPm`0)0j*^0 z>sm`(+O&y!Gx@F*9k%5Sn}{j1SI?t;Lo;`GGtF6yu;d+ANMTtW(=!TTEmxc|-f7zN z8#`SNKGQRC1O%*a!{O`EjX7GjP|I~6g1=>qYyd&@mV=kifnpaUBDd24`a0|}5>2p) ziua9n;^#2-PmzuwB(fte9uQsx*&qB^F%+b8&$1^k4%GX zgWBS3*rF#=lol#YNQ{sD25_nH90{HmO2H=Iv#~){e#lG7NXbHcMc%#V?X`=@#mtOH z6RDdIR>ewBNe>Am$gzhYS^+_qG#knbcpf@!p4;ACq7I;b7e7a$0$28z;uRe*Lx1k@ zYF+f4J>(7Rs<=-GT^fKwD0B2l`=(EUYWVXgXSzh|lyS_`h124E>4Al~i$JVl!P@yC zv^ICHHqp)T_A4^viVoXiB&dStGl}YORp=T)t=gC}>q0l=bdtecJ$DsIbm;`uYe7Q;2XFW>XsFOr!%1lxB zV9EkC0WOF)HplVEs7`AY~Ch3y4ms z=3EMHhEDKh(=0ZM_(XL@Ec^Io31?(27CP`%p#hN)FZt?d_ZU$^F#GGM!&{t#N&wlw z1EkV<_rjBRH%S@>?H8QO@WJ-e&Xy`qMJh$#YD^h|+;yTFGUHSGsFxWYa$*@Av|<6M zLW-U@s`=zE0Ks2tH5qqT^I_ix5cy=C1PMj#k8&RQ5Cuq8g30^1is3P zqSv*2Cp0J(d|JzeUmL@hAMp(HCqpfP=y%k6!S6D4J*sN^z|rynuo3(PN&(RV>0y|@ zNk}yim|#U+2BB`C2Of>QS7tSEDlr-S*E7~- zDq<<*M7)Zzj)FP6L~n_$@!D2=ohjsI;R2#Wa33HmMFmg|>d|XDQYVi<$WfmJXgp8z zBhzoWRtpi0!1_zKJBZqKLJ#t$cZ2i^Ck07*@`?JV0HsAQ_<|z38;bBo0aebVI5F(N ziP?AWjibV(u#y%`%AhkH`;BuSE%wWH*b{)qF&PCL76b8pP$(d#r_(QbxZ!(?LQ&=bS};fuV0PM)du8HARM*W%Ud*HX+eEjm-~7} z$(YVkes4mY5=w0&gJ*HGSh()I6n|&NC$Mie-_EbE9N#?jEGMx6cdES;tSNC&RE;(> z&g(VH>(v|dIs=i26y`kUV&k9-DEJ62;BuOLftJpMay}}E>U|jrcEvN~2F@DB|CDQE z^9>ZXb;TxY6xR6KDXtAJh^*OK&C#-Ir-P<^-#6BiPvzE)BhMKPbjuBc%8fWL94QZ! z-c3Zy(H3(r{GD7_hk2*p3G*Qb*nwcAK<1KRuc%NhSfT@jxJG50B|7Gz&X$?Bor&8- z_!GF?VaafCPgilNn*iMjD0WQ`uuxLm7yg0%K8*&DedTazA!%x&0mL~| zTb-Js09hM`8=N|?X#@wULlKhGAA+!%xgPB6sp9(mAor4|C*a>{uN27(Imq9N1}r-- zH;S%aiw4$q&?B|;Z3%0)@@DCR>04~p_b{$zdvoPo0h;hI;$ivpK-CNq4gx;0sb}6Z zc~7kKD3orq?8=Fqjw=Ta4Ob|^%x1p@z^SLEQGidx0zsp zOaz3Mn7oBHzVDgKgs|@f=~M4b44?>A z>efsP>M(V)@P>{yqHy(W!bJT9`zg&{(V=r`DpWyp4%YQuuW}DYH_|ENNaZnpuWpf2 zRdY_{Bd{?p!=i=l@hu}>Wf}a4i@c13S=a;tU1?p8WvCuot=ywY{JwDG5%Bx#c6FB< zRxN`aa_FBp3h<%wx0Zh-*^#d-bVT!dP%kL*Fz%kPAd1sqkbf!}<0)?|P2t61Hs(p& zSXIC==$pGcWAfxJ@2JGE`vIkTGD}6%(9!XRzr*dZacRp;<6OU2X*7*1Ui7h8)FUb9 zOnKL6^@tY+ub*3K7i3mVc<;)M*XQ~IfM;?)P}PtRV@4Wa+3KOv(?i99a^-ce2gNHE zE;Pj@-BVP{(+J=Lc)2{N>&8yD~P4yfUJg2((4 zkuOdr6P**ypV-ywRDQ6;zbhI7ritPdM)URHOzGEK9mediC@n}QYOWEW5-T;Cpn}Q) zhErFEaKn>Gcqy)xlR+Fm-z0|Kp}O7P8OsSCI&93GkvF?Bzq#4zDj~T(n^K`#B=W~! zV0Q&ck{a4FlM9SrHmf-5C=rQXtn;Qe?#A-oKG(D$KD^J!5NWJy+dO@0`+Ae`a?Z|E z`)XOJ4>4=5{e zWv~|Ypv|Jd2`Ki%{voS=tF9>BHxR^c)ek%vlM& zVfB2qK#c;TMAsCf(#aR~Rx9*5LqqiAr7u5CKiU?vC#~vqBd$#O2;OnPKK_HAPV@E( zAiZa@OIJ_d7)#RDOHN{MP$tmB)1w!bNVUBYeLGHBdohpOx!K_MR2|jM!CgWd+(H1g zeyRlliJed6DFsM%2zcq1oziw~0vFtplFYko`e~kc1t8t{ zQQ)qPMw=u(h9RrTw*dRnKi-)__J;l@L%bFc0R9ZDT9X-R!f}3UFr<4Xs9^NttY$w` zwsZY3v6pMT@@Dp?7)dfRbG)UA?py0dl!x@GH80cP$;L^e`n9D)isTkEhvMq0>uPbX zSB|~7ac}a_e`D`0SK5ZrkQ&(l5W zzCCk$ez)iC`M{@CfK$8n+Qp%&{%5WA{h6j6V47n~`X8}D=3k#7{qwH$jSarB!T*hH zkcF1nfR0YzfQpfUO^=F^PM?*EjnR;iiqV*jg_)VnfQ^-j_O%V|rPWMIiv8vJg`=Le zfsvRX7rv8|nIXFoBMY;!9y0@#5epqH6(gfD0~MPAgYnB2BLl4g9X%5(;~%d#G+;Nj zd1HhBdM5anJ-$5;epBF0fj0%-6nInMO@aRg8+-*ye_p2l#s*)n-v7ob#{64!`tNM; z)&2FKvB5ub82^I}z7oe5jK%Vo%te-0wD{{QuNd*y#w$PkwegC&er>$E(SB{bI?w(` z(Bf|&Wc-(-#ed3a_NvI=-7fv-5seIVZ2w$DBLf398zUYQEeka*Jsv$HEA@+;jERng zn(=Q!8edo8e`;_>Ryu0hmx#ze24`fWqh?_K+tGh5{r}kLOfN;n%zqu7nd$EXDqqjH zfBoo;FB&uAG14=<3jcBt^e_K=S&hFF{x|>SUv85B1*`t&;Iu4w^nZ^0vgCgk%=!9T z^{=1zA155cuQmVc3CHlV=6^Tu-`-6Ah4cP%@Lx;**AtH6*OLG33CH}~=OO+LnWS{I zzuwDU-#7mbt*2#5wscpxm1yJvgMsrrsj zA-Bs{eF1-a-Y3JMxXHwX%no}f`ip4$==6o7lT%vbmF@M@Qp!;1R87i{P#WiiwkOwC z568Q%?SqS*vyp=#8eGohr3Ekh(k9$;7aU3#=LCtVeQUR>7>6RjM_FJ1uE5&k@x!jQs{fs60h$BukSf;A zPB{^>2X2rLWm`5t`Fq+OS;KYcZ^`35d%`IpSgP~M#C{_}{+rt!>%Gew=ZuXVk&P^E z{YF05VnKWw>2-Yfkm<0H26f6gCy~@DDOtsUuhr(3wz8s>`-66{c?6Gx8ihGk?&EZzOIcc+F<89lg~KPs6PM`NDM zm~zewiCTwL`_drq2r8r36S?p1}@ zZ&@PLuD#s-8<6&{Jx`XkQ?YUBt8m{>IEISbq2)Ar%w=&j1zP63Fn!CJre&>+GG}kU zQzUg4wn%P5wI2P`qdHEXmKs`Kp$6&UvZmr!Z>R9KLf*Sg(;Be2N;i2nP)(82r4C8F z7W%}OR>UYH_H!xM*O zc%R7V(e&bktp@nRCp^@UCVxU`Q_BBJCe2)Nnf`eF|#8PgtK>S)+~9 zT?O0~0bGi&52}64Sy+lFf>>@MM~>e$xtJIalwpwyuJbZw|TL zlu>lbYt^fY^h4--y!uW=xY$(L)SKdou@M5%xV*E@-KJ(|NVZSdFdfpe?JsCnz+j%WFoWQ_~Bwl*_h%<2l<6Gy`A(@7_*9X+8*hYvA^#djPk&<7Imw!@GcP}0`NrSY8)DK=Z z`e$^~$`__wu5l6%nUN0`AD7o+L{@enKhrE98V1k>SF@l7Q!P1=4P1%bKW(k|{CsNp zal0x|ef2otn?Z7gb8T?f3C*7E6^-2`X_Qc)d875bwI!olvaHw z->eu9PzcY3nv=gO+lx(=#t$?QH6|CjP8A;C+>D<}W%?k7R|sjC(smNm=!aIN!8hXY&dmcDDNSw@l+6;~&Chak3L*yoes{lOVMoEB4QL za#>lZa6EvykDI6`AGe+iqmJ$|bv)wB&;kO(H16Zl9|mQ-1N>$IP_en_jeWo`6A2@( zj|D6z4dXtn(Z!mD(+oS|(Kcjf5uSuB3gMXA6U&@C%%%%rD0wXs`rQ+r&NdC0GXALj zi4W)J^b9RUfSLV#{FCm4%k3vMp~|(XoGrvN9Qt>+1i4sA6asqC5+M`XHl*aJ)RlbR zA|Yne26R_)=8Bq(#4KlltWSnBXdz!r-yO(`@PikOXKipxUFKYd4|x(b zg%V4k+B3a*azLY-`v$FQ`1!}YY+xi1)cYq&o_cQ{NGvX3Y!cx8S2;Y)r~a~z^-RARG=k>1cq-_TI+V`)cmRcDnTvViE?n#mWN zS10S=BSu%Cc#<^(`KstUlZ|5HmYxg9u|bz5WJpXwBea zAo(=sp8Qe6E6$3@!=BS(mUo+Gq(Wn)ML!%1A)D~+TIVu%nJx>G zE9JUo#_suYGr;o1!8$45BcP$9s4XKf34-dPP#Bj7xldQWP?CM+=^<8AD)1GBFid+eW$bXvhAty!raz6A>*w z3XggEq{OZk`xevdTIBa>Jv>8C7NO@)3--Nl|6BlQs<1!q&#vbw>tSuNYQ|6AR}+WMqP9`2%C!y=JU=-i~Ni`$xW70vYtC^$#73X6l2U+Fu_p+X0@x z!IjQO;VahEE#eRdJ5xZ4P18WEcf{N$%1=tJh#%L*gEOJ9x%8|=zy)CJc2DK2u# zi97q)bKKO1nSUJ`$m}N zGrTTKLX7!y%Yb(DsNL*u*&=AAQ#sz*=WUOY8FB zVB)D`cVr}Js6^_rY3;be`}WrTdE=}grD$Tdp3}~x7Df0Vdh{{TjjBq#iFS^bmriF%M8!elcLV@G802-QOWh4 z$4G|an$G^oZc2ue=Tzcdep0}lg2AFHYVp0XaT;BD-KBk=fXT@4oOIE#*tD?DU1r@S zPo2Y}<0ZEXr*MusbItVCrMUCjN=}l{aCdbOWRb&Djr6f?&tTI|A`KgB&{Ws3;K0o&lhWZYhr0RT_BYTyA z``4}{ofM8Q*=mdEwPXb6fk_n^HZ=^y?jCK5Iok0q^8?^Kugc1d^ZLw&e~g_7I61+p z)%JF;cch;hjkszh$Q(|TF%{-SCEn`ti-pLC^{Z3eLXL_ zc$YhC6she8FhBGwle7bQ3;K!!Qr|!ww>rw8`;wiX|H^~lQP-u((87it0m$3R(xF0w z_#`(2?;s2IeT=OthKOz9yYPkROw7_VU89m|j6SMHW_Ow(KaL39Ec6Vy*31Y|@%1~=zN|90-w)!*o6i*%S;Ci1|d~+IjIubbxN&(OXo2SVK>eyjNFa}!3BxI#?lHSCGA%HrB&~9 zm*z(+@a2vN;g6wwQkMqN_I5RU!(Z{T+!OaIo?!+%i?7MAAL`P4ivw1j`(_8!_vTt73?M> z%pU)wPEDo(a8;)@ww9`eropVIh`0us7N{4hUC+v%QUNR3HdfPep^f7h08=G(q@O@b z@%_6?&~ATVKc`^_)ZvPFVJ1BJ*>|fCp))#q)!;N+xXKn-%aPXR&Q6CSD}n4T+2Z=A z-Ha0o9

gmH)Su*1zE?nzBs+1jS7YQ^;=(h*86r)pv|7Ka8p(}#uHeoh(WSks3> zEn+j6MXQX3E+v}&yxK10gepk>g7A0kqw8D4rLZbCI(cDu<+Rk#T1@;g-XwaUcUM?iv zhNFR{-tih=XD$`SRK`7An2iiNrx>JTx?7*6@xzuW#XH@qX4am8s1POpPL9;E1OnXg z952(kPB2ViF8WvG1lFJ^)gTEcLO0}nwYAp$XJ9_`6dRzg6UuFU$j|n#)8Dq98$LGO zDIcws$94Nr<=10U_>dxOnV7!BL2(m8F6$pcnw|;9WxHb-o+pxkHf}KKs|*l_-k|$a z&{FY}iUTa;HD_`YNLh@>AdJNVA728|#_1iep)tnSmZyr3QP{{f*(0z>Hrettu+k%U zmQQyxcbT7M!DEu${k37(c;0i7rL>UWuABi-!hI~MOmB0SoA{VIN#h8?!LJBpm|pz} z;tAODAP5C?-GfU<@)Vp~q<*?d7blxd#y-^NFa^hV-vTRu8_F}87DS%r)*ql~^nxnO z0FD)<(6u92N^w`r09l+`Z2%(hHd7B3rWgz#>d=s1?4u#Ds25c_`BW0TDCuzw0K^eR ztgP^D+{*4~*!Y9|3E8guQo((nLIw$NoMeOMI0J3PQyM+5 z)@6631YCFG7-Di+!*K#YbODP6QSnb~W>8&O8#ltK7}i^^lGcf7`DFw-z4TG)i_Asd?hf$hu#%D3$I-co- z3&g(n*k=|T1JSaq{;isfgbE=L1a!u#*hrmiMXsfE*UirQoL1|)mhZN+>tY@HYsc;JTbnx4sM!6oh$PF zv!`n8*gXVk?x2}O8e-m&ot&mx6qfmp(FYbU(v#2eB5njSpJ+A4@PD$|b&(h;X68&j zS^Tt|_(~=9d|o_#npDbdA#Uh`^;J0*@&>!J$m&4#ANb2fAln z^kjsLD!X^kl8%fbXCW<<*t6B2P?!#Y#jU9V3UF!eD56t8iKRaT7pQ=BUccw)W~#;u zU~+WbnLS6$*`5eBcOkbcwzLk>SXuGF5JC|d0x2%Lr3mM_j4wgEb*xYEAX5m8jNQ;)A9J-_4EU|DHm3%hGx_G~ycn3a@0Up1^I_$~7B}ZF1eE zmmT@NR))_SYxe$nY=dU3tEd9b)L?A7E3qo|g&IKW{3X6+21?~LH#{HoWb6v2DL9Ce z*@zqREdJ6i;ED4ZdiU5Bq8aUJ`l*C?=E#K2<4?_|^A7@h-)(T9d&he8e33s|pq9GZ zwmdumN~X7F6}TT5#2R&)mh_LS@dM-fSq%iHp^sxgTuPV|&haoyqS!ET>aYy|ET-fs zi%^nUzb<*irLnOoxo+I(8d-sEi&5g4h6Y-T`*FE1&Aha{>^0$Zf*UR*N^oOG*!gbW zdaDLECVdr3XT&h3Nw`M-t50+6#)UHbU47$JRU~wWU0F1g6{>!0f^qJJQ0#0RVwhrd zGjcGGwh97Xqv58CdWLuReb&>d5Kr`qmX&EFi@MatEIm7hz}^vJukG!}jAiDzjEs_W ziS`>$FQ1l)NL!&0Ay0;!`I`c19()ajK)izuiHD!TQd{M^u{pT{6bz2rr@2?}b zDf%mANkM?4P3!liS$vb+IIh{h1u&lM8TjsvyrjQ89w!7Q38RCt+o7EnuW%$a;mYff z$lSyzTJ6%^u6uQ1Z9j%{N?BtJ@-Af=ImNG%=CvqKw9V=56;;iFa>pG#Ic&dCWlFjZvuW{ydD#De{< zDu{-tJZ{J&__7mX;uG<&vi(tF-KQFdkVlkl<)`hXVB907v2A+yygE28#E@l_`&%{` zlpr7>o-O>+ms=WL#lW1WruXF-^BkH3sNs(lPg5O-Lpt1E3wu7S;PV*iT)~($IvKZ# zNEeulB|a2Wssa0h9%vf3)z*!Sa6HUIVN_gfhaZLuT%_ZVk4r0=)O?%UpdTF|qcHn+ zcix#B;8F)a0GB~(f@$)wjA@=NfVA$`rK|aFv2ykC<3@=|MMOPM(Q2)yp+^KeH|b8N zle07m%3XV2FFjVk8?EZ%R0|>loZq^0vleZ>=aODa66CdAYC$Z?9AIpy+uyI@+BkDK zM~liBcrrFwt)};MU%kC~;LM=DFBo&_pUudrCp$TPp7HcdZ_8dfZkC?3ekN)MAU!o- z{6|FZrBMEj=+V%zv9Zz6($l*y;6`ag-@>(%?;5Ixr4qF{a}dVdrND!SPk(a7qV7}3ZZIoLSa8yGqK zVoZPdO8*V_Mv07v{l1*QJdT15BR8ixmyqh?0fTLB9yu0ym|N=2;l(TPJVIr&C^voQ0xCO2nHl2v}FZ4>?K+&e*BWx;Vp=<>QhnIWCimdT=sER2gPpq>f z!N!%GeC1u+^ve~iFeiKDj@cWiCrTEa{X(|Zf~w;*5W`jNNCWTh#z)RF9HR%0hGx2U z4=?kRQ>AlYkkNZ8R2?+Jv#q(DsjM|rOSS+HATyb z+4m|e|IwjQ;sk{wa!IXnt#UX~p_`sKt29~$``M)mt@&>FF+;=vsEkylszJ#m&#J-d z+pm^EGlB535u7srE(MA{Uz1QTibRNSDxjfE5lHkjB9Ts$0+2<=jP;)utPnJE^kkr1 zljn^|2!CbEj;qi0zE8U`)q-W7QO&8B7>5#erIjSFTSS1E5k7HKuodA-B-PwZbd2D2 z*7*X}{2DD=@he)E|D|WpLZ8WbN!q$41~ke)kXU#LDnKV(U5ejQ3ZJiD*sd$4$Xq_S zBjg7%MyID4X)1Iw%1PF^4}&|;w4*;8o7|1;1j^SkwaAD>|DlOi#)~99A+Bmb(3c^HiW0VlVNheKh)Oab0tZbi7zX}c@SLP zrPqt0=b-@W0d#0=19K=Nku7Y8FGA=C?i8yhn>UShX$rdKl{%}`nN@_I^p=e+1d9n3 zA*ZftM}csVy~zZ5?S+S#$=PYd=+&d+q$!=4+n^TH*`<(Hs$dF}#LpvA)TSk$^6SKV zEwR3OM#X7yRB1!Wp%P@qUjw~BRKrH*lG(jg!~P4#v9E@NwBl8X8=ADD_;Oz!5lUl6 z=HOvY8ND-8Z}*YfY}da$5MG{3_>w7etAo2?n(~Q)oGsuUuETwzyuENAt(qoaH5Htg zl@pxl5IDM|6H=h+m?eiR*D;eh86{}nCm#HSq!g5%`85eVdAx$8ICvOz6v$>5>4~4K zhnpC9W%15mbl!c^cNBdpXtUk?(}F}+6+XtZE_W2yv;j;i^Osoyd}IVj!5nl*$i$t~ zmWR`Wt)oo$$E=Ag?ex@g|B3xW0SDQi?!#9{dSWggXA`zdfq>TV{ewYdH1%(bw4`(} z@sSTcnY)lq$Ywz|ech7oN9-bmv|0<2G-Qn)9H+BtNlZIX+Gn%OWR0{kTi;4MCgWGP z%UB|P&qW8>#GZ!mPN(ey#C;%}J`pRQ>@=FtyQf_zNv=?LCzVtssEz2W z;Ww^r4<%M_+@DXy%$$K4257Lgi^w-t)(4?FSy(mJR-}r>eq0$`s{Lro>ooflD!yik zpx`q`dKR1qM_QTohfqfVa5yK~5lnsc1vBk5z`f$y776QBhLUqN><@v5y}i z!)8UrXu8;|7%K5BXakmghYWQcq-wntbu`yh#UU)ct3El(2?my>W5~yskOh2Z8Ik zT*#e7-1u$0!n-#vDtA%*5HST)4E?CKRelMoZO$zUqp}yWtD7IUD?u<$ftAsLs~4Gc zcQV=O6q?kBVLaq#mkPo$7{BZJ6L-#=-|cLz@Hu?|^_+)GyteXIUq#_`$CD?MW^2H@ zl5&(SYHedpr5cq{7O}ZF*pmF5he4il@x)iRhfCd@brIo-5>TcRpKJ!FoH}>^LsV7{ zpz51y>e$-Id-97I?hP5)EZm&zb2h8VTX<4_m!t_J0UL=c1`TY!6)x%K^=??8D1JaO z6@pnFRA0&fW80d#k`1puTBT`%OcrB?{CR#G_=ua3CL~rCUI_`#2%YNSB7_Av6+1BRIQk8Sj0lW> zjl&?D)<=yEO)iFSU@$>iA$jDmMmRwEK;i>a5ef8OM9u>po@2uxC@jz42o?O^e^;rm z1?66lg*SDP|45>hU_6)SF*mbooMf>}BJYntmOg?wj54RG#EIP^%bL3ZDzp9&v0ekk z!Sx-e+~&?1ld0+9e#`;z(edT4-SIWSH?n;DuY%qX^|kCfyMD!mGaFF*@q(6@MB%zklWK+Rp&$A~QmST`@~ zcB&-)4XouWwr`tQoT14;yX%eKq(V+OhTn6kD7HsvxmIODnC6-~9dvrP)BI(iYwYKY zo=G$@09-2y@<#VcFBbfo1uK1l5W!Flor74dNAgQJMj1m|Te%g#i%ri&r=;RwU%|5x z*Ju<`kEX~z;Fh}4c`@*r1J5$2t7DRRc|Knhg@{Bi+pPhhRz9`+b3It1ZX^Te*?Y z6aG)yVcp9d_$p{zZASPO)H5UxPg6z$-=88d&os*NP8dZ(%i9S_yu3Gc6>hd{jBw z(XE)&JfHVMHKf!DacO{EL5H>hgXLk0UGQq$?r$^`1wDHT0GoA8a~wc%?^~JCo@5HH zB^vGu=?-R9w!}DVs)wGjtt7-~@R45vpbB|f@902b1S*j1^M+-Nmshp+Csis76f6Hi zZ*)Byoyx4^dv~`t^TDLv&I3u?M8c{6P;-D|wbh1Hj)!|L%tOW7hyxU-i~!Hsc*cmm z&k!KqIp*6Z{MPebO_oj!wZkR(dJW+P2erUv_P{m_o#D~(>kvD!Fdak2Gn+kgq$MM? zPoDz|NS~yz<)fO;ukm8xoBDC$H-~cwaKaUo`c^#$*1(A)xtH5o9yW)%gt-^Nq5@6o zlb2m@>9LSH<2`YO^ERPi4Nw9pGNM{yWyaL=tbZ!x%#Mrf?h14H-*Rpn?s;*DTSAkJ zKZ@AWNLBVvYGVY+S=6w6z)QZhwM;G=yLqWM2_v%^+oenBKMLj`r-on^{Ur=*8d4K_d3L%hxso@bN__d z?saB=j{le2p8q_ala7t$p9^JW{uP1x5=qL0NB@FGe?@fuT{h>d)PG$bOB;IyTRj6K zPEHyD2WGri5X#L>BjoBRqTs0K`0MK;3UqjX0z4X7dm947EgE4P zYsZ(LQdDHbdkLR>S+$omh8m};tI)Vzt}rFrb&FD3%ty4GK3UwZ?76aa{wkV$+Ec9S z-AOH(?MYR*yfk;@BEO4zWqzaI3MY}2LU2M6YRuCRv7?{DNFMDZ921dViY7^BC4hov z$%Hk=P!{)yyVVoOW>QMlD9r8WBK9ECfCggZ-ANmuuKjF+NNQ*jt}`lTQ_Ss|!`W4U zqt=8Q8;gXggP4`n+4%j%eEFGXTW11Q*Pe6Kfj|fLQN&Ld>hm#BLjvj8lU4%`&R`l% z>moV?I8W@ITpDm5L8ZP#J8nY{>v7o_(7E3xfn`$Ws8?tT6;IAsWmypw6D+>eO!5PX zzK}pgKfvHYx^s5jI*3b0h67tv zQxL^JOl|l4N95N&Nin%z#Df_AeaU1Y7^09lR?H~8t@+|q?WzcSfq2qw3?#M~ZK$vd z;kT+KXZyo6xLLR?v2kV(pjPD=1dSNci849KKRPCD9i>#k&jVyxF(s*PRQB3%9xDyZ zr-UU3lB_E0YC-UsFeksnehp_wLJ%Y#P+x5ST%=*4#xhP6MK@YDRjN5@Ww`jIlO7#N z5KA8Cr-W(%u|k)1{M_f{;NThm_HN%Etq(Rqx%vSJ&H^G+Y^F89Is{Ps&7zQvhV!wR zY2(VmWwNbzX^he$%yc2WTo%jG$@|^CxvfPPK;Z=if7>v5RHcs?;nt!r<(DC@+^<0l z6eL6>s|3+!j$UCa7EPu>piY#huDgVYdjDG<0CJ-ztv?wG$9IF~6V}Gly4; z>cq*XgKfvJ?DlX*wr0-(ImA${ zpU$BC9yu*0D#UZGE>wd_9_Be#7QXNm`%*#3V4aM#DvX8e%*spD3B?X^k6Zhjlcrabx zox!}41>{;-d6-I6LVLERDTfap`Qd^PI&Z;(A$uIwSpzo^ZSpPZ53pf)WgtE{B*>k( zrxHY_P@~4AMfgeQ%mDeoYI&_7&qrNnCJ+6n+5b+_;x3>L(Q<5Gbawy}WD7PW5l)qQiY8ckyKf$C%*{_@+kDukNHkFE~x=)6dAaam72 zTOuV@R$o@=yr`T&K(>4TY_*E#goIX-FvejyI5@CSD8X+#@s~p_}_Z1ie+kCxp>pi&}Xuk(>$8bb_xlx^rOm1S2 zo4|YGx*6lTL~j~t=Ev5^9=2Pr)o)JK9i!Gl@^e5uL!M^yPWz0GjIq{RDq0p3QCnLM z%X^IwxeY&7XpFA$IC57Xu?oo8A2;Ci3|dvO#hOh8`tQBJkF?Y5NC`p+6ei;^D;msJ zI0`Jp48z-%_d?g?N#B1Lzf3ryUi$!2vD0PS*IT7XW(0sf3Q&pFuko&6ibb3FV)Up? z%4aA^Zv|kSb9;o8k*+m?8{ec7Eh>{;C6I^wrviCX6b$}m4QsuD%f&`SmW!z)nhF*M zsAE;^M^Liap?5954kX~c2nwUH@IS@9B?W$rlDq|!$nw6f1_p7vnUDsP6rgqVWVA>i_Csu3mG2DlgQXe>k*e%462w$DKX)xks(RJq zq1P0+OXgl4D{C(eS$McfeMtzF5bp%?KpjJONPgdG$PW`1T{Q|P7PAC~GC_(tWK8#M zcIZe@JQrmHFfJ|;vq!=fmeCkiujlKDaZLggO(&#O@6K87AhhPOh5~wp`r#dgHYvoe zK^p5_7kse8wKX`?cR)yGe|C{3FJabd@>p>*C_d~#Qv_D`1a}u-@1@*ZD+`fTd2Hb^ z=Fsi&Z+SIR3RPzD+EUjohz%9sNXYN#P(rh(6b!Qq^c8$$B=9Ms zvsldc!Vg@+%w0n1S}bN{OEJ*jS7N`1s;YfoduP{0L=DoRnSuZ;_Jfc`596W{m=6{; zySUdlSs?vWo%XmMv=++^Am_KIK>2>Wpoh*o-b;Bwuz1$slU_uE0nw>Vn1Yb)eKmEt zj$kcqY{k6U@fD+Y`yL);N9I4l26-vEjD&;5su$~J< z+c2nO>7XBKj0Km8vcz`m&zrInz?lK*rTi#&{qc)-h(q~R2)%UF(kZDEDxh4Zm2r;9 z@FDy8RqsrT9X4jYPkv?3x2@3?#LrjxNTo1Lv>;?AdsFXHlm+(76PY=$zy2J0S_+IN z43y`!xIf~8o%Pbw{_+v_TMmOU<1LL=%-z}Xhhn4_2UNKBhuQ+;)do>VVf2of$~HPL zqJfz!?SU=Ox?xN46F}*~4{qA5Ra`Fi7s|JD_W4;X548!58F%jkSf>Fu44-4~63ry} zim2+a&G|!qpgK-!K2OVXHzMn5e;jv;yOp8vQbOG8n%OD{U0OPb8UEI)wl)q{ePFO6 z``Lrc!b|O3KPBa|W%&NW)K_?0NJl6eqHC994mIng`Ekhg3lqnL{}PWDZJjAWm zD+Ii0LAV4tb1o;hZ91s6wp`$I(9Kf#czYhFxImtCvo2(QbNR!-%Zr9sTgMulm1P5$ zwQjnjXO*1ve9vPt=x!sK8Y88oVkPhW_d!neFrUIU?H4!S)}h9PzTBFscgY|i>lbeB zY;*AEAejY6`hW1wtl#-*{3#XvRW+MceER^Tt3psBkydN47Aog&kCdCj?_!%;}t57 zszPR)uK%jN3u;sKTASA$Leop-BYfYsl)$P_*8-Sm_LnA+GUx&!LU0@esMTf(PA{^) zr>rbIEq?R>8zj==wswi1eMF-_yb>$g99ki9)7B4iw}`cmr%?+Z8e_i6@3ua?W2e1* z(EL^TsPWaHkAU008%9~{CVdE1{fHPsC`fajP#rMb@^Zy~=UCI;Nl(BHtBWW6M7#bb zyhA=XatspV)@9G!b%_fTniwNJ`^M!UgCKi%Oxe@IeIw6R4M*ccj$QFVH;49FLD;~j zXJ%KopM44U;SU9T?UTVrjtwv?CcBxk2Ozh1saRg!S$16+c@#2vZDCmm$BDS;wSnBG zJal_mgCq%3yggAdh8STvy{4Z$jF*dT_G! zf`{QuFLBDV-e(9}07?d9+keOde`_lE%Z>3H4}9Z+|C@N=Te;)Eh|Ik`f_+oqO@TKB z-V}IK;7x&lzXJb!=H@HI`IFcD&IAAK9{Ufc7u#D{{;gwzO=&q;!pB6zsMCHEsd0sp_v}PjjP75y)m)9^yJawu`<&CUJ&@lp8uT2 zzZ?VpGY-8!=KAjgaxa?DG1C5n)Xmog`o9A?W_sq|fLyb>nGL20!ehA(9j=LUkKP&> z9B`EAfU@O6wOAkIJS4x|Rw)X7nyO=jPg_NCV-UeX@Fy)wVAIBerpA&IZ7r@;9M4rv zFV?ns#x+j{t*4*o+ZRU<1tpiaI|oyDOE$2(H7)xw0oLA)3mlq1q+a^s_Ka`!XjtC+ zG|+WiRSguoNG}-Q+Q~5S980<9Ihx0#F4I@3J$=0{Pot@w&Sd1cxn_bYGi80AxMYUFou5x0^c(5y)OTBK z;I5zL3@<-#u^u^jU`^sSqcz>u!me%G^kSh?wwSrUIx=5*a2+6EL4COKymS!x<LhhO*BcVZXc)gFstSS}T%BCXs{VHS3WR6~#vnmyBSU9l*rTZJk<%-EmHsU&L(t{7we({uRw#Bi1V4 zXO4f4R9@3nfW~Mc;$uPk-f=2lG#4K)>vfUN0^)K3iWp$=3(bLFLl3myi|#6tF?iW$ zIS;UBPt-x0AAL<*_8`GlMPN@xJ7z%gu9~wz(6>Q=Xk-cDNY5-5`v8hm2LY|u>WDN7 zDiwMy>-wyBGNU>LZ)=}@T9r*z`B#sgs>~tBgrf~rC}=h?Epda(A09oISC1Y^ zgokzyKe^W=-7Enqwk<$ zDh1x!9xziMNuNvxug5O^=2f+ZB>`$HE;m!pfUfm(Z+ZSPaP9=ikAyAv=bbgUZa<50 z0Z9p)30Bd}{mA)(=VdptIcuF{x!*GRsZxJU@cG_-4(gCJB6ft?hmR~0`IEAKd9sYM zRe-ko{)3-`c9nohfO#ROu+D2|zEHDg=LRtuD0=XcfW(wyL$23}V1HJen$SAja{^GN z6R25s8*j>HT*0ZFthRIY>CG)AS>x{`7SgS4O>{0UYn(c^6S*@2Q5QwClEa5;ESw+< zfpgpvJfJk8w7wz`>Qy=)QcM5lV^*+yPjMiI%8c)mk9|?p_zIY9@S1{j(^lh`90}X8|z`Pi+(9nNzYLduj5sz z{F+3nwN*qJN44ZCZWR>dh<19qnZil}&=hP(b7LR22zdYfOVQsu@8XrtgtMJPI^3uC zJJ5Nv`*ytw%A*-Ca7LbG{DCmXD)g@*qitd@7Q5Qd9A$(^hJo5qieADLqX*^6MnuU891+hGz z0tlb80ew<|G8o(+d~LQF1X@KSedk+y;fHQCU`I+ZQ`_tZK{Ak>4>PC=5pc+`FdKvg zUy-uJL*(9l!3FWhe&<&YL)Ar{WC;KasVh>_3Xpw%gi7}wAO%@YeS%8!`-Y)9 z9KH%64M%R=F#yUnt!f~x8yKY>c+!D&zdtYDxkpTPw1StAu_Gj6q;Ssx9SBS-E2usE zSHp_NUIxmHMYhgtm|l9E{s-hJ(kezHs*d+rU!ZCOh1JFRa}vfskM9}X!qvs2@aG(g zbWB76Ka!?DxVc+>NdS8Bm~RSFg;gI4o-(&qo02tp(llIpj`jddEBmJmUQP2&cvh-!ezEZn`b+af@ZSDyZws)S`8F&l4rp9vXla*%A|cdMFO4s@QB zMos4f&R~$r>(4q(T3;~v|FL(D!Id=%x{lfD*tTsO9ox3mv2AzKu{*Yn?%1|%+c(|k zyXStV=FH5wQ)f_9UA0q{KYL}Zcm3Jf&wAcBU$uJyu!?HHLO#o$dGqkwGg_4gql$~y zninaLU8sZ3mkVzHqRAQY78gp07S`-9iuSk93r|roLAG#m%WEl!5{kUZPPKpwJnKM9 zd~(vaC7)hq=Bw&P$)5ISg;hu#FJ9NE2jD()x{J&Qr=VPVEJ=}l^!+;YflMe#1vm%@wsSNEBq+0=%WOdf=ZS@R9D7!$ zT}4!;!b{j3nXZW_ql*OvoVjI#hr3<0uo#s{d$vVjUNDqIWVG;S%XEWac9E}G)KZAR zQdCA5mw;B2Z8vuBoBxO^!8Tz zTZ2g<(!Z)OSk>#i9Yt(n38CC-RIjhWTcq%Br%dTd+HfgtT1(K!aXL~IM6)B@dD7vZu_dg zU7hP5O>&i+=nSJjw=m=O*!6J3>{*eCE9`?{1<>%< zI4~1RkO*o{Q)P5-cg;-iR>RI~ieyfB;Xb*H*Y=ll;k2$teL-bAV)L$|2P4Y4&d--2^wdrKDVjVD-? zxv@m%Kt*M{*`Xpsn7ksNAj@Oc0f>D>iKptOg_z|1+pEOz5mfWXTSiC%#f3Y~RQ!_x zV^T^oKaUGD!a3GN5X`03Wqu_xCTGnC6V%vp-hBPLS-I%!Y+2 zlvQM;v0lgMT#Pz1QgI*lx;q~L6eEHyk=6(!h)2F5Di285tKbN$=`%?=SxtDW#>T{% zh{ad_*~!WN6(DG38;Uw3TN)OO>r`fYjMD!}h}iZf3jX zwhBI=L|2W5)7DM_xNrHyHaNt<#2hO|FVzQD9Fff-8%!oG+!k=rvTPk@E~Z2L;b0Z% zpv5IQeG9JdS;Zeut00wcE~@N&)Kk+T`6r^m41reKg8O>m#W!;iWg8l==Rpi34(L(# z<*6d;*L4yiNL@;fP$n!%KP)2dh!B;+)pa@9?e&o}l+%Ay%RvcvSjL9^+M$NxcFcow zoy-fy+t_&5dG5p}XGM=AqRDcgXWzQs7oxp}AzJ^8)+W`ku$0#z$J^X~6Mbdk*v{N8 zVKZ#?Y7LLtvnnX*^n?ot20%;E=lplTAJhA;`d=R${tf2aZoxtw|ekbt1CV_t#{QZR${R{B-mrw7176F;){%$oV6Wza2&B;Xfx7D0XbpJ>- z=YI_R{RvC{)4|`r@BjVfO8*qJ-A-B^`%aBuPmEr9)LS zHnSrlRG{}WoW7L+d~S)Ae7&kCR?%kSM5D5JkG^B%?BQB2?hvbUs(A#v(FMPk%|2-Y z?A+zk!>P)_nsRe%NpJSE;sM83*BL=(7G(>KRQsm5@+^+Q*S-lgr>)XIcuD#-+!q6IlD^=2tKPE}^| z7Z1h`oP)niyp^PMJGs1pVkB;3VwPejX$P@K#*FJenVc@eO2jk|{Wh-kagH9-p$B

+7hWz5Zw@c_7sfTzBzrX>Bk+7)m2VVO6(vEVb899mtrSctf1wv>!iv&2CV>!>LW!d z`2nZR^*e1MQBlWP>nlE>SZF88ly*@&bUT-iWNy~+Gp4Hs@5+%cqYjmIyw1=GTakk$87#MxITKchB^UKx_9y8~rP)FGk+YGkswx)p`c??!%`9P<8RvNecwm%^qVGap zUbZbulKOeYxHqR;i-Ea|^w2(Q=w_L47j>DK#Q>NOQ6z%oy>AJd7%zcD@ zG}gLbM&5QkVIZ^N2d2%+o$osD)trXr^CQ)1@70|6KdU+MmUnhv-m5vY16IcC53sJQ ze=2$U?y(?0mAwC3&DnGI&5olwRF3IXZR_jw&uUJ`XmMOqF77Sp6Xi3@28;*>8Le zJ*D|aBvuL@ATzS#)6x?)R}kSGl}KPD$tL z`q(T0C197nmANsB3JTpFq$uc+H4x)V6vsykw1+? zTpfJVW4VCM?Y4q7Lujg!DgppOoH541yof67m7)aa>{Xx9LN&%!78SA6;~WnC&3t_Y zp+Ecj>_wa@6aY{bK@5W@E=P%P{7@$gF&s_I3v6~G9=#TA(tcNnPJ|6q+jtwl-R{GT zSSOJFMCF1oMkn7h7>#~ScxACYD=35$nLT`|6IV|xkfScS@3KukOkO3-h;KODH+z-p zwA?6#Gc0^gQS)@cuABw-^Ld|0xQEK4Qie)yY_A(@4vpb#8)YCM(`ALS+cwx(vkk$c zo=r|w&II-b54njJj&-uV8$_>~($=&v?uKfNuiJ6Hr}03_&y!)j=evJJ~Wedaf4O zlOg;PN{Oy9_Kpf*;lDUC?I0~w zlJ`X@d_<~IF+l~q)XyT;L&eDqOnU>JLYzPffeSwxIGtg}ep7(1`sMREd+5ZD-vJvQ@azwB z$o@gPOCUm6w4#qfDWAazgQ@`Jg7FQ%bBFQ4Hq+&tcYJjXShW<(``AyiZQ(cFqZ724 zhQ45%1#|_)jVchsoutg`kapaJvOr(J3QWLRMo>R|n5G;*mWbMX$;NsT->D>be+<9d z1;~;qkhH>|#4R+hmvo;Aairvhq?av)1@FEu^C)@xL6r58rlW{&8A~_%H|739;0>PIgE8}@q`X80+|oyv0=u2E8Ds9MqR6)k zVlB6~5<@LyAQc<2amH+Gqd7t} z-vNGnT+Z~>!wgmT1%yMA17U3mt&}DhG2LVQ0Cy`e>|h|rSm$8CZl3Ttl^+fiB$i*7 z15Oplm+ZYW+Yv$xxZKPSU6zvEhE;z;X*WXcXoi@yQZ~hy;AD7KI7m=gr+wpxPrV8T zNR3MT7P@qddg@f&N(R336i2+NYL&XQ6GwH2bHWTAr@`JZI}YH6Kq1iZ8L-L447 zC9toJsjoe#5lULW*VK8D{c5@4=YXsD)j*G&-@ zNPUwa%AQE_Y|O)7B?AD`KAEZxlf~hW#$B|o#tmz9H0DPBNDHkGre}yly$6j4`~7g! z9zT`c=QNWBIE%k5b2P+h(5HU+6IL`G=~q&Ga4PB$dG13>gb!YTVp#2N^pE@cUpc71|He|Qo`wI*Y$d0EC=bqO9WLaoKM z$#`@yM#36Jg7^9=@0>_VjH9)9#A5i_>@zXe9izS$*_j!1b8AruMOcux`2l4ypUx5q zug=OEDz0Jg$;xh2`yn!Kf@ZKzc&Q8~N`2cB*I+9wuaZap3Z`fP;$u9b6xu3#^XXOm z)CnsON_EjaAu{iqN6(zZ-EvGvkH{mBJ&Xe($A>1mVC{6PGCj-GHoB{+qRRWNNH(m? z6@PJUSYGy5#Z?}t3Y+o#y}Ni8PFnkxvF4Xl||CM^es-X2?2JN8cWoCgS;XKWB*Q zP!Al@h6k5Tr)A<+G(=zT4~=~b89!{#UkbKs54S)r0uO7w?%54uc@FaD%n7Cd&YrSW4Yg78o)R9*j2}NN!ShQ!%?rUef|!}U(L*S z?%yWOs~8XO)mXAWycFhuH}+2L1QRxp39mC+7=6$47PT+F_@l`Z;T4AG~)Og?0>4u-MaY3pUyI^TDP5Z$gqT?r{H z_7~+4>YjAiFWC~ZWR15hFry;Cs|_1)EIF~RKcXwRsW*nFIITs(zBU9!xR3G5L~5X& zEZ7k_j}5SrpO&tzWzxH;yxPJgAC#Y`^H&YQ4{STXA?pHIpM;kEJxuqj<>ilm5PxI3 z-2aZoxtw|ekbtXpTPeIru(~n zolJk}X#CR>8`H0<#=nB7|J&EeME`H}bu!WaZC@u7{ja{x{|rCx*OC7?yMH>S`-l9z zU)TEAeE!P|Ga1<4K^^_C8q~ij%>2s@`s2L*t-?$?=0Ev)Y3dd>OCl)me%|+J!-kw) zZow=vT?wb+UZ*tiPc5dXK}Q@~wC{zP2|TYa8J|tWCc;i?g#nVgHqx6upEl7l)cD^m zrLrcfEFFf^8Xxg`H)W*jyn56$Ew8pNc|7cF=<_6VYaATzwG3>yF=$js<(1r|eo`TS zKB7Rh#4M4n8zt8$Ta#A2xiVa&$Wy3mkE28^xjFlCcGM$|xe_qnt6pM!xFoBxrunAK zJSA&=fMlRjz-5BT!(M~cv)H%GdsI>6LkU%@)z5JbRFWdwv#*|7jq|SOX@f2qAuAtE z_10-wji^j8x6jl)2))!HAn*ZVqWYUk&UtDrEFEHZr|KfFlBAgQV@ zy^n0;9Lw=%bEYTdILIO;uwEo#pmeugU$aNB9~LL|mhLHR(X62dDcCb})rqDygDd40 zNXdD7hu((xoi3@=KQ>RkggQ~y>ROv6(5clQyk?e-WDLIlDGbd8*Ht=! zBUXmyTF~u%Ll0K~qvZt0x@`#91pzE2tRDM=7qb~N|NDmCc$pl-`M2VH{trZt5~>hm zd%iywbPuq&kxo03Td_1a{G@3x-&^9Ktu3l@bK@Y`7ZdTpu#qjA+K0Ycmm!-15Qos8 zs26~02w)l=hp32Z=)(08R_Mcz7^q_y*HlYSV+oah1$Uu)*YkoJwz3hpu!RJ9ci9`& z{O02PWNGdB6C+d+3=;cT#umBWC-gwL;6~6G*R=r}gp%Je9ZaIQH;9Yd2iqAiOR!Gr zG#dcTW%}?waVoZin=twTo&-yr)j>M3c!lI5iVfAWaV2^l$)(Yh2nznXtonkqV0XoP zqc8w_k|`F7j6^XJiBboI=|C^++1pk#{rdEIpDx9q28QG6WON(#lFPKntyoyKYFyH) z@QuS{5G+^ToB;orgFgLtId3q=O+BNY2vRH=UBM5U1Tr)XA+b|FyCN5Nz2bs!3oCu} zA{+n*ozzu_Cdaox8F001ie^fm*fJ{X#gkh&2S{m=NX4g?xsD}lPApvRR2@cnCOl{W z%1rrlldMIb#gWI6IX1c8hZ;;GDgu%Wmp1hc>pi_MDJu96Uc$oUnt>2qLrRHUK+NSy zkO|$e$ewlDA@y#hR{aP$R>f*KvKq9L)3XFeqnOWzM%dBY9C9e;qFRcPYD$<{*GED4 zZQ4|Ym457DKeBZc19QsJ<4?k>A+RFaz9GtuKs}Y(hJjWnjserO?O89Pt=40d;L2C6 z>pebJW@CSwKR7ojPLIRXM$RhYCT`0E{OE`X>amY%7bcrolNEA9AbZEBn+PMw&uvk8 z1g+LH-52@2-9lL`zunaKMQ4-@h4Mit>VaL=@bw}V%0L-hs{aURHazgPb&w42hHMZ0 zXagV{Rb0)~u2ntlF#O?aC)wY01$qbO))2}mTyxXNQMh%P-IPG8^=s(Pf*3GJMk@v| zud;u=pBbRB8Es)hnat;%8~wU8>XAY1(!oJmjK%Cy6IGON+&cjwow`N%4t74Ga;NKP zz5}PP^vxa-Dkc6l7*YgfBcKfj<`MMI<#S8hc$EsNPal-X@SnBn9gHNJkk+g25GzaM z+i9d__JRt#=Cd<+X`gmaZb7V+ACr!4(MdJNXh++vs0h?ND>YfwW92hh#WHSuJg%FU zIDx9-puD^&93Yo*dGcrWW(GWBvtu-ZjeW4Zp6OzC=tL``N3(#iaXsqS*GuX`2t>X^ zBCtzn@F|8CONo6~Q7)w~9U^tprxJmigGViS-z9o=0a8dYOl3 zG7y;Cm13_f;Zdm&uq11(5n00fTTa`X-35cLVo=SH|;|N1yjN$ppn zy`qQBP1(rKJ9H0TpJ>Z+S(++~Nf`s1V3-0zx0}FE7@f|*_g(896Y6F`6!4?sg=1p0<+vD%XW%(mUkEstyL!BzzvxNy! z4EGovdkwL_!>NIvDDs3cf|ikc>XBv7kcoR&uh=&Y7VeeOw|0*=DYu*srpgs01Yl}z z@-@Qi@2j01nP8uZc`J)wt9>bhc8v?(@kuQ9%S*%+_ihPa>>O9|AU1!|22o4T1!2=F z*B%x>93Jpy7m6)se4RSrJ-rk4-M2&H#c=&v&TReCiwDo)XV#DL?~*RuGu| zFw)u(m<`bsi)|J0ffQVzPwb7yo5XTtjH-s%i?!Wtv^ncx6OVBRj>a-)hCZ!@-5vZOsftV&htQkn)*t zx!JurzYPCKr{dGFc!BYJEi%H%;gDxgG((Q9nQ7!`RAt0D;gsh@gZR2zgm=L!y?6F2 zIrSMZ=(s_q?1z-^rWE81&TFy}n!Dlb|_(Sr050&Gm&zo2P2jbCz0w1ZjE ztZAQJ=qbt{vY9)37p{5qle>SeGYV-Mpe?pFZ(8d63J#=$%R#$FH*Zt1cBb7#R3q~v zPGC)EP0QxMyNHGi8ub+@YNlTA;HseWX6Od4aKT?@r|cUp7CZ9f zzQF2E1Ssv|;Gwld;`q%ItjZE>>-CL05WL&5#;v5s!wASUaFHlqM%x!+Q!3XyyE_0; zOMR|F0fBvr%*K4d7+mJh;0=7AyLz!AR<%_?&@eptIG`PyV4d_C&zWIa8Jy?9%_+~m zi!!^F>xGqr^D-Yhcr}~3>{daSDYfG`&TPp#2U{HArNxDrAY7 zAC2i62}okMd&+OoWd{GzFBpuo;NZ*ZC!X7(-oYg(^h%4OI{BIV5i$W_#if#fZy*CR z{Tqym3}FE{Q3UoVOrbBIG+jU2URLV?I(wA&oM}B!bK_%2+dFjsoYiGB0XXh0lP?og z>6X0@O+qPI^Jct0Wb5!H*Z=C-*Aauoc=CZ)&bEuDw*Wcv67z9$e2|mZwU2?zbQ_+y zqv#F^v&{oYAo;-*v5uzVDC=h-<_xF$kcsbt=-QzS&6~%aUTViw?jlpjaRx5#Q}lNn zBU+~~Hb2M$(_>u;alzzeZ%?qQfoq;G?*NsJSCqU_YIeF7@i2f*LI7yE7gb z;3ahRw9$5y8O-H(m0xvxu)V);ox0oRRmY87ae0g0E?Pr8B4pue;*ltDzufv%_3WQI zmG?&52~fZLY47jyw?8eX|K@MM`P=_Q{`Omw`^{c{C-6Ig-wFIq;CBMQ6Zl_~z(3C4 zej%Gb@XY_~$7G`aWBTl`{g_Pjf9kvcfBl$D^uNrvf2AMueMRkO)8$|LF&TdKW&UUI zw_iv8<1+r~{OupmkliyW?>NY}910_}SyxS#mP5@WR*B{9pi- z;1)(s#;4&S8bk{CLwR(ojXC*)TG%T4rT&xFfEe&SapYvB9z?>S`MZ zR#Egu*_|VI6F|h%C0J+KTjY2XmUlK}+6zxu)nAG2zVWhmc$WKM4&wg4SLfwWtZwjm zROv{6wCK@+y%_`9yT1{-c*NL7Q`0PrvM0JHRIP3_6n4P7_siS;Cy%5oHJ=^Cqa8qz zBc$9i6A3E^ME$aa2*nCo?~?skos*)BbNeh;d2v|g#0~9>m2<<}i_NRxp^^HOG|Ai< zWWepY*z)p{*r9Bx1m!3=J%lXT$U2)k{8?`g1uFpmZ3k%5`(q7-Na&xEoTQDQqaCzP z?YktGo#EWn+%_NK6)9ESO@%bu&$x! z2l6w_O#)!eY#D6w!bLBKt6+o>=ZFK{6HyT}M*B}iu6`l3|L0&``LDsc9{D%0aP#wh zZ0LSnp`V6a$-5zEb)A74==9!-DQuDS$q7VN$|7a^JQk$J)Irn_v*d)N zVHHvj20jJJs)lvlf|eri^_;1P2Md zAxp+~ly61XAFB>T$@I#$pssWO}R)Obh(s#N8NraEHPO`*9m(ASVI!4CaCF z)NR|2VWFE0=kC}}!kQ#J^3zN15Dsk$7>Q2mX_orx5~6fg18qRT(cT|HVo@1M2%%2ZrckE8K+B-85jRll~rp3 zUgs2{4_TT8H2H-g8>Ws0u!lg^QqVK4(Ap40#xw1p?24%?x7GBhaQ7g9BS%h67S=2* zRMTxNr`UoD6td}bwzaFBI66qWzvCpFISh#fUVmmPZZJvVkw9<`)AZJA6|P#<%N0Ye!; zBhKB0%>xT{`nfX>g9M|c3HRRftoPm$7c9`@vvn^M+=Ybs7hN4?~VcAZQr zl%tszFlz9$NMobX=mJCxcdc0mmSwHlGg{q`z@powdj&GZ$xN^ci*sLk+>AMQ%5D$J zv&Nw*(g&fd7pA8c9?>GM8m<(sHMT+dx;OVm#5F^`_>Fq`j9V0=^|K6bY>2>+=r2DK zBQ93IlpXruK#f=Fk)R~)xzW%$+kz7EumLrh#30niNJDIA0B{n=Oo8w%!!@R#(E*q~ z;Vc4I%|BZBo}2+=E`&3jN(WFh0wGy>f_0f5bjCi-$6N@Zaf4cS6ojb<`PjimPGWj= z;t%~Mi^vOEgLPSDEEg&iyD1}+FHjN6liuRh0fXGd3orf%El>o$LYQqxSDeeW`wRKS_K{jBslW=Om4uLM6q!9;Q%-o%FukxS z!>V)RzzzM56MaJbl{m^TNnP+vBZYs)Y@yII) z31mi~4`xmCM$JzxD?O-$*re{|6eZ3wj~}Ut8WLNMRBWP*L-KAeKG$fs*7lHfr_;kW zuz2$fcq0$rcqTnPB^$x{NAI}Y7@f$QCBts9edx^E zLmwZxQ^QXo>*T}0KZ`a7Gd8~{2{XU6gJ4rr5HBo){~(=n`C-N`1WEHc{!4)n@wt*m z4Ij)8o<^Z1Jgib`@#2}%G85~`ugi>iAEn}nvCJ~n)C$u*lkWx zGor(ScLRpC!r1u+3j6~V-4@o$9sIc>P;-@^W;Iar9y%sm2fS%saP(A==4Q5Om19i< zmE*{53GP7+c?s*T#1K;rg^aUOOZk|Avt@XchT5s9(@9Q0x$Ho8QUkf0f>fE@#H|FN zuKjSeDX^+1;-ep3?pSSkJ}hdl;gpm_@qD`dr>|+cj+wfjBolR|22|oQ$qdL{1xBl> zf*m-ocY0-EEsG%-FHT^}Si4@)tLqmCdIVw&` z_tkd5Q?w**!D91atLr>`F8XH11Tt!*=PM0DdERNKxz`ddrXK^i^_S6#{io^MONBMj z9*2Fdr-RE|d&&lcp70(|gNG6dXb{my-QZbz4`198E5XnmXQw&ZL@gbX2A%oCZyqL% z%Q^`V>ojHpnG5Q3o;kD|YC1<1h$>S`m#!oXXc8dZ!|n>o#9jH$1U(tF4?hL)@hL?66l z(~nhVrx?0qU?kyVQzda?veZ@i*yGV~ROFzTo*;MhhE1;HAtb)G+4>PGKE{`b|I8lTOP99G2d6sg~mY3-?#^VPM)9J3%U}Dnde9VYyZGT8G zWR}K064jaBzMmf0sdRtRx}l#Ez^%bnA@RioSQj)C?!llq^?q<%YL|7*U5`zKwIu3HRJ`^;hchS0{|& zm*(}aFe!##j?$l))GyNYpTea6^aR{Lok{&ePS~$&{cAq|^;C-KCzbkRY46We>emhW zmNG$^8WD7SvHhU6uIRHP{BQm7{*n zNhYqy(~9Y&WB#O)Gvi>w51n$!N~_Xp^K^y!L!Rh&Bg}O9-3Xh}vK#0wej3{s^rV{; zVa2bnnk`0uVws+KxUo@U{FwLYeXlM3+ZZd8y?zmj1#YUhQ^h9$6%fYXT z4rNjV;4Jm|sNap@^;WT2%SY;%D{?G6N-VB8->{^#mG^5WXPp<4TlFIm8Wo zPb{&?tT;=Y*>*uGD}g}j&>yv84r{)d7iL#qATsU1urTe7K|6a>UNNZKb_iE^_(`TD zBAX{Tleq&94*{#|-pQ1m;+g%8tt0Ov@{cd$&4W!h_!l%N=_;8`FIT3Py2v{eK^l%K zhhL9^j`Edw5;%tE7mwxM_u6LcKipeY*?=bTxf#)^ZHeJuIn=HY^AJN^CmILiF2rKqUDj~!E;;zC1& z(!85=)psw9uY!g!{S@~0A4e#L{hAfVol)04h&>$+9Zx;+WJYUWbx?666-i0#byFECflIUBu|l z(}l-A>=N5x<`gROqyR%TrwWL3JRhnX#n{>3Fh2D60X6MhkT@ZKz6i-Rj<8+E`@jflxZ&4DeKQEl zHL%&ptm~uzqT8X8R*Zdzi!E;Ay}pX`{2CIGz8}AfRx@jilFWZzlvVzAz*KI`2&Rip zGSl;Ie>gvhX||@*9wUp%*AnxQ36#&|>DJ(-Ioq(5lMVUi%Fe0iO_2Lwv_QBlILB2E z6su}syy)I6;|gaSF#9MPCfbo&8np!GrjKA`0^}i6m)Zyh)Q~?#I)j0YA9dL`SoC6; zJNeA$@#rJ?ryD>Cr$yafkYt_0ya$iPPR0c{C$_{NPeHXF&3y*N_Awu->S1jRAEJ&o zLJ@U)tJH?I(M6Q8@WQe7^HCII7Akz~^(CD_A|{(qR7q!OS6Re#v8i$JzHIfjRpV`c z1}y=$3#;BWVN=d7)E+jmArUn$N3CHsa=L3MHQ6jA^=k90<`}_x2lott*Z3h ztB5&Ui;=(1GK>_M>?aB&Wug3vVMm&wyQ@OALQjsGt09v-l%)%XEtQ=Mh8;RePvDA=s<~gt40@{TIB(0_Epk`I<2u50wvWbV^*v7} zWF|GmK|lXv_YXAyg7D`r41I5*%I8GrzP4hN3%;94wD}yaE9qZ~5bLdKZ}}+BOZ^*( zK!6p_YOZbiVGADjg+waG>o41ut|^?Bx6yna#ck|9Ak{3n+*pKdGz%hWVBx%Wmcsrh zX$v|vr&RBGh8Qe2nTgfL!X1$zt)(WymmYzQV)^7~*w8!w9Zg(vxITnZI=N@SLz;>y z{O&>ptSW6+fTuzrGhk9%`nPr zU-=G*?qo5s+mq-p2}m1a(Sf?KhXh6qi2BLU!;nK|UrWM?fBJY!DjbGP`XgE>HgN#H zAG(Yo1#ACN65R}8t{etGkzax)J=a$`;#T8b~8^pt`yY$PStKLzQ%{qOP7^| z52ujmV3()y-``(6#K2v~&iMqP_zI$T)1jVjA&x53RUYW`MYz(PF&Ja{q{enM2+xgC zBgeE2rD}dJW^=OBE=?}XI-0HAf+XnfdokC9YqCjh^KI&B=_9B@q=F8YX{e^sQ3J2# z4IQIi?k0mY?#Ty9LYReCx4W_xWF1pG@JsL-DxYk8shci=%fux~Fy$0kgi;eqbb3q# zoi*OPd-j-X>NjhY?A5jubI67*SG}kb1#Yp1dr3G(I+PLpb%>FqSY9fHd{f0k0wvF@ z>XQ9#V6WKKv(>2>_hJpfwZ%TAVFec^#Ot~b9U&o2JdKEaUE6~*P7pkP;{OP*%ns5- zGKzoOg%@n=kM*PCGlZSNHtKqBKP|}nFWvp0D-pZeP`GK`ye;=LoCHt4>X(EZBWcwc zfEHlvk6b59s(?dvIcxwy73F2oooCOntYz$Fgs(2)*fv~AaOSZ3rvMXyjG6FaeMx$-x3a)eYB`q{&sn zVk>ARCXPldQ$ZD$l3?&a+tnW#KX)+-b@iGn+G7IpCgO~_orfOgV%7lPULjIL$JOre zcvITTt8mTEumes?1~w)KFXW<(f9L|0w8oWoqP)blO2=;EnoBp<5$j0UESaUV+yqbE zFu?1C-?>|`d96kv%yaZXfN7+BX>cUNBSmw(EvW=mW(V!fTzc#MrzU^cN&0F!5z4=t zFol9c*6yX~N77}!o@3^-$mCsK=LukwKP1UZfE3t)V(_YWlhPySxJDfj*0DOm!W zJd~JL71~g}DH#D)h~XBl8+K)mzkggqP2yG6>d?HqUdJR)<{jn`>Ff>6_8Uw*OMjM z?%=)O4(+ntS|?9p#5kw57l-pDu?27#h)^0Q%>wSSJp&k#+*p^i zg@3J>pnouk#pHGNbs5$Z_B>j5g6pWbMVTVAB+fbp-l8&#D7`OtamAgEG`;ZZ>Z1jp zvCH|Am@5KV(e{!vOddMzDAU#ZNLtrW4l;pto4i(Q44co&c6E^;%~aeFmsHKn5K~)* z2)ll=u^l3mH*0(6=y)Q)B3<8oYgX)AaE@^bK{UL-Z%{DVYo>A$n@&iKatgIED*8gp z`?ERd@G2$RtzA(%YoyUUp&f0{TSEY9PcK@+WGyw&k~Tm! zdX?j5zjrNma&pWv0s~pkj)krRA+&!-d1m)y>(p4Wfgf?}9CXuPHbpF2)N5(HQPO^7 ze$1B8MCQxvd-;CZm%@PC0?X;STcImMbBcdj>3u$u`ILS+cDn`D*_ENbwhp8}sry=4 zV`|;EDHQPrMF%iohxYC7aklP{H1ICD;(`zIr2>+rYew=$xpMU}SRkN9({@Z(@?J8!eKct% z%boKaYwBT1MO32qvzxnz*AQz`LCHwyOG7wX1zA& zveYSd=2~sr3(kA*au;{iupZ|H!BfQ!uSmfV{B4OO@{m-CvFPZI+D4O?{-&1Z29TGw7Vv7 zUzN)Mf7)Gyzw9oF@a743F3%4$BZQU{=GgwhZmT4+b$CyWF&UbMAJ5 zojX-U>a?4}B=bswC+icEq85KbG!~!f#p4NaI)J)g5X~0UUC`4Auhj8K(AciEN_sU0 zuP%MpiLOOT-h#;k5!g%0vgQl))70BKdK8=K0)porD5EcA$dU6HM=@9!l3P8o_V)Lpx6}b z12Ksu5o~e9NXeACS|3D}hS_Q>T?sscG>w9VM#H6kD^aka?O*$6@Et;mi`(Y+BbQuq z3WWMBX1cna+vQBSK~g?M!)XB+CmXe3K310da*J(ZcD!Q0p4Ou!iwj|#|`ogr>b|1oi8D3^Qwv4u_Kljfn z%f4PfiN{GVU{q0{&j2lDY*(^?aD|{kR*KkSBA+Bup;Ir2lh$)=(HdCG&`Ke#Rlyb} zi(mPssx3>x7rZkxxOWc;SE$;8^fo+u;g9_@aQvydg*uVD82o)R94km7fIPf>AykLB zPm0@}An|ce@q`5HsQBJTX;b0kuY@{6Ve!`)xIkH|4~81pL@KhyF#d|EvEvTsbk^Mb zIpaL}EYpkf^$_9>%^9H5SP;}VkdY8k_F#tRmgzR0FcBN6FXfZQ0S?X5 z+*Pu5+7a!p0dSfLX&m3&sVz9B9INPjUXu(t4fx@SeGV($L-!cv}LS`zl@&1WdZ3rAk&f7x6J!;!sC_FmqrgRq__Fw@9jvl}Jj$ai#oVU- zH@_VaR=Et3qOW#DD2p0l8hK4b0|2^!C?77lO*ANtA#)RkkD;aNcMX9Q6XEHpbvXR= zPl0ek&evP=^{b)*XoO2(=Rm|lq!XE~{1U+Uuw6JV-4#FGdj!awQQu`Ix!ySs=;}wy zsB5XpIlSjp&-E!!_3m!?Fe)rzUMRuTLR_I9T^RzZ*3J3lv1jxe+T$OgUZu&B-S5?p z8c^p}3QU^XQ>JxQ1jTF9nzj2lUW5kqBW6jkc@eV_)edjxZw6D_YVL~Cdo9`A@wrWP zeQ_0Fn2`%}1>DkDuV{Aqs1qPp3u-@RKA+bB)vAfFh{6*nK=lwxK z0<#B%h-e`g7Bq0QowfR#tBI8wrAuV)A)QVdA?IO{%&~~)*2v~kS7l3{Mv+fCACSxk zS=mYQB|aD%`swu2SM|~hIWJ>^xf(+0CUc4^Q(gDR3qpY$P5@9}FkcEZjdAv5euZ%) z9Mz#!X^oGc*w?UUr3b3LRU^du_H`sNH)BhAy9!q2htaF$@TPZOI0ea`F_pT|UALev z*L%m#Za8K{RpSAu%aXw=kK7kplLy8whit%V3EknqZ+IaNdWn*a)}Q;P<*V-R7+TyG zjK70@1Pr}X#O~^l*uMf1+QhyC#a8m`HFoXoMHnzAc1an^4$R1)6MBfhQea7>JT7?{xA#gy(<|8a~_Pnc=Dyj(Sm7|!vSCLMzYtNvj-FtK& zzCtCIYG)=f14cMy^vP3kmlmAmBz&=bc6>^c+?mRU5dO-3gqhH{H!gR1P|Q*MIU;Yu zx{Wv6At;dj)Ew^QL~3Q2Q5IPji?j=PzlfGwLyG?`{P@X*N(hY;E8yLd->Ga^R&|^; z7rb4u$XLRnrGuM8rBIFrwcyx78HZ(7r(9VYTj0@G60`h;zVNmz%wszEl#!AKR5wBa zI3dkXfocm>;xv>7L)b60OqUrbU%gB&qR_E<13bjkCS z9XXtzC<(_wz}|TbLmQ44`W}&kN-yDdgN`C0cT<5NIPgBu?)+DK+?OGE@nA`|`wJ@a zmTs%cO+-IB%p(&HQP$Hn@s(W?A-W8CQ$wu z2e)=)gHn(}dC1u@%TZ(_Qsb@6V@+;%^gMf*K5pWEcTdJBbY!_{zd@OdlSy#j6_bp| zrjYkJy6mWTK`~+}U@M}X1X|3d6WnU|TTC-4eDy&ZAs#||bLB-r$K#ruCEca33^iDr zgu}R3wASa#aSAWBBOF9;ocB$KxZL#{&nAQ=)=yvV?|V^Bz#p1SU-2HDAe$jHUWBvh z3PC@IiJ6C7qz5q`dI{!Yi{)hv`fq@l*-=7&!Lk&eRdzc3d47rA0>cpxwu7foq%bdI z7;o>`Xn9Ea79sg7-i9=-thH$!YE+G5BP@fe@YmA=tnhIy)p(oB{>PP+RbU8iMT`GO z>Kd&+i#ny96NUb;5!$Yz2w731e%3@XVbH7*%qQGxP0aCdQvZZoKl47})`k7BGrHbC z;1*|*YJwp%PV??^kGUJE5t$>ijB=)Xv1G?6ulG&!)9Z6|bT->fDTl7Pkh5XY(svh_ z1ogdBqMvC@YmxFtRh}Z}svV`~iJaSl?F&<6+E}{s z9PM-Pau&60_*<_#qZ5@tRXQi4l%aHRS5Xc3Jpd?x$0VqlU8xq;Am7Ko!2OjV6RO3X z@{rBIwjxyIqDFV&3rqHn^j?aC3b4)Liy`uG>s!$)ZhVz4&E}Tp;W=aLy1HGD3TwG* zvnJioeD5}KI96el-sC$Yj%X*YC{2Nyq|=7^&Y$Ia{~ZtvK*i{V_}}APOn(_k|M3ay z59j*Bx&9||u0MIP|7-5-{Wm?4|MFq}`5A%#^3wmCcKoBj9|is>@JE3^3jFgG_|J2$ z-*Ds~m+61wT)(g0|IWD>+5UDt|98&yFOe`MH(Mh*IXx32It3#K8z*}MBZt4p%kO;H zzvji5e&?C~+VC5r{T~5df8%!l?ZE3l6&(Bh-2RsjK2m1Z7IZ>J4vrcO|01LUovNBT zJ}VPG0|y(vwUec#*1w>_-*u04Uv2CSjqEl4LWuNQpNbkdYA~`g(0jt-rI#-+KS!PyZ8|6q)J&I<>!hEB_bT_SZkjKi2ghXVyMu`=?LtQ$Z#Xr?_?(y?1Ha~HdsMumM&ve|<1!Zopwq=^C;@ZsT)lC_)O`De4#@XobdB;Z8AMfuEWV^NTvxn&FUTaz0 zsTmnEq#|Z4&AevHk)7XCb<0auTI{PD%x|6wzTwG=C~KXHNE^Qz=B0V^xamaD)lD0P zC{sIXMR}q^-Ag_QC+5d!ACnF=m=@3`&E3o?P@wT9eQ-VDA$5@ema&Gw-1*2$%Q3W! zuo8lkHjswvY9Maw+iSO!(Nwr0Y8_K)rP#b)?jJh@N>E+e7rq5O><_6{o{pf~pi{A2 zHW_p6eoyb07XNvH&lM)OoYe2h$>A$hFOT?<6FRcgV5PjTzshPpo2i*i99p;$*kV3@ z?tW*JlP2&0=8o%}eA4ld`wUSYqudX_v6y&`LHpI7XauOEfPN6FTwJ9~FggAsKQSX} zvG(oIx?ZvP&}4c(OzfKLnR-rqE^&~$K0j6(_`Eze$QUN{dR1o*DxXD0MK#gJ%V>@~ zu>`BW*km=wSYzm$8Lt1JLc7Jha|7oS?q_UGz20hHu(wuKX@d9mWs-~tSjoIN#o9b| zBxy8gw5ZH0e#EEdXQgOq_fF~DfX@f&fpQLH!4FtY3u=nGHC&sDc(%FN5g7-LlwHD5 zC&o|Y_MWawSXOGF_*ef||LtiR_=XK<#PfzH-otq}1ft6R4_rOh2kk4jH zu3(T_7scPEQUH8OR9S1SezDmU6K;q*eWWR5Gv;in=|%>siUgGgQmGEW%T>xuQNsvJ z(Za}r&MmKuj1R_hLxk|vgJMnJ`g7E3hnFkQgqfE4bociI7LRP}@$blcHiR zI>xhj^Y2N2LD5zLj2GBO8(5^u7?*Dm?T3hRZ37{#`C(mwlB@}Hwr{RyzOJi=I$KZr zL0_hBAyi(V1a0nda;WzOsuP7Uhl8jtlBF`48I$H%f~~@-NGH68r=3~8+5o0xsbD8A zyt3;vx%RVuZke6>37^NISx*xtl9o6p?g3bW-I1|*Yzk{#F{q@txt=7>C!r^0Pg72u zvXtnBq&ngFbO`Qh^AV6;c5?Cx`mf~LjE8p!SW+2Kg$7%&Sa>WwN5-!g{Q!Pt@T*GK zZ#@Tye4?C3KGg-8g@@|sCQ*LW+_vNsK<&M@xl{7H%bYsRK!AXg5C#2;SUKH3MXp@$ z`#0kjSa^VF-AJ+%&)!9lYym_hm|sBvad_$@gL?;%h5OPVaH&yPF|)h!K}x>$4Pdb3 zrPAQ16TnYU)u@_W2b5Wsg|SKl{KWF^+G(m0CbkG4Y_{8igau&G#cr0Bj*lzL7<;nj z_GT%n%ct0|-353qh6n{;!XQ*GIn`rC^t*fWc?D6T;Z0b(#UL&REnK-H*PEBiyKBct z#E5lbwCBflgU!l=gOx+ziKND9CHiobLl>_y0?~3vE6_MLH;1ACSYlw;4if3m&rPjG z;>(gJMQ~z{um>2ZIN|QBPg1?9Do|C8$i%^j)$UWw)LvbM(Mn8ygk_ay04?7>g(&G3L5lWDy+L?*)Av;Z!Hhzh z*sB1&*rutmJ7AJDf%|#q2Du$5OFC}R*BFj=Nv>lmrt30%c=Q&DHTw1TW>~ftQ=3l# zNE(!5sG9(ZEmeV2ZL@zj`QYaDY+g1wdQ_F0&_0#$K0lzRVQu;)!W0OlmbFJHEwS$I zCkUqYC_pj^<-|rt=X??RSMW>gNMWgTqcpj!m$TOhFjF%Ctv2r)sP#dULpnM$;SP)! zW~>{E%?)*VT>Awnh#Au#CCL;M1Ie;$ME7^=vy1Txv+PsMi06xt@I~FhSR%G^=3ZiC zq6tz_+-J3%f487kS~<-YYCr-@^p2ZzfDVD@Jwc3xy1}8q4P^3ewa)P>Xh#`hZixefA0p zt55|%mLcG&Ha{D}?=Af4ph7YUpv>()@txHhYc(5=KN?f|C72Y0XruVh(r|*P%-ya0 zA^Ox|W>9v_2@yzaP})5uZ@(m9)-rl14`?UWs2F9dok+Y4txmL%e1#l*HsHK5?_=a^m0k*O2MI3WBHtrC8l)%+|yo& z>}(d|%Nlp1-J4tSSY+ym0Md~e-{hfWI}cpKyAh>6ExLnKdl10XeNpe8#=KX0Y}?{b zXN{8d`$bxw=<1xiB?j4s%!t}@#sdzM$R$u?mZvYbD4kM0+-S&t>A4%;>X*q5Sa1&3 zEt9kT5?XYNv$!avHs!vcuR}zux8%{oqCd=Zy^E%y>(wu?pXrNEiQFr9GyZ6bdUB zlz7!tmv2&(9UsyDzA1Z@=DdB3!eDL{lp~M~&g)16ZAP7Afs;)gq?V@8E9RQ>}|y zW!9w*HSTj$s)`sPe6hmsMeE;Mmd1HY@1+}`<-QHikyaZpYvpNo2|4aKl)i{j(8oJq5iZQd-;|j&$Wy}=4G%a1U zNEdpGzaO`(B81uUD+C>COg_|V*~6{nXpD2-yS1#yCNB$ZXBC-moZm1AS&jimWYQ)f z@N`cl(kGu=);8syqStp64d==T_)e_&C)S9FNl0B`bp2g{^z{v@KduK`@__SXtJE?f0FM__SxhpZ|1Ry~5_5l|l*mNtTc z2ghmeFAXlcgy#1-R#&V<9yPOZV{!e?YU|0YE~OGx zb9m9Jlg0aTN<%0Sgb0;KLAv7HdD%J-w+sV`uNU&)z02 z2jrZ3WYUfB2UkYjSDG+kd68*>rjNNy5)}_oqkxBc<8XrV*30ns{wl5o3tj^?Pc2K% zp~!wd;r2B2_$Qg4C9bl79|s4y^=5|6fIy%Sd+xqLc!0WQdyFIvL8*ZQV5O+pSG{rb=jQm?`i#T_hf?|P<8d$- zf(&9O?EZ1(<@XXBQ0$!GEqFE(2<|^9n0)}BhBoAqyid<~*5z(^HG#Zm^c6P6ye*7a zaFNRqPDcXyazt$-C%$*owph2cDgXE!Xg%0c3LmsiJae@7MLTPTMwxnpT;li$P0!!b zN;W#7bGlw{!E0ZmL)5T#C~OW`xI_DL527sIBk){HC2gq$tOB+OE53R2*!Bxue-?R< z?FLQrNXMLKUGHVLj1*Xhgk8VWs%Nn7Q@mfdlhqZl8s4Pj2+cuw+(H|bIQUK5K^Ur5 zqI7Dmq<7|oveT(ecKNeoA~YIgs6-fMtHMMmcQ&%!_%E3{y}G3^@B+@7j{SkBfTW~1 z2XSy43LOv0!}Ww3lB#Q#=-B*ny*vUH$zuhrIBr76PLvB1f%p`aoiygt$thootoC`! z2imt0M@!eaXc&fB%F?Yx6CnV}+Tre4IIEL|rli);c)W)VE*GN7^cFSFx{vbfQ0JhI zUpbH(saM_tL?1z(a~8LiTRFMgq%0q-%L@s1{7n33hmXqU$Uoz?7b z+qHwAHn!6@8D2LSj1`Jg>QtxaswkN-2JG+Ji|o%00Vl_`*wj(H0#`DWPV0LcF7xVw zn`i4P;W*tJeD=V`FfTtf{lSXhP^R%r`LofvmEgZwIJ63!9#eg>=0Dut&UNpLd(;KK zbX9v`gIHqYA@+lI%yBU7+LVIBb}hd}fzdIy^^x6*Y#`9$8bi17@}Rh1s#NcDiLmU& zz5mRVektW^JC`T<>ca5;n1;0r&mfpnSc z9^zu*?WJ_7fw?*aJFyD|UYO_x6a{ttlvp9tXp1Wo_I z*FOsUQQ(gPe-!wmz#j$vuTkKC1Hk_+Kl(QS|NH9wZvdW&^>2^+8-Hj1mniAK&)=DU z=Su&Tzcc?%LjLbzcMf_wX(K~3y{|T|zk&2$1p2d0mYtdL-=OE;hyDlR{cq>)Y|ON5 ztoZC~w4cq9`0N~i{lLNgIVq3f-!9>A6Ds~0^!pR$Gc*6L&;7Ub`}ZmO|E1q7tZaX$ z-)&qLpK}BoHt(q(V>S6v4tao4KrM%(>KpVI6Vg$-zYZD46NWP%lOAuJcXl2!2*R1E z|IBQHK(HHf%iy?7Mi{(d8XA zsxY0-v8cw{e&=#%dCSf5ueg@eU3~7(j#3lWZ@N&1n-&l`2P_TT30!B}pc6#^!In zUwyb=SCmkDE7JSXVR_GeY&qRWpKqFwtzrVq4fS+K)8~~GLJ^?UI}<$a+^#OSss*si zOf{7H<4A3-j<{-cn75gjn$uu~FZNOGz#d+0!RSnTG)2*&C;Cg4n!`;7ZLl}x3=&B< z`=S4|q*5+d5PPPiMBmEH5m3~ejcBZU?F=*xV!Lf{exXI{lwGr^dA>V{-rVqhRi|c^ zELQdS1w;5c$3nn>Dp(MJ z(;9J;9lCR7Uf?!qgB;6)-x;eHYI~c0v)xw^v;y%B2}6CDUTkG#@#{7kEW+4SE&y(a zZzv3lCi10T=pq960DGIsE^y_;N)Z8LUOXYJ9!ti8Y*pleZyY-Ugeh)BfKxp`@xlyI zp2hMMfUTCKFGy@PArDZXE-yS*6Lw76e!lO+r7<6@7>xyZ2^`=>f+CjCDl}n%2)Xik zK&T)FNI=B^Fmrs%w=i4aCXk5~@EE?Yv^xvaszg0NNWfq+MntnsO$mcy<~iwkUKw4! z{_Cy)$TXH!yH|p|mJqo^t*nvPU*I~PR|vdGd=6~|&q-pD2GRz@Iw`-T?sSIOg&#Ra zF<3+FLQO}oCC#`*M>Yl8eMcV<(Da`Uu>(~(qnAM%KGhOn&X^5~%^3O~slxrrFG%H? z0nxVN+N2^41I-x94@!br8KMk2W@U?u0|yNwmL(XKIM!6v4NXKD%h+|DH6;Usp=;w$ zS7@+YrIHrGNtRCX3J(Sf5inve^T8IVSdtOQRIg~s zVur_<3cDJYmsSpDDI{+w`$mKrxIr#z!5uxYOjQsx(^c;KAd0FV-wtFxgSk2aI5V=S zYcBOP32!sKl*3aMUM`#6*+Z0}M1gPYrc~;Kua*+Q#GBLaOfu;vdCKQMAHP3XMxisf z*x$;>>sR9~nEkZwq5S~4`TdxdV3uSH459yU?=I^dhrvXTR=GeJ8Fy<-FRx11MA$V;iC4PQv`UWi`BNZG9< zh)0ke>QP1iQId9!`bX*(BRCg^rm@}lZ|ivg2K!gx07t;S#4ORc9sD^3DuP|x*aD;| zc!L&z>_+JXuRvP?`!FWIRuGbW?!E*f358Az!AUK@&wN|`KFLpvV@q+x`hCvNuBnp0 zOt`snEKJ0^456%^F&XF$T7mJlMq05iNRjE@cjn?-mZCLc>`0KXqOzE}l zqRN1PdeKGHBgnpT5_aKeEdwwr?DXnZz)*nQIYo8&9|cyyiyW7cK~Z))qmhQqXWwLN zA-4tNN8v@SY$E|5-A|zH9d8O56%5_{Tw{H{9Jp=uMYIzR>Qtt-_W><`>ng&a0lc73 z5yQCZ4Og3v$5G}prJY82;3^0NXqC@Wy3*lX21#H|j7nNw&P(Xh^F<&~1rSCu^+mz6 zrKD4WCgfg|a)IiNTB49?=g`LiaKk1Bu&)z=PC%33`?>+h3qqGGninBtF8=ysNC?ps z?rHMpR-bqL?DRC$ zKx(amf-kjUlIT9IoGs-PZ0SZVG>;`%vQdk$Z%)8k=**lrI<#AGkLHowD+1JG2>RJ| zBv^o4rBlpSwfNUehzA4kiPna^@ZSJDsQ`d;nh3PK0-_ylKwl_ULK|WXGErj}t00!+ zenh-$+4x={9I!&&QifeqK;N^h7;AOxMMvidAi)-I0fCw0f=A0k!g@gxfctOcQkgEBi#^p48>q zOvlZGt>i3+F|I9hb16*x6s!jC7(XKG^Er(7d<&3R07#ER59smh38E5!B;|!CB2~_` zF87}G*8}uBABu}NV6opD}hw!xyz)n?V`)cz7wAY=aa@5ph zPqQQ$L1{yb-R=;u;_N?_I~ZX?>j}Q@m5HoB6@@?~RVp){13Xtv1oA;gvdNID!s0{U zJeIB;XsqWo%iME}+@JIT7 zm*P(Y>>7SPkgXDCA|LiN)Z+6uYA&QiVr^^`>9IcTfjJU8;hqfKWs+o9q=%$^riDa5 zxcmK!1f9m|%MedWvhnfH*=J*62d0i&`S)K!YjlS-E0WTkZg>XNLmAqe_`L#7s*-Nt z22bP0u7Ywq!ekJH$nfD0v|+L>vmJsj3=zV#(_#H;IEt6HMa?1!qGK=&4V(QiMzOE_ z^!X#cO(i2VBFpt7;?4}tX&VHo40~c9-o#*4?P5oWqe}T!9@GKv`%S~+&<}bJ|9$pFeg0 z1;%VoHE0orjh@;f^;#Q&FO&%E4xRRgGt-E`?xWtK&qq;`U`yP^wn=mc7!1kSJ1i1A0XSwn)4wFE7MeAmgP%#*;ib*QXo`{Zso=G`wfGOPzdR#3{&FxkBAnGfMz`bE3vOi;#gxqECK8cZ<0(*b2Ix*P?FDBvWP$z za2eUe3v`oif&CbXLJKIE^x^q!7|4fER@hU1nRTwgPc&#`5dd|2n;{4kF_+Xwp~P9C zDgX@yxE&6L@5BfT>rbE3a4@DtL>~hOX;f_r!Z8`gt$!m^lZdpbAItty(Ks9XgbV$h05M@5%D@dg+}4+k^7+EIe`{pzfj`aI9fd1)2H2I8gK9vD}f8I zRv@Lmd25^Fb`^i;gjuec%NWOpa!3IJKJf^p<*rJN@KDm3#2EtiZdjT2Oy zj2xaqHJrtS^U+GvjeD(jt$ZpRw!+HEa{%(UVlGyO(ePVS;!F(F?LJ3SLm_^z;5-~G z&lA6VTt3ZF&CAE&6I>73_Iw7J<#Lxjg7*BP`zWlVOxxm(X=L}qm<8q%m!IUqD{HsC zD22<{bWhMD9*$v_k5_`GyfG*Gr}w!Q=@MU_j-gjBh{4 zW@@?{%u@A%EB0VOc&kJ09k*_f;yl32@!rw@DI)eFPpumpAt* zQPq8w3hX2XH!7#woe~FTL|W&+VOLavaBFnCL?Z5y~5CSK|Tx8ON#$Q$!!`Z znX^Quj&=4qCCFaHyrpE7$ISSw*=9QQ!iUN!E}w0|rjQ4ez?cy2_Pe*y7lxlcv~+Y! zg>(L`#l!&H9f&xlB{|oj)d(s3*V{|4BwtJhZfMP8FwTyTss!8Ysrsl?2nT4N)|slB z-381vt3&&^hbx(I^G|s3=r_M%fhh_3f{zbbZv}yUM}Y+K>`+>7Ut^YQDKkkm;+{m<*-;zJ<795BimEI&ug!x}{}PPjQbX7xgzWHZQXVwvTc6pM ze&}nR-88`fJ7Kh)`gZYd9axz2B@D6PplIl++v2KCOKBE~CB;q`33c72G z_%3n}Nu<78DIoC`C98Qs*kb0{=JfQVP%S&xG{cB?COO@8nwBeKpzNKrUc{&`q(sWS z(oH$cShH-8+==Vh?(V*=u09e;I6R0H{OdoA>}Nn+D9_1*gNA#%TC^~t6T_@XYRp#- z6^DYi${>xGCy&0b2~l;o6Ee?l)>MLJm*!W^EMdeo$0*Kg(5vjjv4PUBj2>Y{o``oC ze6u{I8XiE*s7n+@hjb{C+St(Pj2Dl@W;hOl#!bYJ7l&B|MWbz;XynuYacLm$Q8bie zv-&w9Bt;Cv-86-M(^V{_a_;%|%9{V+S+9X4ss%K*pID;m-kPz?Gs4~~fJrv)yuKHc z9t2qZQzf3q;YmZ29@6tDM^v0pRZNjGPIZPgFK>%Sy}5f>{OdQ#gfdu{2x3TdEa$29 z@Z@3MU@rSMLyAeo!Au<2_Td!TQu^q0VBJY};@Hy2e%`|5^cCD2PgqdrBw(&ct4I7x z)|R-EvJw-CJ|ABi+~x@U25CV6`5udQ`SlFiVRJBuBdP~O@Y40cq%3zLXHV{n$!Txv zxg|}WXn7?vreU99?pSXzM9qd%)1rMMlx`y>5Q0S>cm}3Hlw*h(d8ULAx0olWmnr?*Y5^-@;cFaIx zsHQnBUZ*UQ9a%QXD@?hyZ)Zc?vQOo9R_Vy9bTSyJ?R;Q_MmN-+V||aELb^tz)g2M3 z_H{|xx;$vDrnK@_LAuRr!OOSgt(k^^Jw5o+gqj;a%~Ux-CCSwF#=3pUhC`rwe?if1 z}KDM7m)52Gm&kLv}4tq~9U7YDC`Cjk%Q}XQY3vg2q z0UhaPvA8`7#mcZ4gXEddk0Nm4G-Fr)zNe+Ao~6w4o4WAly%G+Bz?tMTv24=XyrQ2$ ziiOC7g~&XV8LCY3FIZD%D_0ZON2^8=Dk=4aP!c9S!|&<}U8bJ#$OQKQ_Sx8dMF*7d zIAQ4580V6R)%Ss(M#-K=Q;123L3TID-+;l)reuD>h-+i&gUuDgm@>vcQl@s+ z>NQ7HWli@B4es?TpTreJ3_FoL^A4x9hqk`RZ=RBy@kvQzud?xiCh_z`V&eE-#ZqkI z++ND>)zYsC-o+N00Q-x>4~Kr=5X_O9wlT+xnZZ9Fwq1&5H1> z|6agb?ICAUBWcE2ZiBrZ=ugTs{7w-Vk{0jgC1KKNE;sJs!DSio1Q&X7r#R#XK=uQX zNbf3@B+fFFUYK4~&+6)uDxffcGa0PpR+LmSpf7Zvo|>WD1{IzS2|hJE*rUz0gs0gv zc^;xG)ATtl{z@ylZ>ZcOpnX|I3uXk;w^wTwwDeJg#d^f7?<_NZ@&BXxEt{u%qcJA?^u;(T19_A6fE1{s> z#}4RQZ~Us;9L{tuRKZ>=8}&A?m`5J~zY=7BdK&Vie2=VmSLjW-FN>5wd=y2WW{Krx zo>o@EI(2q15#OB9+ENN365hr_15kJQ+FH=OC~M59(mp))Zh5thrJsP3enyGSoLEY) zcIi^o;Yqm_Z;I*3u!&a%$;S zqTp@`pY!4k=(q^X-Xwf7ns2O13{l_f2S<>(b`iC^p6MC`huydx4fMq(EVL87MKbj1 zIBTFhh5lsdS-0M(L)r@WMq8$#Hh$c`f&KaQ{;hS1DOQ|CEpK?fU-r zEz8u0oI+4n6+p3E;T-O&U#cUoug<@$Nh=0a9tRJBjCDVFbUPPlK_;1W!F8$|t_B}uz=xzTs$^8mfDrnus4-_-bc+v%Uv%dp&OEkEZz_zQx9~KN*YCEDU z&2><;v5A-wYi5!1q*a&Jq68`?C4`p^OXW`LsCE8Gb+4Qx%|x1eg*|quSAx-jTTnib zG@aqq^6cog64u89oaxBeAF~@TB^Q7S-1z8V3Px8^Xf6x0`%6l$zyjQu-1`&^gv+n| zHRdn*MOiy-q9UwHG9PqZ0PfQM=l`zz=Wmg#KklDD?w|jO?jL47R(c~wCI%XIBRzT= zW_ANs8a-A9LmGN|V|{i8Mq^`EJqE(R!nCedw$jp^;?@q1de#O;;zB%xPEKZqoO;a6 z3=Bq}d(j)|>(MZ?=`qo87#gwA7#bR}8tE}JF&Y}v|K8uwfYaE<-b&ArhfvSf*3!&C z&(X}rn$FhH`1j_|@0@b>Hik|HM)o{}0){sFM)-0(2uIDDX#tKMMR&;Ew`-6!_;W@Sk`8{Pv6d z!yEE<_s{QEjeo6nU}F25ng8$ZpMNRX_z&DazuPwc=KlFFly3ZI-9LXF`u~*s=l^Zp z_!s*R3nLpX2lKz{|M^?>$3MgW!}^#1=ih0+VE)@I>;Jg@f|Ze#?QiWDa~c*lYeR`| zRXW|#dqCsH?c(?l_%4$9UnG-6*3-aA!-IBRf#|rM^)2GYJ2yQpDhb0;T1h<40MUZx zsefiQUfj-A?jHxguywY1I_bO~%Z{#pf4_EjyS=%z*=TS3e*3LoY935;g_N58U>ak2TMi z>y2b4t;mEz`QiM0Bc*u@MW-!!4Y{W)JH4mzIx>WX`k<%jEwi&wbAqR*wiW)5UPbL^ zaZ#M+JwLB|)wHRDogK`%l`ilVN~?R((C=Q67P@JvaF{oJ~pISXxr3ldC$* z0I{AO;Hl+G)N12GF`+AkX=2!1ng}-K;)F!EDGCayx=Y<|0WM02>M2@4l~XTho>L_% ztB_*&ofwzUec{y9ifM7+Ah!F*=&;L^Og!9F6x-S!ww3uR<%Vduc$_XddbrXNeGS%| z#`5tB1}SW89EQPkEChpH4>}d`l88TO+QQR(=&)34J%Gy~0lQL8w zl%ga;dx40jb-9N&B`hy~g*!@C^q2qc| zKgGi=O2<|Q3MR68VV;2HqHM&YW6I(3Y#@@XC$^FJw zDt6$ciZn8+`WQ827atTMk+O-hNGhA}ITE<(0@5o91$btRm6!q7FvhYX2(p6FvcPDhccIlf@t#SD7Aj%7HvIw%I!Q0 zv9dDkpWL_=pqD;>EZz|UT1L-~UQ=G((J-jyz@hmrNQ~9u(>0O&+D={AIQ%RHKA3P$ zr;@fdY}U~tf2B1_s|ID3##@{(sbJ;Y%s#g!3M^G98>S79FGFuct>8#I+nu?eI^2r+ z(9F{Lwh^m)rZln3ttjd(v{I|QKjfcVGkK*uin{nsY7CsKx*u*$NxE771uD{zu3@!_ z_m@e~ed>~Beq&f!tNn){>JqT96+BhOB)5#2qzo6Vpa7rSN^F_k%-^ii_TT~;31;Hp6O%UAS+hdDlvExD+ zIUuFoRNRaNLKNNcFPi#g$#z5&&9;2lAjp5Y8r;b8Dc~(6i4SSfZ4S&E7V!yoyb;~- z1pHvI*6O2Y#R!lOdRyIa#Y7cUi2+G6Q2`x$A-Pw3Pc+^hX$-+*0TrsECKsVZL`ZZJ z_y(uLw}zfLFNN!GT3h1zbaT#Q{@wKgU!ZUkoNnpWXej(VkZ(osKbmg2H|*f)!6a#qZjxBC4{*=Xz+H?0O^Rd zxjwT%l5C=t2Gy*>Cen7|c_aVJa2$kq_1db_O#5#vs-!*n2V>j_?xfSL(NHx!0t2{j-1k0_9B{(tH zQpP+s?BB$Fw-)PF*|J1c)}%M!$f|*$ykK5-)e?M?_z-u?ww8%N3gO4s#>s)M z<(#9%J-sk01YymgFV+d0md!wE8|aw}eUaMh7)=jjp*qG~fm<+u>al!bAcy$UY;R@5 zM1|y;=L`+HgsfuMl%%-$i^!=usLdYQ*f&lK>szWMHaHWqky-vXXBZkaZI$r1qAeKK z9_Xol+87vYG~81O!E> zwW_hA`fEO58&*RGA#W2vncfBb5_ChQNf+eo&>px&3>jQyZH;niXfG#e01}{*cn+#F zcd?hHX;RyD9pfq$44*781%5K`Ia@19p>yC121v(clV+-~r~UbP%F2f0Fck1R+fwSr-TgR`;_XqA%3OnlG|pp_ih=ZG6s&Vh z{0_B1jCS`(6Plh)T0nfHq`N*D5rahFK+8W&rEB`E(}grmc$#*<9!Q1 z4e?R@K{@fqR>{Xs$p;Pz=NhZWlU_OAQ+6PATp!nwAD)<9vT0f;+s#>1AuaS1{9K`O zNiYo_7hQv{zwtN_XJ&{MFrpeQ`Niji>A|OVj3h(!qq#h^w$9!sQ99c*=h&JeppGjI zxl)bXme0ra8nCQWEyi=u$5N?-@|hnjbZpYowI$n+Rjb4A$?NE~i3z*-OYLC~=2K z7swdlE@$L`-euU`t8&?tgMdPIkl*$p70!voQaIc}?X zo7%Ff-$Z5=eI@li!K4-1u2W<26bC|A?4mK)?Ca9$(hJzP!2O$Whj;gSEH?=~bD|`B zq^8OW1RoA{lw!PB>Ps@Tf#P{f5UeINZlNYZ`3czpm{79m#sNE{LF-f>m=_1P_DFBh zJw+Z1Yg!dUlLgJe9^dE%55fTnVuxmJEvvXoL{ch52|BvmXbjl7XP;fCapOk`V=v@P z{4g1$h%545vQ_jR0}FdUU}uN!rRAvU%YFad^U>rFw~y6!94l~pa+>~;ECS~(k40wWr`7CA+fA1mKyJh|CEhGR`}&lFwi zQfXf})1n!evwL;?cY#Zuek76S_ICep^{6&kJtpGe5(JxxL^BMI&MkfGj^ep)cMj&| zd@g}wwHgL-1Q&r-k4`_(=|=CS;Qz^^v8Uyah_ty?&bHUn|3e7kg#21~jeAoS=L}wx zrB0Gy~@Uec0Okzc+v5hRCt z?6;UVP(u!4O_&v%k^?pG&%ancfasVi=EdFtQ@xr@=$iO_ZYp#$VD5bqwjZ>2k{A2w zG--g0vV0lgbEMpP0)kTquDjz%!6s$fM0_yXw$Mxz<;@}yY7Dt$Cw)2G!XZF6s8c~j zdfH;yXi61)L~w~@s8QcEGWhM&Bp}ivSn~Cwl*qCSRHEz{pP-Z~Se_PRV0mCbV#d@< z2W@=gj@dzeGFQyh06@e?LWa68__WG1>&>oo6m^{rl)S=T)!;L+WW z0bp!%+Mpl^!96hYx?A;{VhdS^pl`tua{_`Vh|y9v-P%xlaWJE7yOXRMx_zZ&*Y-LE z9;t2dU{(#n0oa@ov={ILMbuu>hx%1_-(+K6avQ|}2_n_B0kK&jD0P>fmec@>8tsjU zsk<%gWUw?F)sBQi2F_KJ^)%r*DihgFkl=1mSIb>tVvoT|&R1ARmKBU_o< ze&xFy0X2Q-YB7DbkOp0^bTABafr$+q@&xvuc&=#|=S*rNj{an#fHUrBUrpmHw!wgrz5gv};bt_;0(Qu-W^kD3?gCrR9A;U`_joXxu4c zfB#=vxe=tr6KSoNK!*x-)oZ-ML$|3Wr~|_N7}?P7*1}ZM*H!qhm~+`oUeKk+ zB5KfJMjXLLe(g+(6_yD}L~`GE4EMR=b7YRg{prT}RbM>)sz3yPOT`O|G_ zxlEOy+{rHo*;UGrh2Mb*({aybeRls#14Ah&M&;Z=R)v^%t=ukgGK;UhuqVt;mdKZ6 z8Lsesg*bN1$#LlgN(-FHu|irTey1>2;1WV^soy`XbTdmadV@%9^Er0aB2vxS*dS4{ z0>KbZZePqrRM7?p#DCaDfw^#UhsX*7=#Ko^9bs*r!#5q;UqtIRYxy=Ow!wa7I6(Q0 z4_vK?)icO5yOZK*`|tGv2YEW&2wS$LD%e3o~GW8Wl>aBGxo_&nNd7*AW*|)$}Kq_%LadH zVQ#Aq$}DN6OriBKJ}v2&AtcYsDibinJtr^J4+W`mY%&T};3-r@t1^ZKYrgp#A9e^X z4ACETA8|vPe~H5oUZX1)V?uV$e=_5nY~YU3yc8R3 z4cv~zt@eOFR^Go~r!%>c_hTH1(z>hVsyG`y*3L@jzNS-ctz-zXFDSfAs4iFbX+zJ0k^d~t#-$Y+wukV=0H zPaHGxyic^Q-8NmrhS7;96X^w|MsBdb=c@qC83rQEt#f}qcU-)EM1?%WJxtw|U{a|h zvB6<;%21zr0ABI$Qgm4?3eRQ153#!1_nitWAB->Ty3xr52Ucr#D}BuH1a>ONn*9=( zD*!VM<)>xc&1-tV-h^R!wRaJ)w85(wcc-o+88|8}SG@YMj6>IIDT#>HVygl*a^t-t z5qs&@I81PGeS+26{Y2S0)~FLMiEVc%I;A;9xaw51ay{ZaqW6353$9+b#SKdLg{Qf~4(Jo8or`4r0EK-4y42ios^|x4$1Z)9I zKQ2<{jGx z#*I58)HjkIUviS!^bdc-05Fo>E<`EqUWzX^QNxmWo@JBe>@7MsTzQE= zo4mCUzi~2Qv)Rf-ym{v=&fjg`c2~$Dk?>$hc|NNdbE;^ZIL=lWQiJMBDq>VVHkRr& zELuqI3!{fG^fl+4k-eFjpz>~}rSUP^4WTMT(;I1u<2gaT`4;&cu9h_mk}O6ZwVU~k zsX#wA!iZm737Zi)F8fKC{+UWrZqY}CfMPwhWqa#IU07t;Ret408s13StF<+GOSMYz z9N9Q9{X69T7e36R+rc!5H5^<@T=cCOsWz?Y364#OSl1aA^6;_c z^MJZbZ`7Z9Chgu?b$YR}8^SC~=SZrq`=W@mr>GjSf!E}%-pG5Dko6mmK%K!&Lu#s0 zk@(A{$9@NO;4ESg_5DISR<@x0tIVj}YbW}(HaofNRzA%e7Qa?|m-(LIG8lB$+$cM9 zr2V8?o+DJoT-c9|3L}d5TzI9Mqe)#G-b@lbj%|h4mC4u8QO- zVT=Wf`IR^V$g#TaLkhas+~k02$A@<2`<3pjcT2PGAh5Iy^nBBvBdx*eIhrXm@9x+D zi$o3y;w%bfW4<+&+R1%mjv;pj3ywrx@^0{(OBP)h*V}OZ3AifO`;w`0X zAb=O{dLL%}rTmsjQb098*M#23yRw84mRGMTr6v0fwYVm51;f)`%*Lki#)A)h$I5K0 z{}+5d7mz)~Mb$Oq<1|V78)o`_U0x_I_gB8r7<#dbB0WSuNwB~x6ZCGaa`GA9ucc!C z)58ryHcPf9%!9_d5+Bd9Q@$khCLYzc#7?exI*=}=2~ z-v+sVK)ywtAN4LnKl5s%49+8!o47BrXv_F&b?43_ip*ZwT#aEdwerRXM{Zhtwd6SIWR$h zPqzV#C5_O5ise~DE{Q`<0WG7uQtXxoA4#EUqqhD11lMHH_kc8_Z)pQkj}o!UnTOEiy8Ao_0X#B_c7qI)xVxY2n`j6pn2 z=As+_m&Gm^4vk*Wjz+s5;4$+phOA%1jUdG=IYR5JNYGlKBnajpSK>mr)dEw$Ono0P z8oQ5kCVsgOf6T@hsozw*8d77 zGvHuiWHe#cr)AY=V5MbYF#3eam^lq;IXT#km{~a)SXc~M{=j7aCqTD;h&1pXud{l5T{{Y??|H<;|Nr}w|9q!?LP|3w=04_xbSG#Tr^>Z1N* znvC@?chtYpWUPOgj{di}r2abe|1O%0{Zk*sLdfx{k78#e=zDX|bT{>zUkh0m8vgp7a5yFQQf`3XB?2Pbo5$IqRlh1fqY z(C1b92L$+U7~to&zd5e{bC!&Sm7VQxELoeTxh)Pm_Is5sBY`RU)Yuidf4(2hat&L` zxyB8fNGoEkxq?wV*DCJ%!{()D95b#t>1uQxgI+lMGybG!oP`MiZ@c&H&3y4rhHd(I z&WHQDR_E*f`TD4=?dGQU%lWVYuX%Q*$o1&p@UY2@6omrxg*nWj zQ>Bz;#Q4v|#wTNirpkbcpI4Dd{Rf?i1f!=-uF0j+>aVXYO#6eXSC_r3A9C}>7StB% zJuL*~rAqnA4Rnhc%7vD?)b1C#KT~|WK8$ZaRZ@*qlmd|<2=@?5svm2w-VQBkT_GCk z98ZX%s0~U)LHVDvz;wObc-)!8xnuC^txpLd@Sf_KvQuKQC$UyEA{TZTufyDWsJ!e$ zVlg#1V(2qQy7QD+gD*oYaB-)06NgJ~9%%ao}|8zM%)N>G%{B5_Wx(?dRV!d%># zY%N{S^lH5Kw8nSd$|pNJI$o4m$~hkd&_CFa`d1C|11otd3QvKe5<65nQlS-L!VNn= zH0>e0?ltBZ(x9q44Y0p0!B&pH7SQT=gKEqRa#-pGiiYm&mbVVu@=K8BQ$~S?l<}WZ z>PM({D)jzLEVZ(nJpqJMMYz^{j=hxTbm2)29H~^9LGFehKm%sFT^AAzvju6@narRT zwz9ctF)Iuk^rwrWAtI(`(RC?k@rsh4h9< zsWI#s$CCUrxQ9wZFEvj@-&G9+&wbHO>T4*Ox)3(z?p0ry{!P<1coQza8^}>wwGE`7}y=nV)UC zEY!IPogwXtGG}vFbP!2cFFu#(PE`vM?8=&N_A^mglti-jOCiLX1^O-4C$hptZAq%e zZ^GYQT4AJt5$b@Y4(FxF5{sf{$AE*8TM#_#Ow%zMNsEMPyjaAP-78T36K_Vf=K)uy zq@7enP~B18zI-Qv%110hleE}T%3cUnRovujO;X3pN(4{Dix4V=Gn^<%KN@aL0f#wh ztSi{Hog|8=Oa5zvj{P+rTIE#@$&khAwiYWC%@L^$HRZ68NEtPvP2jGcJ*FQ2Ev{sR zQMWTKKBh!?CUE$punTe*kRwh-QcRkdR9Aj%zpE1Lm}yX^M#EZ>mJU;jSWzv-fOuwB zA<(x0Bc?q4I4R?uO4O{e+%u??N;yeXec~kIHhz+XAI?EighiyC*sQ?3g~QE`E$O|! z43vs&X;(yeTS`Pffaz+w_o$ZCT8wBmRjW;PeSl^mgXkhrY5~^zFzJ#&OT9KhfWRba z5|D}60Ztj}J;~$pQoycas{{0A1yHNDP0AN}B45eY{mExeB_P6WSF?HThWuoKtae(9 zuHf;26y*ASg3w2F1)=>~fch6Q*GyG@!SRBoYO+PR{ty^m zH5i6W_>`#MvHR)gchH$|94IjiM)?BJJHLPiq_!V>!h$^cwK?+J7gu9)2RKbRWJs5NUXR1a-@g zXj49RT0*OWTJ@79jTJ6cTo;fx7c8lsv3gYTkfdtg zP9{}3LTNK3iQLLshk9UyXUFNCIHp4{uVqBl^u2zig&0al_3Gz#d;a*)L;4b&AM!}U zT91m*Gml(6L-6;RbvAv!^<}c7OxnYAKK<{4`>nz`$MoG{xP_FEcyX~*?kM4uXMSP4 z+r!eqOHBf20xE$Z;gV=gg#;jkDhT(*g{*dLGX%TYsQj=K&?~qDK%h%|VH;mzxEOlX zkqNg}TE3=<5T{WD!QGlI**$iLyiix?2_fKcXlGtxCj-6@nAL$jGa&Y02f!1c1_+6e zV}hcRdBFq>3tEggf&)YiA$7VSuz{m)akTvT$pJx_Cz;WEIf@Lv&YFyp!YXj*@!{Fs z{j7jket*$^&02;^(uEKQoKY*I{SGYfHv@e*v300lhceYYYTmdu#8YDu%sHlZLh>7w zYg>&dTU{KOXw#yw#>&Q&QJO;LXk>w{m_l2?E|b)eQL8lJY2PU`s3S5&jxZG?SMQ_H zK%Ow|stq_VuC^d?)uez#pqaOe)uQFKM7xDUE^W5An7f3Ej8`*~GX2GGj?go|ie-W# z3RvrToWd99%>qI15UUb0Re6cxqgG?|x}q92B9uh&(w4apQO&$R1cZCHuiaoA_(z;d zzVPdsE~3oJBiZO!$wxW6k8q4n#b8A;sv@DzyTvZ&QBl$RMB-%9?||pT6V~d7V#@JR zRbWPhv>ayL6Qf!~*_$&a z=Z*HVZme#@BZ$fI!a`ol(XyK~cM)3IAzl^nfMBSDk5CmiE-qX--N)=4*--D{)4!ky zdm&h_1i!72+w*2j#;#km_fUPw&pUzWJM0>F#4SFiRClRo9xFp#yOYiXVYZoVcX^nb zI7P?u$kKhw21E`x%>}ZC!pj!>Za6+GOdzwI1;V>=*c5y998EI-dL^K;#5)EZ>5t|^ z{RaBBIXyWBYZ-%nar?S2bJB$`j>o~X^sKt*n24jbkXGQqTPSrU!)0=7FrA>s%Hj7o z9nB-5N6M_S(~ zSg3%7A3U+K7(?^o(PI&Vc#Ovq#iT$Y&5H0klD8!1r9fYb)ZqL{`XqQ6k2tL)qOR@| z(1%Eh`qzY3rp(reX{&()8MhUk-VT6HbU&8`;wfeW#%Y*-g(2mne+|(NhK>?h2Ao_m z1ltSa2G~YibWY!V7GM0`yqEf#J^_yFQt^YJ@MSRR>MLdv%C7ym7mB08v_f%Atf)NI zAnS+2I8%F!iN>)EQYVnCA$dRk&=0kbkAB4$VK?dy`0NNw@L=8!0Ot*NM-e7zFF}mN z1LT*hho{o%@cdwsqaPmtuHi5l#()`j1r{v)dbHZw_PC{MtMgaf$&@+gIPcw3JMzwc z=J`rarr?SoIz?3S8%Pz3gNkQx7{{c2@Sk>HNs2D2I0D9J!H7V3VZq5D$-!esor94M zl|kFT%BQ)Xe)|PJnPKCHI*bFZTMah0M3*wW&8*9FPV5;fOPu=^9XeEvlDiNvBOyR{ zsg!T@klLIv`NXv7U_ZhOB~@Ti*Xt?A;V&bq#36eOk;g>$!YEXq0h59-0@jpydqW=1X{UM#l(a~q;XZ}OVpyh3r(#c(j1EY1dKLsK zm(Apo;moG_@W+q=MYeKYB3M+nu}!MEHT#wN(*f2s?~$ri%2XR57PIZqwm{wF1{K}N zx?*Zb0POt$WMe#Kdg)65}m6t#k8aNCjz>I(4Z8LqtsCvULa!mLEX@H%F%;2Fu^ z_{9JOH1Www^bXJDrWUJXAEHI}GCbIOcE=S37Q zgSHA`9T4u67*{)TDHpJB+q)BJX9%D#r$HegYSMOLj{r!o{2no|Xe&_jHfb3@OaqOU zb#(Z`j$ecj=?O8IG8LfP`awd)qHm$M8Ilcw6i{EXf50fs6NYDYxi+E-SArWwUoy)9 z(CUh?+Ki8#Rz(X6abiBCUrAq}kY`*bViI;^j9gygXM+70+8>h@02qr+PAOQ2AbLr8 zG(i`*Wh<9-)0#@MrpIdo`X_{iKJ*3`7{48B8|9tQ7LPD*kEiQh4XNAS&8|qDP=se1 zf86y(n2H|lYJ{kuryb<_;>O1|4WW6s#n0>>(hjojV8Rm|g zC(Z%%t95N;N@SNcT%=3yE?3S=l>T>2VW<>6tifErT4wxI)iSSv-Ok=<+?X zYic)gUj(12tWc*Lz~YM{OSfTuV)4w*@Z?`m8hf}ph++v!1!(7w7++t~L6YhTB?*K- z8im0YMSG}aTttYqKs?3dERCVx2>>h<*Z9{%TO%v@G{+h3w>?IuE{+B0P2GzB7WaBLk|#K0j6+bQTvonz{gg4MQ~HkUoZpn- z#_~N2F{g(Y;CK(apyl9x-5oQBZf`n#>Viv4L&wJ_yGGnWl<*mSuxbZc^|Tr3&%__6 zO)!QNm-amZ(^+Y9x62;5cd_8A?&5Uw(bXqE!Cn_+5ympPNY4<~x{1CDcHM2|;h761 zoN>WLj7_rnecr2=N7+ygw%_ROj^?{8GW^?w4{3o@GS&Jre+O1xYQ__K_4{iZDjVI< z`5l~Uru?Y|ubqv{W@fwq*r0wxK0)&KdaRjf&YW3%jKJ~eJ{onGHxpL%|=hy6xcQAbVSIF|>h%{$}U-t`ZbASM7L5;6Cow>*6c5JwsJag%7 z4VDS1ebBqoi2Bs_W-`H?1HF~lx1Nfg>&61U~abX zja&?}+j8Z>Zeza!D+hAf9da4Z;w+{je%g%X(HcfGKf!cLv4G=sS&%LZ9H{#k3snl_ z{~(Zt=(;2;C!psaySWBH2A~KJe?t*hZJi@&_Vf_8=UM2b^pKc(#ANfdp9F<& z%8}*2!@6S#IC3(ArwC2j<76_(CH|200|t5+ECT)y3;VxKIs8-D|0(SMZ!GNp*kAsu z3iyBFy+0kvp9KCS@F#&k3H(XmPXhn^1pfPl{a+35KU(I$7xsTyUH+~1{g12{#{~lclph}J z4rL(z^0`EmQQ_wjQD-lM%f;$@N@uy&%HYeFKqPLOEkYoDhai`?bi>Wx+Ca z?HeEw+D(k*C;zNuk-&^x2P$-E34bcAH1ymy{ZxEui17{=O$GKLs4*k_qWUxcrKhzb zit(^SeEJpJ*n$&X^g&3%5~8>nmfj#R9w<{y5c$+&?^3VqHeM;)?Y=9-UVgDjT(SEGSj!i%g|jJk9aEGR?b*y zhL_Xg7YIE|=Fjd0ZunlGIJK~*@~UJ%YV;u(qPbV(IA$jVt2$(?^;z=2YlQQJyfB8S z76uRdZ9}W5F=x)j>$+l{Z)FZdW`xhEy7!OM&(-$~#t@b!hZ-l7R-pkv`C}8tl#R*& z(`--K!1qI2zQIhU`f#L;RXz8_6!wPv8$9+|ee_*R;J!&Qk1-EG8N6(ra?Dq3BpXR( zJEkKIngcf(rRV0lq%jkMezb-f#K58;i#^sW8dMhX0@EmSnt5@Q0AVu`JO9w3gb^e< z|D=Q`i#d=d(0E(6!#e}0k8aYgcS41UW8Y+TKWHEUh^>kxommb5tziEE;g^FlYUOO> zO51T&!Mg#xFrTikEno}`UewWz_Mh9WX;Kki_Ocpmg zFwmuLd3Zra+s1gO66wuqrjae(u1Pwd-oB_|CHppih-=r%`d%TpzGCuVS$yz!@*l9C zGfl$>k<0@a2p)8R>Rpy*c1G^m@3_6*-?G6OOJ?*Uaz`yhQ$SkY6LK>BjpwR+^~hzR zb8?`}G;FdZy!M`^wGK>=8r;bArxKa()UZXQUqM`qE1^E6@0jx3cdE~=mSb~>juN#%^$tw zzGz1k%xPxJzKc1LexlS_deC&!XiqL7;DD~1n72PjfeWGFRo~`x$b)Ep1>Qh2FmR3;R2CHMH&p`BZbfBeUnTGm|q4r1c33C zYSUw;K$j5e*Q}|>;mqduiV&$~qXYaMu=cSt8&iG==XL1R{eYC~RL@v4^jP=f%2YLqReY z)C{AWv&8qgYBSaV=Nc(FNcdJ!zu0p4@iLG~jXlfJgj!B@=AseoX6aBl66`IZRR_<2 z9DrGn8`vFCiDpcrX7wrh&i$Fb~?QzO@;i#0Pv&`a3xCRuR*BVU-5L9 zTU?^okL!jP93t%%J2VUf{3;rV{m4T1dH9UpIs0g9DYUZ!lU1L&B#56n%1E$#<~ab3 zOViW`C8%6%#QrUom?Yl5tz?%+Mj`}1jL{v5k*Kv?m5FVRm-~_RSNGxMw>d^hJ*f=? zN5fDKyGp%&m4*7iPXw4=f-h5Jz?yAU=PDxEx0ic?49J94al(dYP?W>fWI2cv+dIfo zS{`5;Nec{9!y%f92MVp`*=r9Btaq&Jl#vxx0$$9jqv9?%;`o5M)5sgM!e_yCtaU-& z_gd?uSK!t`gmLZ5qBIGud-8GY%!+M{2HZoDImxlzTun`?Bv*eV1l-jHBD%wk2}u(4wT-@ zU}7m-Td!@-Df8A=&%;vN;3vSI1%t@AgraHLjxNND61E(%cxnle3{-6Y23G8dQNG{L z6*JE^qO7&esV@d|Z`CS!bk)yKPIBX^@TQ*2Vtd391zi)?2ibx}+=YGvu z_e*p%wBZy>E?fN`c77HdFcih;wh)!179fSI+g%CyrAoOoOl+<#2M`Ect5o+6j}U6sun(9H9B0BXLQ=VWQ`1 z`p_-#n^T7|K^Zo4sg1s1NR{LjJz2UKB`n{~*f^xRooqBj`@b#e`iCG$Ep0(F%yQKO z)>x!gG8PymMxBWigU=GV7226^l-XKUG2OYHst%}WW*JDas{~A3Jlb&kZ(Py2IH&6|xGH zKTpE^Ys@dCkK+4gs(+<(ZjQe?5l;xVVTGL^MHz+C*WTkz`50MxDEO`nl_0Pv^PqdOVPhp6ZuY-M|-9tg!KOiX^MBJm6Gru|ID(MuyhK;V!<6Wb*G@HIC1T}}g zN)Ivq%p*B3z6I66MkhC829_Vt>tQDDQBf|dE0m6s5-_ez%-?ls%&Lz3;u6bFvu975%vxU!y4hBZ1PUPn^sVaakRC#4R3(z#1frCRw%$~y z5H%}3aMbw)sC9bZsmI z-Zsac*a`>w6S0SQk=zfBuwKh=;*;NSfIUrWr*lJho>ttn4gBK4WsRiMr4v!uxH2pq z4&G>a)(6D*$1ef|g`HBbo~VCUud7>L$p)~5ebjra1XQ~)Ud9o$o^m)eo-!U{l#|`y z7*UX}F*>dMx~yi%V`V|fGdz+C;swh*r{ritW}v3a$mEsg6oPw^RcDueW&6SJH_pVz z$Fonr7&c8MF*)PX1X^uSgbkU>ix> zM@9I(GXp4v5Tv&V;hWm?j(~&a4olVTqLrBvk}LG%Oi*;h#d|6nIMPnS7ySZAxs?e1a#_EgNCIF~NZL%_#%5O;`M=DK%Qj?sY{AQqow zdO|8*(9&X-I26^YiW$9@J%=VbO%TesX5Y7!~|nPJxk#c8KWXF z7^9n`;C?LI=1LOd1!H6)0w&oRlKq`H6S6)qoF_JWN;|q1SUfV3$5K;22>}g2yT1>1 z!}y4F@DV!7g#_YB!jEnf4LUYeW?|JEn8O2fAy3lrKzL*h#A4V?mmlajkTAj=x7@qISG)R0lnAEx21;-(yL5x{r% zdC3N2mC_P;*Z9U^lHFuuq2}SH3WzzEzHNhOoAlLw&sfy@XzuVZS^JLA=gkTCxUXo} zNq{xFg5aW*+Y&=WKy&Tqk;f%XNhmLxt7Isn?OMpyU$3+ZZsJ$|Ww_4KEO13oI8mD4 z^_(F5;{4<~1%I<`Q}YJY&6FA+?(!{6i`)&vEi5f@sGh%rvqr25$x|!af${s&;kNzQ z!h0~o>Um9V3`CzA17hJIN0fIfH+4F)D2-mB4LD zozykXGE)zLU5ijKYJ37@W++unPz|~j|4%o|A3e)wT+SU5Fe1o-8MJtEM@o(REa5?v|{^ZgK=wSFg^7tn`%n`LKkTcORCd zsJ}(hjaHG#Gy{LE2H?x~hW%KBS%58?O?Dss*>X_un@q(KY^zEk^{Qp-G+?y6XP~@j z0cWC{n&7Lhsgjmr%WmvG4qo>#6Gu2pM6pJW3_Iip}6UY}HMNqz~_7Pl++SH^dKA&z7mTz!0@))os$ zxA<^<-EY87KPvf#v20H0AZPRB^oG}Owm0{yP3HOp-==7z=~!lhb@^WLx9_kBbU83` z>`P#L-=Qe&v}mRHC}S+c#i*fxrCDT5Ymm9Zk?#FjFnR-nUcG3KpRUfZe_9N8aQy5f zLIjah&&LK)QrC*vSX1f*mC{!wxUyZq#pZ*|$x+B%e5C$+Hl4L(hI9wsy-KvxHH|KBE*m3Ym;z3}<>yUg2 z?qB<2jd;71mDgdbxRbi2jd0na38!>s8WMa;bD^W z1FM$1nZsb_7&<`XP3%fqKOB4<`$g7L9XbD4G^!bwloj@X&7ZJEzKp$>b_0QO~04l94(asTJKTF*Wo&$FSe zFK5L$=&O9bAI%(}|GYNwUHHB~&s%VUSTQl7fW`+T;ieZp03}EjF*LGpp)eW0pplEL z@*r;H20kFR_aOR}cvOQ3=WE~{5dxz|am;lGxDj9rC@WK~;%Py4%nI~EUdTZ#F=D_= z3E*-GlkUt45IcAE?LIkF zgnW7weh|?t_f+CL=oq$W`!b~<48;iz4BJ=eUm#j*F?AtYr`<8=lXpfb%ZNK*nUeXj z=#7aRopbL}U8jrN~tKVknDmg*l6+}~NM zzb?@~&g(yCsaV-r82=qhWof%QH2;3_xssL#o=1rf)~qX4~`g zTW`kTBLTttUGb&LXyo&wru^&c^G@q}zgN?2Fr~{Sogkjo^j0i=MR_4@=4=B~G?h!I z7EAvmhVv|?Q%5ljRpk1I_N&sy=gdhd>yaG-+b@bf9fO^+mmkAT_~mIO#^KIlEp={snTZlk>)+F>Tm%%27nE5qaG zzK(tSMk@&mEUeC+uk2&4t8lQv|Bnua6&LsieJD3bIiikcbXc^#VK@io2?>0oI z)|ioEhA2j#l)RU?b-(qnwL1GiF`Lp4mG0dAZh!@t>9wZeHUJs;Il51e$iff=VGyry z7Y|$9nzq?`zZxok7WFu$?kTwno=fI9dZ!Vh&Jl+sXwaaeJx~N}Aj}3bT}2d|RCeDo z&nyBQz}!YzQowzLSvtUGPLSdp?WRY_dRBMsKj>-gn20(p5ubq>J>N3XFkO>J$_k34 z0YSo8h2Gbv;bGuJi(})r*nmok7>@Lej4b%EWLC`{#5=x;)X z8NzVCsImv4EI7u{agNLwf60s^yqWaElC3xVl76vsJFygdO(vY24qEw!PPW3;sO(i{ zFpBy?9;0bc>=?fr!dVqIUT;X{?YSJr6Z0a38~O{pAbb~E(~5Hf9c!>Pz?fqfDv?9t z1N*1JEeLz%RVj&6!}XHtI5bQKX?{RLd}W_9*ghKze59eD|32r88W!3jYrVb!nFKiY zW!ia#AFv}%3zar1K8$}lFDsuNvoL4kXsI$v4%MP97LM3KqiMHzI*$c#L)7tc&;ViD zDvc(UMi$XJ%9>_;3gtt%8QEh%XkWrWjcGu7?Yl)S=&R&x;>5m5_y;5tbtTGps&WVh6r;*YW zavSag&d}AC zoy(=(^B0u$QSr^qBpP~~SNFAxD%O#M1~h(}5g6yCO8xCihL>9uJ0Fome=zMP`sLH(Xs>tMHNJZ?EmiDZw=eDUh4&aeAMXzt z1l^5y5N^eN-7g!8-!GhT^RFWM*QfYjQm$$OG=UjozlP>r0c@~#V2T;XhWeF3O1qcm z^0bsX+K@^6mf8~ThWf>r)pdxBh1=p%o$L$k&jGr(%)LFf#d!_J=Ep^0S$4D2UMkAU=!akXS4 zNg^_g(@dr($z`^#<7voY!J23GoVxSL*l}@qU`~4(C3Ej@yVSBZ#NO~2N=s0waE8?j zipu7~w_JANkdM;{0Q4+f*a;pTjg22bje)B~7(}EQ|83g(L+Qj_WZ1y`AYbr75V;Hf zridz$o4+|K$d`%qWbKY7P*H_#5XZqj zpNS5+IaEyMeJjGMtV{(GsZc%aFqEO5k?1JgwDLO~+tX%j3fy%IiFZe$@Vy8hnzR%w8bMdrmdPulOPh*A2%81ZTfnZIOCDS6gv zWr8+r%-L(T+5$w*n3M-#*$cTU!A)^bOZXgCTlrneK2Y3RQQWILqYVG|V;Q%RKCF32l_j?q^y%d7J)nuS$R4XCAEexz%>PndFY^(4%&2J^0Ag43_;D2$AgBk_e*`(WvE@SPt;-(EuL>rsWECpXo z;c~3NN{f=fZ4Dli`w+EmFkaRDO%y6yib^_VFS~MvGUQ^rlp*OFPoRx}eAk76m>G< z2Jr!XDX2%MRE#OJZFeECDEw<1ulbpDu)5817&@9PC7f)Dc=-;h0&KFO$E1?6lf5Y_ zcfIv}O2m@`Sf`jruvz6&_k?&9-#}=*Z_Fz?Xs#GrQ|_x}7Aul*Dz=?u^Fk~kI`>9@ zRFq(`D6!q10*W`^nuABZ+BJe^8tr0bxt-8+z{L`00`U)OQF>4y(vp4aQ1MKQ?@qQ zed1}L1KeDmQo%t%M;kVvn24C`g47jJV(dM)I0+4F&CtQE1L zkNmquQXVNUk1g-#Vu?#*J&lEPK=6U5t!~xk>*MbC!KZ_MqA$XX(GLF5?$n~v@|Lp3 zWEi(S{8w7p$ijtag=}w!OOaFJX}ECkKXP}A@g0;yvn5HFMRC0>w)f#FSJA&Tx0pLqBRdt{0ojJGDpp&!GfEEJ z4q}*gQ}{X^9oj_ zBLPbwW3moOqR!CeIjL1cLvsC%$Q%wz-|wG;&*A8mI90r}{@snFTT+<0iEj{4%@IT; zu^6{Y5w{S;EI{Uqs94(q5+iK!f?u+S;4j$$=7p)4fE0NmB*79@^Mhr~b@rAS5YJ#2 zhJz$67)_W5FNKVBDA^?kp4hYKCz0Jv$|;HcuFxQtkT+IOkmJRtE-nY1j}>8yD#WP) zo8>X074(g8?0(z^?9sp{cYl3ipKqTZmH)-V-sxQZl(lxWTKohxe!60AFl2Rrw_V@OW$5Zp1? z;AL|=+03b|x9XV{$E+nITZntj4oH^5kB;MEkxK;*10j=ep_hth_^5HAZMzMuR+!o# zb*ItxuK}Ny5%91A?rt#!rx_Tlu`Yzg-6!9dLT?jBqdYE};yw&F4s!q)A{?v&b6$Lf z^NAvw(s9z@Gm^-pR!Eenmiy5y8b3&4c7$x^=9|RGOa|WMTE2!L(0F|5rEnEzfR(^5 zzl7eszC```f#?lS+qwHnT4)6b2d7kc5l_tOG&xRFt0rv(18C$za|#;J)p{pnRmew5 zK5W)W=m_rCbdN+FY7Vu^d(HBQR1yD4D1_eDK&D&GWi?$&kXW4~7&! zX7H^tfr`6>#H31cp~czKJl)ECj3u?Q(?=fFpdkJ!s7?b`E}jz6Yyza{HRzs5Jp(Tf zk4eZf0X#p+NNFMyf`v4>t_58sgm-&}brSLSPc|l#kmR}=&Hnf<6~9$VXX><>6?z)m zHO0iVRY6ETM9+Ev^)(ybL2 z=ML{As&LXJctn~AxM)s=3LCQXWw1H=L@;s5a|)w_{iX0q=Mdf0BE81!hx9(u-|Nsd zk(#b-c^bj6VqF5rZX%xc{Lf3q)9*k~O{bxq)9+FZ)Y3Tf2umQRwJmDUi(p?Ld6#fs zP*($Rau7~W7Hp{m!NDdEx2zDIHO7f~60#)Nd@ddl#^E?wyN`F9)FOkdVhv($U&nBhg8|p5(zrTFG2niR7i3l=2CdrlPMRt33%7Jx7I3+uqZP zFZ_BB-!`NaRUNpQ{PEP8m#e1T zW;g7g+*a;6ryPJ#6P^oXYXj$Ke})jY#x&w;eqjYv0_oRZmgwE zAu#wz_+|iV=WC}#n%-@m`10f$H;40BQ+f+TN+$3*lePH@LZgm{UaeH{YDqz{`BE|K z?JHl>8iqY{-4Eoi!f)-rIEm9?wGWSqVR8DtE0}d5EHGR;t?$Dcr8NGb!^{w3H-|-Vw@`uSw+@ zgsh3I!t`?Gs-IbANG*mN$Jpyi;bw|J-C|Qd4-MUhFLPCW-L&)CGI-o;PWag8Iy}4b zMnVg~ezPY1iJZoD5a{#jx8v?8*n>`G*_j0~Wf_@Ly4zuPk+hdRh&b{Fcd|oWec-)W zzGLUa%7d4uhbKBSSvBh&zO# zo(*kNbggkgzs42Te$Z@W#U=fz+G}WW$Ao=Th3i`&l()0DvdH`F?89?53}kjT>)6p5 zT~hm{&^nyPl21YRQ^Y&-c~ z70o0L?1sTo@F*BGgj_4$QTgU7QSzsAD_%{kMqIM-X+dIOk7*2FwvVNh*!#*!6R#4d zCYRs5s@!q8Hp#Qgs}%l|M?|b2zwY9YkW>o2o_;9|*ZI00TOJx;1_PPchun9spG&l- zSUn)Yr4iNUjBhKIb2#@euv=Ox$#7F3sJo}MU+W}Edvdr!X8Lnu0V=Qga55jJ?F`&1 zkr;kj4Q1f=G?lrl2-6JV4KNK0FsKc8Gaco>XS@i~y3vT3vSc5b5VVg680;~)UO%uF zY=fOLxsdlZ>CG&tgJ`GR`?1WE{2Fwm30(hhxJbryg2e7fkj^>gc+GM}^yrZGL8`sL zKg}*WdXKtne?RP*7Az18G46WH8JypoG&I#_9*A!3_47b;1+lN~-FAX1S3_3ddbP+r zc}1qMJA$vM-g9m~j1+ESQ~6}bWQe^| zh!&^l-4eKvjIWp5oF$xr((U%q`scjwUVR=b6cdXX2?QQzUQ`I-`iKz23<#@tK!Tft zoR0l0fmh0&7=wTUHm_Zg!q-P)77E`4^&ODN9wT_Dok)oK_?)6Al23BWW!6@JKJ$Cr zId+EwaJh=((V@LF&`mLKXf@Mgp}qw*ZMy6Ytjgv+-OMTHFG?(EeqUF(Araz0F7tHE zkXN5p$yYZAUoaut#eq+4zuh34F!vn=6fItO6T! z9UyC^m9;CBMQ6ZoCL?*x7) z@b6FH{{bHQi!t>tDkio+6-)oXp!|bp$j-&o&c*o;T;)%_68%4?huHsSRT8wbvv+aU zW@P!(=cM#sLJ$2_74>g(G5xvTe;+^ei}?DL@t?!2_S7w!wvF2uMf^2A=}&%W^GP=!!XeeC0V~h9;h9g;rM^C5U!~RB>`mdL_eeb4LApZ=glM)RmXkgc-lbj4$2|1xJ*1`Zm{n8kwK% z%i2%78;?E!VlG`!o@8a!Dp{!tD_aRlHGDn#?=(zhw*co|Y^SFH)d;@Wd@TZ3c^ULd z^O{mbg;L%Np;WFlsyXC00t8$MCK6%;krr&Qj*ctozlQx3AzCd32XCXWcb`M3W zYwl%{Obb*iiC9~`WN3F)!xB>#Ml7%m95%#7VQg4Rz}PyA#olqPLWLAD7V``VX3)dJ zod%PPigkbfnA&?QC^iIcHV()t$d|P)GUC)CLh4tbW1o`{(U3mZPH?e?X#%SbHljf$ z815!r2D8)NQzz2QNBow5*co3Yl|=&EfT>4LVFgl61`Bhqv3YdVW!PlwrzP`HB6|$r zUQ8OX57I0WmMAy@5sq0jx`zk7o*)U|BP z%&@r=%sYe`%fzcfGeBCG79zR6B1$~vzIjh|6fnC;x2Hi{Wi1W{R61s{OU1Y((1JVV z>@Objg?pYej=6q4Go7g#LiQdpwod1lV(HK*K`RIt-jtr4`Eo<3j-h8nB zu=^X$C1sxV_(Tl0a0}D@Ksh5x^i?`RX-!|+ zT>$y=cR`N0y5(ndIz!57EcnZSbty}SiSQ~in~e)-l;Y{$o!5`B(Wc3uhVm*{?H{$b zsCC5#cY@JO@$!kPJIH_z)$@m-SasDQ@&m+%5vvwCUz=#sr1fA%L2_Xkw(Y}%`vZWo zXHAiJ<=tWeJB_`-ui?hUp9xIQv2)kO8nt%7r%a=4ES!2i?cTnzy!Fuob%0`MorTef zkil>(<8LAiW`sC75H>I&iu;p?>@oo?4@AICTbUBzED*F4)>;yJx;NOO48~>QDpsDe zX6M%QA#1VDIuNuP3*qq=4-Ia|8xnARmBB9=vcmZq9Ym7_>Df{AReS}VK2zg51_2zWbX@ zl!6KuglNaU&`l(c6(W?)cSbW0P?cgvW|`85TPt7T0rMpj6B?lw};9cZee3`h%62`CkQ_de;|#)A?{4rVp*pm+&@1ll)v6E zpCpNupBr3Q0m9=vCQr`jUqyhWkJpl(7mPnaSAnL(GiLb7%5<_ERZd8CbXWuqt@0Ww zb3kCb0%Z^6K~7_gBTNM>zz#rueEZ>oa}@e|qzEYmj-4AYc+!gyGL84VaM$XWpvb|X z-qYTXlxQ{;NAt|sV-7&tIRhT~VJgsI4lF9?h($5yOPa6YjHZCngP_nU)@2Y6{17fk z?8j)bHymRMtMx@3vZgj~pQqPvd~X~5;#qlBaK{_X4Z#B14M(Yfv-XNEyh_`G;by0i zu=c9F;Ed73WNcw)F6XD}05G2~qDS!eg`M$xKRl03df+&3fZPD>TpR#I_X#34PWfEa z26+RSbOs*$9ZK7*vxg%wJ-iG|wHy(PqeXRra!DNcG0b2t!SZnk(XTV~UrogLU?UQ- zN0~AT%T{JhfZJR^Gi7QB(Kx~i9kjx4;R`XOD;)J=g6!!hZ5srL0@#u>6mg@p*mcSR z>+#3$fO-VyuJHB6+=N_I_4_20B_tftu zozoeARD<{%S4&y+ZX8-Lt3;CUEn7>ka~oKp-98PA$b!X3pNxPJ6{c;m8hcUqjHKd0 zkP@0Rze6y^vVNnq1d~zk@%=tkzkyk=@Nfb4dUQcLj9@{7^a9!C3&|EvBCb?AmTH3c z>lf-kXvED4Ab6q}?E73g=PvqCas{VRRxnl_<$Bhl&ei~%EDv_WuC6au6*y>+n?$znFcMB3d}UVXTcc0ASMCY!sg0~Nr_v5D>1KHK~>Wf z0y`*Q2utyrjA}nU3byhY;hUV#G{Wx|`yv2E`tE$ht%2wD8hz6v3KZ0F?b)@^#B4>< zmFH4zlMm8>72GU!7#8XXSf2Z?X>NgfLOHu(2#I68_52FCR+Y~Q(h_N$Nc)9le$mG$G%0y<@Qk%Q(0UIfgYK78hpdkKlmP9M@M~nt=7(Yf2F!jd3x$NRA)0rF9iiqNx!e!;jYaoDV zR*YGRKqH}gC$$PLIO-p=;S^ORbmXp|@XhpvDqIm_-bk=Al+JM#xR>Mh`1SQ9a(@=i zCGRMA%Tn!mGZ&AFNM%nOa;+#E{(5NGe~I8mb_>UTM!~W)orS9sa>OzF>eXu@g-5-F zF{P8FQ=OBzbL|JG?bhMu@&jrTaR?#dGYL%a_c{>ZiySkX1@KE^*1Rcgp1?t; zPvqe~$>t-Rs|w#t>TmZPnS&y#tX%VNs%M=ob$P$s3~g0;cR#_vzBS?V=+4;{gI z#u@-;iQx&APfy3KzqZZc8_MI!tI{ExQH!)l#xj`SF<&PrYRvr{Y&k*+{c>7tsiodg zq7|SXZmHsh{av$%M(!KZ!;2p#NJB&EB!9S7Na^QTXf3&8b5Y=h@Jm}M&YGL2dF-rh z<~NWEE{-+*qxR~9d&QhuA((SX2D>i@&zw!LrCtchmBJcuGUbYU&i`>YH-~~Izx<^3}T-;;T4%jqb-za(i#M9xy7O=?lm02 zwKmb;swCQ&qO$Q#M2va8{_a-O(;HQNPlA#U6Sqh|OH*6lQf@#kbULvQt7WU*OJlXm zX*NQk&H=}t)8S!M;$hS=h8(5QCKG-3hzXsY-G%p3>Ixo>>;&;D5_8MSY@;(>A+urr zmVug@zOf2Zogw@39JfEc#4D`S+R1wuEEwKZf#TR~m7?>Uf$RS2o6LLjgRr7!f?RQQ z_#QliJEpgx;p4AArODK-W%9h?@UL|$aU(IcO`Xa*Yn_sM?OjL14$wIBQ+SlAl=XE5 z&9LDG_Oc3WsHK_C_g*j2xe z2-#~GL4<`hm@~#wss<5F3+lEOlIN0+!i85E%buO8CB4zKYKYI`HVzOmp+d&nVJC@b zz?SoW$)zs4wwyMIv{9!OcxZI&=1&S*dWI7=hJUn2BF*J+#R4g{ve}p0sx6RYa7ZbW zw(w+T?%c|Mm4CEy*|N}xTCI*?1S2o39AX9)K7v>K8r@!?!YBlzOjknA)&zD&c$ILraVR!qJn-o#L7VxhtEA=%~8yKX7x`5N=?Et{UN_uSd zOr#R4ar@Qnoym_}=RZhD=%_l8X0JuuuyKUO#cO4fp7xb)$CYWc`T5#!d)6D=RoK(G zZ=OYO%=&~Gr#rCl#0TsZy<&IM@|~M{*HbeEvQeTPddqO85~c{^7P@?R(fyatkJVRh zApv7sQ4qiaxSCUCjhD5!LW;VCn1Qmx;;mCE$U?y_=jq6wT+lrpcH(?amuEu^oc3wVu8@c zlKFSZ{NJ%;{%xrEPnW9y_`!ew*XsXxJ^%k7{Z8O_0>2aZoxtw|ekbtnPvHN1$^2LI z#9vD0KX>o{V5s=ZcK$Dh3iiKADE>Dc^PfhF|7oaT|I?rFU(+%Fr7QoJcg*aZbidZg z@wah5oGgDFaB|T7uhV|m|5)w6v0-NYqhbC#8}QeL`OjPQe{GmqIoVnMVyGC_{$;3; zNd5T3P%#fwsBuk|Kj%-wiCecnj62EAivbgC!)9rcAm@ej^zFWH+xePSi9|HQCEEm% zKrP{+-4*|sud-doxAV)>-Prf$!N^Dg+ht8L%+ANz5~Sr2QsW!kFm zuP+xq-mRN^n3m@a;@{LOT&Ke^sE zE{?XsI;gj*pYzzA+27u=e)@Vnar1ZP(W_LLYdqcg6vR}@SemzOoOjUfe>*7y^sV`1 z|LoKGRQbl+!)&n|GlG9-&y)Mp_btyHeS;Z6<&~O!B(~~JqIdW1?oS$(r7g{SQ;wE~cRvID$*HlGU$cCUhI|{k z^}w&8kZ$;=Rc>lIn#R)*t=E_Y9k^1-(4Y^cN-Qt*KZ~9LI>f4^WR8$dsL?t^>u%gS zJ};tHeu*lSC^Nekr%U(l&f(2}0+2^UR61Y*u|}*gPN3F(*+=iop>j7FsA|7-ZG$Yl zLwS+>@mwXGQ7wa+v+D-Zbj~JL9zJ4p2NFdUt@uW0;YG`0kxP|2F^hr%J*}`{=L=;AANj7@a>TSBDX`UeyD-wb>X#qo>%E+jKn6Yya zmHpQgA8MrV(ePJtlHkwz@?W9~t&5_;yEb!vcafS&0JXAU-WF2Wh1f_?%LplDutO*0 z7?I?ZW`9)0v{458erbzQqJhu!j^T}dTWJ_+5*U_1%N8BfTLsIcazgf@I}p(r|Fz

3z9?f8Ir?vcR&|Ewg4y=q&KD$F|5?JN{(}qPE%mp_~cBID}kg#?YyEO zUs2*K;w9mCHRm(amZcI8Mc&+jgh$wgZX4NupFOGH5J})+F596L%Ledc{5si|PM09D zql7o^)oCUQB&23v(oaFT8t_4p925m|&-f)~!6e;d%7t5JH#j~~ncU{r)0r-u>=*H0 zzVk^7%sP}qHh~NxXp6`wz*%7t3rNbdL}kTHK!Nru2mqI+Z}Gt6?-qOcnFrWW%VF%` zl6v9@|L6d)Y3cHI5V2^pKFuaFO?m|lDlJ0)=GHx=!X{MXg%Sb%=Qqx6O~g|qeq2`t z(o{g%^hkE5*~p(o2+cyThy-{+sv))YKy8;=cIb0w(r~g%{d-^#Vy(@{UuI|$_;IU+ z5@~DcD3uoR^SR;s3m+SbO)Pt3?|1j9 z$T|G)ZEb zd7CO8>Sn;;nle`G<(U2^$#fS02D$;J$*61^pSMVsLkZ(<2>L;p+!bJ}PGwyz$}0_# z6@7?T#?_xuX()m&#?@AjP5dgd%ut+?hBxx9-AbJ=)%xj~9n4{nW&`wK!Aaa@806xG z&8keP%m$-N@WS3kWnfbrDJr)84yxZAEQ9>F=`6d64RD=?cZ(8*oICG1tGD*?v!q3G zK$+4sVhv zEV4f?DRn^Qj{^(H0r%FHVcH(OK%JenEsc3=tS-)Id_MqVj%K2LVbYh*hh`#8r^>{W zZ8(fRuf6Wve*a!lcy*NNbMQF#P(5X1ypVw z2z877`aH@`zKVTgIt!XCXfj`B9RN-67iS-m226OAVvD@6{VrXflI`5D=b79jA$DGg+2L{Q?=%$vG8 zGANo+YOt}KD;^zR*Tn!jwB_>o5_VQ?Nyr_09-fs1GGZJ{h>56 z0tV)VB+RaN;f?KJJ|R9X6x%+t^96ioQU{9CAYI#W2} zQ`WJ&@K~6e5BKsK?HraP`54I@B!&hM^?9^Ix()}v8b+o!4p<3F!1wN2%kRggYs4}5 zq*8c@cgln26aG@RXjd=TjoUu7k4KZfF%}51ra*!`aq~;$_EGOkuZ{~hfj&c<+6_cN z5%^+8I>*l&*%TA%Wn*Xx8Nn%#dl!vuFy?7idgG~L<`T3J+L1qlB{R_jQI&wv(!C8) zU>;;|a${;Dk{yW&LpkdBkeiqxebZ_In~7NWK>)Z9QX2m}TPQDftm~Xfz=#29ld?zW zl26T~X=*pt2=-XpX$Q%&V4-R@1Yi;5NH(6bFpSNBnWWY#F^{jd?7F|){w+0Z4kKoV z(}iv3vHPvP;jN0M4p8^8<<#3{$T$~bnpn(!_%}tyleIf!tK52VQGEl*mbE0l%A5?Q z~dA&BuG3`5R8Fp|@5f|JPRU)%mb^f|F zJ>N@ymG%W6;?d&QWOIjkHnd=%UA^Q+KwHJo&ZvR_$qke`-qvB z!<4ivadEd_XOyPytJN?>mhQO+Xa-qbEE^H{91$?4T7=;^LKRSU>c-*fP!RK+(=F;W zRA)ioTCF$Yus9Wd2j1xh6Gvy;w?qqsU}@!0+ne)?IGQ=?Zg^{BZC*&OB zM*3Ff9C(nUle&-gU)(%X<14Fepzu!r?|rL+tCpE?8F)KJV8QNdpKbiagJM0ah|DA_@&H}UNM;iR8o)i$vjR!Ew>+WnvCB6zp zD)yk3K_C7x7Q}>O*>Q{Hhu(*Ed8HvMK~eQNF97p|Mx0#renQILc@peYLF46q{mk)B z+}ZB&^{Sz3Gf4DE&`ApKTi+5x? zBf)j9H7pey>XBK&L+o)FvJX{p=O1_i1J++>(sGUaT}9n~EO?1nF-ADDj$Aq-Pt>L{ zoDWFl&V~)xfsSsuOF!caa7fuEeeLh~T`?3gm0)*=oY)w6-kEo9FuIo9 zx1>^AC|#sY%{9hr^oLZ5O84He)9VtFGzrFh7)iD1HzbPVy^SudxQOEkq#mVm)lPdu z)(t~%j#S#3GH{ToyZ{VuApQ~f{H0d=f+@!pvJ?zLaRl3&*os2B3rq3S(Lb zdO{0~Jx~GBipOjoA*n*SB2Z~`2sj1V_Tu-tz|%TSRnum{{9u!NPOF^F86kqMa^H<{ zBlRcsZ%N+V&Srl!ieRe|Gtyodo7Q27USEce#@MCzr^d@Nz%)aSiLoZ)FF@i~fZW-F zMk$-Hin9&aMc|zGD={Z=yz}j2`2fi1o}v6Xg_)YCf4ZWfJv# zUZKhoOJ`qmNOvC&f##D@1Gb4hVKF(53l|S9VW`d2JSpaNNRMN&-Zg~^=nrYg(_f~O z>ynXV9Qs6$OmMLKU42zol${h<20vK#t6tRJcMR2ce$g4@jP{jTM0~O~ofZ2aBz&22 z=Hg;ghbB@VytGbl1gtbQ`;SoNveJ+{-%S&{Wf-=Oz4tTBG|6z5PS((!B)R%}_!yC` zO1Zrhf9?F*s&u)~jZ~?ci7e4O#|?IFC8&)GgqU!?%B$XG`2r?2xNG@~GLX^5Y7K%=Ov6xXrx zuF#mwH@hLXkDb>}9tI7usXCN}!J~QXHSgn6x(xk2zv3}8UQM`c2khk@Z9tJExiEQ! z@PQp2oLSU2c}D;PR-@B(E5oFqwmM~1Tp~mgpj6Ebl-|7h|V!pTS`WUjK0oAC>SKwo&rT9fNRBupznh3-gAf(O$GK&E z_~31Oo|OESaC5ZO_TJZ4;C8;1Et!379w(XcmA60mrjv_1#$gr=*R#x2DJ=stfjY;({Qh4vBG zC{-7~QNHQ~WcSLJ+WRe|J)q$DZ#4RJohq^U0a113W({JPm255qmBVCxB~+0a z1GLNS$L7APPDjnp(p`_Gcj;3eW)=vGrH2^SEvU+p$B>-inzqc9jBM8cj?bk1_IYIu z;pjEip%nyc1O7`;x81go)eC9fJfqqip9Rh$)ne1vD`3(3`8ROpqf_kgs=D^*m8!0A zYkqtYJACd8F;X|N57X6vOO#Oea;d5BCr#^?C)C`>0~Ez-puz$zA$~2l%<4h(`fI)g zV>tuJbF)lbs5J%&Y}rCoQsbUx1_35&pS135oULJ!VbEd(CnN?2Z9HmvXA~gzGJOO0ZnxuFxz9y= zwVAQp*)8-SEqtAs#gsDDYy{NOmqxDUzSnTJw}A6H%dG2Tv?(hQH?hoVZ52dj8~mP> z-7?dBv)Zkv@^EW+@72sua^uJ2I8Qd!#OXX}a~BDFvupLLn3+LH8XgOww)R(mNu?NC zholSKsg>R1m;C#-T!?2g(%`RQ5pCmXB<^j~JfLO?K@vEz^ZdrLYz1h_77gOc8ibO% zkJDJ+EsNbHX0@-ofM*BI+sRQj+l`BJ>%_b`owDzNrf=VFDs!kmtK#0R&ug8V6Ei#5 z=kc6zd^8bM>$bh#V*KFd&O^Yx)KuJFhk<0b7Is-8Fy-0>O}p;>xuw^CKqD75b)n zFbAHJ5h_rtJbb;#jS8(H74^pNvhCI4DY%VS*iQZJ^{1;h8g;2uu9z@OeQQa3hEiqU z4W+7cvfjiR-0w{w%MxwzQ@;QmkW0yX`QGX-2fxm=AIDwmw(o3e?uN@#jcIZ$W?+Og zWZn~vf7KiJ*1el3IhJw>UJZ~;c|)reD|F`FrDh$WS7ci=i8X!ZgFfp%bgnvfbgjZN z`!-Z~*XPhnn6a$>NZ6rVugBm$244op&}BI`W|}xb)5M1soRcIkW&S&xP7v|pG@aIP zx%j{;w9y-F){_yh1@DwRj4m_W{9~i)G16+e6-vO+N7#-uq_9*wSx%ZP(vDReKcVYe z2l<`AJ-Y>LHOEV$nai1EqyuX~2hyq=JJp7jA>enBh@RM2us6RsEY(LB*zdkHJqw!}n{-21(pFQD!5kvm_VZdLs zk$?L%&k8&%@T|bI0?!IOEAaO#@aNI^6HfiV(fHHT`|r>=+Yf*DZ}9gwPdM9?&HJCA zakgIutp4T+XM4(m`G28twqHVF{-s>_r!)V$y1yKa|0z%S(_Vkm=YQW5&PewIjz1MD zehfQ(L9a*f`7 zJQ${ES5H(@>igiz( zuXnMJhH#4?hVXnAmIDlLmcq}5S1R4(&5M-;cN1fr~$1%C!|Am0*U#t^|f4v$ZD~)S` zjv^UfRH6f1mUl{HLkJ`cvzS_vu2*3zs(rn(l!y2Emr8|C#-J+M06f&G<2x4y;w+z| zk5S$E1uzaEQ7zdL-PoMOIU29L7`__K}^f6Z3*#nMVH)`}0;v3wbN z3a4L5vPw(75*JC+RMy}6DjB{C2kgUuuIj@}ern_0SWxZk{1>kZz7*=A2<5;Dl2xqI zPAgaXC720EGj?)A;FqS5pk@qlpX1@S2v&jfL7U7CuwOy~bX&?Y>+rq-#9~u&$;-2t z(SboW^VhxL$gYVAeD9FyN}wZ(&U(Jx`1dSzMM#Q}YQ5lwsSvPH3(PVimKitO;syGE zJl4N7CGu{wo!N>QK~J@!dPU8_9AUb=8L|t67ek;mJG9~}mWl7ct2BLdk#CB?X2II~ z9EYY*7)LqaCc8RSJ|7IQ)FkBPp`F{|T# zt^?$28st1?!rSxt(}28Bucc_Sl^DbAvg2tAmuRltX)ji`-zjGMS~D)o)Z(2|MRxo@tqsRUTV^`a1I52TB2O zPM-lhc@Az}X1OSt$7Uj6YdTUVAe3h;U0CW6G1avGz<%Pdk1;+sD*+8@DK0B6qz(_a zd_6Y;wW47=Ym?=+aJ}Kl&$9PjIu1T9N4|$p;kJmvK$=)FHJkCB7k@OPvQJ*LC&uSj zN|?7{oY~nM4R4N_g)LS47F=NDMsir+-q#8WYgt&#kzZ!jv4EcGWkuz}VZ>9E$@IC( z!y!vc;mWi)yy(Pxz~YxlqE_4HHCNln_V6>qk!RUiM^;1{@xvVJ@PZdQpMdRg5TSRc ztQkk+>^i>eitTDWKFm?YdC=+`83x?o^fHf!*)4#&dN_Pt9nwyQMF$7>Ag#hvq7T{o zYU-3 z$sV|eb5u#cQJ}whW)l&ddqI(BMbGhSJegjPr{qi{Pk&M+G%NT_RJpGs6WWYLln#BO z*r_;O44ODHx``xm`CTOao)80<`zTJ3{&blBe26~Un^9~s;u|3>Y<3wPx~~TqkGA*r zk^8{RWx*L!ehl#vjUvyzKz8#bliLc(Ll5GzkHgBu?} zjXPnLfpO7WrgmFVCDcyMV|Tr;@``C_O*}l@?(x#`w=GN#%bz_!yr5rB_*??U z##lIyKOS*i#7~!`@5B4dNrqR+d49T@ZXf%Qhabq72z!yLS}W=zwf#}EN3T|&5y&Vi*2xAwiwn*!f4zi0@@5`eMQddTSZB1HDGsOei zxa}<{#HDeg)D?fp?RK%Gf3Zu)ht*H37sf2$ z&1Ox#4iSMBG=|C2W7~$-z+19FD*%7nkosy@ovC11;E_ILy<6}BnqV;9t)>!sx@l+% zB4SY}UB$N>82b*rd22Ph4MsMh8@#V+M4Mf;0=w4cJT2Tvp5a^+V|?epIPC3<^=-T4 zHixO5ZJV$&bM&*QE-fCgXkvcQ}i_^fwycqfg{^s}+2X&y~$tt(LDeynumdd+BBV5KRBn1^Eo7pTYEhBA9;m zZ2#LJ_V+LR`#*~Rem(#DUp*`EtiZDZ&k8&%@T|b!ufV?mrhoGE{^Z$a`!!zU$CLdJ zsLA-`&HjzM{)0A3OS95Fd5C|rY_rn+sd=UU2ABTj%)hSgFXz&amhHzxZvcRX z36G8K$06I}Awc7&B$r=y`kOj`1C?gPqi3c2gK4E~KY7{z8!8Q8VEljvts#n8% zENQiN*>2OsIUfHQSL%QO&XTE`gv7T1?p#?_9i!MQRo z{zbJZ&A#q!@3$~;@^^9agM2r-4XT>`!Pj(SotL?>1v6M#-^Pzmc4-HKPR_PJhs-k9 z4{Rg(3?~;%2t(Cv*pVU@I26ed7EE$3nisvC|Kik9=`y!F`^CKcK6|#Ara5gUJ;fHA zNR`{j&rsW(Vkd2>YHz-CTt%8wrRv1%uK2qghE&>k%|RIy{|B|#77uXW3|<4H>~ZSe zRWm7)?lpX?Z_nQc{^S5tz*H-H%oX7cBzmXI=Cg;V-PwimDdnI}@_TrFQSO4vxrd@T zEsNGudZH5 z`UGa#Ip=qAImb2H_~Zbs;8MAxms}z|nW#gwO4X=4dm*X~+-04vZ}2br`tw5)KhS*7 z{PGG(uL!RO={Bb@tI_k`XO^H}%xf>`BX*|10Oeyo399gvXkn2Kyt%m~{Bg%{-p+)> z`Rm;`pUWuNouIA7%s5L5UJJG8|Cmuq5Ts(SsZ-n@4a#Azn|C%N1qk3DuE((L?tRU97{+(v{!ND6N%kIrzx?A)$^y;R`f%s ztDM&Bq6UgWM8}Gvm~|o0u?vkz;Ac;4<&VHHjl>C*tR}#0j~A7aV_($b-{$*RwQB~e z%UTl>WDf=6Bo(YW^DBV)1AMZ}8BC6GafBlHu|K&>m!Q_Wwf5io3|z{G~Utr~y=XHXU% z1PafQW~#M7j#r0ncK#ei4U9ex+VT~2CFA|3+;9mWxq}>R6i)k>ZzVEN{0-uu0@k}? zEqvOv%Cyq*Y27Q?Wqm4CI5R7${o)uLN`4uouvD6snPm+23- zpOqR#myJH69wxW8_l$_-CW2Hlby0>A^^7}{DiUY8v^!+Ge+z+z2d9;5VGo=$6VWQu zKi8*Jo8HFq#5NXAv{P~G;>IhHe|){(s*45TFH)9xh0d@ZO~9(IF4Q^J>F`K<|&3xY4+ zqEnJvY74MV1{>!YqvfHB;orDsafOfw(7bg2UfRklfecMt7XcTdm~L$~FM9`oY6$G* z@9mYz_*zZ7pg5U&8(gVjYjZkKoy-0iP*RSz4x)lNw!*}UK}>lS3}F&p9-mu{1%{Xz z-5NA}JJkvz$d%8$_O9ujz=_l%Qx}bDlY6|#iY+rnE{`mxxRoI z|B8(T98XW|LZX<5F?YJN%0W?w7`ro^Fz4%_3>iM=$?PPl)XMA!h6v~^Wf9Qk>?x-> z^bj{v&HTtrV}9AlsgG#e2RjRjemk~+VoI`4A!C8HqZK~7MI+v|szQ)jDZykabt4R; zQqn?DUoY1#4fwzBO{DlM!$E4p;z$gE)wOSz&Sw#!wC}cOi}T}Oh}XSB9QCyem~2zC zYA9eA427KQ2DP&a)}OH3;e3i{1TUIR^bD*cOWne^$!S(`A@Vilj_oB}e?Lqg z(ANh=L>>t0D?eK(qQh_j=Ish{up32=?ZIcivN_t1bn_1qwRNlGXjCRt?~bzr7xEfa z>t8=N6F3&-_|WE84?SpQ9$BiJW{-G3V8UVhs{QjbK8r`@viIe}ydO{_S|*trj*&#T?9$xzbxS%0b%?CSA15^zofYc(QJZLl}<>x@Mf%Fmv)>nU?{ zua0-kU0HWBpxQGT`gKTPOLb=1@npu{!7(s9FR?0NK?j~`x7Px2D^rSd5air5o#XX5 z4OFLNaJNZNuP#L*k9uTpA~eS7p}4n-mn?fO(KFp@^Iaww8G7lsE5c01**qL-tGg#s zH~bODyNuZ>j)8Iw7(Rp|0xdO@k70JKw8A15OE#-7E_R0@OunpJb5+U(8b@8%X2)LF zm|5|VL6d-00&W|B)k$pk77@xa+L5T!HJhGQrG<8{$*%3aHdLe2fl7F8`jQ%*0lbEv zwa~IbtDut%P1XnR+GRACtJJ2eAeb4$uN-E+tvQ!sco{Ck<@InSj^;i$S?7FgOsz+Y z7Old4<7w?TC7#Xe8=r+y*+=A=NP*M_hBw2`ox2Cf%)Bd(H|hI-1ZrhYe2)r&_$c>6XKGO1^b6)j)sYTIZDr zWfz21V`ou_4U6)IT^3Wvy?J1iQ7dLJNf0ZoGwXn#-2*`?450_wNzwIVj%||gGAK_( zvDg8_9xPdNuBF_L!aWU%Y%|g9Wx)AhU$FtdHlRwubrVaC_2>v&f5&``elMpaSNI;- zM)y>>7Hk)+8EK&v$3(!(lO9J*EIeUYZ}jH`@abO|NRu_ zFWShzeVS(lo)vgj;8}rZ1)df7`xW@pHH}|D_^&knA2p3%p5A|Fw*SeZ^p8=htaQKF z>Hjc)ot5sFIjjHWwP&S!a?$^w?@yla9|upRlOG39wUNgI`lmX`kAr`u03v5+W3Oi? ztz+{P3lCtU{a2j&zpU$jsv`1doch1$@aqcx%K#>BV)2ev(7@JC?J-#WDdk>SMHP>Q z8IOU14$s2g%uM5#Qqq&WwEUJf`UW;?Kh&espp`Yyvr}VYWukeEWoMyhrg=O86M&KC z@eN>M0MO90{JtRbQ{ChLeeuV0{F#f>)3MS#4wz+PV54DSz@w*Up<#Yp0}~?+%kMA# z^nU;8o&V{Jv(Y@}CNZ$G{bV07})3^b@<)RpZ<^iC_@Bglj{c@-Oy4-(W9b;rn#MAV_Qi_$XEkmEdI{z{D-Fdi%2_py79oYVSy(&dZ z%Bb-2_N2V$?lYU~|Hs~22Gr4|>Dst!aCdiicXxL-?(P~0PH+hB9xTBnKyY_=4em~W zL(=a%(>>ov_sln^&kyz=s;F8ech!bxt@~Qf!{3%#Rdnpr_OKaQML#NGzNSm<_!Y;O z4J%WQT$_@q34ca-(}1p)Lk+3!^XDXS*<=zbJMk@P>1fNZ(_f4)?5<=S9ZPGeeM@X| zO}O0D^uqjE?Zvom)Yj?I>dI(TC^JJwQacSdq@zMFvJ+0FfYQNBtg=mVAR4V0gsYRn zr4VdvxS?ew-|5O{C84)QJ+o`p9tgMp7;`sYgMv$&o`X-j-3wJ6?Q8aEwlG^>veSA8 zC@YBy1WJHigj)h|SZ$B&vaX;_eUOaSaiA_*qC#Oox~nCWogg*lStIk}UkabZsDde~ zH!Y0ZrDH%5Xs7nPElxoGNLvSWS3*f`d(e!Y1n-L1Q;($=!%S+q?4>pHu)#SiVJM)9 zU#Qx(W7%lXM!?Sqv9|vnXLLZCry92Mg4+@lLUdkJj!+5&N;-CD+rTCMYvlEj-NI*W z$D1QvsV(r-l`2hEjC>%A>gd{TZHYY%L6W3N(!QbgFtmBGa%$Y6naWAy3@Ys2y@mTx zSRiV#Le(<_bJu$GmIbRTngWW_q>@7z>0LcI2y>YegO9!1>hjt`;sxErQz4ckwUq^t zsrc3^sf&RToP0{IXLsuJ8XfP5+NL!>JCGV&I$dF=dsz@os52d36G<6Ihwyc7m5Zn? zbu)8!xdbnAW7DW^=ezRFs~SZwG63(p#tX5OYf_2OnxJKD$r0gQ8yd%B_Z2vMd;ZshLXK9v}0+)jE_IB)jZ%y z8x=|y)74=X3d0D?jZR~OUL;>EP)eYrSbeviH4aAve1hp9LOB&)_t96SOd_BU5HK_d zU;(moxcBO~=Pue2s)pzrW}r#>e>9u1nn{OC&DvZDi2@T(r6uRVfO|*ETy5|^>C3%# zGvu8WhvMjz`HwpR&of-PTy^!76XH@b0$0olB9RD(*l?1JD$1J_2O#W`O;bxCQzqZ3 zWm{1m1NKd?7X65xhZPT%k5n#|GEwc;^~O!|cp4*>u;!%G3p-}bdA!>T_wE?NMORyl z$DCmg5xTU&AA%wttE&!iZ|PdWVY!>cuA;<9R^^(`i#8CuML&Hg$nlBz-aH?ke!pba zgVOCo_Hn2<6o@`EiorD@yEtZfunHCJ=i!I zH`Cz>5hd^QtgP&0(fIffxg96sEWL|^7}Q%S@S7**NM2@GTnb5ip_dC08vkLJu*+@& zG;OsYI0*3+70?@nhr(Bq@_{VN)e zq=}@iE}!O6l(OqYtG$PVIERc;R9JmMfMP*hIjdglH%Ofm%kKM2jBGsIb&hi2$*sAA zZriImzS_FPlc!KP6MJCE2-z#&o1c_wFJ5gss(QNh*77YJE_`Z4&3@mvoF>jRzY@j7 z;|-d-aG%J{wbAzzIR;@AlGz8!+Eu>$p+4-sxihCwu4>_8j%zMOC64vOQg#w(!FzpGUVj|=ZcaeiW`SvD^u(Em^cW)onmTBxE(qLp!xls8#c zC7`R}Z&K_oC%6xSkIC+{Ag`g3V|)Ja4Q^XC3+W5a$vc&2MucyYQ=;Ez-_>i5ooT;s z;I=z`u5I)7-zyS{k*7y$*QKSj8&4t&ZOtDVB@QbO@vc7`)>t+!shnbzpJ#7zqhR-( zz2=6e4NW+095Ao#nOWW1qQ1OeU>UzR7)#%ncDY2BP1okl$fQkR}Y(BVTd5+qbAN!3kA`M^Cfz*FVMH`{^H zC|T>K-MDgcJr@~_OmFsmW`{=z*$uS#jFlys99=aJFXo!Z{CK?UrtY1mi)-xrAXg~% zW)J=q`4qV!S{xYEv`td!lQiY*%Z$Q`%H3cG=@AF3L7|O>C?X9JDl=yCj5~bDFozLV zWKRIQ4IS-)Dk(oc5#PLTFo40JmAd~_XlR8imTHP7sCdxXA(r=P;fha=-w+WIV(vD; z7lybU>19%*vR{F0gL9b8F)OWW;P>`{>zDtNhH z45%EE!a*u8g@NARL)%#YoRutfOpCeEXj5CP%q1SAO6=02LRU zfKW^Zv>p~nErCXbqJU_d0{cLG$APM>)Yb`(24Gdvnb^{Sr-@~Ir{NV3U~+t<+YVmm z4jdOaHKh?j?^IA5;B^+}Ssf!d#T&P&$!asI3iKLtn`)l=p~DTTUelOO=j16Ep%{po zTav?50bQc62|8Cnu-P5)DKRX=^&C(P0+=~f0}ci~vHz zn6r#hVG6T`>&_J{lc)_wOU;w*p3M-^EoM%${p{wr`!p z0Ino=SZPV=ROZ%P12-Oj@6`C>i$E>tj@!6ZLhj5tQ!RyqYc`SwiMMXhgN5!&_WSa1 zEDaof7!A(wON9^R2z-^~M~>GqipTm<%?}hj?;{*cEj>dK17wJ#&4JkuYfXTdU!czV zh;FcqDFk4QAEAll%{q{l?j-cS-xss#;V@U~`+kGg$RH_uPYhyUgOlN682mYQ4TL4JaIl zdyAaBu&gjDl05qq6eVw|F=Zy}D5rc1=mr~ZJe$V|LJWg$KGOvIG&!1j_wt#HTD-^^ zxfFe1B{eSd;p|ww{lVLXB&h{8bBELw(4fZzpQg1(r|c@**DCHKhn+!lp|Wrf6D7#Q zjBE9vDEZl35s_7XC$hASK|bCF_4|lPw$gJp7ReDSLaQrJ%XY^07FXOng_n--Z3AKo z%rsuln{ji{0w(9BIUVp`hDV4eWG2Kp<>M>*2~}h>vV-DYB`otj7;J?&i_W@4+PjYe zKIi8j&y~5ec?Al#n==ve%A9mncC>t)CH(0H+u@LMqe_` z&qdDa=NO;T92+by6EX|BAWXfNZD0S*`q|HVnybjZi_!5)Fr*9@cu}1^D_`bptD?WZ zZ)?g^TEa->z1&dtQnoMMhlyLO)1tQE=w7_mkh$?^e)J*Oi~| zToELty;Qk2jJf%TTytuVVT7r-7|3yReZIai2+W`FAhX4E_;J>|||NCoi3cM-srofv5ZwkCA z@XuG^?>9t$dmn%J9Dikq{*K=N#t{80*X*whQKtXQ5M}x|hA7jYhA7jYhA7i-r|<7f zNA|a_Cl}K{=6e1<`5!m>&zJ}HTa5pf|MgnC`jw1l|7{Ter55#nSiSnc^um4}=sz^W ze))GCOuwz^-{u(mYqbxKSNHYb7P;p5{nY(P%|`Ecda3uLyHWv$R+w4~b0 zx;AJJpKp}m)Om!)sE(XE^%<*k=p~uc?L6Amjf~6)o7v199@wE{>(L36Eg+`&^G#JU z^^zL8+^e$NgjOtlx%O|%8nHTVDF8m-bW-Q}>_3pLsrBrV^r5#Cutp((9g)3Bv*i?1EvR0j}AWppJ$h^cMdJOr_=XC6wTM-N;`i1 zQ&xqex(8_`E{7!ma^WV_?Kz9Cy0w>dZIO{Y(9`@2=*8TF$xTM_v1}Wjy7~7c(X+Xi z9b+v8^^w;LW9t}Ng-IN-*jI^5no;z2ra-hTwp%*I{Y({h(o1E-()Dsv51Na0HPLeT z6;KG(Ny4FP5I8i|czX>Hk#%-CfnRX>W_R%EO_nSz-OUzBwavG(#y94I$(!Jqy=ac1 z^lxoHk0Yn|iEd_=~E3vYC(R!E6qj6qagRv zClg;6y|sdR@cc(|bwI<@c5lmabqKtiXgV00W(HfDtc@&bKF*YMmr5rqxN&vfOK>ow z0EhNCgpxUp#e~1>&24yz910^DSzM?7Bsz7eSnNm5Me@B$)(Oe(&l?c*kc1-<*v&Oa zL2^VgvL6tR!-DPQAuHt_J1y-hrR(VXlJ-e`fhKZZhV7$Ukd25A?=mkxb>z+3+UJH`vbJrL>g}YD-(4r#!RE6v$xRl*;pU?XU)v}4$i_^~v&Sqj+TGJv z9W5zs8$@|NxKH#hPY%p$~pZy!e<8cv-_I+wkpN_5>H)k#nukZ z%ZJSXw7c?iTHs2(_SmI%Qru}5!GfpXIX-NxK%39SW~r6)B5U+ef=emVOd%A`GKy*F zT}HT9jk^7})@=KRVO-%tI}ZC4t6RI$ECXHuW+l6+NlkN8>aA96Q6$>vlt?k0RYQ}? z*Gg^MnVTuA1`kN%iDlgpq$yd~!rj9L5YM_%LpT?m)6P9R!4b27Q-P!D#~JN$py{T? z6Ci-!t-6~hd+$_kjQ)k2np(5NXx3j#QLOPdWb2jD- z)wWIsbzz1>lU!d!$`rm%?nBzCY9n{lFZUZr2#ZBkUf*Bq~F zJfNrV%fDc$8AlFSY56*`Jj=+mod;5T`QX7yl$v?5bNVw{?Weq%tKn`aB9j-9Rtmuro%;n9m5=O4j z5H%F*ABF%koU?9&?&*poXvV1a%dh$<*{4KpkL1RupdcK>je*7<$tuqr(Hf{>7}%}Z zbQq}K@RB{~80DASr3_;ol%#H*4Tnf@NP1hP!;J;eo9n%=^h;Ih%LQTY^^xrsE$_I4 zgJ;8Qf3DF#_5y?b#w`@r!jiZODr)jCclhI#4JxkLm9z)|H1 zil!^H@`4}qUJ{ou8Xf$3FIeI+Nbx-8I6iz$A5`GSU3WL9J6x&Cz(Cc>;BdA;V$Ag> z?0k+EN`H-}WDzqy0sg&pi4UxuO9+br|BJoQmz#UA{BzK!JmVRGQ>M-#qfiOVuw6ow zlT<}M0pTWvsdqhB{6K{biq9^tZHuNlaB60q?idPwKIawjf-(XHs}&cFyvrl;dIUSC za&DjLVo0Dkys^q9iY^?xlKSV}E)PwqJQbzNAU5HAPw>1o$|K8d)3IQ_Qv=~}2KuAZ ztT=ZOw@ri&V-`luOck3LjzMcg!3I{*Nw04Qe0We_+p2@b%oI$)B%yW&TDTk!mtf@Y zXx*G&2Ddp@j7#7vaS}RWYGOX1T-y(KQrLMIVQO-7%bO@-xb_%yq10rIV=hc9iijV{ zBsOq6R!yzXJ^cwrcjRk+|UcQ2ADV#jpto(@+Ld$rB7%h%LvlLqf<$ zp$ps?p-?FSI6@S6u(m3huZSn;>z^dtVSUXewTsxQRf=fpEIpCC`F1f8D8)cNHEgk74XEGx_) zIH|MGZPUb4K=kWlebx1id0Tg}h#NiD+;(*Xxm9K}7bh-0`aES{XDE%Z);U@*g33CN z4k>Ede0`>Dw3sEXia|+|*gdN4LFXM99UG*f3CvNG$SlSa*svc01I;E7`QZJ9WxaKX zGA)GvIt!kHvbXBhv1i;I6LN zz*w4m-=jJwuz*u^xl5_J2TIR9H279r;hdRoNo$^Jr)s|w1lN08%snOcS+cdB2B&*O zsgF9(@^)`hjh}C;OrQM7Bu&8=QYa~Wj%mO%^8v33V;psjK`GyNe$sg7&3KPmlaE$n zV4)iG#XP`A8wbQk0v2KNHPvB0dOn_Gb%ShBEzO<2ks|cgDXL^@+^K2sOz0BhI0Z)s z(Dqp@M*OD;s@6z*(1gjQ`1X94Sef=uzOeCEKb%MsPFLbujJP04y}`J>Snm(mevoht zYj8RsNWlm7q5BAEGoEXsyA;wFuOK5_Cko>hjuO|pCcEt-Yb$2MxH4Qkf77Kh2m6K` zBfabC(6ik~g6W|~hFZH=c;McYY&*jMZ%*$(7l<% zU}~)}ljcxQf#XoHYrm6+a38JPT${sf4y-^gjqY&1t>ds>b@!0+UYqP%itAdr?E#q2 z{$8~2EPS-TDGsG|*OhRBC=}}w8F;bZ_a`{!{cV1^Cwpf+)0eyz2612zGNH5t4;2*8N^)YpR9%@90B5fI`Czz&3i8N-k$y4y*^$tDe zY-tIM`@dm2fjI7Ao9Sh2CU$GAK7oRN6R+`>$HP2X3EX%-5H=TOOiqI}IK0xl@A#A> zAx!XS?-0WfRm~Y3H+QxmFE`+>tzBFr;iSYlDj)g?bRa?a^WB43YhNwYw~`v4%=Oqj z$h(&%UksYQy*kDXPSCgpGEiR3bf7yL?goJ`CSP@-?@Hmk-@R| z-MVr4%O9I>Zm>V|YGW-Oj{Rg^QkRip(?WqMZYI5AC2Ah5W)=20;wr zhI@x3D$d2kAsLkZ?CzaS>ez%h?$Cj60wU5*A%;S^-RL`l@y6Uzt;5$Zvis(HPeyY4 z<{LhT%}n0Uo$kWP6Y$G^!(|n%cK|{MAJ=Wt*rZB{inN^~Uc+Vz=O634TaMPpdu#rI z!&*+(#V;`?&y=8$_;BJZ#xnM-!?NP%`)+qjiN^@1a z%S{PpMFT2Qr%fM%B=1nRms4wx6-4{M^EYr5lb1wofA%I8`?PDpZHBZ?mwJBdZETDY z3X?iEO55?Qr1ih41pZjaGu$hBzH>JgJ*wF0dn}&(EoEFu987>ZIinTLR?Q|pj^jJD ztC1z)UNt)}jhr#U(pZcP8zQ=g_KN=i&dj+YpO!j7$7c$hSw8H1^Y86}itl$<6l^_t zsy&cn{->zwVGswG)(3SRYWedey3|oMu_B;a^{Z z9x&EzcNG{)B(r!_p{S3a@3f66G-~sxf1!6_Br#zILfUTUti4^~`{Jmdsk6H9iPaUA zY`6~+<%8jNR5sAvi4hQ?!o>aX0M@s>Q$wbmbb)PEOKi{GwRT+!I@=ebml(q}0|oVI z$n}GBb=QSw4h?S4C%Mfn&BJPM%5HD)VB`B?f0lhoAZ$>%xTep1)q;M^Glf<73KhkQ z2gpC0ZJOq4QP2cl`&jDK`bA{DnV;|aBwXRxCOADA8DiG5l2hm)t*h7jcFY6CPdm_{ zJ=50O(Hs32??(2N(eXz)^*t%Ld<(pYVWMBQkQg{U?Ut=p6OxC7@V`DzkO8pCaRV9C z3@=trJh*D^2wo>+*XsH_{d?>I^RKb8KgOs2MEo`7WEo^l?aW;)UeS0)w!cg!_;bYb zUxumvb>P(4)ZEgJkK(kYor2KPgpWdlO^#8{LB!O;Qqs%GRK-hP72stJ;4+~Q;D_P$ z;P$X}ur+ltBJ{Aev2*73;3GDAO^#*C{kr_?*9^pjzjtx5<|7vRwSiDmPLWW=-pQ1Z zot}{n!1zkAb8ykKzD^7=He;qGWM*V$VtBnsW=1+jMs5~PZdS%WElpy67(!kr6EkjQ zQSm>{_PWMLY~kYKz|Fwm?(RY7cO=eVu+UCCW$a>S}4iZNkNDX3Pm-r(P8u>=^oSlZh$IGC9I-u(KL`*oVL zi7UX=>Ceul;%iq?*3#I?$jOuNb$UD_F~hG;B*P!Q$k+ZW!@qQ3UpM@dKW`WCrofv5 zZwkCA@TS0<0{?sk{{DFWH!Aw?#Pfdur+>!tES&#-awX>fq>wSkYi6HU4E0|NMlkHJ@#i8^3TgZunWJzVjFisJ&*&9`)*s7dcIZ^f7iI~a#DVkIm5=-lWj+rJ zRxB-9x^~mq&n{l)=YC!fBim;6pKQ#Ada_@BreK&;6dPn%yME=rAL<#{@A;slt*4tU zI5_{=Fwl=G}U+@j%!}(^_a=;Ky2_XHZ198|&8|{6$ZOTpH2itZ~ zoJoXHhSezC-7mXC>t|>*mqLE}nSQzB7Aksc76Li=Ef=;JONf_7x?gp>)r|+_Hk=2(p$&Gj5KW!ANXIRnHzq(S7(a%{;s|% zP3)Xa^G2<*pHhk}4GHtN+FNZg>7BV+$Ft@LZb!42D2qS{L5=Kf5)^D-^;}%6thm%D z72A)xOo@xtJ%R_B9gqgFX%;zVEV{&2y%)8zZH#GuY0)-p9^J<_x!<$S?``TftIf)) z&;bu&FPr7A#jo|kn0at=ynuBZ)3Zhcz!MEoqerK>EBm`Mv&$Xh6qkrJ$vLr|GCF}CGqZf1{0<#kn4 zA%q}#P>_UrQpp9jjdi(DfIp~G_(n&Dmm^OP-HKZK!O1!3$AcPzJAQ>wwlX7mcTEQLQhXDT>!P9XLx~h9hEHhV7zjdWDPkL&n4f zj6K|=Hsn@}nHZP9-$8^#ausa|g@g4m8=#ZdRqfkQj~32Hq+7=qvE%iZiRs}yggW9? zNa>QPY^3KlV}??`2mV&10r5jtd1*XW--%iCLfZYit5SPd6CaJ7iL~b-3X@e z)aRmlIV+J2D(P=>B#%xMPD~kmRKPN;7}Oh#{a2>Q6%#>&ir2MQ^{VeEO(#Dc>5fY# zvkLuuSF1WbH-T_w?L(B2Vn2Gu@hb&SXU`|nPv2NGG4euL))&~TBXNd>k_@7pt5OiR zuAf}Cv5G3Eue!*6tHkH?E6`D>MrK;BUzwX9GN`t$_g|Y+>7Dwf0YRN}av;~pF zwc3P!m4&|dcCK8GWS%Hj3Wp+S?m43HL zm`U<-eQ>Q1$5twC?pS8tnjiOO-PAJ@#xqC9>V?x@8xvv@#$y_wCW7*Rf5fjVpT6_wqnTY6V#?Xt?pn47+<9^Ra#LxP*FbW3=) zFm_2qn7tkW>%s z67oI+-6oJ~lAdJjARKO8HJif^a~VhT4fa^xjh{A+3<&aBl~NhdO9-OPbgBBS7H7|t z6zV@2b>rjg&(mCVX5Nz=4MD6alclYE4(Lrhb|j+;G<49@qdz@S_H-n(@K5vW1`)lr zET=-0t}w3w2L;ca^cnl^ww7|3!ae- zbN3Vu&tg}u^RG<|>JuU+v><>bThM$k9q34h6PO|koRF%_F$!Jn%g-4oQ+&J32w7yM zOx4N+XkQ5KteR4vk!8{>atE?wdRgrt%E4z8!j$i_D~skO4+@6Cgv9Oh z=h(wfCy6=URnucFk;p;{mOnJg7mk=bLz?K#L0zU7x}+}`Yr#9pF2h==4)g9m`M9Ot zGyuU{iB2o|-X!HFgv|V$n>!pr-Fvu855h)@Gexh3w_4NCn7TeP>onpxH4EFAJ6a{a zCP3a(vu_^b7kE0l-oGax-QYMFS#YD8qEu)v;Io0RE96>j4J+9$`xJcPj#XeDSQ35`B9sPO8)h>0{W> zXEbO(=Tl(T{t-3}GkYlt#SCu`8rp~!KWa#j_JFT6i^5P(fZm$(AC zfn1Qgw1|e{x>E7|kTU0GbByiD&(A2lV{F`ZeR$_UndM~?PR`GxlD@EF~TuHGY*v*xgyuwJn6Ehvz#6%&hKOe7}6U43Cu7jr+cq2AOd* z+E2FS_8t!(#^==CRRq1Ky@$5Gu|PcRY+8xe1+y^W@rG2ajt7NXWAhL~!38n)FUAP6 z--?)?umd}>)$AOt(V3+`*D^weX%`1!X3fJVJIP7sfTvpW$|l5ufqyZJZ15r8y$-YN zxF5tGHxJ*{7s^b4j=Lhf@vv=j!SvCGsCLV2y4RW~d_NEP() znt4X4Cyr>RroAO+OV5jzvKSh=c2RjOeZ&2AK#J82=ET>Z-wR%a4 zgaf1YwUS594lh|IC)5R^tD7_o3NvV#?2=(b5M0w~?CfTIU?jtpoUi?;+S3Q%sWY7E zxJ-gDF1XC`CT;wO>T3&Oh#<&oMUp-8G(Sy7Vpxu782HcUjs^0!%VY;>k^8Gh6F83F zQ$o}=Bo9Db6Q_>Bb|3Xw-xrDK2h|Jim)Ck?y1bM!Kwki?9q;)g1L7{Qd^|Iao*S{WV5dY-*C9z#kFBS- zq8saXI@g}X`K3zNU4oSGq083dE_dSuM0_0f_8|Rx*@Wx+LkY@|5nl+k@Tt|D#wR9( zm7+vc_b_&Ht1cYk+K34ZYP<5na0(#=8Z78f=+f$j+FdEL9i$AKk#fKAg3vHP=!$5>@x2qT?}S)Qu2fna8a)fZ)QmWQjG#PHVZ!tvfN zK~PT~GuFtpwRU5pe5irHIXueI=BrbF5LiK{Tj7MD+bml2)v8!aT~6yt{gA2h!bigG zEX-3R*I|?qWNyGmg;Tk`l+%aZ_q7@6PPGX%<@G!cM6=vrgWt4nRerF0AhzHwDp{C* zEg}Ne0$0BGu!;%3EG=X)f?O1UFF##LaQ)UwF%(X9r8a9p%jWnw|M>K~hZ?&J2x4=d zO35{aele559d8hIcMxX99v}bGUJ@u|0C%nMfjVfyIYl^aedY-Z4FHB7KOAh;E5qV@T! z)&y=8zI-=3+>rVrF$iVt*AcxC%K}&^J3YMS70Y^GS!MDO9qJfX6z#>ec>W^ksX-9k z6t;l#kI(BT1zxENEd$UfhwOvka^qC$GL_Uuybb%=le zz({l_2ED=&X{Rf51uLFN;5i`B_&7Dkp}fFh#2trS11Ucz9G1L3g&j>Oo!^j!tRN&# zcVb1@xN4m-g6Bt=xyyf_U>dFdi>n_6u%aiFQA-HAk z*0YD>ESf*~N7Iyok1p0?rT8bMcl1UsbOv+<^ff;W>SaLZhFIE;c#sI{;9I_4=_$i; z)gqkowoY`B`k0+1zA&{CpP$Xv=X%RMOMC&|(~jl2-PYF628GMhX6e7+$GYlJ_#$OU zP$!DpWXSo^avR9p;t(=e6YkEN$*|8}D|U9mo-2}UL@An6G6#I!H4uc67k?`b z4a&#WqOU4J0sb{tE#r7Ud`$*PulVL*dm(S58F`lmH!r*)i^>m7Cl-b!Oi3)_`1Ws- z%hO&d>3o?KXN3o_00Z-!nt;jRg|+a4 zeTWWQAR`8Xf-Fi?O~E0E>T+zrMLSO3Avi2!KCu`1d4wiOl905UHy z`7QvOW@tCfw)hed6<>l)D+lr1VEL4+wBOm4(^}3a^EC27z5)BbF_M|3K7hJlq2a^u zkkGt%DCS*!@eU-q{SrWs!k3#yC03aeFU)>>#KAG~FlhtaSJj z$N7j|D$It>I3y+C*m)ELJDNMIB%>C)of@-(d_3v2QvzG;Q`|1>FYE(x5!hK9ji?7M z!8h7`)sI}(_ zou#gcvr`b*Vs!n0NO<-F5iUf3A_X2N3r=O*BH0g>;-29%*HcP}!GC8nkbLaVw*B_3 zbMM~UH_FKWy$$fyk??!cPS=Kl4|CT$SB#KyY=t zv@*2+c|~eAj<+7m%+Grm(<2nKE`ua}Pg=}6@M~(cd3f{DFd?)3u4N%&oQww_i<=-YbCt5 z=iOH8f%?)%(w_)QAQSw917X)iOHy|+StCqGF7nTr(o?}Mv+ie{(PIGqeis0#$j29U z(%Ig-DiEKh|2<}dH&+ z4NEisLumEC-TTkC?>|DT|IPmY9$Ib6!D(d1{t85MvT&Huv2vII=r{pJOmvK<##~IS zoJK4x97g{cTFqs~$OSNB;E2F&GC<+)xS^vzlBzF zveI*K5^{3Vvl4P~{aWODUHsb`slUbeZ-LeSz0}N1uUGOr!}4qO(qH9Me+m7UBmHfm zQqEtwm|1=!?te>9|9-UpB|Xi?%FOgv^z<~(lG9Re{OLo4Cs>0llrt!Vj-wTx!KRHE z#e(GMta>dX5|s3OETLS0+xFW0)B5oc98@gC&c%5bS0Od9bEmArT1&C#z46@4P~K6u z`n0PXnTO8^E+h3GvHgQx0I zV}8cS7VD-KTHEPCa=NP&i?$=qv6&Wa{z1^Er`wTs14$H`LZ^VeT{PQIS2`4ahcC^U zBfFDDO~GhY_GZqYYX%!#V%P+h1*>L1jeWeyjT+h;&!^yk8Z$=dhF_4^dai9w);&SL zUL+)$Id@1W-Y2+<(?rAnB5t|;WT(8kf(dO!2wg8!JxjBQl~?b)-+i=U@T3y*3|hN|Lj9a z{CDac>@zC6e4|?3DWDu#vKqN4!6>Pk3tKwOqrw4xjR6h^MhYevzTmX zfsTRv^40-VfOWwKT(8;<{GUim;>tW~TjcLunT`gOJ<4cD;TiTn7uAF6hO+w*;o0my z;Lodm=eRh7W>x87q|_elQQmqdm7yh*4&pKoih^auNuEU_wvQeLYR$rHzUlO^n##%= zx5P{)g7WPZNl5@$H|C68IIk zR14QZ^>l*c^t&Fp)TGy71}HM5vw|@V2~= zcDsV}v5>&6a2Txe$W*zujTN4%&O;H1VUE0C2AO*s$)c=9S+X>#=Gw_Gz_#pQwg@Xb zmI55$H|Iz)z~TkQz~UU_4?V4jAIapMoyA5H69L~pM_3u-qqM)5B$jPbk5UsYgIKM< z!#jc}&_rs5!%}BjGlR~;U`n;@PI3u$-E7C5t)DMtF2b7tN+0FWm>y0YE_r=eXP-tx z=+ZAza*xC4VKmIiJCf@_lNrzAU~Gvi;`xRM52ey7;-mtoQUR@QfkL8E@o~lxD8vTF zib(6w56^-ba~cdAFa4iD(VdG3+oh)U4V|MvGHRX#@hD^950)ODjaJ_a%}&&pq31nB zuC`dsp&CwW;MDK>M?995T7<#zj%tSO*nLI+5KtdIxI%K>V0dIYjaEK1IhNh2q}FXv zVKf~833Sl5!dmM#Ve8h3w9!dl)gTs>H9RwvtsfqY_o?OsE*Jeq0Zd`802O1LK_D5kW>aITPH(6*RPmI~b-^!y&R*Am+mP~x(3IdPnzzC0cs@8@ct&ttbQgj z*-YwiIiTCVVM$;_GDwsGTf6@J?OAEMh$iD1hFB@M>vspo6iO#%IX?M)vzI`Mke(0( z)`Yxxrj;nF5*D_KB%Cq4^8s@#`0m|g{e;F-c`xzerfu5Dee+-?=s|NKn&8EvVhrju z6MT$nIZNc03TO+?K^L*=d3MR^D`^=f#6!MMz$-i`ME&emh9I;W4MswlJM{*Nc(_c6 z)D<=0x3_*8Hi3RE(#vSwYV^YR@e{)9@-9BCP1why8+W9MquB(ypxUSzRzT2gR=jOd z5%2UkAiwuLwLGYF+B?AcSz?m4M7TMb02Srh-IiC9jdOr);SGX)R-cBi&_2)+ zz!|q&`C~X}DhvY7SM0(C{#V~W+Z4i0C9T2TKOufa8Rytzc<<^ z=H?d2f;zxA%01Ue-F(S4ZbfghTQ`<7%MN~_#NL=wN9Bn+%}Doq^x8=m^s{If2F*Q0X|)ZP>nh{- z_37wr7OJ2_K}Nu`YB?%F?4~yq(&@rH#NOJRX~P3Mjqpu~rSJULWK z2u}~t_C)m=HPcwT2zPk>n!WUT8smGBJhhmldGjPQkNfk$dtlC8LD=0ol(-!d&Ao_I z#OVd0(-X?OVm@9FrQ_+a*6bD&*xdZoeQ;a2M-XU($)@A@mffKfs*Xl!QK1BYiQl~a zfffmq__GW=T~vEfM%&e*8mmf?*mU~A5}ZTng4K~Doy7s@avE3X(r`pJwg!?hhU|Ok zcxSiIIBr{6bGPoelSbQ`hTOmzAQ^b`lgS{9M}{d9l=#^EqHW}bLQK!&0Tzz%c61)? zZS@XG%*yDdCEkzGLr!q|5Em$jea@*L-Msv3Hk40wC=A67{f~5C);~{0vLX-+3NL${ z&7_(gRXx#C$OXz40fp`?Q>_EXNuB9LXhnQ)5%kFf)*qzW!>exMhHXm=@f%zVR%BQ2 z>&WJw8xvhYZkVN$CV!A5^By^5<46Y=z$hubp=)`WKNm#c^m_5U@-tvuGAfaYtHwyz zR|sH`!>K$tiOVE7vtq=j9DsKs#oAuaqXLzv{;Vb0q0a|voTtUxYn=DU;;F8UB#CC_ zi`4q?t+WL6JKY!e)goR+b9ik938e269ZnkeTW4RX2*iZ!m*^JfKf=*mfl7*PX^_n` zG87F9?NHB&?0jgbU_8`8#-0PWol8P2z<0-GZCg{ZmlHI>Jji9xWaGtP9f?17MppwS z@|z=B!5xl<*00uRGjZ-FB5wrGDPysV^jY*&#pd-x;>#q?mg9+$hHvfTKouOc7z;^V zS!elJka0n(RwgM5*&?tbEzQ%E+#@>eKo=vdsX#Ub%YQ6WMhiZ{4|3^uBvF_pC)k&z z63CoBPD~A{+JXLHt-79g5`siCI?N=0CFD7j1KJr#iU>z`hQ}ODoZV>C6t8_lT?<@Y{46X}*w4eUL^An-btDQ{T1QrJgJ_w_a@%Zm`uFy_32WH?I6wYOO z&tZ#@1a~qw$P39E2c&n&$=Zdn6SHz+!Chgk;iy>0*_|`{w5(#1{ttU^85P&IZj0jX z5C{qG4uyMgcXxM}A~*ymxVyW%yAv$92X{$u4I!_Rz1BW!?Yqu-Yu|J4JMTxT(OOLz z%x}y-M^*d28ol>Xdz3l>Wd_NHwBFb1oJy_U>(7G18@H>!MMK4Nbhuj!ZR01+pKuv( zJq9_eyk3jZ-Ss4hl@X{DTAq9cB_c3~@vO$;fcUWW0yVZ?_AbTKX=hdL(?f0>wfa}l zJ)Dk6vQBbyv_v^_7%&Ak?&)Omv$~PfOtP71#R3m3%jmq%uccJe9H!cFDF^o5*230j zx0%$YXiZxLtilXtu9m3Vl3qDYm%cLK+HfcsYukJlRG}X*6ot*DwuY61jfn@xa23yV z>9>3r6dmVhaXK!L+_Hm;sOLlMrDu3DACc@GBG_3(M#p|AhkN&MuW-RcGt$=jhK&BB zV|)7MTVrZy+N)~E6IFiEQ7j zrOnTmXdVlK7uQzDl8jM|C55jYn@=Y?F3q0p)2klUi8@AOZ|!4Ru{;v`WoqO_Vw!nm z=;ks~W86jxc2mK&vRYp}gzE}7lzxI3dqn!QNNz6cpjC@J0!2Ri6mwofytRdcyzWW* ziZdW(t5WWI+kUhV*JJSeS844>1@2vEjhD#9hEcQQ#4ruS+a>qbaP0SmTd-B$-FLK% z_nL~^eqThOUKlt`i(qqo@xBNyBdt4xE=KU#|1yTFF?M3U^-)BMMWTpMC`XV1AA8ue zy1~DAn_pqZw%Q9TEw@~Mqw#wPzsyB$iTbBV&9&!v@)CcGdJ3TaMricBuC^<`6{pM1 z0eDk>%mDJI@6m*BKGJ!FJFX5M!fh%Yq6ud=@>}2HR{7LP9mu#ujnly_Q>UCO7HI@q ztOpLfEfQvcNB2vW*ijB1aC4k3GBC{E)#9&Hg`eRo3T}EZwGrJI~Np?S)lj8TO1`g zLsg{pT>4AFj)y3vjmLhEH@+BDq@N(1zS()SgRC(}dj~1eZ3K;(I9fs5v7K}Ha+m6b zBh7TP3GPf(_p@oZfR%#|;=s#tMCqJ-tgWfekIV6@z2M?*f$DNb$P5Q@+2isA7-FX>nF!e%ecZqr= z$kk!obq`~19qI6*^RmsXZi6C7{M|fPFWZ%Sa&GK${-{7y<47rlHKX}rDNlO=cY83P zqm}YCrO#Fz!>A0)1EO39CT;EtW&+i2Rax(+tTDPvq;uzEJUQ^Bg;ude@W+V0Yb_Hz zt7oY0Hjl3(%7GuY(0YjK#s#~H?~pE{mZ^G(3db4eF7BY>}994=G|e}Z*{)gMF3I0)v}C-5Y`($p37bo1WK)9@Y16rQSPF=d1OHo zVkT~Ybj~t^ToZx)#vpmQcjpiVK7y3uRoTiUOf4pRJqr*rzzLW)K+7)ccju~p7QYVT z-B6dP!E3psXS-PO8c5DDH%7F6=l!yVd}AIZg3y9UF_`Qg0& zd4xml0<5BJpMS4N_sUsMD<(Z1DVK?GbnU9W{NMx`AoM-kCKHkXo>qW%1-6JvrUZTt z+-dN79`$=_#Nza{t0<^p5#VBb;dyKx>(vk=J@wUc># z-3)nB=m_z2O`ehLu1owC(tpF-iJeX!lYPWv2olE_NFqbFOhyPuywvcGa*2_+rC;Cf z+;W=qh3q#a#hil>W9loX#iyqo4pI|$RYcgMswHd}@?Z;>Vj+(P$%Qk9=C7D_JL8wH4ai65C*Tj8Br|a<8ze%h%JY<@Umh*Q?WP z4^C|q-%Wt?U*OxU?jjGZJ~iiB^NXri5*NLmb@@UI|4!#kmHd;lLpSk9+-Y_wx4o(_ z3vOePeq&4D6Aqn^P6ZC!zvJ8DjJ_IC9*}B3toG>CN7RWM-Fz&U?Ca-Ca$3T}f3jv! z=xvf+3g>|Lh`**F{}>C*M$?WcQi8Nw-#hd2sBy{KXD~N+KuZTwR=EXFmo~LU%kIN& zTs-2-g-{`ltr&-pFY_1n?li~Z4v#X&*I;w*LpJl9;0mRspm1#1L#s^~%$ZI_K7JeU zRujMuRf`s^zja8f-R-5T_`tv1JsA>ooY=NU1Qz2fUVB^i9B8UilW1&nqQlk0PDNzg zxJ?kiXU#zJRfeBG@tMe`tCF|PIT4~{s#Y!&k4m! zaqET8X}pNQj7-{k_gVQAuhEMGv?mz$$I9-Lp_Nnx$gt(O@$Y#E*5+SMP*wYNx4+a@ z`?&z}&JTxGAit>^mNJ-f3sqLx_vM~H6O=c!=I5bQfcM=GM3btV+yy8yM2w(>pn2 zlC3cC>7zg78yRSZ6WvvKmr`#{hV zp+YeW=dS|z``>LV>!ZFeoLgFpN!ya){?-zBj%v}YeA!+ecA2ZSDVtxIE~c?5T)U#) zGP4p+Z_pd=G|0wx{n3C;5Gbfic-$1fesliRLG?C#{dN5JaXOv$B7zWdTKe;b_=MJ#AZR6rkR^1ns2X20In1nGR?R~T0hmd zGAB;K;{0m)p=nZ?;4#u9am(jMv}a zB@EHG)mXlS-7sZMQNmrn9=;EFKgsX~V9|Rpqv9Ns4z^>#%SDi!?-Ba<;1LF)Y64F(AYmswiv< zm9SOZ9ZVVj7t#!9L7qSP5wiYdZh$x%tUswX|65!c029-{N0#{+^#2xF=5P5B{)FLw zi!Ss3l@B2RWR(bdD*xa(fqV!7AchfW|0l=kUzjw1;71Al~UT12>YCnu-ox^LszqkHcYzmrSk~#NMggI{@wR4M|K8!ExT2x z^-5KBDvfyKV3A_^`hH(1_3iG)IO-p|9zm;p>3mwF%X+eX+o&^nJ2vr%>U+OaUu-Vl z#l1K`|K&6q$6TI)L8h=goWtC<@VvWHrbf0xYo4>%#>LUQsI0u+GCx7Exs|u|c>CM( z-A%o-?KRoJ(7cvxQJ3Y(@#OOHlg*K-aF2Rt?kLccVlp@ zwrF^V;0m%OM!-l}e^`npXvo*l0axM4n6MfPUikP~D=S``+4fmps$2Pqu6z04-re<# zhRiKYs)uuRui4{bTBjFOLPOw8S}@B*FCP-iHx+#8%BrMscSDr8vEkVn!b5&KovyhN zdbPSIw=(unl@|D@I#Kz#0C2O7k6Qlxbw1GC*T*rKoK{)%=}?7jsL58FjiJf5tSP*n z!#tJjat(UEVgH1^oP$kMxE7J9bRQ@_d!cvvR^h-d?b+wuuLGGqA>KOJ(b8u-nz*C zu2u=oz_n(e*>w|HTGT3I6eu-No2a4DO+yx$eFi~|$Pm&VKoXm7M$$h}%n z5XQ`t4Mz^4vLrDw#z1caguTvkQ=JWd89^1jM0}kb+_zFQo0pBX-p%zjdP`2cCO#gS z(5?a6*?L`ETtcYSGCSR(Ay7m3Jo6N2Odk(Z0A(z*I%HWyAIt8vir%TUg2=jEv!^;P zTqw&EX$m8}-6Y!Xq^fWH7EhCnjX8QF^5idvVJ z<-;*PIxiZVF_yn4MGHC2Y*?h^TK>!(T$UWV4jXv9-zIk7B6`N4V(@U7tHbE|r5VOu zsYzk$wwVE2aiFqlU)?J+b>}yy8l2DoJ8Zghoi4Xgr<}Q7DFi-+y zEUy+`t<@scX6>&hr9jD0xW9xo*_`ywAttvR>{<*%zRxufROgc+CbA&A|@ZgZ)-rh>B#`AL>(v*r0y+C$YBRy!X_k!_7A*gx83Y~Yk9@=-_mFXi5E@jV2;Lsn0 znv&h7K4K)p7+KFFhcplv>b6PC1p;#xodIj>FU6P*CG zgQs_EIr~HHO1G}TQ3SR~X%OOkE2}~7ses`6h{?w1+ue^HK{zZMj34y<%4g7g_$blQ z1XZ(`9lfmKqi6>#VF%o6-QTRO(NWYK-)z@uc`c2xTt$~Jve+4J&zn7yzEM{XzcJ!j zWn`FUb-6KX-wLrl^{ObxwcU^MgxI2V26pP@jribvzIj`_+B29axVo(vtHaCHomx5a z!sp>S-8>Nk)$Q~lN~DmEQR~uW^s?eeXHsp!?LsX{y|PB*meiHwGI%j3NY-?XkOJG{ z1xdvNEYMfAdvo~ezyTsPRS?Z5Kvhubgs{9d#!9zS?^?jMnHjSnG)RJ9SM4nMYqNK? z=68#SX$RL9+)q-)?+bWHA|-cNSwE++mbrc}JAGOUF_-JJmYgSy(JCCj{)kxUOBTx( zV{7oOR-(~QB|wOeW1LoRYOd#5>{5_*IZ1_c<3*19SA>3>@(DTMuve?rbU1v6t~Lke z#9TnW%`u}oZD?XBmY(Me?%0{!@URL2J(aPW^oiRvNCt}U^OyM4w6JzH2Fq;RV4s}S z!B&70w6X9~4+&0!m9B_l8q>KYJFAlPxp26%AAkSXQ_;mw$^=++d2Trlx>sSazED zEV{3*o&xlBt|BN!jBOoURGIn$HTH6VCfUHG`m+%!qqQ6E2g5RV2ZGfa}U^ocQKoJ)O7s z-lbkwjoj4ISau~7;^;K~lg--#!4q@W5jbGVn>=EPB)Q>rxd zSi>=0N|*JSJk(8I$UDus`xxQsz|Bb!ppQV_Z4^C+?V7pUU>)-|8Ym$KT-&o_v5ec* z+_wXmpI@wOqEtTQh7%D~+(T~uF|39r3iu6uz#g3<4(DuCz}=<<#`Q0uy!e>e&fLd|I0Du3Z&M@CH|K@&M7$KmwLyZ6HmDdC}J?&uD5+JvTpS2pC?SqG4T zSH-^I6>oNq2vv8$w!HVslIQQ}>DA6jcQ+)LH>kUVnpRQk3ElCCeHZY&kr=s}UHS1CnMQeoAzSUA`=& z$!oDY&>th}X6oTfN<6s}!nB2>B@xJv@YHOTuDrJ2g5jhN)SUE6L{-S&J$F4n;t3s` z9EdXkfb6dX0bl`=Ts>s##V>bzUZ>$J$xvGDfNx!c+25aDPU8Zt(xI@86M|tAvIX$+ zSu=N!Xc`h-;^|%BjFFa2qd8N%?Bq z(`KwU1I;teAaezhN;3>9=3~sbpf!;dlq}cGT1>jZC`9Py^e26Rq@g4R)^TvpkiJ3u z?N%3^x}!V{ezL>47#=r>B-~!+VuN0PLum*k^EuS~Lc)IO#>mk1JPV}~E!WK_8 zgg>S9T)#LY**q4*V-peYAvggyQ5%e(R_JqnAa|Z93edPb&0@`X)!b9!Hc)GUnh9$A z6?gc{D+658WS`kwq%_Pr8HT`P5z+{-TL~(~P*M%id{U=v>lC!y`&HJMfs!*xqm2iF z-Qr9tY$=Re%Yv9z_fY;)B3Jzvvf>^Z;&7?Qk+Wdp>5ivF(43l;A4oGuj*X(|`dJsC zZZ$q#sN%7Z4&N$YA>)*;zZ@=WI1fG-?rQ$rG)S;E3U$EmHqat$nZT#~jo%7Cm+MHcFgXgxfr#%6o~uoqkh_TYQN{2&oFn$TY{rhg#CqZ4e3Jw`*j)teq`_D|9Tb)hn_TGx zn&n(=3wi8EG-9d7uPT*ic%|`xTvnD?eGs%zJe#>R_4pg~$v0b_Jh@ZhoxHpGa_Tp` zNY zP1H&YFwX6F8*)f!A@5;I41A(I9wn#isi&QRSQl3FA(O^8B6Z`2HH1~^fKfc)`kBX0 zqhE-PcgsfO1mHGz=A64`%k>!(Z#$b!pmD3q8c?TY{5`tPlxhVImWPjN@C}z24?%WI zAW?(49W)xJ72j?_UfmgET^YmB-y&zjTctsF;crHncKsr9Q5u>-}Y-y>4aTBLYC zsz4C%g?f(_0tT=k!qC)nI?8U!N6@?$^%bN}m1PX$ps%NMrZZ4p5sNb*J%lPLe28}q zjzL^VG=H*?a{D0LU&>EzOzx

$7>C`}_^aYUBZC$DLu7R!q2C@Kixcg-p%7!%_kW zP*~qd$V<)58{Q?Z##h2$(woYEN=(%LkN{rnpkFaLU77VXS~s?ORC5PJvfxfJN;6L+ zKSSBAH8&eW`DkyQozn%eOMfpAlF_~IJ!3#FA0=jy&K|Te#~-U0)*+7$_l&?nLnsj) z<&8F=pnn-+gctNFqjm5L?wa({SKFPfsrbww>!c6lpY^VTo397$FGG>}vdG3 zx!01nN$Dy(T-#{7yPD})Bd5!onens>w%RwI|7K6OT^VUwdx+T1z@sZ0J`#UJK3-DY z=J~~TMUs&Cp0C;m-^V_$P&g#sK^mro1gkgP&0rJ&I10hA7n~(;<|4iB2*d4I^C1u> z>P9V3V!d#OUx|c~7;QZ9hC3sy1b&&DGC_6}UvYCmq4phfK`a9D zGS-~cr^6XR6zF&OSKok}VX)lC#V6_-QD`Hu5&T8+cg;|nq$RJIN77C)0!w6*D2*9w z=IS%E`9y-YbhZ5kf*c`FvIL`ajc&JQr{zpm4xib(omAlG9`eq`@Lv>>x#h!KHC2{a zAj}qu4LU{MN=y3r**WdKkZnRVEIx567OG=ae~$IGGnl$7L?k6 zNOhHdaVf@^4BP!W8Yo4~{6NFlu*f@T!ioE($skm&yQWQJTta75!m`u`BUEBe;l~2~ zEPg1U6wW+DlknpJ0b!fL1*sSRYj4hgip}__DRHa%9CPFfF!N8;!)iGD<{F(R$mTY% z+)RgE0;6eh&5B`h1^wu70Vpb}M??LDB`C95MphpOUy*6AR;{cg&fTU^$<|BWoWOTL zOA)f`Y#EVN&tBvo5FmHE_kLs7$-na9zGzf|o~Ak$DHO!imok>=+#4k#eOJDk30!;Y zB9zej^tLGzO3rZ|a$V?^^pVuObjAzzsw$@n%nRXR__fC;Cfo0-m8~=s>c)g*v;8Jc zty1#Yf_hzn4(B&+!kknulN_KWwRpPQeL8#Fz@WS|zS}ls>N1v0f#*SUe4O`9jLUvK zQU_h879^NtHLh94ZQfyL?#WPKQ7R&@%>C%qFDs`3D|$Qji2)0cN>o&LuEUI2DCoYL zLSQD8x*tT~9+_k}`lV8F3_~9xx0EKtn~hdFgU(Nc zDqFJXP_MMudF1aWaHoo-%h;=El^VbSLWpgOdT$QWrE7^c2$V({3-_DY$e-8!M2Lt@ z0rE%a!nLq&1!MqT%_D@Z#1Mgp8~AMPGN-*L`ec`<`^NDL#k%-XiIfvt7c>*tJ%tSk zB@6i*k@vEA(VmO5ADxrzznw0}B(j>Wz_?kNjZ2e%PL1C?!8w>LfB5`XTC*o^xk#JK zkBOpw!a@2#MJwr;?faUnjkhOX?)=&*rHXQk3H(ZpPL*^~b1oVuxu%Z#Ub6E{>&)5H zL*Uyj+(go#$5r_ETHo-`&h8#J=20<8JZ`=se8RFW?236yL0H1k(~PAU$=t4w*2}in z_Vv-*rB$~}`+Tjs!>3b6av4K4^w`6fGL)wm*A9=m*4!Le%#t@aCsR=fENRQsh=bIn zT`{4#O-rJC5=fVN0cP0qUTL89S!o7|RCPYh?yE02!V!8<2^Nt=kl#`4R1k86%thQN zFP^er&bppDBYUdarJg~6%}fShnb)2hnaTu`m@qHZJHiZ@j@!AnH2j_Udg?faz;c|a zjTW9cHy=iwkcR|HeOjafGs5R4$p%9!Cj#h3$;LNR9A~trvGI=o(z_8aCfF?zC1~66 z_+AMAJIItel)dnA5Ln=T`&k4NWkc2|SNz7)0Di1kvQTS@TH99<>I1J-WOcH7D$IQ0 zlikQvN*a{p2f^)Y?%7iPTHnwYD|H$!R<%hOW$#_kec!5v$|bC+FWv!3J%nSZ6fbJl zUxit>n{XI0vdJvQ5A3Nppz}vW#4m&nf@aa)DIIyL>43>Lh~iTx zG>en*PoND@EaVI^cdGji=}1N`(>c?5!MHLu7-MD~kfxw{vXr0U<#lI6QFCvZOizTsGY^o?A{yQfvc(xD3Or6H>T zlV9-MHiYJTNLNgac8u#9mb|E^9q-li#!hs1s@9Kwpqm{ zEhwPuHRWtUe08_yZH3mCl6RMEivd+hgzx38&;TOY0}oA=#U%p*R1u3{0%!g+Iu9Oi zfQYeP3Sl<3gsD;^dPNm>6tmchE@jgD-t2^oE$YfyUH1G+V|!kW4AkS>f0wi z>mgh*5f_GQUCwX?vxjPUb=fT_*nPZEU&448&2^Te+RLKs(hB<;Y%EEL%)^<2>~+NL zVDRR66C_grgD}E6BX}oZj#R6!^SoXyj~$&8Jk$=xf-OdgbeUO&&qU%oe06ACu|B&`14*2zD~e6471e2I2KZK=Z2q zMMP+R?;Nnl+4mctIdbetH>Uk_Hi(8sLQw4cS2Q%%ANuUyCSQKh(0@uYCTueEyGKtgQc* z7wf;a{+$H*pLwzVOZ&g_Vg)d<7;!SQa?t}!IGI8DJUbV?5gR8cvu6bz1+bcN7#aT8 z`MjwiCmSo55xubqmno>>MV)yjcHMHu~Rf^y@qP7XrT! z_=UhP1b!j#3xR(ppZ}p9_=|l0r%5V5|Bqklf1CyVRQVt6{va!1{b}O)pLntUl%gmx z{?m(<^``~}Bv@eksW$C01fh94Wh8@ICkZrsZDvrj)x{S5kJ!r`Gdqlke8QHnNi$c#hy__`yZHSXlfC$f$kJ!c53HhW1|Z) zLj8SSL&nn1`X8A6#D-DG-pRz&N&83NnRG#Rw#Gp1zv1rs6QO^puzp@y#MBw6&H4|t z8Gm$xot=mS^rU`vciTf)l4&cH^*!p6qH#tgcF{}>HFAIjg3 z4f3J=JFx-GKYeVOIk*@=Mz8=DP6l=&W-b5&+n+=KMPd1$-!_2j?}cVz{}Gd!jh%rL z6q=Qdfr*odnVsv;k$*hczkSnxJ2DdkC=@dr11CG^p1By zvcej+pDgI|r66s_W-bZt4kT?4k`N$Sl##QQtLyi&MU*|9rV9J}i#P|7QN! z$@zM*J|EWHT+Y(vt)t3;ODV!re01HYY-!o(9y^v=rY_-&<4Y<=gp>K@CyOvf^SR~r zeEa%g^$9PYi`J2a@CPJ9hIXYZj{B1OWp1@`%Gr)_qiV6P#c)|VhW#1id_B_>$;6Wi z_i_qfp0C>y?VnyML*~_s*BMOH>lPG#|2l8NJl|EpX2#2xE`vXmeNJhT^v2XIOXZ@! z@KVIMk?CJlVtP=q&(_A(G2pjfq|;A{uU*Ld1Yz z>HehF6F-8zb&?>{DxWgtgLzx%#4&U&AN^IBD(O8W4eVw}-jwjUu`{gq#?$7sm5=8d zUGs78NbN$Cl1VFJRD)Itsd}=zLYu=b0JBF2;d-obYw7!AzLx)lKjfEyOSTqaS>^W= z7TyjW)bn1D5xZLIg|J@h^HwbS1mD2C&{ehEAjC%FD*y+$c^B21z%s`hEiskv0c^69*=s@nf$aGalQ*;42i9WlQR0GaWJw z@&2^3f$GxBl|kx&W+jv!7wsW9{2GlztD2IbmuskkwXEncq{=}$+H#7!iFb8WImXD` zVkNb*U-AopwA(#j!@kl9(eDJ%u9CD?GPltiv8tW$9IOxev(Z^Vq%E!+=v2KQ_yk45 z%@Ksfiz^dP1Vg$auK@SWIJakA;Ft;;`j{~)D_X+#5<&M^%VJ7-#h<(pZ3bN`UA;|4 z6w68|$r&6+GE2l9?$Dic&!i+AEc`AcXos z2=1hmPlem_H5zb2BkiWz`}{2$?`mRU!Sx2=b(w^u5_IWSm#mCO%9{r`n{CQyj6NX_ z(NB-#zs78v6go5H{S@RFO6&F-t{JTxWs4-n(XB8rP&t)RG5%B?>UFrf5}ha)cC2dn zJKMd!YBb3{6>ZE~sRFI@rUTB3NN@sw^;kpM-PN*9pZ6o980y?`3qjwl#y@LXmPzpB zf`=2os4mbDXI9?XZ3?xW(hZ1X-1*Ri7swD?FHT`F@)cZ~6N%YYQ^FcJ%xuC(-$|be zC4XRjNV^LF0b+&AeC53k7ypemYmVUT#?m6M9M3dzvvPgSN zrhuwhxGC#CS;+KsN^$8d2{D6HX_Nh{pxPcNUho)R&+hkypHGi5HQt4rRW{KcvA4gk ze`VD0#cY0?SRuMl(!Odl4$e#ZR8SU=*!O-suudtS`RlOyYw!j0@bdCyZ0$J9VkV-X zLZOA-sVOcZe6vuxkG|^zTj_%!&d?B{i+lP$Uvzh*!GX~WX>0P2Qb49Mx`CAt{V+;J zQ`Gl{f(-$#ajU1-@e8Cg&`VXqdA3`Un;%G0(&Do;0`xIYQ4*L z@ooZZ483#7-z1u*o|s=QfPm~%MP8N#S|fkbI>+aUt1J-PjG+-+ZHM++IYky*mYKk! zB8peNREBwojoKJHz^rQ?^HI9G@hn~X;E`cWp|6gl?OAcht=%G| zo8(B$lwXZBGzm!DI+UgnHcxnPwfl2Ur;{JpHI?7DW=%<}gd+!u7Pjq&tPZwusaG4f zroIC-pQV|Vc5%NrH1G>3^%x;=JA!{_Z2kOvt$EEnkJJ$o3*?VBexcRaG(Ll{6^aLV2VYyN~?jatUnZcO@I2Bm@qBCD+O-Pk7n`8aP7j-|M)a= zvrv(PB*7VdG7hR@uHq zoMBO4=gR#~#D%;x_F0I!xAsWF3R80A43p%5pf7=tLIyd~&IjHwkf<8dkKdnZ9{;d` zTcYERQGwzU+Y5*oK0^oYnZhpTw#NWqEp~4Yah*oIiqXjw6+%*QUqJ7SCMmQF!yDer zobP^cFJ?r8?%A8NXAN64`kiVeZPO>-=Gz4u8?2cwob)MHiBsk5l7JdCgv-W)p>%kg8W>5L>qZe(oFE>FG_Sh8-bC1ytP zRQcG)L13l3cwuyVIGqWc-U`|?Db2Znq;f7L5Urm24a8_4-S2xjIUjF%1$tq_^ zo#Y*Zc8|)~uu}l~rHeOT{Kn08F~!@si*VD&uGg5i0VF3G4i8}W0T|lpHMF(l_he-= zX&8vvs~eQHj||9%QYjGtI6@4~&u1C5M4QWnK_{m)+ZfC59OE%gpDAzLNeQI^Ixa9f z9+N1es19B5q$m!Rti_^|YUwFjv*g-(QpgsJ-D6ilEtXGRlTqq~3r{P|B6tuYFO;t% zp6&0eLcQV@2CLuRL8EEje#!jstr@q(@p6|E`m}FLN2e>*&Mr;uj7}>=OiLfHd|pgz z-!|cj#?$F>E=WCoAy%q=9v=!4%%+6ryAp&VKCuGAj1eM<$2GNc)C&>riw53~W7iq0 zy<67BDMc62TZmYKZ=K91+nuI#)fCVPDfcl~%asEz9K1$JL(;5O<{b9y$1cu|9+W#x zrO3S#l;}(Wc?{lXRc(GxqJ(qcLAn`SQAEZpSf1!RA2f=VO{r3+#w<|Eo;w6pT13cN zIPDK7ijJ`i`wX3VC1&aI=Iw0UJ>FuFk?rPQ2`^y`1aw8hq9+pfC{u0=e?^*Jt3W=! zZ1MkCJ}N1pW@)~vI&RR+zU4^^Db*%jkm3b}s2t|!eh81Ps`~a3+1*QK^Hnf&&Kscm zH0Bbym>);CH>ToziEP39cn3)KqgydsoW;mCJF|3cu~&epoWd6vI@MK}E6J-^uUN;H zPhP$PkEFOSE8Zu-25gm|-gvs*;HwdXO$w#yl_S-$k3u*+6URN`$B4yW``OhDLP>1`vgZ) z^e5Pxt2dW&s2`#^*M=pYz};Wst)i0&oR9X__UJ*uS!%|+t{Y>Kc28L0KFkffA!%fa zFNhDWYM#=Ls>BB2e1`w%mdK}~FQAm9{n9Y7*M8gbBR7LPJy{4>OCk4w*#a-(x#qgn zPNp>E`OM}F(NQkOfEeU81D9P{X?VMJK$ZN5NZf0`#bf?UJHOHU`T{t^`eSaf#Cy7} zhsQiH#CJ^uGIm0`pVO{|WFC94#dlovYng};3w{=`_jO- z9Ui5HSLU#a)|+g{qYy<1r`zEYrzJ}BcR(X1DRz^)A0ye|qMFS48mwlNlbgBz@6-0uO_=5qymGVFudL zkL?{pjC)DmqpL6>BoF(Io}9X`Gt>$PN!#vcHKGq}B8_-u+^rYEaUI+<4hb@x3WjVx zr4w}iK5wY9Z)t02_fnf{Nl8s#>eaB=r@m{d`h*%R2(Q|qS&V)LlfF4HfW1?=`vujk zmI4w;Gsi+qG|<&oIITDPr5|yE9&Rrn*3)hM(0awF^*DJTRDXzaWFKWIkcdUHcafYf zkWMuY^?o*O9R=^efP5S-<5C@BSY2oooU>*UK5Jd@RU3Ze;q^wmL3nxLjlFtJHX#Wb zow22l`E}{hM#@Ikwk$&neWVGQ%#k0AJSxkb!*WTGF6CR4ZTm({1g#2fBl+I2diZZI z=LMQwhsPXI#o^le-Mo8Tur?Bk701oc?i%P%?{n7LT;=vsuI8f%CTNv!!d#e28|NIl z5d0H!X=T-$$z-NH6u4z7;qg_vWhO--U1KEibkrHYC{vMLnVB4?5U7xA0LQ_d)Og>1 z@l+qm5Z3tolobIuBMt@+t=PP{8kX=u+kc(pTgk_L8D zd6bMv5vts)xxj9JBiQm3<#U- zZ(c||!`+H@mupZnZATFpk%+tGkg9anqKXyWGL-A1k~mHvq3U4Qa8pU)>0?3<3=VGI z3|wccf#hNm#Q64%A8m&E20Ls>Bi4RB#ItHo0I_1vn|p0aXtNgf6j!D75Io)JrKe}$ zhj|ocFTDWyPLp#NK6>Q!7DAChH6wa)J+`Y|1io`oPh5Z~aa4H-AYgC6O%7}j%l|9t zS04?@Ivnr!XoS+tiC9jNjaI9fkf{*p8Pz1b#1Oka^zYpEcZR_pyx&b(=&+ItOrQyW zH$2l=oKk9-5x{D356xy8ke?P({?>MQ(Z>c);QEp;1SJINP7#u)Yu`OOI>hoq=gU#O z+e_m-drY$7v;%tAtSoSZtb#rfwd>>E^YPQn@k20L@YbE$SOy)jgW%Csr5{UF9gv*cf#>*pXH`*`V3S5B*wbGC7DOSrnSyzvM~^!j*m zMm?BY)u_Szih_{uvdv1CH_-AsGq^S8mBXSXYGpKK9^;Dhq?=!zGtH><+SrZF>iL%| zGj{!)9W#ejq?wm)C}q2!5R`Awaai?u4P!|a7E&R^oXeW%bz98ueo%_xwA zunyV4V^do4wIfrP|9tX_GZxKwto~FG2C*KxeRXE`uEWY@`R3%s*-3+mGBkhnhpwTx zMH}StXja_Wo1)x`luzzRR60#sLx6+u`vQw}pH&YEZdig*X7VM8<7w8yvzO~KoZG>R z!||5B=If6E1uW(k4bAekMZ8fsQbw1)X!P5(p9ArgqoD;gHeeX7&bZc}WJ`F(z~hyCjdM5oS)xwoAyU3zqkv+<1+O}RuV zWt-m~uo7KH2Hd?;%eJ9e7_$_pZ0Qq;YaUPmf_eVlgY2zqD^2hiMY`)MO}Yf*=yk)4 zbIalHC$ok=%kPaVUtyDPNuFe(Mt6HW+z<9o5}rvV?VAPE4ZK(tT4Ic4tpYE2Or$4xI?62bfx*F@oUQFF~j zaO9K(1DH;4`9*{G@+48W_(;;}0?Awxoav)J^;!35fkDxla~xTA^YGc%Px*Yib25Ov zX&3a{SYs5D;hv%vK_?~j6&xaTu4udFvq74Yox3Yq8-?UXLj<^1XhGcDUix0>7-aB8 zulHM&6gy)0r$Wu0udv_PO}cuP!@_KDU0lSMWNLp_GxhboxF7R&ti7b`P2kPH*I;03 zkQL^e?h z8iO$;n|hoxL-`%`J|Ui#6;Fz8o zz`og_0*m&+-cAmupx>T`1A3BZ7TsD9_K2iACpK&8dz95h$_WNvZCdRpBh$rGEp+5~ z6T<5Q#u>ip8;G(RC#-43&sWZAZm@9U!QkTuQ#04PHAlx5*4JL1 zI<>cFqTTbR!m1WcdNGVrp{B2@FOz`{%Ws23;PzsKk^)4Fo1|UMYg_p|HWr3ME5)

|j4*~m=yrvg|nOIqA~6J-{cEe?VTjUZ)Dz|XKg4@KJW zDsRv?A%*M_O~t))H+|eRnw;XZ_W9H|0a_I4Qcw7Lh}r10-GUDrGmqx6%&TwKa%(}z zu_*!gRbhRwm$9v@GcG-MqgzAF|piO}^Y(O|pG zX{e8!LbaDS_5S{7b{y290KYB4rU*gDZJ+%{3Td0q1Q%WW0=(J0$2_6ty9Ptgv~xR; z#>exYpW|}Bd0?;UxdT=d9dT)SXp)>fgb4vkwcH|udg$JGe7#GAImHi)N0z?0d=s!o z?gxmmjOJ(R&8zp^1$6ELIWDd%X1rJFGnyHQOHmE4lC=hDW7M=QvRZB`$v&4rPSW@D z;k%9CfAYqcB9sSHhUJpQduuQRY(a%VEout*hF;xIKlE+2Y}-wJbZhdQley7zQr)*! zv$;67bYkI>;QnLnIc*Ny7aNWn*p(42$R#M){SIG8x<6BUU{j^fgj^Et>D-9d_kICzQnXJ-~m0^@IwKE4= zfaWrp*#0s7`a5^=pYtpK;R9)8YHn%AM|sxXO-W>F!bhpjCd(x2AZ%)3DdFK{s_Y@B zV(ejU%w$P)3l z7YRQC5wDYp8Ml&%*l*E5dwe7oK%fIRBcrRUD}yTwgT0eEBj6Y3)y(~mtW;)tCT0}? z6E_nlHxn~06M&nE>8HvI^z68WolHS6>n|(y-$(R+^Zggi=obRN z5cq|_F9d!e@C$+ec?A9=g$8j}`6yj~B*>r_XvY}{O0sPKgS_~YBlTOF{(DCCgDUlt zdiqDA6#E~@vA+}Fe&zG-c0fZndOK&*A0@#*Y61`m(b&n-0cdG&NA#mcFf_7v0rHXj z%yNG(O>7w<08QlyW7y%4SjQ`SDR`$PcZfpCe|1dHBXLAP^C!3#z zh>0EW6 z-$&mc^ZEbc>VK8bb1<=RahkC*)3Y22m1CT#QYfelIo^K&7ILrIC}NlRFUrM5!fV{82Q}~p7O+2t(*Nx1R|kF}@C$)o2>e3e7XrT!_@77M-^k~GXdM0`pZ}>K`;UD7ABw2o z+WkSp#{N@a_Md3jK+>rndX9f;*w}y9o`KXVpc6mJhTpYkKP7TMj&S_0YUB7RzWAGJ zG$s2VDiR_lMp;u6OG6=hx1V}2Hjp9)Bvs*L{j>h+XE%PkmH*?~v%j@i($D+;7)K0L4v!xySuyV!h!^M zCrEI2cZcBaZo%Cx_+2|&dUv1hb9SH6-~H|wH~a--LCts0s<#GJ&u>2Se@^?ahNcBR z00EosSZIHwP0I%C2xDNS2cG!9OZ&%l^_NTjS7ZO0_HUtoOZ%V1{?i`&uX)q`dddIV zY{){u#PV004QYW5V2r<>Yv7p&_JIK#4*wzRA3N}mUHk8JVF5cXflnj`3Pz3^G{8p@ z8bu=)M=cs*8*4{kua=@Bu;cAVwc8^Jm1~#*>*JlEH{-L zDs1-1G$^bxQ#A4UcCm1O#b3D|BUaoZv~S0Ld*}Q%V>i3!EZ>}94 z#6HKW&bSYeSbP~o>lJr)*dJH(Lw*9>=}h5^fuz4G_(DcM{z|x3Zl<QyxYwuh$E=-_oX9e zfc=v-ZpX+lLZ_y0p@@z~-~q(~Xr-p$kb?6r5(H*o(Bk9USEn^GL%WA}&t+Q2)7yuX z23c~3PWb1O{94nWAg4@a1ePG4C`@zcjp<@YqVHag1_sZ=39U`>YGNow&^Et3|CjX_;km(tm!Z9G;0L@5>-j>Z6D&}S(KMuN$VRHI0fvdu50D@3k3jE$sE!Om-8OJ_g^34GXDBkOlCiD()@Dpew^~&19_URpn zvn$MRO(BV}pMab%p6zPHgovI`$U)Hfg|yH|RqNN)=3OJl)Do9S>Q65`Vuj)E<&_FKmw=n+Eo<6WBQeFc z7pBB>cPH^t3c6NXyq^uto#=rsK>ON3!l_-Qm8@hTWkYZ17Le{_NJ1-KnRui*E*7=- zLPkQKIzg!ozM{KoO$J9Z891W{_V4S?ySa15>5Qjf_1ChX-I;N_O`4OG;v;n z9vPUX_8?tqf{~$TE-y}s@F&IWA>uD*{a;HWpT3&Pkwe*FGDF#@E!&Uid!;Rx^VBDM zsx|EMX&I_@32Av8XO&oueh?p8mMJSa3D^w9EDpjv?eDv-5pfyQrOPAPq%N}ekpi3W znjhO5&8z8_tth!sZ%z1!K!BAe+w9cb_LV5z$W)qynB2r-@+FT`X+X}?keRp z&u)b=Ek8Khj8nz{2C!m{QC}R=m-39{+ptF0{|G)o(Z;aLA*;YfSXQSydo{MeYoFGG zKSKXBZmn@`R<~R~S^YWM#5F~^bnVnQ6iw3)vKfAO^&F180XrbL`>0vc! zC)4~?3pJoX?7crxuR{e~NC};fniMRTKs+xg+o@!XtcQwwJ0Y_s#&MrB1VRerYwcX3 zHV>7e;QKV_8c<&7y~ild6N+%pdE)x%T&(b})J6-cuy_~mYq2dOHFojjW6^iv`R_~~xXNtau@ z0cgjKyj3ZI&-GZs;T_Mk(@HptjS;H|G7nhn-sH?6~k$Lq;$cWwCC zt_>zHir8cOYKpO5(183=r@RcKro4VWt5YGvRmv5W`Kbs($ja0PjH{{$ z3X2qVoDGL&WlUz&vj_Lg8TP(@M&J3UF{w!*V(xSeTOF26xOd2YBeWYlgDJ3OssdSz zr>hnlo802{>+!>`jdxeD)rymcBY95^@7KUlv_=Sd`sK4mu9**y2z*|h=_ZTN&pVFK zU+xO9rPTE!3EN-M?|zq-cZZ45Au`V6Z>36><)nQ5QAr(6G1ABu)%!>k(j)PuZ{lh- z&9^g<)2pQU&g`LyzxXBKtCYcjj7a0Is0WkP_jwh_fDIQ+RT9w*H4jt_z^<~@>t~fM zmld&Ha-41hTg?Mjgaw%G?kI>%3_C%AH%R+}(3l^&(0$Oq1ZLd@H!m8z_F;~zNdHF6 z{7RW$SDIHRC7hoHg^&4a-cnL(m!|_&>YU+WL8aUb%G6%X(D1=Zf>gK?z~7U&Vr=Iu zk||}oag-*!$} z9M8OV_1rS(=nb7?eM5rD`hknNpG_4sr{%G360X)}W9W6beY~#TsgEFE-O-lnE=oyT zp+{!Y4Z)Jo(sWJn1#Z|^?ZNLRBag6`tDMAWvLX^6D2 zR8%uy&>NAKybzEXYxcP!ax0;DqGNGza%J0%NsP*KxE}z>viJK?4=eA|U4@N_`l(-I zaH$TlsMpV}h0lwknXgPI8_edz`k^h z6AR~7N3@|qwhBG4x_LqpDMoHxgUw`=%aS?#z;&9DpS6?5l3u}xib;K{YI(pOTUD2okh`?r}*Ln6ecP9 z<}LBZG*pM{@!WN2!S1Dy-CXjUW+=crb@y*q9%%q^rGrJNtP7M4(_myI1i}=H3n@*x zI#kwqSp<3w))83!K^Xd)j8W1++QmlPat};t6dx$Cj|z7#K_RtBv2=#BHC6Rvd?xa=GhH@X>n58gaY^y%z^~;b&G~4Y;#pAly3%E zAKJzlv-{w=3H)jnAfClOcl$&R2a(c^25T;#Wk zpt*2wNb)2?aVeX=$HQzjGx^vm+VnM3h-qJ-eZ2Z6_z_>+vV(S}wx%`F0mh~vILuz= z9S=x%fFSJMeD{0kN2UiH3Q$<5+gNnzfoS{hr%+fY4rht6XZxXZJe^b6gS|Qz-ikVo z?DQrTC`Yv~EDqe*gi(QCt4>aqMl9<^T;3+F5~Rg;EmS?M2Swo1u8p3>e1eHYi}0XJ z{2G1S2}Or2Ro9YjDHsddI2{&6uSIh3={_E@!Vp@}x|DQ?VP50|^+jwpE$9@k{~65} z0?89m%Sc9$huErvdy;B*m4+0bTQeZ7969SX7CY5xanYv3#tiMkgy$w0;4MKF z-Lg5D&t4IpO-RI9&6WR(B9EhgnoZ{$*Wv`1vxaE%19hKaG9$_K zVVgJQV&8r8J?>JfTtR^HVFUfV&Kv8w7!fI9c|ay^1%`w7(7bAvTRF9OVl1Dy6qh+K zb#pr@-`s;+iGlk~b0Kw(4vCy#P+ve7ncI#O)9zuE#O=7=s!z$IAAL3DdvdHlIALXp zv29C-G5tjUx9Dmcys-~*b*xm zkZ6k+1cX71}n zBbosRHRnvkKzl2)Bb3i8AGIv{Bs(%k*;t7d-`L)qA438g=Ja`As#H%lEOR{g6GVY~ zhG%&$y_hrD+MQJI!&GAz9`}-?NRNV5(^swjd@e<(TlGx+>`QkW#uJ0r0D#*G!xyf6bmlQ@$m+SLBljYbsq+P7s#)~I zL-#sCuEM=Bv-{N8LzW22M>ssTu;YiyeN<6t3*$?zX0C1~PgZ%bkyxE6T z_dODw?hXV*Gvb}2Z_d8%r}`!SpR;1y1qRk8WXYLST{|x7MFOF|DNzw6TMW70CgXf zN?x$y)ZN-MdtQeGq4O-3?izUxXc5o!Nu*onM6Cq*@IxG`rt*i$R$4W6sZ!}BAx)++_WY(G66&7g)22f)f!M<*NrW-! zd*UbZbhk&%dv|kx&Q#U@8poVUsig0M{$3~OkJAV7Pf!ImVh@$A?@eo^lKj~Y{m+)- z_QXTSvTQ7Hb>x|tX3~la``;Ake?DYaEV1q-w{EAcs~%TUD$H{G{MP6q_@EFoiLwa6 zp-3iqFPX&OZ{CF+eiN+d*qZ%KZv;$1@H_Lpq45uW?HzhykymC|c9?gb%B*48dp4Y2 zs0rXOrbVY4l$JOUmlK`|tY=qGI4+P+tMtd7S04E0_M4Hnv2*S%8VwTd^hr+@tZEHR zGEmN}BO;BVg~@WdxI$JaR32bYrix?w=}C!>H#}glAQUD%qyLN$0ltI$Zva--JQZv#6`3#_6F98c3Gd1(yBNG2D z8S=jk%}7tpz)HXjL_>f!xr|IemYk7*8Hk23{eAEs*TMgxynhe=Bkz9}`Pq(2JDXhvOJ2~Aa=vvG#f2G^cEGv~94dsj+O;iJolBOd$C zWN%%T`H8?aVoQIGX3bd|AafffuulpNYuEHFoyz{Qt9Uo#ytH!Q);6DH)8-1ebE$W~ zc6zp}O*>UizOG+;-aoq9nnA#rb#LLho7x^I9vaduX>8%%FQQJudKnNgr$~^T@*AwL zWl5-iUwh{wKT{jIV{b>_rDD5tkr106a%vMFs`Zvq#Zo1djho-j06qO|0`0QY>BFGf z=QpXfai_-z+R4TK!woE_p;ilub*i4s+wD5f+uZ?!Y;&O5LktTQcy(o$voAMoA556@ zM!`0EjM!jQUjlfn*6(89-0lkV@LMOKH$N4H&%Hx*Q5q%MdFilmkQ-Hh)YezNg^*_h zR|+8&xZsKc1*v>~zJRC!_kr`Yw4m5+E@MzKZ7O40+8($T%PWltR8&b#4x`l2G!DHW zVaO>iMvTMH@jZOKk%ioSuO98Xea*6QRR@?=s$^}h7>x%(g-Om&YL8y5R%AN*@@*~4 z!Ov4;&MB-79%248P$$(29ie{cdbGvO!Q4tbfavxzn2FK>xk<6Mch;MJM;$r=^o8QE z;YP>HW)`uU`O{5lFm6uQuzhG3rU$?+eRu;8wXnoW9>SB(amTZg`|j-(QDI3iyryr8 zJ$B?oPbust!?H4cTv&4B5h?4B**S(wN&riTk2~($%|XgAZz^V(UbmwGcMHdOIWD?} z1|OIao16PM9qwz7pY8F>MOt2&6nW1RTTDMHS72o z+lTm~$XXe3@l7kCWLv&Dn)eM3VTu@VATh5egwwZl8ErZ?^uU&1%c)@+y^$*EwSE{- zf?Yg|fRhj`re-fG{t&F%81Gl6Nfj;%T5TBA74nRN^VQvIniX5MR9!av<$gNkEQi`AtiqP` zjGl$u6L47TN<2c+Td6Eps)19C?CLL$oIlEuOA&lPxD?>aqv6UdbB6B?*+ydzD{;g{ayALe+-#P4dGW7Ft zrfDP&KW9)^6xQA&G)=@m`{FCF^xf?wEIh4Lh4owvmdrM9J8`um-NK%_d!FBWm_0k0 zk0XVSJm~`*7}kQ5*E({c8f@63S`Vwh;SEsDWe1M6RBO63XP?5o87=_ZcTD$3ptt!B zZ)T0k_bf2C(X-<8W`k+ZxW6Bs#^RQGx+Jk24D|a99*IV%K4Tq}6tm$la8^JSzhg~}ukqBV z1qS1uyU_-b;ZxT5olJnzv0>v<9?OEZ52tS`;#_iUec%04Y1+3&=Tb!nH${TwJmc=P zA+{{y$wL7)0g_2{7Spa}f*g*JP;6Va4uZOwI9Vp=uhBFwm#(7Em^p@ep`;nzoiG!j zs`#%k+xn&F8Ypn|3-v1SRlf*1x8*J-QqotbdIW%J*{~q5uM$B}4qKClKdiJi#ttz- zL4C5UN1S}m2O*;?X?pK-wwK7bN)CQg{I^uxpZzduCT2zSq(JF9%<20ynZ3$}-@bLI z^rGkaFekC^-Eg_vYq@nR{eFv%ne2{_UQCdq5afK}-c($VyuDN58CoIt$%m`{CKR2( zu{6gRs^*S$HqKl!hlX@*{z$DaXuQOHNuck$QMzfqtG@4eHPdU;xk8y`(B0%kZLja> zE++_Y=8^yy18H+gNOn7-4n2|R32W`HL&P^4^H|kWhLNq>7eg?~Zy8ePjlV5+;2_Z9 zALkig&KhN&E%@k{O%(Wq%%Wbd7{l~#9J)0>CaK?4Xwu+~%s2sBr$8r`#*iaFREH2X z4lJrN+}TEmJIqpst17E|AG?eAQUD+!!xDQKsb#h^gRc_>@uE?|76ZhL;4?$o!v;2} zs$iu>m2i+I0-jUUzK`J3dDPQE<7^|oc!UsiJH_oTfGR}S1;UdQL$z$-tW95Em=8)? z7FIXN6~}BABTtx5K#!lx@t=idW2c$=nQ7moy+VL(1S{E`eEtLq`m(;?QsCrmx)G(F zsYgV=;K#pln+!6!j*j$A!#G3+qTxF(OO)Yfem@98GL!Um)USNqE3aqAn@!mKwJ%fi1_CJ_SRu<$!{WLF0Xr6f_LqH7Csk5YhZ2W3Pp{J7GPG$;pOU6&kLZ6i68a;rEE3*(rK#$%e-s`A1EC`Va zC;Ro)z1rvgwUD?6zy^n@w?NrErwOXUio|WGdIt$tv%NdSX^|6!h5)=K@6n{bkO1YMKUAcIamAaI$OCYw!=QI$+) zA*nYYQNbfaAL%#eHxQ!8B9lQu8@qQ4bCs42lMvc{8y%QgdrF zR@j0i0kxSLB)=+_&#<0auknou-I>#eo07@mX^tzaH@0}b}dh3RyTVPm_a-?zFL zCpkmBGG9U}Fb1jBSnWEj#0s?>S>7gbbGWX^uvfw{t5#@yd_rM-3N@wX9;yHEtus#S zP8zL_{R0Nxd;sJyGu)9)vkKN6{^0KXX_aLR>2uk4kV-iJ*WU%AsVRs9z~wPuN`3SN z>E&@G-MrC+%)Fmv4wlq#vrws=-chkyglkSGVCNrQ(uo)GVYJ^KPeejr;^ieOAF5sM zu6J@cy9lp6fGhWsw4qrTZQIu&6jAtYcJn1~vcurqx4^vIM%UV!# zkI`+4Rnle!HjKt^RWi}384n5s?4_(Y>5nuTVUGj1v0NB&Qb=(7lmkT%UEC85x!AF^ zBI|J|Y+89#+WK#(>Y)edr#Tw>cN(lB!iEN->A!|SxfHwA04GA22d~JTy&n9u-Paeq z>e-MB`W9j7zGquJ8Mxg6OuH3T(ig%ht~pI71bv7PlPcBfOtg%?nZ?765bq{O>t5+_ zl=@lK#W0w?R)FLVJ{hfNx9T*Lr-nSrW!}p4kL#`~eao418%D%`2t|{Lv5BJfT;Y8X z&`;}K#4+{;CQlwsM#8#MO+e{2mK^`#1H|WwEcU}xm*#-ko{;-VrdMR7DxuHnCSoWM zJ>%MtGKy>J%d6PIxPo5TGGshuujb92ZP&VsEilw@&XmLjR-mGLuBVQ~-lP$pf!W|| z^c&KCn$l~LoKb(pAf|cDYR5(9-Q_QUfe1p#fqRhtbgh2s$Z>8w{J3LrZFE53Y99cX z-Y>JSCK@S=^IV-XHzv35LMw8$)LyfV@Y78r)cEf)D^BM4wMc# zwF?@t#UT}1f`Bpm0dzCsiYUSSk5%dN6v zZ^&m#iu~xFVU$F!gC!-ZWk2a)J;4f7q;MV5E00 zo7z+fe-;lJBl*nN2t!K~#Xldxm{ljxmX6uGf85k@?f!0D z(sE2T%Hc~YmyKgin;qiG?wLDurb7cKJqO<~Jfj)T6+RX!2i1M!`pr~hXVw`G28jQ% zJDEe}Xuk_L1+vx>2c4tm8*g23;-$AMAu7*=W64N2irvS3k~EJ1WC z_>yWNcEtb4q5yBUlOoXO+-Q>=PJA{Mf$)yCxk=5eL@GbpMsRLyIbygslCLTCQEU)%FZ- zU%=V5g0QP{G$$qCIuk7h3>5!R^gJkO#5I^$(-iXj3(*3q@7H&($IT*Xy?)fJ!pad6&B=k4+n=He@V>8U8Ic+CDAPX5iK&b`eXLnNn6jsl z8S+xo+Qv{hm3~pX$hSzUqG}AOCb`*;>&YI-n8QyP6TahHJto53(&*Wxe^2^)!B$cS z#f5t>HA?SlRNoOQ9t3yYAA5$2Luofu#ICX)pTt_%K3?6_mfxNf{FkSe!7*tsRXsDZ zEz$Wz*$#8tYczSXctd6RvcXizv6$`iq_lTZW5^c^f+vp1G-paQREg!eI`0p9+b2Iw z$_>N>?AQUzZ*pUjZ$ z%Hv~MLg{X})|H9K+4cFY}N95Z)~oiH%TA7>*`Dsor51~Ac(x2SScAp7cPbbpMUJM$d&k-C3g>-Ok`fl=VV z$~Hd=^yuQK&FnDBZ*Fb2>B6rYKP1qd4$wuQvQ-yzpZ`gi^Z`gjoH^8H?{m|q82MULU?N{0V-!f$Rv7X;@`j0a= zf60*LM)WE62%*;&xfZhD4 z{a=m^94!9Vh@T&^nOK>Ap>G&jf5c{D1A4;zJ$&-xeE-LTGcf!zkei8_o*H=RF#-u7 z;3Q=x;N0kcAYXo5WB>8szgGSC;J;=5PlErGHkH4DR{wa)|6mU#G87v~ zSOLd#GtvP~T3G*%wED4?{$=8Hzgi#tak&A>xW9DD*?@Hhf-{V?)W8EU0c~-B$QK_GI^e1PvvV8Mmg<$L&v#!@g>fZ$a6#r9RtqU7uE;L z*S7wj@?er6xj~9wQwYz^$L)9Y*5!BfN_Gxao793+TeOA4%V-&SeooKtTO)&Ad@RGS zY2V-~xF7_~%*|C7wrJ7#BU>crl)eHrk!g5a*JtfcmOR3?qOv{YBzH%hvHI8=9fxj%ybP%kX>xR--v&|Ibv9;%fv zZSUmhswf!Kr)8QvdK6*c;d2Bvq_A|be!Gfg(8z2^&;p z7r<{$PC%AfI`%9k9g+Sa@0O){sg~W-DGTr>#P}93bmxGKpK(49AZUerRh$tmj6Heo z<)vKDn~-AN5r67#FrCAT)4O**KtTpwqZB?%=W=$Sm9IRtlzp3#rf4w(fQ$RktO_e_ zv-LDG(Ona6lbVHO2GG)_tU#J)ER}hchPgC93NHRFO%?;(6Z;70{F2eeq*~G`zh}s{ zs+zE7OQ@Is3f>qtrX+hk8S~6Sf2uKz-j&kUXVDFl(h+&~o@HLqAbgb?6jL&<6S`>H zR07osr8Pl{4|h#hKM%_?CX&D;jQ)%}W{eq7#oajW%lT~g|+7x-w zQI<6#CJzximTa%L2Fzu#D32dj`6_Z8amOU%mCd;0jSSVQ4xR_kw4)zeW64=&7FC!= zC?0OGpTbnzClGezS;Y3CFV-b!6TES>VPbQvR8_gA*&PuV2L&)7cF=ds@wspF@-mqz zU9w0Ky{W(xF+VM%ow-5R5y=GRW(E#Gu}HAiG4e%W1dqQX{sM7CZ+TfT5~aeU@XdQN zj1Fcww1X;FG9p|oxlfq~(S@DltnT$ZELc6OJGS?b-SAL0GMqGojvQ%exfHYS92lgX zgH_!$vv;ZRaBZ$vB4a9(S`jLRJA)*~A)-)42g}u9RLX!cw#J2on6QM}K8ZZW<>9BL z%iLf!=PYoa!cTKxPHH1pEa6s{m>a_&7lh?U>m+V;-D%;UqHe)sZB-53R240*dJRq8 z)QvT;UWd_ZNZL_j=7=tmdA?Sr*g158KeKq`Wz*r|==5^2J&(;I4*jj3J zzh~bJ?n%++Ah=MwC3<(4CoPJH&7)Vhf13jV`5L5qJ`|)g z7?j;*?K@+X_ps;9u}zA^3$A?78)+)z3x^hDzIM0gN$AcPTRDhAa{@4({uADJ1JaPM z<&yWU_H$9>z!EYl(nG$YqN4cj@xeOQ2D`vxza}!Q&@*_44cQ~U>i)8G7s({!UOUHb zd4HPgUnk`5O5pcWd%(p>tJL1($a`0q8)ypWz88NFclRDK+F!QP z!Y>;-5C>wk|I8M1D!HQrvKtK1kmCAai>=B=SM%)HBek|&IKtXsdW)0@0IJi(pqTKQ zE6^oSHpNx2KU0VnuNgFy?OKcH8;aalvPjK2&hh>I%h+}}r7uYr6GXlXO;S28lezaU za>Qxg?-6weu)I*i(NCpvXD^f0n6-jrL-*arz9k(Fb0 z=M2z*j|^M^q_Ya?sU*6_9^5M3q|FEAe_>nWSYvmSkqQGEzoVu4$9 zdBjfE`p8(kZc*1444G6(G;9;L1pr*s=dY1ZeiEnL79S!4_hGBW_PuXOUAPiTQz)YQ zKIQr5HGnI#ZOE@kQT)VYZ5Dm{aaKZ`qI2BXp*9>(_ELfAoT&zGU3otvO@KeODtlK( zmM%r4S)_+g5eL4=rDBPgBH*dx3+|f*Cqy3g!r9{X(YeEgm?!QxoI~yN$KfpjGh=;Lt zPFOl#Yd#5evXBS7h}lM$se!?~VPZ8q+$+tXyRWj(moI_I8}*yrGG)F{OYdSnj!3WgZ6A)49Dan?V(Od!`8{hg@ftEQU zCX6&R;cCXNMoK$<=(jn^px?|7U7}QnZlU{dnwQcYzCLeW==5a&-B;VSL?P~$^yXF( zf6C;PWh-dj9UjSNz{8SdcoN6q`)JMfx2OD7jgW`8ABO@HycaYAGV29XxM=2ldK8>E zRnF;D#KN?^JgeGsIPE~d&{U;ksFP^S`?Z=OB{<+`O^_auKyz_HrAqwJ4jf#fit-U+ zG`?#>4b31f&c^nH-437x&y?q)>r1OPc$Z5VlI~lYM6$N0P_mNnT48Q=G+R@>@1)D; zMSNKy>S`wdPouV0xn*%abai!Z7K?yDZofUqtab=S#lKXg}4oO`&Ahpn=wwOKCZ^dV|L2RK8HsnP0 zPDLkzv+?+wItn_#fK;M;C?axnl<+A%F`^Kv>Y#s1>LKN5ZzB~-yXpQ(g6$(a6O|V6 zDJL^?eV}axRJK6De)Rz;-1{*LV=|uUoae*VXY0x-U8_MGu|h*Os;Nq1Vj|7YR6sJCkKLZwike>gO>odyVqDp zh=08TVi@4i|HuZJ#{G%;>D=FnpdZHgz*_Cnx=vklS!T`^!K{c}`b_dR%5Zve=$n+3 zt4AZ*5URMvlbWkVp90O@Y$w#NJ6~Q!;)|r!iL%d(zD!im8brx+3tGJnGEJ7R(s-`H z+B=p}E&4X6Aa*IXF57Kki1L*i2qYUe`?`KkYd~7Y{Og=GN{@T$_MS7;yRpZ5dI^Z? zVJLS}O6A+R!x=m)nSdE<4gL1mRJ9Q9R;{tJi*ph@l8qR=LLpCQ%F{BQ>1gjVxkzR- zrWB5%=sg$5y6fP>TvcYCV!HyyV$KXtjmtM%UvRmMX*Mca9&6}V$sjbO*-94zGcAhq zPDc{}B?Qr5u+oqc%MB;oxJM8U0PlR;4Tmp7eK^*X6%#axcMhs+cjUr8j78hpcd3ct z_x8ON?w_P;8h1P%eNxv6Jcv;Y^XvMKv@GI3HN}N?f>F;u=;oiA~r5+U>fU|rkQsP5+6AGf01pf+|A;HYU9K8^}iN}lb_LvK#Ykkd&9U`^4DUeq(> z1QknwG2#?fT#qEMog`S6j*`AG1uLh;$38`tIA4diX6z&ex}^+x;;o4jNW52X{II$0 zy|!<d#m3Bb2dI^CBg`aPEy(igw7jB_+uN6wiowP zPwC5<1?xob(^sV6t%OXD*(uW2@Q(u7Gz#@ZC77iM>ePZxgLvZ5;2>OG?boYf44%M_w{!XLi^(l?Y>aQK4virpG~M z=4>@13;75};|Mg3`=nz)Lj@xT`+>TyOR~-3xebv{D_n*J=&d5OCO9?u#DBHgg6PmXtRwZf%X!9I2>y z9URuG#!cQTLS1hOj9@}CuMkR^I=eA@6er_;$ZPzVtSTG2gMr#5Y@9y4#MshpH#uN9 z(sFFnq(?J#-75LMb=Z1ofA%b>>Hfnla4LH`7g-Gy&NDB(Yto4Q3}Z5~z@d}{Qd2~p zQLKW<{wsQsEKLDJ4}*fb2;>BxH`S)gzHeOS+u|#ortBHLAg)h7S2}*+(Ywo#JKjvJ z=~@|t_xFpmWNGp$*AkO@fIqPc)txG16cEaH*GTAvlW1!%PtxCwe@Cx|MMt%ajb*<- z;fB>Dvu%wX7E7AY2ixAw*s@)CUyM@6;9A^T&s0&Ly5AYNEuyU<;@FTXmbYGOIWPHq z`;dg&ZjQr*@1*gZt#PUAvCqm2(e|cxbxzSw9I0ZjaoO6djwZ$l>oj5%?D?ZC9^9$M zo+&&dEyt8!g;zP(nisE>b3kw%<>SKXg!*$MBNg1C4Ezzz-qWEIr&X z226QK%~o{hzurwk-AXVVj8q6HA;Cuyai5#wcTnl9Cw6r`GU^V;V^@A&V1|umtK7bTy^kxyN1dvL>d6QrOhubAtG`2dK}#WOH}eLB7Os24;NXRi> zjS(!MH!4{VkGdcl0vSO2sC+?Kua@{Rek$Zy2S{#2`5z$sGjap?3h5`g@pB^PKTgp3 zX{7RVg3kW|mf@#b_p<^&EAX=dKP&LF0zWJ8Z;%^5TSAP-4_yHFh7`^H_c&&nqVsTc+Ej zS1};LTO{x$z2S)vLYkTT{Ckshzw}&Ot zZOcbHb5je_?l%hqTkB>=Jf1Ik|nbG_FP59 zi!i}nkKY7xTcJCZNyUjthoVtgvc<(WyA7GKHV4e(@d5Hq_bRFf$cJ^R-)O2NWG;{VmuYRiyUw!Hli#Az(tUnNXk0p z7?D2RvKX-`kIucpq#@m&-*{wu>i#+&Npn?C$Tbg}@MhXmreg>hHYLs=@M&$lx`C_@ zsU7902w#t)Z(JOSo^*<69}#hmhLm^@9v1SkfQ-WGpb7mm900c`@2ys3mGxB9byd-| zE?1I}Ni|8bq3?8674w4cRfdMYX##3inDlT;;?*HRYl!;(Aesq=crkL2W~QM!I!{zx zU)!52^A4}>A-o4U@fi~yD(B_X1ewv<>Ib9h#o*XWgEh^S6{rcDiJdCNsHS3+Cr4`m_Zpqm=gNl0L-Re2myLC3qg^s z@Np!_75jO{TcwgXLe#1^qjYk%A~qFtc5rlJ@G=urqEMr+VibD6jENj-M72;0mLD)a*i0U@?zY8LOt)AgPW zxWXZYu7p-K+)X^QtyoV$O?Pgg&&68^SrijAq>6#*&vYf&d&p^YWt7FLjX6sl74Q6K z3}Hbs5!l{dOhCjI>aldW_z)3*Xn<8rfH#hO%R&%WCc7)M(Tnj75`w_KBbbNr8y6nQ zgRn%goGcQHLOB)PB&mWYG+VChg)2-VnPqp1l;@gs;mou1qjbl9!vftdy^a&L?}BLg zm2PurmgF{eg2c8nV|R-zws;V_lHx|ikK|ktJGDk!oaxL;WS18k3I~iPs_S5@o-d{) zXFyj}g`#S9;l=!$)0KRnAx9mkH=QpGpyzqk>yP$eJ}HNd zem#UMUoF*3*%Juo6k3*|VmruV`?ijJgye%^QxYi#c4FhfK->FoKW?_L^7Op;>cdx8 zH9D4ILnie`S2?U_xl#U*Y$V3y7@pRFmK<0I5iR;2 z!+8qrnszszX3S5rbf|(EUOiqC7qT$xv;ceRnlku)iy1)ZLqeg|$-nNbZBG03Yp|KQ zi7b2Nsj;?jNsGnzZE;Cw+1EuNU;MI{iz1fBi-PbSGxS06q8+T3RJ?h_TF}-$$Lgf_ z=5x3UBmrzusZV3o+^L_9l03+rEKCP+O;&ISjbKysc?+7PXM#JL`fKj^Th#=%oy zw7mb}tqU3XE(Q^Pighw&FH78cD-}0{vX+x6LPx45kkre4u(S=Ns^rG9Cv1^_Bkk(dl-G%busJh>FnkL-iK)H z?sC82FVi4Bh#p&&I3j2K1ClP0QP&?y^=0B&rt65Q_Q4qV)4dGc4p>@!LE12UdJ^Y_ zS=GFG_1c1u%X)-m1k;|U_HTno7em~7p5Ww7O>?J<4*RR2C}T3IQ&4x;o}*v!@f@SM z&M)*UQU~BI87N@|9%ay#W6IO3f3H%;!=pX1r=EjPK-?lrUq8x!pTnEV!q0vN=*KTE zXjrNO3Bj`caA28_@cFi(hE$9aA@_6et7$vneUG}6cZ4aQhUA)dnpWjxv%)B{V&?7o zC#tn7BOWk~F;@{|$(OOPBtipWLOf0uv{n2s$;nXMFHM99nd=`t{Gqd5XHjt~kJP#C zmZDGJeYc1)L-g^!EP$CtyuRQ~bw9r@R?)P17TcFZTYKp@@}v(E^zB7BP6;)=vlk~y z6DZEfXg>IyE7<;hKL7I|e;wPBSP^1B;m6dX$i2-zqWi?i=2>HzSM=oHh=u6j=mxC4 zJUbGVgH%3 zX=RXcecPFuB+Cv#c^rp>Z}P7J*`68)f}gWF9VS+Ycia1RKTkLuI4K3>OP(>6BlrsoXf`Oa|&c zY`;a=kx+@>aGPq8G9!Jpyqklgl*6gv>a^Tur*KR9(Fu-B{bTh#)_dL+z-WmB`@?=1 z!^>M}5ojS&?Ci>Q>;=x43>la-Ya^w%rjzp2?Ypd5#i(8N*p()WDPS*`M%Yr4?AzY) zyYaqLr(Q0g7jMABMz)YV{24OBT(Xi^sX;hjVVYh)-oMQ0N9MQTDNSa7vARecWDzr4e<<; zDXR`f=)nVau24Hez0J#16p>O(Nv4z1_L|g*@hpOgO0_$+2+k2nyCmgPDJ11jkr77V4aJ;Jm7~ID5~ij)jqoelT@ZI%Ig39d1Xv>E zxH7ee;O2f`eQsl;o`J5iJGY2|3ZmmzzAhxHhlLX_T$jsiC_i+onrQ*JEfji`afJ># zi|K-6kJN^@p?k;9opm}F72w+9_PxHcc&Y8$Dv|^x0w)qzoKuZ_$cW>AcRU$`(-+n$ za&Ge!0o12bu56T0r62sM`s}IZ8#sdn{JIyVGxi>$F#}o@2+a+(*wZyt1$s)9qu-mS z2=>wny)6%`toKSGh5@nNm)qvKCOD@nI^*fVebyuT28Mimc|PKtr1-|w&^s-fQ^I0I z=pL>D;oqNc%>DwV1tv;n1T?uwB^bE_4=Gd81rvV|8zoW{tLKXSZ@y= z=-lG?F$}=^JGu8R+X5W7p4|P3DXH5JFytf^21%2#F(zL{3Pw80+RkEu({GlAxH5+8tk&P(W}TPF)#j4Mo{p7Y@;Kdt3sYXI zT;Rj0MUsSKlZ@R^X%#&jX}mjO=R>)PFotbcH8Q%6EE94Rw6rZdp6Lt5)op&61I%GM z%QmKIjxu$2a&>^_QF7cM+`(Pf%JUx2oIs$IE65)uY`lhTy?RDOzP8`le^mGTLM~tO z^kzsmNO&n=a2|)kw$JC3l@BTD%NMvtv%%A{C^E^~d$E&F%n#5^Ob!ezw2;G&Y~sWBx_;`oln(kAvez9dG)h@V6s zW(3#ClX+k0GSJ12E?~zdcy28ddb6d&UkI&0lVM6H?YtIo;bAINScONBY>;7SMlm|G za*z3pd1r>S;0p8+VO;tRhAQiS+(I8ryV%7G`)(oYjr?qqg>+VJK}z_>U2ihoQ@V+9 z(7VaNEN#<0ZeH7czHJ^j=TfVsn8hBke&W|!kW9RD*)JYoA=osXzf11>% z)8EYRH}m^{n)w-gR<0Ve7_m^Z(HXK)Gtsj%P#fqoFi{&A8?v(+85=QtT5bsba)jt+ zZ6__wA#UU7q;F$rEH1=N;OuN}#9?T{{CUzs$41S-%Ea*bel`YbeI{08YF0yfItF$Y z6Xwrji$C6PWXNG+>tL<##7&_8oB91_e!ms?t-x;uek<@>f!_-JcPQ`=mnZxc$^4BA z{R{K^>+bze%^>zXhlB+t}DTIck35pTCtSu+jZt=s$z+KT#juUuq9N zy~)h~%8mP1!T;wVAHyf#`&{KeFz~-J!T$B%K0_d?9E^NqkmjsX*Li zvlZ@fn$c6EG*7`?tUv;rfywnWx_}0Izh$Bu_oW$ZnkdIO7xcXR6B)9Qn6UROJ0YaS z5xcRp*HAw&yu|kIk}JP(|9U$)boA#>+;R>)bvq)JMI}>VC-6>@Z5`j|e z-U$_LU$6Pq_>l^Dl+~&2i1A!IbkMVO=LHLC4m2(e5rs3OcZ1_}b1;n@QWr2viKbN* z)CmalXgq>QAupEdgNxNPK&7`tL^Cu7TLn0dBhAiWN{uV*r8&V&(Ti}{(M+=&OLg#Q zY{et_@mIw3Ti9Weuuhu%Fe5+$UnN4r$}1ELkB%uk7Lg>udM+mjG^;$u(Z?U33hH0c zJITx;+U!n$GqyVU;#$A5Z77Jz)?&b^fq)<`-6{|uMx-YAx@L7Uh^7Lq1U{5jJV>!f zUB*IZEv_4G1war*PAaILZeGtcZsL}c8wR#ajhJ>^*Gj!_AtkX`GN7Ut{P)zML_5$Pywo8kCW29q-8_ z*pcnFq(hgU#62g9B$1MYyM+B4x3UOUg}!TY5^k|H1BYdDQp7sc(g24NJe3`^ zv9XzqX$y41q+~i&h*J@PW!46*zO5*&^Ki2vCLB6ss{w;CqhgnN)VN_OBjM`ITdYKZsL+aKBBpx-HKNO>^&3Q7Z1pOc&^@R&kp_@f1DEeUap^0!u}w+ zoss!Y3d@S*azq(IaRyWnC(!_W_e&Eud=fZ#{qqW?9xjd_!Bs?42jPbP2>|Q2rH*~o z!f4C2B50~Lu|@zAfnYyGJ*@=R+LJ~x-kU|p8x~TaN-8!auRCU+S6%}Ybg5upkDA;x zi{b1bm4C85U%)|!5psZv#$kWEtPK+UB7yQtDa;d)%)Hbh=~-Q#(APKp{kC{x;<3t2 za#Q)@utHf9w&K7CdKgT7Gg3`<$C79XNp^;=ut>ZNB&rn61T%~l8TZe#t z6%pI?7^JgjvjESZloecs{{~CtOIiH;M?=XsMHk$eH5V{~X1^}CVJ!aJ^cng|38zX8 zo{Lv6o#Z1oEM@q7@=%wJFO#4h`6n|@isqc`Xyk)bvo-p<5A#dQ8UCGJgFL-=-3gsj zj_1q|H&LBfb)-xgU%wL`23!)-tUXbk$B}GL>L4_t&GL=MyFT8NPFc*Coywf3g`SSd z(uUwE+xYG(G)hv4Hwv?;F6_$9iMO=-ZY@NN`ISE~7uB-R z3dZ@Lm`9oC7FF6l@GS^`Yeg|e;vt?qzE&u7S7@iFH(|!K6yq85+IdKsO9%BEi4v8# z6bw9yLl&8t0S#?Oz)HDg`PS(O>xzQKR00VzbQ%BsE1AyH6+DqNgS$) zilXjOjmL+_xNeT74?#@oA6Y7@ zjdpEmo-i-nSdMk0{H^S~gGt&)Hg7$W;uURSgSV_zL!Zw?u`eMM{445ys@OFmL`WK) z*411W%Ow;7v8Oc;!2r{gg&=m3w!5E-86V99gABh^HJj}l(L*2AiBR;&_f%U%*yDBZlBvo{7jV7Z z3tc~J5Q)ueJ3pszwhb|_uYY+1*tN2-096ic_9oZyf{SFm)ea8_zT_P9wg07-7~}@%C}-9S!0~Y&;9BG~ z65@dC-Kk1Ziv~*>ZD!gySc9t|yF&N_)_uiHYUZBJ*4$|YF#$G^<)^qcniWRb1-*_~ zdwRzSUC6FPX%#M^T3+JG5VZ4UsQo2O>=1QrL1))G$EhV(Jr{7o(i&aVkP{OZmc(ta zJo*-PTP4q`w-ZaK8BB-kG3Q!*TUMXld=`4!1hzB|^-t?~S6*giy7lNs^gNh~o1s4r_ z(+?`T`!!NSzixn1z1Z^>M887q@MnYbeB5}{_y+hpr=mOU-3f2+!bbqr@}NCg?Z-p3 znT>Us9#_oQg+xM(fh;MR$wx-Z5ki*k*{`Sf9L9s+A=YHDar*xB?Ryy`KfPPi{*ZF4 zn<*wsva3fMRNsPHidkk|l?W6&;L)4_(@=(fT;{0&e<+~JyD~^H%+=`Rrzvj6Fq^T_ z;khDGiWZiJbLny)p>+#<8(zaTWo?JFqV;zA~+1fHXf8p``X$ZCqQ_a!ELHuNU9q8fXIwfAHOMgiA#usH2t>af(SOwC3HAHST}JSa_`ZRx-1T;~;R~I$rL$Vea_r+;!_~c`?XxqT#W4PUPFnA)r-1hMTAe zD`wYsYCWjEXBQSuOKI~qidDi7^=aC{C8}sk$o%Ke!7o#*UdCP6-7S_r26C9Sw$ieibh7?K)p59?-(#U*vQtmqA zUOMOtgt{sLNIktfddte~tjHrqZoVB9ArhJbkRupKWMBOx6cquNWI`4vKP*$7JRkj5 zMe?qsp#%JeaiBi-3v>Gy@OKSFstGW5^HH`|6HD=}cjg4S(@65Xi2!hi&YAC{)jpn=3pml=b~sV$@S-lbCUlJqZ4?-IHG}x%Vn84^Xlxb#LC6 zUs0$*S!WaNog0JPrXFZW+iSDOZ*&1bzA(@(u>CzGM*o)?*#GUL;qL(B-vP$|F9jI? z?i2qnI*u2#vh60N6I z|M^Vc!e-P0Vav<0tCM&Bh&QQ4T2)4R%lq{rV=S`a_Gfj{ckHQ6tEtHrP0FO@%}iwR zcnEM_5Ag<}5`r-+0|)bd7hjTr3w44bdjriZ>+K1Px_7S!$GhgL3UZh5n2=(ModJ=m z(#!3luu*@^a<{}n_}KCjZ!-~)j0lmaT|=gR_5A#o#IRqcuPuzmh*i!2Xx6mmg6y`` z?~A|Q>0?+&i-H4?YnrbIf4spy4HE{&GR^uAkr=(NynB z!%R^}QQ%Y|+4@n)AF49w$GZdczg#>(nSp|tT*mipy`SYmIz`d_BmJZytK{PU2_+emuk1u!J>q)`RXCw9%Sy3I!N)46Y&DSZ3IIX?YII zR5_Y@iolNZ48T1~j4n|t^MF=E1x#N^w7XM0+qrpRvt4v$!% z@gy{hmA~k-oHs+sWE%IF0U;5jBgyo22;svcW)bEgQiI!(=|Q09>x;Mn!}Pj=5M@HE zO=#fdy&smrzi$$uX6DgK~0+7Kq0I}ANpZ25WLr)_R87dN~ zz}v?+qxYhKtKczy8D5M_{FXCHSC8>zVwNR^AJ=OE)zgE7(t=~PluHzle_jkQ=(ugd zPKG&(mz%m1z_QdY;YZyU;t4G%<0TNW7w?=xKY%U2j`!`lU*qVuLMfIkn^)9cPBqRN z?>oSK2Dl8w$Q&a-)%vtYb_SUb11nTBP_Ypfab)@UjvDv~Whv3F65524a6U$yb?B|0 zZQ3^9H3O2`ghPfn7p(#VqZnl};)3`GgxZ>Z!DO0iKOm4JY%>tbxJe^hc>KC)J@dXo zHu`qvQ@*`3%GaPw&G4XLagLsTjVhCP{uxE4)!aBgx%z&$v#(iV2;buMC5Y-|HI#td z0y=fmZXf!9-*VU-^(gBkK}Bt5#HeEgi;vlRV2b!aZm`S^rlI;Y2vp2Z^G{(iU6~h- zOEWB>CIMJEyE&4uMNn~RHgzFJ_y@|CIKWAeR%Q6UQpsKxfH(G-Jm^IISg;q0P3LdS zML=8#Eo-$|HEBCip|Kg0I;Uiwpre9*zy z%+g*vW`a!=eOA4bN=Pj}FW1;*DDT8(p!#E8sVPhm$`~%AeVLC6PVA0TSak$Ez6&iR z%RwqXgRpoeqeLAdqWD0Hkq+E1%V>TRn=>MpLaW{i_zR84>kb4#S-Js3SpAE)``Q8` zusQ|8o_(c-M^-o_{(M8FvTst8xk{xvBo2XHU*yu(&-CUPYoSWAYh$Yiz8_p1{g~JQ zvZH{FI2vI1*KGP^wPhbw)iH{leI$Cj{Z?Z$%SDW9<5&>i0$e*P{LP(|_OWnrRo~D) z&0~C25MiS47u5HSJ$uGsIy&7YOD%YDaJ*eC-xo2avi+CVC}b?^)Yw{!N(R! z^uTrCsI+-N>rb$TDMU~fiOb5(6?-!91Tu}g(di{5{jCs1Y=oJfvhD9^sScJNeb(>L z3zQM>e>}Fpf#s_JBNzC2@qrE39+{40wG{ehiH74ULWNpVtQLOkW40@AiD?f_&o}<4 zA+bT*OHi?1C1ec+4BIqR3WgapCW7)$KkquhNt+H< zJ=3#Fw*fU1hE@PhY7^2czxI_LCJ;ywB)kv%xn>M8Z-=C0NT1-;AQzGzqAy$%#gx+5t)#kvd(glUAg_Bm0!|sd96aS z7WQdyUTgfzvUGAuB*0>CI_Cj-R7A)svGWgFs!M)_?MYR?iAP_h zOu;y)C4e<39duI;{k22IX0!1Ce(oXnh#qdb4bl+Iz)VHqkY`bX**#=zX9&%YE+kgb zdRgprRUbi2$P7QheVRB9XrOkITp_+d2|PJ_3JpX&kGKo{OyOr3n2;_hAjx8SwM=ky zu5XiG3ZQ)zKCp-IYO5^7Mk+ZOCon(_#U$4s7r|mD%Hra(t^T3WRJ=@R(e-Qcux_$e zt92FW#t4k~Hzj;1p@%0ol!bAX>UTD;gRqbYU+dDxQXQWS$zVebIl($lW$8j90*9P+8V?F;rCa6umIpes!U+Evo`Ka@A|&0IQ7Be3%0K3O5Y@ z_5FK7zg0?q6ft~I-1IKB8p=*lAv`}u175T`+7qI6Hn6D-Ey@^K?jGE6IualxZqQ0_ ze`=~&hvM89eXfx9GKL0oyi|?x>PbZe*;;<3?A3MueooMlB@a(65!!ZLZSKttbGg^K z4RNCFTsjv+z9e}Cnfu|=Z^Ob@R>xGm%0ITSzXRo#CS1`D0DNruX9c8`bLq)0NU^zu zWrge1onirm^pU%emHM#G3rU=`+gXfpg`QiYNE6u0krZ{7Aal~3#_hDgmoxMNVmzHC z_*d_yi%m?D!%l*SM6U~uj_Zv0sVbN$c%u@q$7d9pY;g=EP-z^f0(lpck~MB69s*Gw zz~1<^Irg7VaIXT`X36tkT7V4W;Uxpa=|N&?e0>t+j$?1#18M%ee&3<1>v>7ud z#eY8sss)B8+5lm>Ty|3AW6ZFj6Yf=sy(b!NzTl0`KdbYGZBD7;61x8KnrmlIkn6Jh z@@*i-6Uc=J!t+M!5c0^u#JhTE<{*WzJ01EN&hf5}-_qHNUUEkd4*rKcmKF8d?RTo%>ljCLLCJNTS1FvLI`!3=4aS!3~R6u01%sGoZHmy zZZ>|(q?Ch1MRKs4L($zzvO;6rSrJQvW=y81OYeCkdc@!ycYlJ!ibpjmCT@MMie&^# ze2(jVC!Q}jK5%gqbGu9o8aZ<79ti@UREI`b)LJ|?L==*;<}Bt&W#k*g9;DS&H(sjC zOiK>=Iz83By3?E!Gi$D1awuSDs29Y1#5TBqX(*}3`_BjrhHPqZGPI``E|rOqGYVH? zSOQcVj-~`bd_M~jf;Q5csl;NpZ%ibhVqLlQHU9KwKH|@VFRC&-r!m<)f?mYw;8k}$ zctP5pHC3F8u1=5nMtY&Zz?V1}Do-g)Txf*@Bz(imHPiuD>ZKm|k111`OQT4Vsb{y< z22DrKvSp^I=jD8d!@F8U7OyX2#3VqD0Rg8$fGFIh^Xgs4K$>ka|zsp zx0n@_iv~$tg4)C!qIPjirY#d)u4tU^s+(JFENyz1$Gf*b&PxQhK<}PVdF#(Ihc>Py z(VW=AQ8*^WPPg0&+zoj>P#16N!WcY|eR;~m<75Pu{pc-)iDRp)z48K45$61w5+Ei- z;oliRD1HoUI@tqmM*?z;re=Ear)N9v%Zw5Mn~&DlB$3>n2avF9!|owNK>5Txyt>8M z;{_xMTi}`)xR4+UTdB3Y?(9wsv8fl_(3Vx9L;fhvsjkvqG!(pVT!R_&bv@XQ6xTBv zSl*J#8OtNl>>)1h^1sVFBJY{*n+}8%Kns|?{;GP8OI?fZMRn(O-d1Y|`HkxZ^=E^C zal$H{)}ZN8C+bn4nmnh}#O4xhD=^F;Et)(2X&h!TX+nnAdnGwOc8{ccx_{HB-7~A4g#B^T_J#lT$?K`i>b;%;IwkXuamz#uoE~sJ+LD% z`m#B-b@u^G2&U_oSpeq2N7h?67-JCNagTF4iU+DFMipblsuZH?JNDjB@%m^C^#f14 zT%Vm>C=+yNzKbc+;eZ-d`YKBgz-(5iEiOUs`1o;_6{IW9b#Hy%@UF*ZirM@i3HRuz17rm|d%#w%O*{d_3c%uh(VQH?unpyl8CA>hKF{hs7viOWGwzZH?5Q-$ zfWCSNRX_<4p43OQ)wv|?zDb+xiCtbC$_F+_@Eu+4z9pxPTPtW?UTtn~BQ=^1;_I>> z*V_dL*h0wjGmgyq+tY@!CATbx%hH?XH4^O|JCVs7rgolcwl8%RzMS(Pv++4*J$_q& zf`q)qNAf*u{xy6%`fOnP=ziU36k7Qh>0p-qc(-w@*GFpHy?u6EanSl>c-M|`yY|#+ zndG`EazHV`ZT_cS-jpEKSDOMiDvo<;MB&}E+IQ)c&bbtN@yfaD9bMfr%Y$%mrc~7w z0n2i1qT^CPC@>UId*MgNs}x;%m962ITZUZ-1sS%#gwq%xATgVJrtno%Uu}>FbvAz1 zcy>JZl|n!K%EKDs-#B zixQeG;nA2XPQ~(dnn{UE&Z2WO^#AHM@l_#y#yJu-^q3SYjd*pbTEAl^DW@2&xbhSX zt5o#orjGp#*K}aTIg8-A>cLG9 zsbJ>;aM{e%m@&x?d}bajsLDEpy&>XZu`*mX&bE`A;-9oXi0Fx8;;(UuKafzL#E&U0 z_6e=uv4;W@n1OwQzKfVU2P|2l*P#e~AjMC-C?X|$pu_tDSR#b`2CAVLNTR>r?k2ReRmLSTg*F@-jZ2Ij)s)xnR;NS=l98quOBuJ{#wQc(J3 zYMZu%NCrC#Y8SjU;R8;#kRx*px4>_IEe%xS?Cn^usnQ6Oav-$(<0ImdhHSdW%ht#x z_e)J-^1XY*M;u9-T32!5H28S@eoOSmF<*z~m|Ul+7a=qV z4Fn8Fu{-%Uc`LOX_Ak99O%+&Y+Ti+QOi9?jY@942le` zR%vr9beK%znaHNj;CH(c* zSEw)DmaOG}cZ}h853_^mZ)fjsXYXI2#&2iuf6=_ezx8MS=gHB(b!Y##e*Rm5-wOOz z;I{(575J^dKc43MS9<+7QvK&KhCgbJ|0RKyiTN)?{GVz3KRbKr|D7IYHu`_v!^}qi zhv)MjH1z)OA-;_N$?1hZjc5FqPcQsK7T-Uf{+|{5zn-Pb@LA#e88!1qpz^=A+5WL+ z|KV@~BO@EzpN10()z@s+MG(A~RPP8s;~yWAiQ<52iK{MzlbrL#1B5d{)BEB-&o9uY zgqv?MGhkOz-@Cb$7dp&{2qCGUBDFWP7o2NOvu}^u`z+L1LGSOEj&7&c9^RzA+fPsX zEapB36e9mJppeR{v2i)2Gd?wWWS@b zHv%9HL!2_dTP{FpdTzuI<7OltyV?u(+F(07#%>vGGxghYJ2d6+=l-0fBU)sXs`2~C z2gJkPWLV>5t*W&UQ&`T!{?*F)#Q^_jV(gGTdkpAZeah9#M2{+?E1aYR4^_tLFFi+J zdPu6iv@hsvD>tZ`i*1rXUCfRXU2qqab$3fY-&H)L3r$8-T+I}XMpczd7+05;D_pEL z9H=XWSGLEQCbMgU`hai9PLFXdDLSd+)D9hhPQrO;UiG|kG2-k)~{9|#qx3nv~RL?YF3wSAD31p zH{(Y#@rdS4F}23abXN82sqoq887rfJ6!_fy%J5eccGUr-0k7*{)CCLW=s8UAXr|x@ zdSw`~#s%Y+IWi`Z0CW|Nk`WV%TA)(tG+_Y+Q>ip~0|lAw?!vy(q3!h2l`+hvi0!NI zaN|N;nt@;jTZV}{0L9SH`ac4Xd`m^%OQpHP1J=`bg8hnF5}m>L6R0-ii*%F;GE|J* zY+?U+QsMFVK9t*yvNQ;N&v9ri+yi8sAd8yDjbhC6!3wORB&r=LDM${+>W^0DwHf$C zF^g4EI6mPhW1+3^B1TJfW;tRkLltA01{?7v;J%nU<#_5ZNQ%Qp!a~StRL~9T#foNM zA>7NYR2jM;4k+4xt8L2DdU^fY@K)Cg+M{i4;J( zRNqNyltRE%gz3}bRd9PI4n9)v(UQ+j?cZL3Gw4DrFLt!70OsAqckw~p{cIk#_2$gJ(#6R5y0&?fI2L~mZ>$B1*0R2qWo$FXj z_)OIuFf?%JB`71JWuxttT=B*p0O%T&jdFDM?)^1o+w3fA{Oe`+zG$>)^%tDtYof7= zqKu%tJqhJl-KL_{vf4+bQa5Wm3i22cZVa>UQUx)pP~yDO#c0qAB+Vd%Fsv}lPIBex zeHX25Ec*P9?LL-$@rNkPWx|*c8K9=XZ|$3fJS({KCS@=`>8+F-TH6aoWT?*;UcLjG z5-%MX)ohZ8YMAp{YkFk>zH3h-NI=cx@q#M5GF3GPw6y{^R{TWEg&{R(kqW+osj~V} z)+p(>hk}uZZL7FIM=##N$r<&Y$sm{)h&V!}vLJ#@;pIF=yIKmuR}i7iN$alTx5-7$7qFkr5yH^lV)!4pDQN+clOy-*m8 z=tH*MjN`I&kG|y{AbuKQ=PY4UK|VJ$TOuTt>7bzEvW1%UyWYf)Kr;S$ddg*?jionj z+%@lFANQ@n6GKvsxfF^_bgprj`GQBERfm>M0v&h=1|QwqM<3vBjSF$k_31er9BLul zDY3w)+=q;VodUfJv-ou9aPm@d(rBu9W#bp`&b>kuan}n18`Ft9DOo1Q&TK4}1RjXS zS1MXJMNxdB%!?qahE`i+!*?5Q1|;{$sL>EdDuNU0WELUuMX z*u@I6J^yuFPgeO|8^mPP*vI{EQ{78YKcL>cGfZG_o^v*tap!T89wb&F(C^_b<%e(a z4QB4|TqAT3QbN`MY|JsmyY8)*a8ww|bX$XWJi^q7uz)=r)z@b3j*1P z;laQsTz-3cdZR?~5qq3zT9dVQYwc;IzhKR#le)$#R2tqd+*~SYt4A`cIEwRQzi<=k0u~1_Fu>Xdp1*S7GK%Swpzkk8LkwMyAQ$i=4ewT;<3jFx`oEAw zwLXg^pS~>oqytx;w7F&yBxWO*MNhCGxtJKp$U(Yifn~REE%Mw0Cym|A?UIk#ioVH& zg0T@QeR5IlIp=pbwMWIl%nhfp;VGygPvm6Eo4zy2nv@Xa@KwC!dRa>W1%1xV+-AYD z+XQ|T#1uJ0q4e_paY6k^_O?n4Z!6Dy(IRP1IX84m2aiI#sz1G|C!f;r3DM*Jn zXPz4aA^d>~2u~)Bqi&-{au-W=*37OTGqirkkH(0FC;D1DT01N&_O+}6)WrcHRct$n z5Bm&~)7s(VDeP-Aoi5kzZZy{QTp!`+X)gdf;;(44GpaZpI3*tgg5s1nAjmpq6@FPYD%Ut)%Q-YOEai9@`wRs$q_6WqY z>6^^C(1Ds)r68)|7@Ixh5~CEq&S}LFGWWn&50G$Tbm&QeBzk=_j*~WVq!2PfceJjQ z*lSgwk0Ka+d64--1zZS1a_Hs{i0#b?O#z=7rmWofcovDp`Gr?RyCxJy%khgun0e}d zWvU3@P%Us3ls%{MCag_I>bsAo3-uE=$entx!L5D_I{27!HN(5!nZqYTw$9^%B z(K)VD55-_JiWh+5Abbb&%NqW20tI;lNe)j56k00M~B#sQ{SCQ$Rr|g)9sn-)56mQ~fNY8tW#M6sJRgH87JfJ)*aZ=+P1-=(21A4+%N>Ms!~N;sceq7UO@Gzk133upQlq9d;IBoWb^qq`Sw(yIU|iFth&!lQb%_UfVR1+PEu!agltAL1#r@f zXzp6gXdzbz&Zyeex<|TC#b?Um-6_)78%`UTNTczt{5e-N_3mz|2e@B%*yD%q+}IMV z2z6wAObXq#A9#tr>gJ59$UBx$FZG?&M7?{|_P^HZ7jD|-njDdT?c9|n)-noqzxkqG z5@9udGL`Ehw~6;L{N;Jj7Z~je3FcAZ--BZef6B@Fr;3-~9^c;{-`^fzJ1cWTeJ68U z8(KRflRr{%KfiOxIoKLG8yY)s6Yv|^8W`ir35noInHxChJGkS~Gt$J)#EpMzt6TFZ9B$@BAc%yumFvp~jV?fpbnS4US{>*GMABJ^W-Y%OwZO|zu2 zt+SgfpFo-Z<61Obm_%9qkRW47Wy6NX;NA{?piX+;+PUsWD&y%x-@xm(w5F7YbCPvs-$iSDz#jBbPI7$|c6>K|yz{h;qR9DX zE|_W2WF?1uPJTV%L#c9zLq?<9+Vmy&J-OZ`f~NS)_IM?agx(&=88+z_UabU1wC@K;3?dDg?kXepz$$$VH1jXuWUY1w<|pY{klFV=Ca3WmfrYBi`=DRz{IP zMOaw53h_lx(Y3W-*Z6&`=+w@@Foyf&4YTH_`6xIi4(72?!z&|=rBim7jO2HWM!D*= zCVS87qTo4(44#z&g_#GB-N7Qt;4z?NlSSnq-Uj7Uh&sqh3ox9J%Il<8MI{!i!a&6n zW_b2Gwy#?yhX~9yP43kC%_>=3V-QGzElYF5r%T1428Gkp(7t7&VSh`51Sd};@kfh7 ziI^Dhc6U2&;rTg9I(+L~_t#~VpV1OMoiR2`TqS57KPnQo>gd9#+(3j1JwxqXbaaAgghcz#)} z$ae{;rs<2IL49i|F+DeQ@=Ey534>KydxnO7$}Gku^l7+<3`pF+xaOf4bZ`Dj1!u zdrb%{5;IuADwYGv;eSRmu!fRZyDGq*wbP7*7=+FWJtjpr8=v+VR8 z^tv@rtks@_iRyE(x{h`a&ng1|ilO)`uw1j7p=GoV^-Fk&nOtt>Nzi`UF}UUY!JMYm zU0O4~BwU$FYyvp{rF?epD6&sQGcNMLY4@H}fAqWW^*V z5Mg5R{Sz)Bcb<-M7 zjxF0uAP$pjg%{V#%RH{$R&)8{sw+y+dpKV`apn8ODB3mdK=ku*bFQmH-Mqd{H&XQ9KfQ($% zgqJRN+{;Z@M|7ITLK2C5?9Y)n>sS_K17gu)+*gFM4EwQ|MWHN;3(F9y7QkZ&Ugruc z+q7CYiYs)m)&&CD(U!Dc|7arqHOJDmy*YlgDd48=qab57J|&?(Zk)07th0RVbOzQG z5iOj91%NxAW!0>8rKyRc0)hheRt1m?Wqv9qXub%|6e`C$(LI*ds>}na-bk%!qqcZ+ zrYqO16nA-oZ-)RGK?u?YSHBhzb9?(1H;h6u!W>y>&K|kOV4=Bv>ynkb&d>=!U*Of} zeJP_Q)fQ}dZTs-${$RziK6Kg>vIBIV5x>rnd9A5gt-Zwa zA~-bdyyCs!O4WdHVxZ1r_lE27h(6VO@V9s1A;(Scb5-T#oM4TarCrB20|cK1;?{Wp z{~T9w*7M+Dzcq(a9N6|-EvK9__e{ZblS6CsZj;kzcT5s+q9=ccBdTSJdSJ070)lN( zQ0t4arlfZMMwIcRQ&Z$(qg8Y%6XE+=X$U*bKwMFN4=hDO?WENl*qgQUfxVm zHnHJ#s!)Q6B83ImOyatJ71Iezrkdddyfd&Usy3WROx$knfGSzz?$cmvU zCV(av!zy$R5pbe!@_0)19*JHRl3yWe4u4-uCdHDH-c2fvB~AG?iW9FM#Zk9TBvuJS zmBkGXZdSZE`(^pYa3TkpZ3;JQi^BUgH+fX$q|Y{)hrE9FWSNj8{t~ndU8n3q-rW^V zY2`VbqI=x?c3V7OC+#vu5=`Hg_Lp^#cIlT$u0S4(vk~?hY6*kel^@}}C;d4y{p5>Z zLGGGB$E=8rLg*f_TbiW7$=>tO3n}Lwn6bPE2Qr66FV-qnLJ*eWS`9w5Qr{YMf6+c0 zWE>_Iym{$*?FPy!P$~8x)4q9*H-D{zd&s_}BCVe>hhTGA{z*On#(r(l2Cs!=<4?`T z0>ZmksGUU+7J|Lx;YZbi|`ASRQgnYP&UhaK@;}~ z-4nqF@LgBp%*8|C%SVT?5h7}XeDqTvvJ;vb(kNeiJv%s^$pZr`t7&jz4*hqckS3hS zAVG^ALyJRu3I+y<1jLS}*36_Fyf#Hs?fUMHK+BK$>_|q>ML*p#c@psw?1uDq_pzlV z)0WD;hH1EP924pk4YT)HV+>1*>O)`pCZR4l#nQhlRWHHM}yHWt-U}J}yg55CXPg#nB6nELPA^hzghF!4{ z1jWMNY=w_(hF=7!$w`U7D=Y+6g7K`)i=VFCWP7=;G*8V+bEmXxZ#)MUe@v_1+n8OZ zH=}0{6(Zo}#4UzHrmLMLjso)xlR4L{=sgY_w3-keG!YOW&)QcTPvlDRZzQj80_s%3 zG9*Fg`vtYe*5YaqiRuSq1>>7Zn4Sh9ABNdozks2ilj}~9a zfz=ihhXfU?ryQ*h9@65aPfzf&Amo*+qYNEzsH`#u32%-PC|mX>5MO+7y!M}rz3zn^ z`*<~+TWlyxzFA?#WD&Goa4ILD`QoNlX^!*Ne6f3eK|eQ8v^?nUnXE6Tay6|kr8J4C zsqzL#rOFcQ+bkCcBSR3XnB{X)Im)BUD!&S}q6B6Uj+`RMg(b%?CD*Bf135}{8H8#7 zI8_(fxo~;e%9(6t!Y)e=j+%tE6Hy*#!XO&s*tv{q+?kvL%#0CE>9r=GcEsu_p&Z1A zoNsD=#*bNI2Ks>$B5}{@ZzJCA)U8K7ER4~)>w9?6T#q7$noGC#-C0w&cl$KauBq_` z$X8cWKh<0kg)fhR&Vt)LPIA&z6rA~4SR@>~esV$3mf+n}1q4y3xUCbK+8#! z%G1H>U=zxzAhUCpY&@Mx&>hM)WkQyD`X_oi`yTgO0ZWy8KAUNd94m$#^JICM zdq!am{xA04GOUg*P5&mi1qe=Xw_qFHXn^4E?(Xgq+}%CF-QC^YEw}`Cf|HlzoYVi) zJv}q0=jyrU{g7{qy{UR?-L+O#?OMO*ewNYI8U!x%U{N1*gzh>GO2O1tIJk7ho#&tD$wFi}toBU{6 z&g&m&PCs%DWMGO! zUp7|JMvU2OWk~yBL3w@)0GXv4B)Z<+RgHJDpRBatO^Ia`-hJAfiakt?nsB_Cc$kLE z`skh%3v8;840#uVA+$V<(w1uUiq&Z(mj*mdpA3TkndNRPkO6atEYI1c*Bj|E|A5(_ zs{eqmH)2gnW<3NY;*UO`cjb6)DPKCXbedQRQ{nQZK9qnb)HhLA*`$&|Q&Nm%={ zsgm@;!+N@PcRS|h^LwVF*iq!YS3tRtWT<1pk%iYH@builOhevYep=u5?03-+14d1g zkO3vpl_Ft;CqdPh{&r^?P={>n{c4SzqP9^|N*^Wb2m@2r`CW!3p!)k)U?=~&Ic!sLSH}og!r!`zE5PZCA zes6-l+sA0OCPiK_^$d2$bkA-MzR&OHv|KyGh3`_=s{%e1dfa50+q?!#YS{?5sxa7` zKBoKxf7RtJg9S3~P7uA_GQM7``r`8cbV4e25zCRmQ$z=DLKydS3ENglyeZe_lt1G+ zeGAK0_+H{Y2>f74I#zYcdXN5Yr?VTArjv(9H4&(g=e8YLWaSJW-MH5@hTPlofpRtL zVbX-GEc9{*f6PM0GOx0*g#ok#t<}9N|E^M;IKM0F$wwK55!-kWSy}}KT!lBP&b^B6 zqaWA&W3E4nV+AjK=P?i$!J@3(v}zhfza|1FWo3)k*KNDBty=7LuZy8=bW@Zledc5q z$ZFqC7`YevMz#gvgK+Hs_7X`|eR(FuwFDk(qjW@WC|2rK#*-RLA~&0xWwod*R}JtY8YUNvQ)|I3Ttty} z-e)~qv74ET5^Yzx3QZ8Ido)6Tn&n#@96TBV+TFK z-C%@*s;+zKLtbkKJ*Bwmw?g$d{+tA&Qs%N&+C5Z7bJlY6uz0KPyC|^C zx#$pyERy6>Q@Vb&lKhSVqDs@glBnpxDUFT`g~#FZ9bFqlr!uPVpJEZea)y893`IVHnE7ACEB?=OkAEuiyYheV<>DrmW^^A6?Cf7^EHnP5va*UQ z0n3m2!T1iN z>FvtAfAmHz#|C1lE|Ap=^gZ;1Q z&cMV#%lwkf&BR3e5|#N!S!V`jwtrOp#{mDgpK?YZD=qU&WR{<2{6%}fiwgfC_RmTC zw~795Om+XWRsV~pJ_Ga1NqCvzbn@~qa{Rm?yfhgIfWSZ6f0+3HGuDR%_~Sb8^Lq04 z3GqKKNWUNce_nT*i3RXy)@MX@-*#Cz=D9q-;8RB!Or|6V3W89BLF6*-ah+jrM)+&D zY~MTrm{!z6GTy=ih7Q#zvt%3MUFGB}>%A zpKPLrN8O@63EY=Y;|IOMz#1qb;FJa{{v#{CqVkySM^-$;QxtoN!RU=Yf3fI@(zDCQ zd&$h{q3W_}&t5z09ex#3D~#*3PjzO0q{Z)JFTpSCv6}XbzI}TVyT~W91xZa>eH|7I zgnq0cFqeg^v}EC9y$l!SzX0l(rW=wKp1P#mCyrB|K0CUCM%!h>KJLjH^eHOj0+i$x z3g@l|XGP?LuYTmkFP`>4A~)fS(_N|~=8HM;xXp9f223paV6T2nK36U~Q=!*=&4#q! zhb1d33G4Ib!v3CG#P;5hd2MxWSTWs`ZJJCz}PERz{{%5yIeS25vU6KCSnHc=3_{sRT!Pu zZ&ENvsW5SbL}HN{v}Y>0w&ZOAas)#mAYY|EXUWGz=)PzGogjp8=aNVg zE#*gYyk`Ey2UKMVXn1(#F9NmN^bG0p|_J%lxUS$WuYzuL`mz6Awv^sZ|Z4mV-lHEl&9ip zRSu6l(dNEgO`z&>)V+!}Ai)q<)HMuYO&-lfbTNE?N5II@q~DCGs*&bJaSBDdK|g5E zlsdP!YA|rk6j`@TVl8@}gsDCuLNy2%A$BI~s3r6jq`eO@?8Uhs>-$Gn8&);hrSI0? zp2LSom%sb-J+L*JhUg2Ej_Df!$1b#mtMK%@~>_cqsklP9pXXtodoM@hra% zNV_dxoACGM*feASn>0o4v>Wgk)F)F0*R(!8Gw?L!aMh8>rXmKuj8bS}%ixniYSfzTQ%&eDX!duEN zP|0{DXq=P!o1Lvc+F^P1a?GNsmcECVI$| z*-w<7lk&2$52N!WCbN5j9ZXR*!kwe z>g;w7nYRgrja6;TDn&_tHT25eH<7`UAHI~tQ;gb_O1^AV!h72zX)d|x^P8LzEjw9u_sIIK%vbg>>psW$?9ad zkNa{SgocK477#EOVppL@45wi!!sgjjph8Cbo?tqKQ#JPeRgQwz?Ts4y#%H^FU0Fqs zux|5h+wr+L`n(el%RSpyGE{4gY4g4vpHV+#Li3gK+LZ&qKWrFCl)!3$5WNOtHS7n; zK@i|{C9KBsnR=Lxq?#qX-L|Z?EL7PZe1v3PZYeEf=hq=~^n7e#3oOxg#LC;V&5pKM zogH=!ulUkoWM0*>T$f*L5&9|a$+jXEHD$#yG9%<-QEt-4z>&&hhWw)@ZBI&Sc$Pkq z!dL$4!dXH0q6}6g36DEIKOwHIZd&|>A|4~crNUO7U{eRytv*#xOEc(1WU7UngrbkO zBW5S2XB{Lr%qU`P3n+voZ$DCj|F^M_NP{(qD^cUJf_zi~1gn21}O*U&uo9G;(Xj zsFW5Kx=qH6I*OovZxb<6Eg5it31uQBS$1uKsAKL&AHzIIm$5L#o!%<*0AFj3v`uO| zkJC^2o|Q6p)eUA-IOodTA(W@2xNfxu+kw2*Y#rbJi=$pW17*~B-v;YcVAy#3uvky` z!Zt2xlT}?!XX%$4B*c%QVi!sI>1xeJt;;4wMuI79S0^X;#h1r5S+UGu&MvyAdIN(h zHoKh>l#$4dhEyZwZeMAH?(Vcu?#jJ@Wl5G2s85kW)To&DL5aM~>x45du|@M8 zg`U7WQIW@^?tZw=Fuar0DFI19`g2kf4wRNPl=>%w80>xl6rp-QX$CS)U?1FI`DKdR z)*iZ7(thpz$yUD!0*PnRU4M*{6m~~Kc6Up$%O={vCmAs&F`QvFSUq><6yzQisz;%V z7LJc}<2-q;fAk$G0rP>Z=N-*AcbQ(O4%;DNj96IxI2;9e8njN*C9TIO#E5(j;j04V3T;ustLp@ zDNkQFM$0T<-WpJ#U%fJN$N?E)aha>abj-S2JOT?;`eNM(F#{1IIEDRTzO(j$eCCcl zce#tCO>`kKkk1Y?m@(*j#q5(0?Wp{F+)bzf)k~B6ICb}za?GHvQ+>Rr1{ZmT-A(!t zdLaudLHND%6iXlhCa&X1)SJ(ySwUVGRbE=f{I$=Pb_d!lrn0=K{c<53Sa{NJ5c8v9RxgdfDv`BTI<>B%|9I(s7N8V9m z--j#FDE6_6v5+pud(+OF`r#Ur#iZ#8*fWw>+IyXhD^*_TmfaG$a!Shgsa5n$IZ*RT4)vBNt$f-C z!B3JB_4Pf01~Pf7h8D!v{au?+;T~1@#yS|uOUvb33g+qf%9)58wz-bazAVa{970RE z);=HQ(Q6uz)%(SeP|Gjf5epp*7lEa>{4Mr+7^0S1)nFieXri3e%Z=T%Ebv$6!B(#g zkTBLR@rO6j2k(|;LF7>@@!w`y%kQGCJdBFqmqSToUltg1%4-` zuAFM69t7_1l-I^Fdb;8=>VAR8iQCEG7NKB7Dq6=4C5cRPx}r0h9Ae>~u+z99JC1>?2 z_|@Omb^SZEA{_Bs-V6i?47_5xwfSaIYXO1&9{Sx@^jA^WI$+7F;ONpDbjmKuzj-(Z@n3I4EZvjx<8WZN=kGYRPKPCR-Yzv-0K$NjD|@l`iG%rTLe+Gt|5rM(bl^diKTahvVz;4wxU zI0@zswb1o^V~6=ZBtB~Fo@h8Wo1DVL)$Z}TTvKly*Z9wT5^!BSH6Y%(+Wf)0P@u30 zG(tt0t5z)tpJQRX@jgc3wr#%h!c^tC?5eVy_Gkw{Vy0xmd=8H{CSBhwe^}3YxBt}O zU2I$rwv~rUaj}JDY?=d!h}~^hyy4O5sZL7FGR)C*v}B89M*2Cct1}y_w&3*8zk_+d zK}(>@KPh46AofsomcsRPNhs@%VBPw9xUmTdlR2yjDmjT~=k`!c6z6SG)I`&?|0u48 z>?t+Br9!q|iwm*I^;3U2abgvS25DYa1$1-am>>dQ%c`dd>6js}r$WDfxi*<|ES~l< z{elx{o`)m>42>RACZCUwtJW&!plMfY*xt|U8TJsd*=6IRt%0G11iX}hipIcE zxO*>Sip7q2vN1w)-DGa+=kGQ!p(nNjZ>e$+S|!MAk(7_0yqtMOJJ`pkkg=PEP}O&F z4)~a#?g7x7MH=%ZfCLy6;`8 z_TVuG9UUcjfk{3-8ejWf(LgGkZE>wpLIqJx&+_)zl6ny2gk{rJx&nm|+T_^h*ZUT^ zCqhr3qdhRnH0)iUTUG1gL~m9>aApC!aRZ6DnZ)`x5fc<|F#z=WAo@4i=Qoi8df^RQ z-wr+RzvMKt?^Fx%ie0+VIuKufjGaooN>wK90TcE`9m~KB4;YM&bsSd7Z)dyLLg;=_ zy~WjWF4$i~36Q~lb1BqK|0Rp9A~{zIcjJxABGeaO=QH7+mBuhh%G}e&F#b14NOaJ} z0zAHTEi{lDp<^X+`MB-lLc8CIZ=6}!5SfJ+I56r@xi#?eNH`=#(4i^Fu`#lx;J`xxg-btJ|SLD2t2XKw-Ogq~MC z%L+75sJwaMn7cV4YmO8u^NTG-yGfaqU&vi@hjVzC1qxfoK#hkhkh{J$I?{d*H););PlWl2gUBrYm&1NB@*hs5K^}7g@xRaUZ~O_o_^)8EW^j zf5`aRHVq*vA{PMC%3Ar>&wb8%|Lr}WRN~cBHavYs*06R*LE;d~<&3@1Cu|;Ihn+De zcgI&pruU-98zAyky^?JU?I<$a^$8UqRH~?4I^U;V4unu=HEzo0(62Gm3MV-BD^en0 z_aK#}lnsRW%Nd_7fcm^x@O}Pl};^|thED?jHn#=dK4*{ zM#kinz|D^^^dM4^Xioo(WMTU8Lh2vh#{Dw*_+{|%%i!ae!N-4#W&F$k@N4z*mjb^O z_@%%v1%4^;OM!oZWcj%o{QG12pWN?%KE40h;Nv%7;%_V5e?wWAezGXPVH46iMg}jO zgq@Xxt)7A1%Tn_TTkvD8`X^3d_X1tKcuo99r!f72sWAP4sWAP4sWAP-RDNvylhF9l z_yd4p{<+5e@(J@F0L)KM{vRX^^B;SDp#)w+{0}J?;J-$>{Or!}lKfwoi2PLXAAVx} z7p%o!Bt3vkKM)rdHa6N9bs6XxX#oVR^Z;6BmcQdXexA60du#yU1!`d-U}0jWed!#4 z@g+EbfQ9*m!1#yg{}N@w__C9~LYXi!0clxYy8a^`0pknf!TiDoG0^@)=zobaVPpmV zwa_nY55r5xUwZsP12Hl%zHl`!GBCb;`1i8^m=u3m_P^Zm-{bur9RT>j`24fzf5N){ zd$i~;NB>=RhL=J1hwK2Be~|s>-2dwx2QvO8*#XRqv@8Gu<{yLoMfTrL0yB{9A7%e} z!TIaa|LS=AP4+*c|0erCi~gs|BmYL(Uoa|G0%n%q20QS_ykmaB+x~vM{k*RJ^^U(x z+P^Z<7+#1u`WJqV^(E@dHRi{Gu)WZ9{}}w|?c?|0{8s-?<83mGWx@@=-CMLmdr?Q%;^=6lP~ z$`P})uOxmdW$hVZSot&@4bqCjS6r?3w z*tBWqK~i2-AwS=W|sgb zD90Q9S!JJT$si;yY2ODm-2@9Z0wiyM;4RI8kTUd|9j~q>J##r|@Xfr?$J1n0BfL*> z1{J(!CSbxv+QMS8DI>+4=rR-{Rbh}7<_9B5pRxCl2a@8F6?hwS)bNKAP!(esq|a7j zV!2s%0LgD188h+A3)UyGG0t=%#w^~^HVa^(iY|;E0!DoysVf$seUZlH0_!F^<0#Z< zvCEj%Z8yP8((+1Ljl!Eh+why_VVP+#MatqDw>XP#DVKGypjuWuzV#1;7#wYdCQI{s z&SHk~tfE&}575OMkO9aWc$*l!Dp!snkuza3i;pw)vJg6^XL-x^j#J8OHcXAqq%B9p zN?54~S{3n@da^z{ajE*)=XHT{&n5s>jD?Xw(vV~xYmKHcO>J(6XhA^k6dsfcIPdKz zK&+AIYf@`Uz&|0kVa8AJiUNxvT0>fdDw-Qfj?DKiC)eLg>&(L}4S!72?_)p4O*I(w7vW1cZwq{g{1v7nrZ1;L5r}Y zsp`RT4W!AEA0=rFsPl0-G}h~LyqrVZ|IlhAIVs> zH0Uh9aT-IbHQ36vA|kq-=)cKr;zN3mhy^}Hf+YHaIrq9EkdZLFnNXCb=dGqmUEJkd zzN3LrCxA|t_UnM?p@Gymp*n!$&wI;d=>*sC*|1LFB6Blg({gl8VFoH-wU=8-0*Fdo zx#Ga_p+GPt-A}0*6>gDC!O7jSPL)m$R&tINUjU~d;{uf-PQ+6(T&%|<4eI+Bh1xT< zFa<~&i0aua@N4n!sBu$$CnwjyX*^h82eQJiUq(KI*?uax2|>Q*8(6If#zfCsPK#n!}{mD8q^v;RH9$sl8INK}MZs=gSh z8hnCGm1i;YxJV$fu$Ss$7L3x0t>|0kLkan*Q7jBHcVEG3)a9_)2P7_tmOO;c?G48J zYtoMtZ(M4o?K)B0Nk2Z3L*%nJLbH6164!@tLDX!T@#1d&@a_|FLhnWXsVO09ZxeJm z=|M=H9hSWiq>}mJ{?+l8o+$5GeairdaEl8nrMGQe6EfY$Mrfo_un*0gS$10Fq-Qpj zYXih^$Zg_^HxKCzPYo*yoXPL0@ecJXca9*vt)m~1T$5Z)mk=0n;(5~mgVA+ENm_;`Q=IfKST^X-a-S9b`G7${~{Vz7|ChYLhKQ@!(r{y6^U&hSx+-m(diI zbgo@VtqivNM^A3j?1W(b>FCd)Xlk1%0m&3SO6a8MsKFvxq~HSRyh}zhly4_-VxmE+ z;xFujEbpA7b>2Ig6W%V%L%`-%|`;%U+yJAiB-}u zPl{OzWE8Iw$rrK2=@jlT0^}G1jE*ULdJ1l%M+?ft=JV+)sj_^b)(SoQO5nCdJ+ORA zGrZxBoRI2rh25d#Yq4J!EpAo3xihNnk6RC6gS0UPR6Ry1#zSombsi8yw7Xp9wcoyD zr$yKiSI%waH6Q%cH6y?w%pbIaNtiMvTQq&VMg&@_CPB8&CSvzMR*SAY8oM<^BoUxO zc16%xoq+6=$VP>tG~_y&fcg=`pc&m|lDznovU1DSO;N} zP8lv3)qq>3t0shw8Ge|4ybeN6K)`2m1OD?K^G@>rj^bhbzLPVc3S4jHRX$?q(D^$-cJ|A+9i8nS}Q4T?)JiM{& z@vR`Ea%naS;pQs$$R>5o504MnYWHD}dtxo*-}x?auowCuRG>%kmArs|xcqa3Xu|uv7TyrbCjRx0Ypzeh%9@)4BEyZnlTK8?Y^CR=h(dEt zAU({(%ay^>(ZqNUdJsIw;U#KsmmEf*Fg3R*%~TG#_{#P}$(t05X!u4*doH2{ih0yW z6YRXJMj5vRw3_MqeBN9Ahi^wnl8Of@Rz2-+>L%)%NWOz&W8}pOCN1^$xPQGaRw|?t z5f`N(5n5p2+3o`Pw>i#2!q||O-4p|NdTl%=`Z%$IuExj!d5T`L;V9W?%mKMEb*%n& zdX>WBteOGh9)7YxGZXemKJIV)jN3PnxHeGN-(ybOnu$}dg#q}5qIkFWJEh5FN;4Pm zlisD-SO=)P`SMGfeTv<(*Oun$;v{dGl9c5lN`+i=H4WWKh&1;RmHXQA#8h95dULhc zJ^M`ZaA*y?fVO3#_Qo((xO;&xX##h7gBel*^#k+vFjnae@+myNo}dQ5dR7xJ*~2g| zS*jfOR|<*KGUL1*rS=FL0B)H>N0xhQ)8^_XkF3B0G@7!?xvTBE#!|-@JDtX~qtm-+ z3u&8;C(m<2&-NUV)M+bBfxvQr2!tO20c~YItJ~VY3aB5MDvGixPtB5uV1z z++m;gqeCh(!S^2$Pb*|S%H_DTv*^A9s^BlJ0qmCkp`n`Nq=2)wmYjzMCh;I)6Qgdk zWMx-+VBtdV$e2ERBsPspGw8?DONm{~2=KW>Ze?=oR}zRe#k-X9D(pcMfd%YXyz#FM z_dc68T%$-UfXk~0#*@jv83dFuZ5)`6Mj}$nv__V{Dl+(jqUt}_oL~3YhN(uFH2J9? z-JQE(U{h#mTzmK|v9vE`WEVsgaCGCyZozUbSee`H;O9-FJ5NsDqGCXkVB^GCmpnBQ z&G-nJ{gLvK3=-zUd#S{f7!0V}^X&xlRVT4)Q4aiWDa&TJG|^w>sHQ>UVz1|SKs!^Wz}J|0+r?{^rc)ngNO%P6Wzc1eInN+q z&D=7Cc8S&6W06&yGg_1bFPWrQx^Lc45A-v zK3N!2o4!s{nvHk9kx_p&!dhJ7M$~if-C2+sKMA)Rr!j5c1-1g7X$QgnWSpmW$Vao>^TBKa-?@+9Y6yc)9AjJ~Vy=k?K*YWb zQNE`MjIBdn!RJ zIdYs{d<;ht!G*$qb}M$ck1RiW*z7?;aker05ShQYFHZb?QuiWpQijXG11dYE>{`$e z3Os?BN*u82o8w2t+U+0%^}sx2u8vKL8$NaHtzU_DWA9Cada{?)L1Ldm1sw)MFJcUi z;8c&s-I8^5?lgqiU1;1p+I8NoTVI+mkJVa;!V``K`h3J=keZ_leO0G+YA9yYuA+7P zmE#~4!;}$Jq)z3dvbuu04cbYW4$P^uyuD4UCha_8=xlh94IYyAUPQEMUD1fP(1~m7 zhVgX-qUFu-;-}vI1tPfw1RnU_qdO4EQFYXn_oC}Y`;YE*?rtGzP2!fTt1@9lv5UlL z{fd6J+Sk@8ON6Gg+Y#?*&a$@&o+j;|h^*w7%rlK0n8R}RS;F06VaDai2cg`%b-;?R z>Sv!%aLwD>A`Id4=Md}Be0Ac&>@$Ppnq1jOHu}zvOllFBCK{iVFNw72n*)tNJjz9= zd^`L}BD@~w>Gz=%t!sE@CfvF4jRz)ZFcvQflP)$wm{(uhtP_d6qdXdlO|>4r1OT&B zKU2BD)9HnL`BYE2uP9-)y5eBY8y9dgj&sW@8=!~ipSiar%J%wFr$1kKm76~fr)ugPhGP$i$EQsrYCG&YhA zR(TUg70uY;Q%m!cBl+rig1A7lwJsJDR!02?o@#|J*Fd1lkJ}+bZ32sRi%J$H|P1*m6ydjhe z8QZd=9r1k|V%|mC8RtZ`sAATjN>~1JPF*<@D)<-!}J}$0nUiTUvp)^p9kQ-5D8VSp9f^9RCq(WadtuClT{dhT1 zpNZgW-Sn=y%VpNl5oyE<-?`tdGIecim4^Bpme;+3=;LFInRH&W$_CQ+1WW#NJ_wAd zc%fO8YTq{pUvEhbz%Q>&F~Xam1zqbSyJ+XA{ZJ>P-x$`RjU(A@23oZ0nY^7hV|0!OYs{!Fm3A(5yA@cG zFMfl_O8MrS`IrM52FCK4NP``wrA)#`IpvUkE3DoLdNCKGGc#M#IbrtA(sdW~+PNVH zW%4QU+Q%k040bI&WUn-zIxYVLEG1&3yqts+lmIB@x*Xq5P?JVOn}RzuMQB2wZytLq zB&;sHjwBVBxr2oKcJdDlJMXa4Vy6!o@w_DsbI8rUzh&R`)QfChZEf)#HsKlPF1z7q zOX~aBr>)UYzz;9c-!<^MTZ@uH#W283;^`}#z1LoYDlzB>vUz!@Lu2my{k#O)iH})J zlaA-Hvo$Kqg$N%}r%D9@TgyNj2P4&UDXEUPOh99_^Qmv#7ZLmNa^IL+ms(Pb}hYyZQ0 z@LzPeVN0J0b| zGcvLM-2RtO(!b1${c9WiA_{&f@JoST3j9*wmjb^O_!sE1pNr(bFNpt%F8lfP{wKPO z^@YLs+vEJ7%11H(WWoLuxQzKX2cN&eWz2tIVl01PVl01PVn28PKZL>n4F4Jw_VX}) z@AAJL3VV5Cqy;h&yx=NK1k3=|AMF=dg^BI&7@?ot{{0C4BQCmoiu^ zFEqnnVm5#*wDfE*e9j9T^kPE9$V5y3Ld>wdcoF@*0nndM<-arf3m^3o{e_tM8T|(s zMZox?z&}L)IVgVb`@e>a&8^i{|$%~{IS(<=i#5&^f10uS9@_*`mH*e1V6(Imh|KApJ3zP z^Cmy%$M3!U&v_GO1_q`-@g_%V%a%AoF&Y7>rw z3Do9f5T-Jv*6h?j!IiNTT)5s~*<4KJYkgTQT}#vYQaH}d z!S%3X=y3n_vi@-NZsp$f^6sk^x2L`B{it2(s-;vLCkK#=gHg1&nw4C|;dXckd|5EQ zd9HD+sJb{-vXx7vPQxhbI4dWO{o!ug;PSSS#r2Ku;oB9OgtpP}`{4ufTIB$iA?oz7 zu~Lf~nopOM$eHJG?vbJGpPqtot!u$75ONX4KP#fhhn3Y9UV*({Zi8Y;z~kg`YqBmF zPO-}7r()f0<;+O)%s!h;aC#DYz&o9!#+S8hYf{%3SZ-F^53aSCn#%$+id@7@5i%2> z>6;rlNxc+PKt7e0v-5PL?ScU3JRw*xRPbBAt{XTEEmif~4HV2-7BEYhm68>Inn#2) zc`B|(fp27_+RThK4Ic#-&-JFoHff}{01KnyPHF8eSen;lxL-YpoXO*qX5bk;?W5$~mSc8aoH z2vxN>7TS11{Ht=U$V9o1psK|}WV=TeO93r#MOT^oVXnX+{uWD13g5yP^mDptZA7PD z$*MtZ>q6RN&}#L(>dqIw!Kskm{!nU{j}BE#8iySD#vfEQ6c_})Dq_v#CNHG?m{VOERejU9rq!}iGpIzS)Sv)J`I91NAR0&Kbf)p1L4BS%P|s*u z0a1*-=6e0-$b-hg@sd7GNm_y{8FUiwnow}1#T8mm88Dcq*J|ph*N}|JVi0rn>BuKT zb&h+XDO`9MU;_E_5(R1n5y|+cr(>3a=2axnhW>J-Ci)AE(sAjiu~*>R{F&O;0=sCSNeYIj82) z0B7NXb)q#>EJV9<5Hukyd_ zQ1NmS4?8HrI8H5Y>fSN-S8#@!fikiwlcW@1H{NxzMP&G$y>`1kdOq2GmTYa)_+BHp zlDF8RfN@h_4_(I<`I$M5yB)X|1;XudwOx4tdzyOLibdgZ$RcrRm&RrkKj^IcYu zG0ri8O{w@6G{faM_&V%^>dPu=xl`>Lq>h?JTzqmc*QD5#g&g*NM{|@7DeF8ezi-02 zC#bZ76y)=YnmxqV!wZblFqCae{MLc30gTNUX%xxuy0SPg#SYPzckfnrW8X+yoTvPB zwa`v>XL)w#?qSx9ObZ7u0RQdUHB5j^_hf<4r}Zng&qiywI5KSXM9Y&pBZ4HFjFRfp ztFT+%nyRn+T|Xy|R$C#?B_cRXG{~;uT##HpRT$59zP%(QRV<$v4O2l(Fe=*NS}bUG zs%U}evadGqny~h&6PN84arGqL9NoF+L(d}e7y|K)eAi6rU#>YitkLe>?4h^h--q%;ORzw z+^$+Ud_8-Qptv|*nyW*C$iX-bf4!iJ_!LWB%r|dtrP!-sb5+;aeI}q;c&QY#pgFvC z@obq}Hnvt?ej?B&yQ#rY-AfGF_jMD*N)h9b)$FR+lWiBoD3jm_4q{HFY|tEU)L7j% z8pJl2xkDju`f}Fa_&Unl#ACov@AGmp3z=m;(7a>`rvz>(Nud^}+(v3q?1 zu}>tGhH|r|-KyV3F!v$rN|EbLaWhbBqIG4pl-MTvf_g)o0co}`w zEpqwU%7+aB;!rWQtD*C}Lvnl-ZCZYLb({V>NzxTQg?e0M{cI7dlbVahXaa*Ua`|kr z);53T((v%)1Ve89fh(h8VB;xUU<|ohjDZR{y6WE^x$EeBu<{Dy!4I-3w3Z zvabi~b>8%o`g9@%L46C~ZQJ+rfwzz@w_}_)wW4O!z~+Wk^HUYLH*2`hGo<89JB&AGo*!P)F-m(H$|p0i4~rP<`JR zuvV++#iF7}{J?wQxvXXmo_bA5FU;-)!)1}@dwc4(Gn#0JHk)!%918C(C|6HG6sLD3 z`768}d@hYgKRM)yeHw>PX_jeQu)Eyen0oJK%%^gicV2szx7)kbk4PgVM1$n(JFY(P zzROPI?PCW8hRHB>UlJQaZqrO4-n2HeX+R_E=hbUDtLWxDud9P9?Jxy3Ei+s?jnOe$ z0~-zl_A3U@GqkFTZ<6qdHbwoH{1KT(CW=x|K9+l9J3(0BmlL>enyl06%P$Q~k@~k7~(Fg4o)ywm$ zbl)y(l}=i+TmMx3MmQ>Lkvh?~n4_!=nUAKP$w}FWd;;z3_0^peEl3weT?8UArDjuX zYh_w&X|rB!jL>*|Li^XqXO!$`6xr|VmEQL%D%bL@j~o|myOl{Bm6%Z2U`{F)#^K)p zn!dFW-cNo}z?`q-YoFq%7RxjBx5sQ1SFIUE^>l6dCn;YG#@KQhn{c_uDqTXEVTrm; zLs|t+iCLy$^V$yZB^^z^dlgIGZ-PE;5No7@Bh9la4H676A%}DSN+RZmzXACzqL}Jj}hdE8Nw1%gR$|eCwew ztYUV2gA4bF*gO4$Pq5YFiUu)#&3RPy#voQCC_nw1wHVG_qrJCqXYj6@ne0&&8#z*X z;%|y!%7k9s?*#A0gXbGVCXgr9bq}RW0yE>E{hfCF8`>uV-evW?&RE-^#5v{z2vOTk zHR;b0*;Yqn*$KqOa^=kOkOYciy=2tOsuxZ zpeCXUIX=ItnSSD3Cg+5F!c0H*{Z=rxn`9>&1x5m|4cUbAc1Qt+x}bZKkx4a_9I%gU)C-qfYH8gpm89*8qKZ9T;xn|bP^31QZ{t=^2h*t|0SFvoqkXO z!WnN@7Hm!dZC&An83bZG3uIl3_EiDpBZSi0X6&au6zor5>P6d@1(}0vhmJmC9)L zp!OfZWWUQ|C32P8VtGXkJG#rk8~UX8+<}c1HTf|q4%F$AsNNP*eIyYQL;T?Nv|6Qx zAcv>8ok^o`tgS^~^;a!Q5>NIi$ZOY zA3hwDXw=HlG=mxDfg;XsXQdPxh7|RbJdKo068ehF(%A~WFSSeZSeKftkt3c@@HGq; z6Je|%;K4AGysb}%CaZ?ZK60iR`X--ldQeO~<-d?T-m8NyhC*jzwh==$n^03{puJLF zS7)=0Z=%PU7F8^Urz5Gx3gtgf=_+l1!duzmFUt^p1sxoOU^ta%>@r$fE(*R$7z`Vj zGklw?FY(Per~+=F?BM`y7$V7k{_f+bB-3zwLU+$SPmanNTE?rI{Z7<`_dA1`NNg6a z!dYigYFb^HNLcVhhe%kMf%-d9_rg1^7wI3qye$-W*$_2`mFd~;>wsYBA(V|j`U015 znjSQlKU5rIOe)I&sPyP}R$(77)7>MOM@Px)Wt3*jRCS@7y&&D2J}9B~5~V<~VY9NDYuZ44BlN9(gKO5% z1(Gi(o$ju;EzPIUJRSpd-L+!OB(Ts3g7EYklpc4AhbZoiDm*K;#$YAyf z6^$7NQ$4!t&9V1XnXZDZ^!qglCrS_RdBSOC1mC5J5fVpNsj`)u5VZAqr`mK*4n8mU zY%>-i$i?=<@i5%M%N1;wpiK5Jq_)IjTv5cVgc$QN-^r#Z5yAlveQL_}*1Feo0=r3= z6$2(3hoBqMrZrka#4K4=EN;CklQ&pOCgN-!&jUWglsObhO70z#*=kulWQ;z#XQ$7SQO*rNO)qeDY$HN9P9RulnW*k0Qhfg7** zL(BQjk&)z#7K_oEM}392kW+mz{^@)@HD1+YX2#?q%g&28r-}+I8y$gQp&Un-OD}bi zl362Lbv_C`($_#q5-j2D=Yp^Ut5loCXdPh3gl=nFwWrq{o7+y!d#OAZ>UQUe&7H)} zLw1#NRI!9eLC5!^6%;2Tw>qqgB$WW{lHyeI;ktLi)Ec&i1-*Aw(fv7o4>5$ppzUO` zgF@w`_#2Z$WMMl2@2Q@m!d>plL`H_pt35(CB<8g!tm{lStigrGzgg2`-B7l~eqIN2 zT5z~c+*7Mp{Qubd>bNSi?R^31lm?}wq&aXnl%Rxkx1@B3bhjWOA|N1*gwoR8jevB6 zC=CMAlKx&0=8n$Xd-Z;2e)s;td_K2pwYCN*F?4vHwug1zPq)#s!>C;d?|s<)vVs?ROwNBS z3|`S#Sxog2KLUw{70ki4ZU_-z#?i@lQ|KbS#BsOq2!BP%sVyYve~`>+F=JG~7|K9W z21Oi5g?2NN-eU{nB~~}`vX}CrFDfDkGv~04`-FEJ&g$f(k!QO2mBd>37u^`0P~W%i zNnM{X9z9CDe-~#9B~usGz)zK<;uJmJ5V4)X!Q?^ViLO1&36YP>{_ zpa28h7m^8ECFpAo+;jd~n(oV6*U6wLH;#Irz9W4p`<2$_Lmp|}ZCA+Sj}NcR3Jl%U zi(}C7oDlkAWcmSpl36aX+#(p0B$jgEWjZ^1ExgZcJhJAE#kd=(!t95=H>v0{(t~7= z9QGT6VAEaK^GKuC1z=~^aj6Nik6u1hjdA;;1qFA-{*KUnXlpZQGiw_#us|Rn4m}P7W(XMauV^&dIxM;@AWmHd5W7A* zK%-%2X5iG*VP)XZ2f8^JfVFkN?7EjUnqMQt{AcgJgibFba2bKi2wXFnJ&JNNx&^6Eoj^g?dZQwkv zti&!tudSm8oZLB>8Q7S$nHj(keLV&a7B)@>77(j8 zCkKa~4!}*iJkS4UC-h(Y)MXC8jKF0CE+cRmfy)S7M&MV@^QYY2KRM5zp5A|Vo(KP4 zeeZX)c%We|@V+6gD;V5-Upw%v*$I8aSan=L) zl#KtqQX~8C*3@5T9WV|k>awtNoU;8v5av^T)NhyaUCF`Oy7{NBe_O|LOlQrO0at)! z{WG4k0yPGwYOHLG%wSShKnoQp8-bk4ReoRY@cSOye{}pu+rZxWIom*?0S74?C+n#~ zB`cs;3D`dEzx=)1fAV$v9|FApscB$}fv(bQfGQ^7HY?C!84&3Nq)~tH`d`Y>{%HCq zBMwOa0^N&8MkgE4@%RU)|D^=&kCuNn-ses;0~H-Wna4M;L7YG8{sL;c zza`FpKi5C&HL$XPmey>*mIA~`0jXnFK$(>J$NhDdRxUBuZ=bJ=O}5GT;in;BSjz?=ixv&`SG@w1!9U+p%C znfX*(nUw`-ApUuv)#LLRYW#5>r=$LT+AN)P6_F~ekN99b=d;&GOD z>6wsL2KDSAE%B@-n;W0@KFz&MU?WeAHRDN?d^l6^D8{W$^10;j<^u@f0$F&eNsZP! zcK&X|qZXm+w?io`!}pJ03w`C;pOdJZz?b(*X{VG{v6aU&UjFLLPWYr4{dvn{ORAK7 zfv>~10WZ?T+dAK+O_T{f1sedOH_GJ9R=k8RRbR0tv)EG}HraWXW>!BF(iNek>lY~@ zO1kKc5Vp%POUXm{nPlTlt2;f? zq@h*}_gZP!LR8jhqeiva!2Sxd`4h#f zXj5?kY^Nn2X{5D9L{046d(kx5)<>5m(sP1=Cy>{SbG_xQR|(lnP0l}Eb7Ovd5U z%7S#W-tBeW`53Qpuf6Rc$YU1$m{A~^(QRNw_$1R0~V1-oV+TC0h~G8{&r_Z6m*uQc~8LPNi-TIXv>4mUPd z%IPK2LvW6HUvd@GQw}4%3Yk!p;$C|Q4U>x7BXWT{oD=t~xTrj)&kh)!WX)pWTMjW* zcse1hp)Z=yky4(0gr77*BvD51Iu=MFRz_&&QUmvot1>J3$S+=z+avBPZZmDO9gkL8?ZE8T-YU)6N7GDd&2R4z{KXAAW!W3 z&XtvQihG`{yJRAPcN-NntTFZO4$9obEL5G8-Zx-~s;tNuE<+wQxH`mwxnH~j|tyzx*;tpa$+J<;^p^q{ha__u(ITE;$Fw{ng&^X7z z`u-SE*jySg@EE=oxpNjLDq2~wJ0^ndBSd{f>I(9#<;IYAM(;>e41$yj;!n5)Oc|el zw$_rzRWw++E|#2WR!miKlWI}!spqh%(>>Cgjm2}b(Coow=!=;9Q%m^5ne4eYq0Ft=szH(A`Nb1GY5j@8g4 zR`75_kiyMqO^6QaN!xFyV;+u$7b|`H=|nR(NP79%5McpMm>sg^a|$cE)q>4YvDb6O z>I);VY1G%3a>l&Y7x_E-ds-C|a^^;lwCtAA9YQV8kU_+DNQe)T1K)8!>S!W*CG*U> znMPq2n>NVogy5A7k#||jC%d|O(5s{%0bM7@TkQBj672Tru@LH(W65Jdd&Ccgs|RKk zGFdL}eY{5VZ`Ih;81_G~-7nNnV@M3Rqe`;FR z?&9G7^H19lE8gOYB*PIx)!XIH;h&Hr@73Rmm2fAzFTkBOy1eENN@R?6t^3m3#fh6- zIXxLPNoJwTz5r7JkD6BJ&p=AYRyLP`zPjN>7UY(;V%oJVWeQ5RP`DXO>C-cMcrRyq zo=4Dj$Mee~>nyT0rKI-PqcU$IxoQ4o&%GwNhg(o+oo^prA%a_!n95v=d6kUx^uXEw>!79C33O_Sp9#hjVK|zkNqG zwfMc}y#$lRHFbRz@W`QW!q@j)l@2f5*>8#yhz;NsT_bEOGE`huBF?djt0xygjh|*~ z{Ji(6i`rmqjVCcN`@u&t$JyFZOL+^Gw{udFqN1JnxBGTays-#W(Cn%b*vZB8MV6O( zsTc-Q?FheUwW&V3;y}#l4~Nv9vCPv z_L^GP?^U$rj;3{f6O)A;yG|@x#7Lm%lyW<0z5g2=68pmS`vI2qiSDsem zQ?6+DMDs;fhLU3h67wELOY!0EkPB<-)keTQt-P(WDfx+tmN_dRCBnL(zl~9|5oaQA zsEctAd*;m`LTIqPTK;IlM$KCkag@z;(Xr+S;@-#G4|r}SE4$cWk7zvddfdk6=yYh3 z)a>02zxzlbZJ;GB^w3Sh>FwmxPV9kHn2exEsf}(IHJ?rrckpRb4EiOc4 zm-KS|Yvk=!?`+uG;F}c<5D*xGp6M0iD7NJgymnO&_8v^#zQJy*2@C(+9(*@DZbs%N z_)TEG&n5=?W8tFP+O(9gI=AdepAIRwPqE>Uls4cy@V;K{V||W(6%O6O`%Y;<(i5`x z4=kgD{p0SWY1OXCF07St_ok<$06q$(Z-EriMrbs9J~tnhjiDalAbIp$&5c=6PUay=)x*9u4G!^ z&0x8zdIMU&7#+z-c zawsl~1h3YKWb zls{v8lI+J2#QyR`P7J6+aW`J*-82^p=(YCsuQpdxnTU^P7w-!|tfJ<}pLpuY+!H1H z9L+a60h_wK)?W455Om9}@y8Bk1}7USvEi z5QnT8l^WqZf^=Qfj6X7UJzoEnW$jjETVXURq62qnLp})WJ@^OVtsU;+w{{b~bS%FX zJ&~20beHIH0oAWHU8VEpBE;$J7dbh4{lFpgi0vhG-?Q)@dW-P{UxT(AI&Y|7I!V0J z9mE(&GcJs`L>`!Dy=#7QRc0$)U(3Vap5DzUp+a{lYG zHJU2SRZtxD!F@{gIBNrqEXto$dZ(1?SIu!aT33So(}v=+W4GhIGQDSG2eHzzxXs-O z`VqIwTa~Cs(J*BWNL@N@JBG@hZWga|W;=$2DxulRA@JbiCydkMGkDcUFNB(jAbBkt zh1>{MR2r3ox#?oM{#4niKwph3y016Iror8#GY?mU1HD}!-@(=TR^cnyxEP>Ttf+J9 z8Nrv(noxd_!h^JM=M!vk$A&!o*AN2lY^1h3(4%2XXriayhTNH%W(^ zoRcD0(JK~I(BZDqx)LUBMtCOC)Q|csZakij7rct!U)GQt8d9J>C%SC@AgLc~l!-YE zEmmGsKhEs2I!q|lyh%>?@xm6HuU|>Gc zeEJ%W=`gE8O>|`k(oAkOCNFn;@qL9=$Ni#v1`mivHbh>^DB+Gv%yGAK%Tf&?;@@v+Vhg=st zt*1-eI}^LTH^xm)*QC=2CIgWx<==B^xW>7U-O5Bwxycf5zP55-Kwn?PmKBB5t@b_x zaZC*H7wmc{OUJyP51w!pu_vHfmooVkn(8H%+_B{PGLFKm7ZXEwR-@Q^-zcb0g2ppm zS((p?-g^%2--|eYuqyPGVGi5MIWlN!!+vQTYD`93f=k?uyFgtK6ee;vdkkgc!LD{i zLU&9IlJtCGmy|K|AgpY80VvtujhKCDjhm6kn77AMLAAn*LW#W^KDEi22K)J1?>jZY z)Kr-OK2}gZqFst&3+C`shL*BssH1z)qEZ@Hp70`jx89yIOMR>lfo5DdEx$t4j7~fjgz0SO_jhTYb<*;tRD_Y>iqE9@15b zNIY>K$s=9Ji%UzK`{b})6f{z@IZ&-6pp}YlZ?DgZU%6LFYhnM6eZ?@=+_h#@nLBvJ z3zCy;FVH^7JM%Ko?LKDbiL@uw70-_ZZhyx9=eT75U$TY%$QEMxUt<4XifH~CC+DBO z`x2nNjKF0CE+cRmfy)S7M&Q36f!lW+t+aJb^=(P@E%bP(9jNc{A_4yaf7sXplx*|U zN2iG1IpB8=?p^S&PjSHW29y_X1fR7&{tdPKtwS?F9s&3||AZ~X@r_FK8(WCug51M# zLGIzapbc?ekb401^cw*PXtH|#;DR>9c|jWjL?zE(Iy3NZ2tXVF419Wxa+bCL51o{m zNm5_WSexI{QSHf_S2rk-_rul*5ALdeYO}c$VOnGb?+|_ejsdTEosjQKYwWce755Ma?{_qFAxyq zH^L7Dc+c9Z z8~`um2iyOKVfDT3pY{5T`N9VDTmFst!UiyoejwCw{u_qXe`fkOhSizr-zZi;*gi|k z=ac=vL_h)59YH|%;?rdPy%O!&P3HW9|2YALg_HF=0*b1d<#l#k_u;(h83|e0Ds;nV zxJIi%Kvy zmioJSmyJ)OdIulOS@(_Y3sv6j26Y{*wej)XwN5`2b^HGBq zgT$Kx%;KBy9JC*?_;=SLwvH! z#D!B#jgeRT-Xy4?;MO!bDxR1gz<{P%U<%Lz;7uMVVhJj88tZ<9xjr5Vqeh9QDWw&G zW_o|iZLTL1c`Lz#k?K7n%Gkg#+SuMAyfSZ7g=3A`{6yt@7V4pn*Ez5-{WfDL96?auM;=xCq1cf`I7F@k1zm4Zz3+_HWj73&8|BGQf49AmyLWQ93+ z@DlOq=?gw0A$kNZ$u%X@P#l&ui|yUHl|=#c$JH|rX$9Tk-}70LrQwN7@T(j>{tSiB zZ;EW;n3uYtlDo+gt%rK$vF!{Bjp#G)2UiuPzDkBkbhB@bD;7=#wFj{-7U5p4)B+ik z3E?RCm`9|fN&33M(%&p5<2~7Uq@r8CSmdixv)lLhVY(51N_e3LhRkbBxfNQ+wq*?l z`>mZtWh-fQQ`uomp?M8@xCOd3ttTx)qIq$o7{>XXMfzjR=Bb;YeqG(P9G=$*O97c# zo>~EJu%QTR&+GM2pYeC~_v&gaCkF^}ciA%gQ{=M|?kYglriT@aiwjx42w_$e*Yyjb zR~`3}WSd`fM{0Y3iS8E_D5s{%-`?Reg*_pQPAs7=AQ49X=IJxEsyq=X9MgnT;)!)# z$6{oY*{7j9^wr{L#1n-fW)5}HaLlxTR3l?-tb{k2?WAz5V^s9M3s}jd*XiRwhVy^j zS}zqYTl$HSw?(# zsA`x+;zg1v5LwNMXALmMg_&UuJaiLbk)k@fI>QPFf*&@>9?=oJA;7ly;2PgBO4PqC z_6D}KN)tkrEPXGwjWXP;F-}ZG@tTgPU)IYn%#p=xqFJd5O$K-IwnFbQ*NX>s^u&q2 z$-!XzV_QDRQO5H~NM;vk0v$PAg?n#D|qO^v|Y8bsX=s;y&ED z$dhDM7j~dIL<-)ivfQsF)o@@$z7HVi5lEVjS}; zN*yKj%DVIRJbB4E9YEZ554VGGy2jhhbrBoErchGTeY)?# zPDN^%apBeQ`bMb0M7fM-bRmk3cMc8ILUd&wk2c>oHg1Wb)@0bF1UHVo)u^O@-EK{& z%`gBjRJY}MEw_*~sSDa5_ZgCYaH|-2XN8LJWzql?H|`ot-?Xi zO@l$^=KS~C_J|+hb>yaICK~sXMS}&i_CJ)6d*6t>xCI^M{U^kd@$Xs(OmzLHd zR*{u;v&u~6imF6-+}W=jsprp{Q=^^!fQqP1or24~@N@nk1N8NGtObIP1)WIrZZ*Bj z8MG_l>d_v*sb)v$n69u|0~gM7o0J2x6!ocfl8{n6GYaX*f=5{Zq zFV=@=^1(!A)DLh3VD>nWc40Lqk|CUn_=@w~=pV1%6nWIiKMeQ^j!%r}#p z$-QqdTs|I}k~$^tAR0LBCXrS0svPYjiQEBog;Wv-cf@C?VGtY7W@ulC8+8w#ei<## zSTo+>K{q}x2GaOpcVfSJcGnwM?{O8CK{ta^M1`=uz-3CFM-@4!g8>4CJ4)i1+f~H{ z9I5RG*x1u$~x~+1cdv2cr#|I_`x$T$zSt^HQk6G&tLWGKo5(KycJ6R zyuIBOol<94V{m+im4Z_+8m5klqIZ)_%U|M^XC|FHJwt&F)LxpmnTM)2zL?9+X_*Z8 zWGdov=6l>*GAHt_Jub{&QHu`qj~udVr8_)4XxVo;!cdV@S|>)r$D8gj9=fTnksHe4 zP~PE?-*J?D>;sb1mf0KfeNXMMX+=G${RQN(S$`u^Adwu$;MGCAdWW)|vW&a8_(RPb zfrpNou6G;v=9@ocetqZEo6_lQ!nvezEF2L&6ySoLhGy$KfGu)mIE@OOL^K1jenU5- zJ^XI1u*s$$^X{meJSoF0rlSnm1k3|kRNqo*XlAKy#|V?a6c|o!qxnO+E<=l@{yDcq zf{5ahcPM+cjLCG_#;jU&)Z+Ot-l6-omCbo?Tfd{IF@w z{+MLrz-MG;BWvCQWZATAUn;%VHM^MJ7oRIHfggchI9EC9A77_43m&oCD|xZroZyHE z8yQzUWgc02=*P8K!TJ6bsje@YS5c42u6urkM!;%tf^D;Ve$BO_k&n($_IN96(6=e{ zcbSNma1g3ZQ}veyWm?VPQRc>0Eb%#TSt|~S1?*G4hD8$192xIF(cmuK5$>-sJt;48 z-B*LYZ;Oh?X#P+jYTEW|wdLZx3+O$OMn>N%Z?z$a3gt$~aF@ZMT<4H-4=)3L5Ztax zK-W%^&!^#REZAy(cJ60Iq9qdbP`Hxlb-Ak#N>=Cz`g+uoye#-zh0!A4QVLA#SeIkv z1qO*?elAGOWG6#@$&FphZNOp9@;-ZyK;(8s=dmT;3fR!U=+oA>3mASi$@b$6AsGcl0}w2PmyeeK&b; zuRV0ONjFSj=9o>qmnE}^fi=x`!+o|sC1z?Ym2WclEjiS~>y=#MiCA$`DCtzy#VJ(| zcLIIuV|kfJxerIiH1BZ5?evNbcsTO6oJay-@J;I8us`{giIe&CrdOA83#-qc=LlAE~Y;6?1aH6Xjq4mLD}q zZ9#6;xZ2h^7rQ{LNh~+ht7SQ~wbgFRz9c6Z>p?slg4YZIWHM|SOlqsnmd0%KXkG&-jq zZ)(ldq85d>L?e+Ck}RMpju&TEo@^-IM+2&zg@RtqSTD+a^(D@DvyJd*pKCKHYgI0# zRBPl*dear5xt^3GdtTSxK=&kq!{6u;717Pg z#O!O%6#HlZ;UF0pv93WDQ%a9|X8|f!8=)>1prwr0^}s+&hiYO z@?^VtBLh+)C{WWASlEp2yUW}rB3ivcO=0hs7|}QR!N#8ZKyr7{k*&HihGAI8);g6z zg+JoZDXCcEQm{eQ-d9YT6?i040s3KUda1 zYhHr&#=>l%2QfYk0vm5K&>Kt3?DGK>J)sabCWj>^v~KeXpC~Pf^eJI~s?$}~NpI%Q zynVM7Gp&zj`E@bJU-mu;7+W-#S8;CjW79Jte4Smz$CH65Xp9NP@T9|!+S}OrG2fGU z9AbVa2=+Xj@)oU($Q_cS>ID;W@<0W}-TIb(+=zkEXCXqy`UA-jZ3QrkC=rK!aS=op zhQ2;nn=-z88nXm69&#nCjeuEac$0QWag<8A(GpUM#YS)~C*R8(>Ph98x~=4MD9Saz zmUJf>L&Tsb9FITTGwGoW*FYT4H|HEKm}|byAD3>Xqu1mnG=bR(*433e%-qKPxJ1^J zuU>C{#UlSmwQkFMc3D;r56#A*@T@H?IvbTc*6+#|-PmRN~SfVEsy4v`W(}xT~=X^&$iKeD+8e_QO=gI78jx zO)pc3eTO?}fGgaSew{nQ>a`vrcjeGxB#R(Vytvv;M3MI3j1*)RL`)o;2Vu08(wwRY zjyI<)gDW6F9Iq)InJOz4Qp1TGm?rA6z9JfCfad2o)m=ZNM!L`XPTTcGWo*Fh zn`3XZ`gnt~{)gvN9jlomd2xAv#tX&oOfE-r4ve`4l5gtm#Dj3|d5K%r!4Htoi8 zC@o}lSIhfSUePU`6p>{$Q#;f=+$B>(;6`nc$7yFzHKn<^g6YC~6}e*&hvjylHx;hX z%}D`n#q4U|H=%}(8mgEwl(??9ltwu!eI{HARkNu_tD*x~u(#AUn5Qb5D`oK?W2n&G z#MI^bk}jm18bguy)|1g-|0`_8{px0qhBi#`l+WmUMkOw`xZFZ{!uP6amJy7CzuI_+ z?x|^WcMx9&H%UW1a<=WIDO#l4x0G{B)zX=-jfQ&EP~Et8^*8 z8@`PE^h{FoDnpA;!lV&gl_jj?nCs=&?nFus`VrY>H@4tnd=`DpzCc7Jkc=%!@V25r z$&moMBl&9MhW94Mtch|G2;uH00;nL)bjj4x;P%0*Z--{Sgrloqlprv&7+XBkkz|Bl zP~k{}DcbG1Z-nh!98{D?j-{ZryU{+2_n84#*&%r9IY;e_WA*EWbK69FrXVTd6f>&K zSo>uRo9!2d?=r&L+>c)lEOOx{l6DGq^LYw1yoI~hb?YY8{iIY?PnLaNASos4auJpB z)aNW4UvHdn-d6)NlwYf|e{4SaJds-S21(S!>$SweZ0bihz2SK@{HrQkpGi|D^YkjB zz#d6q*@MfH3%zicFf4KWu#wF1d+*kFhoz4sWwIx4&#q`0r;?K06NPyc&>#sK_Pa5e z^bX!Q5j`Nig(MLEi>XxDh=J|3k!f-}x8S23VXL;BsebYr8s&)@cB2L)x>l%@7{0F8F~Gup-2^(-3{Q=y@ia%3h(AyaDsma! zmh;&~yMJ%#hfDuSd)B&5(h{PhVpWz5mM^05pTM`VKTRv0F8 z%q)51KNRv4f;(U!XnTdAiN=vWz|!N<#L^SHe1%{!XG#q&-C)w}$)Gwn}Yl z$6iCmok{c|wgnL-!4oOW>aOtFjohDaU*Z!k#c)~SgU8uFB>VWZr?dsni}&kCW71m4 z7yCWFH1sk#8>6g{*D$a&(H$UDqZRr$;P-P(n`3e zg)}t5gh(w5ZbfjBnla;Nb@21FMEJcSg(9b8X|Up6jHKN_-N7G@FIOW5PwV z&~BXjD5i^_;rMIJY6gdTBzDMwe3gLSD?;lv|DUcR-#ctTYHBbXBKfv2IFMBn!KiHSnroIt+23Yv3jiK5ve9U7514EA-IbsHEIvCU=O-zqo=NFSb9g- zzI?}r>L$Fze-ax#MS}X!U515iH1wnz5w1cGt7VRAnbCQl%0PAsdjvd%opgv)n&SCt zW;cY`6$O1;=I~uVP3xT6D@PA>1v_Q*mh}W5WtzgHdgzc{FHQ7{L}`yLgEhK3Ml0S; z^WDgx7`V8xNxl=GSPfW(5gyps%y^y=BjUwmdzhs$*C%_S*Rv%jiA%ObpRC6CDCV;J z=Ri|6$MZzLwy#LmG|9QXJcey_LRWW%l4BE|4X3PWd-D4g#P^Z zf9Cs(9Qlujxs1SN1TG_R8G*|PTt?u(AAz3+y}teafBswl??JD#szF}fiwekdOy>NJ zzel~!Dpvjp>IFJ0aQp}A1v;;D~a>1^bmg!O)6KA7SFHX zPl`1EZ!jJND3ClY zYryeoq3G#yKLd{0fI`t9m-}gA`g!9&z1+{@4(N8DPWiX61Bm(eQ9nzve}n0>sIzeZ z6{ElHG&TS}|6$J0GW*|P{nT-`Zzb91p0fdk;6F_H+1=!CF#gSTFpyR*)*Bmy^~bQE z-e&#=+h>lm0!igG>St5V1_qY=4|9HcYx^6Fe{&oJWY^zz8XF4`hVNMtXLstKxBhGV zkc(~v-}oVa=tjVKb~^ZZE(hp%jJJkNX^H>k3Y=Rq<`M{ zFYUC`<{Q85G%(P7^sWeVEk;sv4VlLa#4BEZ#(T&X5`1H|4sSro8utR z?{*q13lN6y!+!Q%_&n_YKbe0_LLh)33amGP!pbCLZE2-%ZELJ=bNe=vqyUJOjT!hl z4McIR1i45w+*X!+^g?zbq?oQf zgo65^$FaNrtVo1@RwN-e2wHC*rM=N+LAc}gk#-4wJc=Lk?w1YiubWjou56C%F=)6c zg-d(yzkb|Gs8ax`*>>}T`zW3o92UXnC>Z}ZNhHK z3e^5sgX5?0wTjYO$TTJ^gc^vNdlFc*yH9svvRq0YZiIi9^A%F$e`R3zx<};&;cD)Q z^jAv?&u(aNJr%(sta_S$_ma>)1w55f{M8Oh;KNT%P&LJBtOzZW!xBHvEHo~lJfU@4 zAsnQ3^;c*)kU0ih_;0M};8MzFZt&9}^mvGcYdd!eF*y}nvtu88(AoEvhC|V3loV3{ z!;${(>rBZeGqCiw5r<)M&XmHln87F6W9Sd@5BFlZx=-Z4UZJ&Vzd_tkD3pl-ZCZp} zUwGfe$~sgsY^-=rGE{jSG}XA*nvjZ1?+YgtQg{oD zM!j)3n?&uTTV{YQ=>3E>=OzO=!*vVSbQd``T6`JeF)W<8=EC|alxGM_x z+_<;2{Rj>-=U!@#I<;uF&t_1equB7(copZAo2ws6LRt_$q?kJN$YVumtc_dm_4@URKQoGyZnd%ZEQN+d6MUmhZv#jnXy#X>| z2z=fUC^TVOL>3)#9)gNzw=w;66hj3lglzLZV;oR>3$QzK4N`}!Q^R{O@vLG!xqig2k>2}YC^z!c3T=^i@VHM?1 z6>}T4G^4E*@#c*(N@ya6=oN_&@i1W*6O>{iN#`CtVq?dm7uaqS zS%M+t#=0+NXynTMRV7iBrFN2suTq99w!ePeg`g4KNb3!io}7vDwjH}9B)mpX^i`Wu zgK$>sXXI(~#T46ihhBKW1BnoFg0R?(DRAH`NH#01z8T?YFYm!U%suR@f&}uuzAdDq zy}iY9^a6hT(oIu=-dFiv+@!+81BZ#01=%6W5;iK!6Hn7feuzs9NtpIf_-t{_!7CD) z4jWGWelrh_nQEMDCe9`a9dTwwaY!T&@%2R6>z{~U^kUiJa=y#H_vqQ%K@Nu2TE%ca z^ZW?6z!iLFnFeq|Ts*GawL|S4d6kvAax6J~#8mAZO(X(Xu+!+E(p9O)AIEqAE({JysgBJKa(9@JmscA{CK0eOW%2So2;qb?$tmzvO ze3JD_dZkG?8-^uYt4N3ojr_3t1^yei<*7ct>MDuw11k<1daj)z!N%p>Xn_MnkLK3f zPuyXqg%Y|$)39X32@9x5hwNA7Y`0x=U=%*%-w zaO20rz3H;aEl^{NlZWV#(D-O#X7yT18idW9<5~}mD;)JQf_Aop3M4AM>tvw)cv7y%e;=`QK5SFraEL;69y2%(W@z8YIHHCzkBfv% zm*!Kuu(slQ$-?t5{7z|~3O=reDtEkpIe)xg)mM=1RynIkHjPA1md~70JWHp&noB@g zV=EN!CflpD`_>r#SmoPW#vL6FS%Vp&M#!%h?fluVJW@)f7gMp%57392retkfLwl=K z$fgqb0?Pi$b8&UC-5_Tr|Jb9Xh(gn&zE0`^tV$Fc9{izUFYOu6k)TJ&u_@}XW`S8z zctKl1u-rt|-1=Ma+$V6 zj_^QtoV2c`)?|VmKzwi zMp-PWJ?vVVVA|JDeqS3J1wOYN@L*Iyt<}O`wTzp>s*i$>rm)TazTQ_sTsN}ltmhLj^Cq4%E}rG8`#jnfG}AlxDJVURt|%iHvepgC ztfN8YM(QYgs)-d534C&w$q5}$L(hD^QF%il>S*`QYo*AOhS@D51&?ms<&)CHJ;#GA zp*h+wJTm3;N4&uQoTU{sksM3MS(%n6Dxw6!;!NccFGrgN)eB6^%(HCNpIz^K)4I%H3t`wn7v46A-%%RV=pHF9F z<0r8V9_C8uzFPRs+=w)!*LxzF{B^6s{qxCW4wM7kZ5mLkDJ>KCPa6)ER!`dvaBZx z;Uo{RwVNnlAIzfW=h4}&SI9F#>Z7*35pw*@y{X6O%F0uDkH|3(srcjAm?!gQw_^ru zab6NOkIN(9Dr`G?kxc}9+#B>h9EyCg(6$uDes*ZVP15?IGLjsWNIF|@k={uAbia`` zsNK6o{?O=wh}A?waC$Uk6;oX|zn(5rJeP(dm0kIb}TJfw5)7mkE!exX%^u}`b~3hFI^jF*R4aEq4vJeUO2@Jr%2)JL@^53Om(>XFzp2Vv za9vP{Ds__}9>T^&`Z^xCGn~T^^g%6HvX%)JDak@QPGaN0W3`nII)%PH$^P042kyyS zG3I9tt8dnl5k%Y-4eqga%n}%;sJs=~yX)KyS7`r2$a1{7wIsfvu9gff zQ>@V%hxrAzvZ}lqd+Ru)HvsFPWhu`pxHx~c8;KW(8)@Na1)e3ab6T)N) zpC%iiER4A1pYT!#zc1E3FMacoVlh_`Z){v?zf+Z=xRz|teAE_f^+9I*8+$HCZi}a} zIm4QdHX~G|QsD6RGdx}*XskK+?>@SFXH_%b6Xk7I?Y<{s7NSPu&fsVSZ3Lk05KCOhT<-~pq zBL}|dTqh!niM11btAN*1?3GX=SLJVmYbwXHjO#;}0`sQBmf4E49QW~ZY@aZcLd%=F z$Y+5|KDZAO<`G2^VbfMIam|h@6M!LiOD@gV)N z;iCW~==Wu&1o&K76A+(d`ScZo#j%{JT%Tm3ogo6FI}Vd5?eIdcue(OHt~?-rUG)&! zwVcIE#j?~|@=+Js*ik)-E&DMS+$gH*V>WiP&Lr(fNWC{IA4L z0F)TOlm0>b1YZy)!54%{@C9KKd_kB5pCW^D02l>em*)@8P$}RU0HgZ$4A5`j{J{kk z6X;V5Y!@T5U5w0jF*3m9JAd=V$dI#<{|<8Inak&4`^(9hKcTR47U^^Qf23vt z6qwUc{3fsh`8jeV2tZibNzYpvu(C4(lXuEg`yS#wo7$hY3{WqAhL#Be@Kg{f8}liR z0|d0K05tL0fL<0qSpMBo{fm7*UY};YzsE8w$8X$;Q?Hr-z@0ctv_Eb8 zm-5LeOX#<~b;=U@es2M+vA@l0pb5=C=9=}C^ZmnI|4W|E|7mx9^ZI&(!OS82C~S-zGgimTz|(+I=e;x^fLQ3w^^8fKiQ{L z^B*Srm(tm<**r%dnfXZ!51c5eHBh?m3)9Q+_> z=e_T>$<7X*=a>60cu7FVw(qscY{pw~j=Qz;wOC+MDT~&d?I$|HN*{}`*o~Vj`U4KV z(n(_(NJ2zLWj);9O(KWB^*54b#Nu9aY-xf%EZdtHzJJ$c*MDX1iwTEnpzzafxYE4X z!gYV9*1`|gw-enqnxht;x)@3`MmUx)x^XVXqrxfO0+lT{(>jxFFll^|F=pa_^E@N% znvbz`U{tl3*i6%dft8sK6OiNhVe%J~zTn8TEZc`X#HbIog97lY8}8Bj4ZG?p?9ypj zUaC)34mVlMtn^#Yuu= zP=z1i7PKL~^okMX_zS-W)|ZBoRXOeA#IlW6Oz61hZvH3Ii>m4o$Aq;o+kxDy&)t&b zeC?_FB@1FaQ%_8KVC*rNr-cV!_EELmqP?z@-E7xixm-N5X|tk_v(sV&39{&FfEc4< z>gzL%`&ji5k&b~#J5+J!J3r_7>}C#$Dd%$3%BVh%Zo?7V)ZI|#uUyZ%TMC)Yd&r7a z8!0#Uack!J_DZYa!d7or(F=y=(f-yB4)V4+_fIq+TtM9 zzTpr&#|;i0Q%Wltj+MmBih7SoT+5}C9juDZ*KZoh z_bOvqj39-N9{`ipMCQuwIB4VFOyy$TVIepk%J( z!z({EsVcH2QGFt4@-@tleh;Xg6z3{O$*q!VzJJ8gfK!87huUBX>xd*mOf1NveWze4k~LXbd^;1=ASgB;x5-3bl>g1fuBy9N*L z?(XjH?ymXibbs@IJ=5LQ_tu@cQ#GNAq7G-Tz1Dix-lx_+kGypwq9#H>27}Z=5qCqr zRqJ$N`xCYW!Kve8uxV==8>kT8#&4ukCN z<~KkZ@Z_NKBTJMAqQwZ^gZb=Wq09{U%4|}48VB`dp(lLckf#$Xn`F@CWVG%kY|!co z>vox}mp!c7FVC*Y2s(RR{*KIMI_VeJ4~aUDH#A3yk~)y-q)A3q8f^be0;VJgUGy1L zRPUoK+-uD)`t}TgNMeO17Kp5GIyW*}S&F}k4=qhraNeexMx$S57hyspXj!5N87-VAu|MQS=`!y0m_Qdm z-p!t_U>-a-ZzoNY5CY+jvD#<4+vqd6n(r~;pkI_<3jS+o&&ahnJdA!n>v_rk?&K?-K5VM$~cMd1wJB!lW*Wwv8?hbxXA>n zK^nSIeMjx?d4&nowcu@{rQ3=$;Jn@0X`K!*3xHHSas@l!7}K36l`$81wNeGkhc&UP zWydw)EcnWWOS(dN7zASdWRt&;!CtX(B*)`1)8eKYb&;&Rl~C6I482QwM7yU;zlUY< zFzQ>^BPptQBFUhmi$8E8l3+7z$7ISbDdwF>iYbi+NWD-<&>bC;+_hltEt}qObE$C- zd&erm2r!eJ49?=6FsLQ69!iiNm=g_PFkLVcF{2qz+uBkx*9lUk83mh=YfBNd* z-}y-7U*3R!OZ4mlNP?%hSt^K-ei0cb)g3s2=srf&t63YF;a^2nS6<6NKNCHB3Iez} zoHd`h1p*@Rxfd60d(7!)oMH%Rq5LEkI3MJ~DDUNZ;E+EoK{mm)WVQ|2NfduCpU=aM zp)jy!{wkQuyEkw`v@^7;!D zX~cCO=1BrpQx&Y#qoF?AJ=M@@^3ZeV?&9@>OERU+0aD@+VbbB&a2!-@O3~@?OiQv* z?dr<=6HLLEPN9m!g5x2fck0~qPdIwWAHh$oga*LW_V#e)R<6^Fy|P}}Xw+fhlscK|DA;-e-Y%7g1!MIqh6 zr+3mHwK_P z_6+RySGbBglXh;dI+OFMsikxWMiJB!4Ll8Y)8TfT>HP0;T)-(Ajm*)KQUb`-)eCR656(FHgR|NM3}&Ai;@U2D|Fmy*C5}%#Fc}pFkQSgH zEcdwDi{^tHhOAXcyRBfN3${6 z$w5nIQBMzO7`9l<%JUK9WA7ezP8mok+GKXg3oo2MlX9zJXVUm_izRws;IL~UAOW`>(-F}zK8{*n6q+%!GCtH8-aAhy91Qs2>OJ>ZD zImHTeJ0Ozw89rC1t}_7Is+_E}zDof^o1;GyinRNTo59J}rn(wDabh&dVSQQ!)KkJ& zX~L#m8pni2qm(aKAlGP5AqgS`XR{_m>`|qE-iqcrl}hnL*JR#|Zeq-(A`5PON1ME} zl$R9L&rF2l;r~E`5&sO)HGPwB(R-bm(WCgyU9h6BB)p;pyVbaKcW!tq&lbI(pihfA zWNyTW6@s&g+E_efm{$gd>uk8n>TtO7aQ`;ZP`QT#!}PH+iF&foPDzc*98J{Y(Hx0l;vAw*DQQWEy%|1;b$Cr}^)hl-P+eRTvOw~_A zb~uAB_RPKu{`O*zYT5Bwvwh;okDr2N@eoJG>vi++9D0&C+A0A$o@~e;+C;Az)aiTUw_d{!JJQ&~#Sy#^sHEbc zjlwd13kFS`_!F8AB#DQp@gHe~fdAl|tl!yizq8?fXTw>V8tduU8e5ptTIw4T{Dn|_ z`^)xb+tRnwGqC0);C(AxZ-6HwAcQAjtZS`f?S%K{rKTgG<$}iJptXKGR8ByM3z~!0 zkI7C1b!p%8-d>l{6^rvAAx^gBlL@Z`5V{rCymgr-TR+3 zLICDJk(Ganh6DZ*=lqjsIN&eA&i}6w0{)@f0sfMv{DuAbL$~wBCjV8p1N=j`!~BOL zi1`;o^lu7y{^z1e%>S{XNxw$;H#GjsB|ZOE-rBGE|7`^StKj0V%kWoG&zoKbkLfKY z?BCIu0N%7MZD@3YwVl7~Ygg|JKy$8U7-xp=W)QxV+_*09k3?!haa( z-l$>vzoVspU95lW{6EH#(hAGda=e=cv=MmN82y7LKfz>Z($4y z3P8L+0`n9U{#4EBZCroV+6n#TM1NJ*DJZ;^m;(H@hS)z!b$AOz0xqEAs&cd^y#?=6<#s8HA_@k^U0|s5ZQWgjvIQ*- zZUAkom-wik4s`lyb z!X4tQY3_D8`fWmVI>EEZo$wO{bVl+pX~TsonmNl(xy=GACXBn&WoiM_JdFm|Wg zot2%bk<(i%$J@;YD||SGrnRlyq75o9CKba=0W*eeb{>N4ikz*CpVsx@jmIN_W`3-p z_VcKLbyjx^R{<=ZSyObFF}m%VJ{bW4LrYa_KF)Gx{!Tdf`l>w}yE|de?l!eGQ|Khz zGC{+ZU$jZfQRc(t`@vV$m>XF^2Io1mSOuT4D^5Wq!ER!Dh9J~~tD3{LQs=bp33M#L z!tG(Bi>qD}kT2%)tZswpAxSO$=P5#&CE`nFw8{%Q*bSY)wX4N^P!yaP!^!dhet03? zY_HysaVDlQPl396+q@rwo>DKV&c5POioBPYRrq9~SF^JLa0E{!))v`k;a{gC<|hdU z#!O_Vfqj@(G#EB##Or2k%E7r;L)9gAXKijK+`jlzhj5 z?zN0|-Uvy$k>6KT(YXwXHbkfX1Fv6PV804Q6!aFFz2yiQx=WazBRYkgyvv7fIcjLR zqNd@C)UM~o19DeBKo>x_+;NZD)${r0hA|MV&b#bG%p$Wv{(6n4?DBF>GMjBgyv5|&IXpFKNj3l08RY(2beG4zCz~~vAPaI36rEjfwNG$y#gXxu8D*d zO}%Kmgy=J3wZin=6x{iozZ8pBi(~lD8J`3r=!)Qa)3BU$E)(pD4rdmN`tN}##N9m; zVR+|DXPT{;qmmL+yIrjPp(#Z+A!HuxBE_H&n8Y(@TCKvLnq8Lx%lMOV=sd~kEzg!# zLyX%!R~D_GNk+J4Rr@IRv7A-Lp?}6E6pY=gce;ymXtr>Z%Ch5Me|{Y`_lMu}lag_= zd)g3f@7oA_Zu!d7yAsjl((c}cY>*wnk?L~=vjDB4k7RxK5Tex<8`8J3^Q7M3B249O z<3>8(lrbtlA9^{={B}zp>eM$k%>+2C2Wm89;GFq~Z1Af-?((^}tgN6y$DQYUKRFla zyUD0va7_et%F!p$L|4TTk3;JWyqtP1Ku{5yo|Ypv_wAPXpP86jk-<*oM4@Ytzi*%e zguswEMj;*vJ}e{ddt6DA8kl0uat;_Ka#xH>Rw8)Zjjr4J#29YJQr9aaKeV5= zE-f*!Pa8V*F0N&BcibAB$NmBE$%R^)EiH>J50nc9yt-(*rYN2%lzdvxTj%$$96b2; zT>&2(>gTwb+3UGACVW`pd1Kqz8|?gWk9W7EKpOL;$!uSX`I_*cSI(Y2M6&jfnjJ)u z8}rv~pw05+>*<*qg^3sOP1m|DF|!_;Z%51{dL8=o1}wAOotT@+aWG{!N6v3GTb{#& z!n0Ve0{Q}^`^V z3w4L2ob5oH)akstt#2Y15md}pC~&{BElczdSz>nP4SP8ut=*OC{fd-CxBtnXNIu~j-0b#$?G3RPiyvPlU8 z^qFo6Ly4We-hFIMvZL4)=wMH?+Dp~0^W=#TzpO)nT8aSG50Re7HXQecnA_fx#8|zt z?Ngs;IS=BS%kh0+v>`;2j>PUfSSsPtPJly54b{RNod@ z@Ec7yo2=R*3NAP2i~n@AgUY`@-8{T~R|s_FEJ#&F-@W~A95y1#=9UryNw%Ild5(wK z2`5S~mli$&_%=iAhNf?}AVjmIE#lxdN<}IF6RTuRaZOp6v>c{>KqZP`#N;-s*GzVuXo~X~9^po%*Gj7bZ)}Jhy}66d z`aT?_qriX?)Hc^dCj;-j{V0oDtXSKuaCo7c2>}5uj9_;BCBP~zy7d`~HhE;^&fxU=ffPN1@sqCVhkXwsO|I_$hNV*9)uH~!&!rKF+N z-iSGcY*WBS0OfaFoO3i1&?OA_tIavuJ}=!s8K70o*_g0#&-tuVEC{)O7}1t&v(+cL z4YPW}iS4ZV$=B&F7IIrq=?|z8^9q^h3@bwM)y_jm_<8Hs4^ZNCL69S0_CJ2s2)*ITYerk}b`Lws*mFXUcDH!l6;?{PWwcO1hd0SluU%55 zC*d59d@q2)E^Jz-<8TTDNjP?sq~fx3GUduL^^XOM`8Jfg3zp`VMj@(Bikti+NHuvN zswQ;0n@_id1zv|=w|kLCYi8EcqxyntK5hTJUyk393UxA130g4b zp>{JRH$VnN7POM*H0$0pkj@byCMc46-bxldRgmWJD6`l$QePJ0Egt$ez2s}xmZcLsM`iu zvD-efKMxdh=UkA*r4#jMzJ4b^p`14&v$tcWs&B_Fiv*G2n~3AKqrvmwIVco98BtR9 z#9rAsc9ecB>6eLfoX00t)=oN>^AWngAMD0Ez`_RqB#8`(?j)%lBRYeb!+WM++Sw6W zm@QuhPEK6;^kK;Q_~r7UuKk8m;|1%MZLAC42UioO?MeCh(w|Tvo={<^qJDs*yVpB? z!}e6Fm->zoP}R)k;&dBvue@e>ba)hvo#~zYm9%V~9@gEzyoI^{yY@E*x8X)B7E$As zov&!h+6h$_VpbW3^8B2R{?M-0tAp>nD`Y?nO;aN)5!GS#lsY3-C5EFDMY047hZ4a& zjQiX@Xh`gbeViItaCLA#!wfe0*)4i#o{X?<_oNb@_YMt%)sY|wV*tu<;L5M`lR!wo z2KILjQ0L(+Ny9PQWjN)g$aBi|8_NlLb(QaD8kapjJ4CADUvYKde4GmVjB?)pj)P;Q z6Gu(p@P4YLyDJWa0fAFZ6Qim*lTdsKFgbC+^wW~%yCmi~?I=KD zpIGk3t;?la7we|p3bXn;1Hj6Gk4T@)T#xye?dAY1ja@J(u`((K{O~SrrIy2tFn~tL zSCW3bjUaC-f4q?%6=v;g<5`7QLadUs+V5=I)XvE5BMAjZCwa>&?oj?8P<}wptXW~O8 z_#`597t)3(k@K=XnN!16&#_GVDixy`?0U28qZh_KEPo5`jF{+?K0u-~NO*1QeUT1E zCq5evz4@uKTxRcJNH`qe)1BIuA4%mWK$RMP!-S}wzQFEJk^`XP*I}a~lg-%UwlUG> z$8a>9NasON=7mY%E=8<1D)vgb3Xlf~kljjw;gOT$gy)rU^NorDm-6<9B z2S4-SSR~q0_+zl=XF&)0FGrua4`{RH+sR9~zJVmc^Nu`fyS@e$LU9`)G?C=13T=@&<0 zAyQ9X5OdEhve(bM;Qgu$$fMKzQ-0gf4+GVZ3o>`G-oCs3D}y<CiFWYJ_)I4XAbcK}!^;Z6jD$YFJz}TST1m`!@K{tEeMb5Tj4OiDf33t!r~Q z7}e0|&~z@dy(&ea*^+xfOuUG?2h$)Zjt0B@O2B0|9945a@Iz71Q+kTYL(y8#ax!@? zQ731m7Eth`N@9xi(lJx1uiL^(`tSs~N9WVuhAd>ekX^O}e7*%$~h+`-Ps9CObB;gj&`rQJ6{}eejfIH4dW{Y4{|f*0R>LuUXHr zmh__6__M*+8`Zr-7vw!O!bNVszqZB5qWD5N~Gu|X5QV*|_>3*G*F zS!Oq5nr(=(CEtS&`bc}v`Ysvs;CT_fERJdVW@KqIdWG;>LkX`E3pqpY*yCyqXAzQB^2o)Y=?z<$z>47`# z@|Wz@J{)AkL5k8y88T}zJ_=8vnMzn@Yo1E8L|m|kUKld0Zl!rJ40;c{!uQG5P&bpc zCQ61Kpd>rhpd*#*wWS5yws=7Xi2Hje%LNG$_a?oWPny~r(0hW0RiKh;D)nYrg6PXW z`af&8xYhk&6(-D@+64w~%cEz(v_vSYk>#MXDy{RsRviJUDHc=+lGPiOLj_scnc`^; z8G>vOeNS}NbwA*s1`p@xxJS#=vqGgQkg)zBjj>oa4Ns1=kq8)0mXjRXc84)o!|#SO zH>FDAG0=N|0QuCH9nS@x7h}0%?P;UdAqYcc0gk0!ZC07~Ir!GDT)bA#-Y0~rc~ZGS zAypH;D4SBJ!MVf9DIUh=;}1iVM!C9AP9*r zMNBd&|B=Vh0c4rnxpc)1dpv`+Tq|f)3ISQnpu-Xh9!|3q^2pO_kU{W)YRvT-5(Ik` z9#wKm@|YA8@r`sxM%-;ZX!a@m!MmB`-6s1*C}*E22mqwk`R5j*@x*}{;m-YLF5Mv{ zryBt@cTXBXKu48=mtp`#VBwOkt!8bJ%m7xLVLkECOePJIAH{pnCoswRRxUX)?&%6T z`48V{(f0YbIFc8ti!+DRh_HS{yfFQPtd1YbYB?<89_rl)8`ler?$3!_fK|nh1dY2ZIsB z<&T`#Nc?CtUsK}E0JDn*W342-wGbzM7T_iXyI-59tjVRaIhE|1ykJFfizs53n?F^} zr1NLj1n5C|H$cASq_(eJ=zGQKEe%$JGg@twbsv_Odw2=5aL)6&sDL$P%2(9Q2#}(x znK07EhL}@7OsO1^FFNDtdc&&6yPBXU|YGS)p=UTH_m{aJA zI;ek9I}i_nd=+IzcbrFzTwDd3t@z_!p|SU2@nnse06@4bTb}Ga$Aoy5PBOgsDj5^O(4FE@tL@99 zc>xe*{#|OW7f|$$x|}k*MP?g)@ne`zx}^zs*PQp8cO1}3M4-3lu+1oNfzcTyZiQgR z6ik}2uRMq<3Vh<=T+xZN5!VU9Ac`?w5o4fT5tGOC!^@wBC{b6%qI5TwS&T&Wd{o?I zXyStTIkkL88gqoFtQM^)mq>nlr;vfn8CFl-d`JxSFvgwA-upo+=CyA-E0 zQ%c1>#h~Q5h|`Fgi#l?nd0Co;nynh28`ue2qj`k6_)TTECEVb|i5BLE%(?MQ*>#b- zfhOv~V_cS4Nu=7*w*?`Dn$z-qpY3%;=}_sXupeYQUxHS=b9pn%x=yRIF^GT+FrwM0HHl4a?D&~Q@vA1m`y2}yQ z2%Mj8!$7FT#Wk7{)QpTH`u1h^WP@IaNLx2TOnfm}%NQ=w z&0+WZgU7q-vYgjfmGX7A*`xem`Te>v`HzZP6E(ZcB%Gun!ZNB!R~weJ5rpi?xhgP| z!INS<(s+Xev!8o*^!N3m+ptTCO6lVH+2i51{nf{l^yGl_7VU+o_MJ~=yGUh;s+el2 z@)ZlX)_GRViX9vhR8FaydwNDy`yVFqoL2L@XGYVL5=z6<6 z4P*SkhgON12C5*%P$d_2N(iLS=Igd1W~zy8$gkXf8jeohn&&pwJtH4<=z=l&$krJD zJ%IR>rY|*`ta?fSOTB(kcobeG6mP8XYay9p0qH$Qulnf1f-$l&PNv>RNykCJ;?2O& z0g^Z;SKK&Q+t>!26ZeqM=NNqo?jE1|7}EO?Rh(5D!bugiaD$QNetC}6({CB}?m3&_ zXQXUei!-9@ioL48qPdnCPsjj`P^=I0b=AIMrpWod$wbGBJRI`K8X-?^-%WHyxo zU_7s6e}o`5FmQVzN$=mYVB2JrQMukJKeprV^Cd3!Q?F7t;*MC~h5E>qJp0Y;=fqvBc?kKLNuv8=~E zHD=!sQ3|%1YbJnWFJg^n{Lj-YI5UyBF3pSa$aVWF744I}v!N%x_C)$i_@$uvY`M#W zTe)pNWI|UejxK~?%7-{Uwy~MaVUtp6zx!#O$`tab#5YM-)c_F`<;Q z+F{mUmLal7j~7~jqCsmiOfaRTBG)SVS{!Dme)V`VAu-usSLQwH=LnsqfN>I7bGw>- zL%KrL7A?_%BV;FuWPmQe6zu)z_yH~oHw>BvX$3Q(457uDx2AT}^C+tS0^KL=bg1)y zF#*%_8&1WCS==Mr%7z&5x!6f}Us*|H&A6^Tjl7rCMpF+8HX9lzTHNnC%qucLlROo} z$!e#H2x?Ipf~r=o6Ucqc>7ni9C{Y`;JL*1^zA7xpuv>TT2ID;hHgx8`JTkLzx%WPN zPX#Tmc)Zi{^hf?8ru9s;tM*6~LzFXWV>Ib=E#~ zYM&tZI%ziY8hqxjYuoM%NCHkYRNI@g>1ZV-7d3pY`GjMH_Cv|27+uO3937*B+>^SC z&45peb3}IeiZPiE_q6_TZ8^mv2-`UtT}p{JT#LL^jkTsJ_r!b)b%?y>S&tY+LW*mM z4+pCgN?$EafmV%#HbT*;CfZiSa+8->^?ew5mD!FZZ}LU1ajbMgv`FhG{A(neD&>pW zE7HbNr>HpFP$p08vPo=fLFS&Ad3`c_G4rG^Gz=xy)ppevUa7!ft!sLe`MIBYJ%@6k zMVrOYvs-5HX!KNeZ6~rPHH?EHn;+OC2)FtM;09dnb^Ivo(*3^njDYSG?1_YbX%eDw zW_|tQ5N1nObV1u7V>d1`^^6Ye)(W5}D`^ES>NhE3nR)MI%sstvhjh}=&AiM1#w>8o zKnSJfMrChL#>lOIx(QuN@;KgMJv<7|zpNSgF09d4xiQNxXlx3%*mU9=@fBjRjU1aN zBm7S0t9xINDIkxqK+OnJRcXoT*%`lkioYJ_jcE6DI4?a%r1yQAiFouQ?z1E|sFLDt zY2HIi=-Qci*_n!Sb;3{}J_;BtV-{+&d(CcB1YWjG!~3wmlHd6fK)D&Na|=Q%n!gMyjEy95#%R< z{E5|h$hEWhWS_F8Y2G4Spza}lP+Se3Qk>9m8LLkh8K!6G_pE|Slg?Si@>A56>wY;@ z9Dm!qMZ8m+%D|3XOzHl4CS*?x7oH6wV_hs)r{gtwY)T=#%6kV8@0u12vO6{GWsb|^ z%z9cW#nl=AbmHb@>b7>iR54MuMuPB@x*a6HhpFQqnKhXIK>){Zv&L_;#{W%bjo*Q; z|3xN`|9*Muf6+w#)2I23z;6V8Bk&u6-w6Ch;J+V%f8VU}OWyrADfgeu8oze$e>Q8d zGXH~6yWjiy|L6Df4AcyC3IG5b105SZBPAU@8y(#*|M8oTn2q1sK*!cV;O&=_fS!(? zftv1L9sS4E_ir9ADaj^ap=W1iU~Vfaz)4_dXRObrudlWN6iYL zXQZZMWz=EN2Qaee(*gb%-CKK6a~oS7b3KFq(O#FCL7z^~K$n^xz^F&f$f(Ckt;3{C zM-9-|1sdo90jzWey1&}%>#-SHSexnCauVoR{x)C#r+3Z&wBdjMN9aEt+W(<9ek1T3 zf!_%HM&LIBzY&1`5A5gvl#%quj_NNi&R<5?KUL~x{!?1>pUl_4JlS&p#C-j$&7TT1 zF#qAOelw2#)oRW1hr^oXkD3cCzwD%MBVhTX<^szfH5XX^@NU1w^8c;*FYoq$&X>&q z_>cLre+~X`4?h3pzU+Ua)WR=3{?`9La%KOWGnw%X&wtCA45WW6X%A$0OKxTW(7Zjw z0T~%+fQ*0VO8)zmH?^>qx75)yc=HnT+W_%?t>~LKSkTc{Sl;$+=l=G8VR-=FuLb_A zXZSzwME+M5<=-awc8&b4`#)W$tba}k_~%|@hPS=`pS;G^YDW=Ey$C-`+jP03{RB@^ z#0jC+mlq0CG}9iZA^fnx=zE&<2!nz}(ze_WGgh47?T&JN{c7lO75SCc zUec+mAM0X9x#}U`4Dh65rEZQYscP2xu)8!AGH~4VjoAEXpzdnGl&g*}=wXCNoSv5G zS+*-b=$1gzOxK%Hw>4eFid{5^QEK>V09j2bD+hW`UC?-%X=ot=E zwR+x47)Kfj_oCQ)v8mFqa@bgidR4fIMN&awIpjY7L5pONMC}NMC0T3ItCCx-R`}fJ#XsM^Lpg8~?T}VFMu1A2G6}I$y*F8E) zmuh(q@InFOrkDfZ0W(jj)+i|+yEdI)1T?_}$q;?iJmZk}JW5Q8D5W}1ohC^VPH2^y zZ4v6MXi=mD$!sN;3|u2ppgq+m15O48hG#oV7nJJBpb(m}I31Pb;W# zt?!JbFBj6f?+sC@`nf(Nc-aLSi*L|{j?$RWUSbkOlf^khKC`9-v8_qCgF9sv#9Crj zL)ZuRp`(w?F@g|G(OXSI$0i~c#RI(sgQ}%+K2meekN}e2Q+R?!4$8r=fx)5T*=uW= zs1z%JqKXV<^{Je8_=%_sR?qPxE+l`x%fV@7lcXO~hxi%@hj$e2k3!L|lXd3Bk5Vif zK+NMj!eO*XK{U9IN-vt0&F{s2>=iW3q=9s{aq(8Y*~^A}Ro*{z7V?N4v4nc&2cZsA zs(oTA%aHGc2$??PK&ro85Fb7XTV^H_b&#czHZ;n29l<#;*bY|`qGecxkun~>pCj96 z?-h@T{K!>>*jS7fJw++xas+84qbb&+dGz~Ly&+YU>~vuUAoQW)0V9Y-BK2fTu|^@F zLoygVIeoD)#!a!t3QYSW<6MP&1b!=toyjqZ% zt9fH6ylN$|aF`@mts)TnD32Hog4m<$c>!BtHE?e7KZFtC^$-L0ple}4na`h{y{3G& zcM9=Pq>S5?QjFZ`(MgmAoI!;L@+jVGP~yAzkp^)X2vsS_7C@LTZLqOmp|UtSl4BMd zHc1k%q7f%y#>PPt*;Tf|+}h6<%FkjSfDDXBQxY&JF%U@U5=A6kCt9Z~+uP!`7%iuT zfspToOLTS;tW4ynl{m-nPRTPaWkz|*R`yiygZhvmCLz)hM^BSdbAjE1uHwdCT^@qI zVzb!DP!@_igfA0_V1I_Jy@XnilvW?xKzc76qO6QYpu`o2;Ap8mk@ayb1$4zOX)Z0K zo$%}2QV)b)Xi>;q0-v=J;QEVCBp<#vD5WQNRv7vD#lmPn$4yX-hpCbvOh5KaO+hld z`59Nd9sVITf}rMVY|kY|02E07s0$pDWlAx;42K07CX5iDLg0xvCi`pg$9lACSB1WI zHQ#W$#@sAKHd|Y*PZbC|LZNFK*lWw&b~an>x?StLkiz~E&@X6~pIw8S#SL9rJypQA zBH%BOpWOqMc)GUyK}=%NR<15ReHi)c*>`z1Wi9s}+A>xLL(Nb?CL!6Q*og~;S0hfp zj$sB448MmX-?Yt>H!2&W=;b-~m0DI!Q#k-wvlhj`Xj>N`ebA{?BE>i)VmKF*+V76| zFo_uyHIlGTguMd&>2hNT)TnD^($u!eQi*tW5pwW!(zDvbpmqkjH66<_1NZ~4vu+}M z)7jk>2M3pTs35j7s>rSYziO)qVOC{-=;HrUxUmg=NjO@#iSa z%U?9;~ECsgB?frYrw>RyHYBult|%yib*#Znd2 zL49Q8vEKtVRG?30NK8lvL>Vk8glwoZ7cZGt>b+)o&fHkm7A=fWxP zJ5@H<{aBlIJyUE*5#-PGfk(>E$wpe|4qX|~F)Gy~x%YceT-W_>_`#xhV3(0_iF)vc zPgueU3E3p2z1kAu=iAPfEwA?2nKy=C&gzzCNUg>mw82Mt1&a)=)W?l9&Ekm-=a?cw zoZB>M?RPv9sp7vr2XLP8fanc-&T;p9kTLtyMJgv|F{QqzWP)~$v?qU=uT=P|O8wm^ z#6CNFZ?nl;J>^!MpW4PzLBz#2#bfrrP=8IcP`5+GVvoo9I|`@Ot=& z5YD!{DQtE$D}3`{K)_yge^F;w&d;QK6`%ts0Qxc&HLz&r2f?LYLjy|tw4{^xHO_b3 zXCPn}%yVpypEb1v2Y;??I_LxZ_r9#Ca_!fUOO|D{P9PGTPRijH&q}YC#qEgw8qctD z`^Qf9xSE0x{|4{_ZQZGo*#I$-C-W5Olg*JEcv>yZ)|YE3&IInDvUewrP9E>XxSIRA z-+#A`SaJgnEmlK(yYHujQG9oM#OWAbpu*6gll`qbzCU=t`u!**y2i0%yb4Z)c_3M0 zrg2z(y|WFq630`k%FI{=ZZvW4G`?Z-G*UgQPoi-j;L7*~gT1{Q2T@+_p|+mf9&~4@ z(-`3hK)@@Zi~r?_X&G|25q7(DLYqG}+YCyKXW8b$`PRR>CAT7&a|iB2F|IDx=b&fv z4(!+@nWE5%Ivnd*pULwD5XX1bMUcrUI0~d>pzHZn;b!@elX^vd1`|{w_dC#+h_f=d zSf6CgYh6s3s(zSdzC+?xF@eTm(DxIyFv4J+>~H!|R@0A=qAfpIKklWEuuOhHGqdAR zImKMZHX;hugsUAy^h)C_>#-+BR*umi z)Z7jB+=}2tenE9_=5p7YW25Df`I*KUoX5{@nG>3eaONwi4GydS44ech3>?>9)wK~L z7#|}l*KPW!Si%oK}9ZHF_gO=(pxgUX+ zgRW=TK!!-e5pXp>hL>Md9;}*$@wID%=a@hOl^!E)7a~4nNZ+wC1Vk`U z1yXSpOOdmO_PCwuR_{D4=XRT;lv`5FY7K~L(y zCTukd4_yKuNpTnr!P@|u3sqLiLdK|qiqmUGHV>q20?9%k(UGdKAOwVN6kI)=rAo3C zwQ{74r=1(d*{2VEvTp{o~5biq=+mg z9&~K~6Im@7r6pN;+|_;j00d0Xewxbk@v0>~SnBjg*}XM)*9&Kw*_mjz#V_d-f=TZV zEpD5Snl-MBZCkTP?=H?r!PY)zNrJYn%-Pz6emmEmln@(84AIoqD5WC=NhjyDJgFYJ zw5G!iOMwiudVpLU@6k<3kaW@tRIJgqAu!hDh(a>y+38|DIx-R_{dr>rEU4*S>oP@G zh{ILhYD4uOyoi>g8(q;%^Q88`tSx5Fr?f6()~!$jaJ`qf@_1B{k%W79qnZ$lugkyo z3`Th%G9rr=hVKcEJ2e13MeY$fcSpOX>B@cD*2{-?QrR64;NVISXnKSVHbzPl8TJLQ z3)dLuyexe3r`_unZ4ca0S9aT6Xs%*!fA>NM_TtYFzSO-Uil2TZAlCCHNuR5Ja=(KB znJuG8{YSDimcKm7{7;WvziF)h=V>hZ|C?lKx{N?31E4;TnuSh}h5D_I9X++K&Kr%T zYoN! z>;D9g^ZTpI-w6Ch;5P!l5%`V3Zv_4WG}bRB;D42+{o1|%NtVX^=OofU(O9g%c&L9u zW3m1<7?s!D+``sI9l-jQ;rd2D{hO?loW);Q5j;9tNdtXj9X<<3^}lq*#L7fNPmjmK z`1b*@zs~%>i(~q?vVVWg^=~8i-xfjpuVZKbLDDQEJ@Xse!}`~t=eML;#<%?3x7WXj z!M{(M{k4#P8~?vxe}F*xKe0c<>fbE%nGj!A+d6TZl&iPy^!y^}2im>+VIn_N58@#c zC*hO9i_pWra^?@VuC%(HozPKLD~ZIEerqySjw_Oi8(DpQ*_t`3x)Q!P$af)a`{~?p zH?ojYv{cns)U-6lS3b-=#22))}{nioc2n?)!{*kDxO#9H7905b+N%dm-SSY zar6cB#Oh*eK)D)384b^d>{}-8x`}t-glNhj8(qbTlD3{|$Hl-zr&j{g&mg$A%VpPf zZmm-{{Bfh9y3z^vc8B7@fY`mUGTO0+qb<&|ZndQ98kP0|d`bTU0M<)E%okY)Ztf=3 zoxZVkM(!qVz0J>HuN>axS3`WuTAav_htIrJozF9=g-?ErS3?dQ63=%t-d{c3>AT|N z5D+|U5#P#GRYlV5rgp#V9N!cN=TtXk>H||w&@=F!3?1df>bk_QOs*RnzQSZpf&V<$ z6y55eamJ9CfPe|q--3Y>jq=O{t6_QYv#)e;ba=hpy}Xq@#>O@ZFdjH|@5-bEgnWX{ zlMPo%0egWq5-{OEy5G>+L_Ln4LR`9B5GRa}`)*i$ip>G`&Wi%SCcHzhY}Mex(w+SY zwkTimTU3>Av=eGb?-kaoJA*nVaf`Kgs7T0>n0g-Uln_M*MGK?S;xRAraeBe$_pf5Z zl-fZmE%fNc6}gd4EUhZat>Zl3(+t@XxkQJOYqWIRwU7+#{R}SS55OMm7=`w`)sI@W z<-!2YoM8HN2C)9B#D&xqGW5=4F}ilw;MhYyDkK+$*BON9&$%YK6bCuD8pfk+L9!>X zgC-KqR#cspKYR-uua-&5viwXe$rno!m6c=7cgZ~Fx8;*%HRA$R}k zd}~&8@WIAfaHHfqb*Oq34mLHSl;o3`cYigV=<}C}>gssG_p+UI@v3YimBbT5dDsJ# zF*H2g@s|{{S$-sv{ATeF0+Iq?b$pq6AU4VaNAU_&(g9gn*~Ykxs+|?YNZpqN0Na6b z65b}hrAd>IJ3Z`(j(EnsDqyFo>+v}f>_CzdcM8r=j>(Ai(eGk-*HHs3h%LFo4IGh+ zJBY7}28oX32T(7Q2inc0P{b9XmZD8dgP*(P48H{{h!&iW(0vKzNA3WjeM=^@&Py~? zsMd}Q-R{f(If0Qv6-aucNbT$P4wC6+M zWh($GfHyB&wMM8+!e2t##zI^OG@A-lKJ6o>C=o@amUmp`0~;IU{WsU_)IDLy`#Q(- z38Noooz{Z3LV4A2N4Cp$Xgd-?))`tYPA(n|JVt!o^ishuke}Mt(rH;XWmTG*zBoR& zxD3gj+=dq}?TjpagLW`>zoGPKAfdsfp-ueMw|0Vi;@0)E)$t*%Uh9)kJx3EB>e<+; z?An~e&Jk>fPXHXwbR;r4*tq|F0EyOTUqSs#b3b@!o=n_EQ=y?Adi6kUcUuQ5^5NAg z>F#$ONO*BoOCPPs%F*a!A8yX%T%9QEQ(k>r{Tl9{_t*2$a-X>D5q1Oo?$bBfRWvvQ zmzsnxZZ|IZ>}6dUZhL^YGX}y1?`OmOrZnSG$si3U{Mr;r6Gctfz*CzdLZeuiptY1e zG!%3QC5?)kWy2?>#hbX_t$_1}t=F7G zpUg|sA`8bjfx?y8qGoEGnP}t*?f$rNhIr-V;+HwEI=35vfzSooL8xTSp1|Z*SelWb zpVK0W@xKPHc!ga$8{$VI&n|zPni?M3ltl12#z&!2QM{mgQa~9$5mLNp$0|XIJcRlG z*n8{XIMy`FTMQPH#bjB`%*@Qp%*@PWF*B3JELqHCF*7q+%=GErzWvRe-kzSBjh^j| zSp8E`36)WK-ioXz^PKnh>_BsAV`F3176%W2u`o_RxY%e=$zStAe+OXa|B~iCq&0)F zhn>lpi7>_=KcuQ$0l{s<0ocjgvz6CvkKud211SO^1M&Zku_h9)5 z`QZ$2uRc3-B6Nsxa3Dje03Q;)Q#B{*tSIVXzDNKESSo;uF8t`J=$Ejtr{Un>P*JSy zkPv)y#A1Gv#25Km$$k}efgey+)h1z05-xU`h`o57TkQ!m^x-(jaypw| ze$zF3njq9eugk^mNuU(-)c_3Iu+z{mcZS`h6yU)|sf)kCd22S=f&jHo5km*y2j4Tgb^Qtsw3*6{B{I)d_i92EAqafj{R5?k6mkKkyklC#)_0`ICHE2))KwACr01u`h>p)g%D2qYJ8x*npgxYUTUOv?;1=-ag6;jO7-L zbMgSp&~=qi)hFL0NOVX=YxrS@al&>XqP$0#ge<;%?`;yJ1`5Ie^c}LS4;^Ik1tDtM z1I{2kYg`C8Vzb`tz>=u)a0`-U=d;p4JOp6i@4;XP+K`>JTmWm<2c!X?78cb?>F0Pv z>LlofL$o_i6QmFu?W59DG{e$^g8~m#Vcn=cp;wKxT?{o<$>#_7!nFlXNVN)ND<(;j z+B0Qo4xZ}HgiEreFunyZ*v(Y#OU)~rMe>8e4Np6o_!_|S%Qpm`L9V--Xw`t6BYafI zJxI=c{HPm1m>1yGH!Vs9(QtA=zOc-xErCszTZ%<{?0k^|c|&R63Iz@gDGd?T2+H=V zbUcGw07htQe%t%OYI}YH*=t^TtIue!KqTnt<&jq7E~Mp_X+c7PHx%SGQr)#nMv1e* zwsARlRztEb_t6plk!3^0Uab?KCPP7Z2RC`DV`(}^)+5ydmL>*Q^=@rglF#i-K!QK zWvf|^S+V)ax&puJ){VBAU=rn>`t;Nc=&^R|8&7^Vl^c`=GjyMn2^Jz6ybzKhiLRrk z5e$IhnKMu#xi$>U;feQdrt&G9j~14~bOdbd_HF9*IBl-sfqY;zUQew_!@sI7bM9+5 zPv7Z~r!e?_e$u$P{kas`XGJf8J1jaWE!!j%d8oAzNDNSU$kf-Tg7Rix3Bd)s=DK5beOts18x6#m7_pKk?! zG74rvn4^X+!nZ@5W62+lcp~j zx;SKap`b3?P~HO+BRq)M=W|KlGxgSS_wA2+J8ciw{Vjf(7=;%Y(wjKMem>V|1$|}+ zfpYzy4(qwZ6KosGj>u`3;^p^+afhTZHazp3hlH#9bVsdN9J%ufZ}bX`(@v$OJaa{i zast-hBa7rZUhnLh=i<}zZGCxcAk<%C2(t=?_Dur__cR@hMi(Kb6s6&a|f{)apUQ_|;h`*wnVwv#io-hqE1-?H> zqU>n>^jfoTNqzyL81E@a6;?%p-Modr7%!E$S?e|+GTikORi~?LiNs+UOk7}qXuR;< zAq&J;C_&T{b@NNjmv+baG@0k+?r47SyRh{kN~m|BQl}tpzAAEJMEmz zmq?E~QDB?y}6qj95NI$mtF4bqcI#fNK$9fQ(iEq5-E!?Gt%D#}II9c;H&;6#W z*xYi8aYAx6`ibOB9jC->JAd;oLWlnh6Tx)pEv5@ogh-B0?XO#jnVxZkVyKY57n#?zk~k$%+kbaa&O9pNeM z|DUht{|u{O`fs5v{&w%b{`&qSw8h`-|M$=q`i%N|h73%sl=}MbFAOw{Oq9CsObbe8 z8Ut2+Rs%g&8a=~*lnG>J(AQ<5Gc=-PV%B@l1isU(DOq({SSguV8EBYUnQ4sZ^cX%e zf&Zj?hJSdNfBhx&AAY_60~iOU45&wn^U|{_F zXbaZg;S&E*Ch&Li0QJ9R0)Gb{{EuV;|1<&VU%{PV{q0QuQ1QQ)2>eg*4}VGlzVjmf zn!r@}Ya{x<8v|s;qobkuJ*)XQvA;hJ;XjD|F*C6IDfZW@W^TPai1PAFn-+J7O2B@N zU#Hp!sb!gxY0N2)i6pgK#(+>(Ik7f2UD(@myop~dA4lq2wxEv-RWN;Pls&G!@IGqk z=<}#Sv+TOJH`nX4m(%O;&Z!6M;>cMN_w)JA<>BH(6m^|j3zs_`7dviL#+2FfURiN! zw%KzWZ%6_pnUM0Lf;wY6d+F?QZAnGB^7i2(1cC9){q`dFx6(d~W>QbXZ?hh3U7aXU zjGn=~@)Al9U3FNBIfK@t2Cb=;^sHGwG0ksER1&JE`cC}G-dJC+Qk)WK3ju|<#UFIq zUL01v9?vR&+U0wiFgSV8QVGKzXI)5>QM?6oKa=kHnjgw-n`hBo$U}mS4aW>Taod$? z#peZd=(o;dohU?RCwysOU$lxl1>1Qv^8j1*?F!*$EB?7qXsWQQH~qCfHKUEy+%aum z^LuGO^j@v1gn6Px+#H^yCTr3uFK4n8e!p>`NfI6XKGWMxv=(ume2xs<^$in ztJ;g0WfULEgGr}%cMr}}afM^n3rE|o7qh3AE4Sy5G)m^9=SBHb9Ui`4cl70ZM{wv);P|2BLcsssxVSnr?jH5@y=sMps;P~ zn?8Zy&Z^`&i}QfRxzf}qmelQjc0_4Qit=OU>IzFS4-sVrYEzd5UlabRS|l__jLH^k z5+>yQRcYJ2C5iluP8SAJQmC{av#gXMK_x{%P`U7PHk(h}usjW4DK=Py@Obek($PqfmcPh;4wJ%K~oTFZN8K;*TOJ8u; z1PO@IB7vtOK)Lq&Qq~TmYtfs|uxgV89#|j$w41>cBm0h?hQNopOatn@c`Ba(qT>qz z#1u_>Gy(=Z%H(KkUqeSlU{7@ns@bYFq5wfxrhxt}>}H?vyNtwo`7gt&R&SMIj%no> z;jSwZ{NaWxgEpodUrLK1PgX?u6ETI z9Vn;zr0VHxe0|aBHeWK$oKq>aP%!F;?zP>2+91d}XNRSlpS!j%6}o+OE&Woq&u@Je zME#oQa`Eu%<^@O;`vERf>zPiMD5}rQj(Iq5)S~aOA2^Rf7dotra3^f&Vkp273fkvF zJLOTcI^CKLsX-AOH3hF)!`$5Tb>tu@yqi>AlLtJ=k*5qMc5tqlr-|n2LeZ9zaW!E^ zegcAfdMH${U`sBzt{Mq>EwDZ|3LRjUEilJASUh^4)rE$!y ziHbr1kp6AdrevXnj`<3yrx6e&hPl}waqkN5Bdpp}V zpXe?4qh|h20HCZ8!1^X-K1Ar8joS*7$((vX_68nHOkmAg9;%o#TJKNkvR`j@huYo5 zzIUp4V@!{WgIV&W>7M^EK)}k^YHKH7c*^Ar255rlpvIpWX!x=|a;44777Ox&E#jK1 zaXsXkYhGK(&7Ev};?CDfT4yDfk4=W^rw`6>T{+b+F*@~bhdxQsVOfyq3IezZT%_YV zt|#?t`F&Zbh(2dA<7k3Z7jsZ#N$P%1*iV&DzGDUwt;5OZ0KkAQaiROf8^2ERHn6d_ z*xuI~*RjGCTC4lrkAL4#e^|VEEj`6N`*k(lcM2e*1%Sf0f#pGXxS+FmfXUI<-2ODL zd;EqfBN%<}P4*0q88o*3(9U}Jgj!HU{v9r^4{H(AQ7X4KYU38+Ml3)kV1W67D^k|e z<=2S^FR>kj1#x(3n(x5A3Xx;vFp%a-jpYP#W9gz>Tm4L~XG!wHQc)apnyOc`oVP(0 zq{P03w#7xvF$xR}5}qjRT)E_?wy?$H4UaIz0bqvzT%ojA;U^AwZawAA0G#L^Fx9rh zlM?CoHc#UP3DE24pB*_0PN6Y-t45j8=ZM*TO4czxYZpyfOR3Hg3}6E|&N%h5h1Nh6 z4mOB}?5knX$Pa|4nXDLd`V-Nb+}@)__;-R zU6(R2j~ZNss%+AT^A}8;qN?WSmKZWxTsYfv?GX!3=K<4fQ;G`FK3?40Y%?=&U7C|1 zYz_y6pO_HSZ?Z21aVZgb)6Q9LG4iqEp5U7U?65_I76Ar!an>EaPKYK~^i!v!iO{G4 zL6tn|jW@npk!X!$#(xjaG=KWyNN&$@G@)(nqVVjw(?WSkZC}bntSI6|c}+Tma13sx z)95uJbTXXoNW@b;;Ka&oY70tTfmhT3th_OuNj`E#b?ryw!efOw_uLr3*g*Yw9>qy1 zbCnC4RnPMnfC#{5{n{u7AhNVWgG$J7D=v0#M)HFQW+Kb!<`HyOC#*wM3ITgTo{h)v zpvA{YGXO41`Bc)Dm+*M&(t45qvs_WKAE8G?H26Z4fP`7zD;RAYPP&*zr4L*;*f%8` zTLq4!p#8JFfhnjEo?n_3OYY#)I{LZDYarj;%3xtn((hcK_Wpgnn(Z?*LifmZY!Ue5>$b$5Qe3NG>B1U-Jskqfc8cna`TNUNuO#U`w$wDt+kK z^%AWgH!_USNmZs`IxcBZ(B_pT8i2)b*V9CJDNqyvFx?9J0d09VU-rQ0d@C7;L_X)dj_|K zj_Xa1G~Ly@UkzjSzFLqP=K)>@rXn1!9CWzp9*;Y%VK1jh)l*qU^k>zli?uEW?&|>q z4R_V94(?I>Cwa?Pb+?1Jt>SJL?pne5(^nO??#str4q$zAWi1ceK5HE|o;$hq#e@pg zW+#rqa8#-Th77TpF3OK?v~e8{`i|9!7yE|o!h$6_uua-xh#lT4rMqp=Nd%^0KNF7K zU8jCsnmUmixF0Vm3<`K2+vE%H4nnfs^6LkA3Yi#TH0PrCqqW^ z;)zKff7@NoTUEeXmx~_b>5whgr^ad5Ljck4#lKY4z5U{3c922=0@p0^5>yORM&O-N zrI?zQ-+y$QnY1Dr7q590otDq!wnqM@Xt${wRd+eX31EO@9m6=4FPgLd`nm*0`V%_1 zo+Np?U9Y)fl?i$u4*GA%dPoRD9}3RfO0@pbH!H9ad~nN@U@ zO3+EY&Qa&*5w3v-$+mSv2-V_o-i-O7VDU^79odyi}|!Wl~Nka=7BnsBbSb<8zmtklWWzakfPhE}+GCfG6 z1Lz0k=#OrN10*v0&5gJ&*sa}2;5qZv=|x?b4k>j-2-x(h3zy<=C6?LsKCxcNY8^Fu zBbw}m!$~g7HzXz;(^i>O|H>>I`sHlO30nrwd=AcqoRp!81tnA8;IEkZE3-%Ma!nuG zgkGi>RhDtdsVIL{8cqnIFv`&h&N3AmO3WNyVnyj<^#!yAaNZ95K2|dWK%cLOJHq<1 zrxZy^fOLy*&BEE1*$jp)WDXYdYM)Y%Wt4L^_?FF@_AIrba@o9%`R-IuTO2nN@yebl zhOcLt(|M#SbN<-V_YE~@H6<=$6FA|d#uu4UptR3F1 zNk6B*5TfHef=gh($7io{$=%>#^Sas%9{O@dmjrdB?lF)Zl9-ieJ9-h8Z2(($p%QWi zW&J>q%D)L0fwHJUt?Td=bD5>hQUr%xAHzUw|JB-9;?*eUo1+M&$vxz>*OuBEgaC9( zJTFk3RLUUkf`uVd@u(a%LVI~4?x)BTsa;P}N6Yt1o!Noc*{A$BdBK}k-RjKZCL<*}cO-{1Ut&`?&aSP6DE0)?cMi<}3I_bKg9pCdbNk{K*=TWVd*B=yL8rOB3LtbbTp3E?;pDAgFWGn7%xU)J)1VaLC^ryZL0VDuVKRX z+uz@2BYuPlSy@@BY3Qgw%*Q`S`5!=%53c_IfED-g!1+Ug4+TCH_)y?Ofe!`#%jV-B zF4feEj3c zf1JfX-F*Bn07?Eh*Z(d1@ts3YhsVnFJG|w;Zbkmpexw%q?ZbbJf&wl5Z)5To#J++8 z3mz@)U&i3?nUwSlzwP_G$xQtltAw8Mx8>i>^I9 zj>rsLXi_R&TygeXe*WRHKC+h+CRY$Q>1nKfYG?0lGP&@GzO;R^pVtu$pbT;F7;U-% zY{ZxoI_bz{$W~Q_O;SZGe|~xJFrrIk{z}fqaZ~<4!o80D+QgeT>d2q>65=Ma%YU?N zj5@4ethow7IOim)4h0mMX-7*DHG41fncdiVor)^;*(NAusc)3;(*VhaaiE`NQj?9n zSK9FYK;tMyowXY2>ImC3)%tMNUdQ@~!Huyn_(BbxWN(y|q_M^No#ejWdeNSdtBsqC zO6;x zopM*FP%zd}X1>=)8>wY&mo%iE^DJ84JiiPy(X@_1$&BeRsRC+S zk{~a(`2?+egryxWuSGb=IhS1)*$o|66AiMd&*VW)$fSzpYSR@JYMCx?+(boir>2_q<15uTM2hBtW$8)lflZ&8Xo*P!b&~#)ZuuBUL(WI@2Vlm zl&BN5I(Ew6P#t9rTjQB7e< zfTd$@#kW`8wVOGEirC`wQJKFWOV%gJ{q2cLEfrR%SxfAUSCp9<=9Meoh z*R`fdB$r<{5c1e;iBYvgX3>$t0UNDLKk>*mkqG)Fmv{^GzEg334x=}db`Cb96HMEY zPsQ#R6fm8HLXOk3t!!XK6*STj7R8!A(30eCh3?e3y_g5%2uTPoupz2GrYxWJ0jI(Z zhoe*v5Fl0GqbLWDgG4)p4ABnI)~>jICZhs*Ksidd-VDPsxsM_5j=L@1bl=T$!6Dal z6sAb(S``o#7%f{I^)%pJ{6wPqubzyU+lZlg`{9`vnwuA6oWV$p4*WR>cb1m+^9+w_ z*Vq$yar4YMW%2tOkc_29bAmH>&SX}8>;zTTUQb*fJc4w6R+8&}hO`a^LBVY^ZI0JW zky(5xkY-(&Md0g3Y1C3s%m4}yp^bcGKZek1?kAFR8Lu4HPrYdBxZZDSZ$*zOnmRT? zNbUh4IWLFir{eY?>Ym@3{i*lJvw@Af%9{RFTRg*@XMml4Wap^`zK3yr;Qd zaTvCE@bem64FN5E8+;R6bbJr`3E9BpefqxkX0{;+Jzs7qri8h~#PQOsDW2SKqO03> z=0ypf9OFYUaj~&BsjjW<<%s2`VF+`&S^m-kW*7rH2F1ZdUpX@X?k1m9_4(>B*iDRy zvpNLoLKB9E-D!(9`57)(=5bR}-Etj*0^r2i+%{L$362^-9(=KiykRyjrA+C}uqK8? zYCqIb+#W|46btDKDtfDnk|;nnN!OwRSv^}^L?mZ(w!p5#_aU3&+-(YT5l&O->^fEx z|JyQX0%6N*n1<|#W#*s}@>Nby9YFvO0p?(&?@A#&0BsX`I2HJQ`_%8Clb8HqNJRW_ zUpD`AR$^PwbB#Ths~yAI@iA>KS3veRD7H7?m_(^f{_WKL(c>&x$L?jgp5>@w^=kqD zYprt#q>k@X2WYy}*OE#a%|u5Mil9J;6Z4xPpZz&HG%DrHhC@sZJ{2%?;J}*V{UC}8 zBH#SkX`@(1+c?QOGXr&q6RZ^~peS6*(VdXtkWSols^_FGnMz(%2b^;?$dW?um&_vf z(x~YX=$x3XgJ;*dVEdhTj;4wqI&t5X)B*$r%ZuQ)g%Rg|eZVU@C!WaunfEBp5dWut zt)g`9!=3Tbq?$Rh4v`e$1S;lE9ofqqBO&B|3> z<`#-BvTTLst_=i-$6`X4nR}S>U9xkfjLI_~vD1Dl8vW}AB^yiHF=Y%cMp0mIOaOO} zZ%CtB3L|^ z`6;<)YZWZ=NKIpX4&9Q{I85)MxCa=nMqu{sD!X)$Kb+@eS&no5#_m@2ZrYFA*$$2g z-qvD$sBNj#%5-!e^!%)g698nb3?$dur!j`@*agb7Q7pz*>y0Y`D7JQ)-h(sqq?4>A z7xXOhY1AafQINxS*Am7fyiZ;+Mt1lWFFR<=d4zjiP3QFi#fbp(TSOY2&!v>~vnxot zn7ocZ4|;1RP}&+WQXou6l-M(PjcC7>O2XRqggb&or)%I{!LkzJmR8ytQ!T6~ao1w7|g+oYiVW|w@&GSi9X)p+CQWN)v)j0Rng_T{_l;0CS)fWe!3 zjCIFNS;+(FAIO+v`}NDa1UECHg>7u@i~}BQLmu-K+SCpf)h9SP+uFL~q1bPQ8aW&O zC=J&WO;wnT{)t_KPN|>2;yMIg?g|{(X`sR9+y|00kEEVX!Fbfae5F$K(Bq3UydgLfyRul zW5c4gjtUg1G{#W+3OGA=^#Qa3@sa)2LQQ=U8nxh0^0x}BKakE(b;E5v zc44~Q2&{cehkx0@sI8~_DQ}-{;|?abU=_9^xV>szd0!XtTDWbW4JCyTLkEi$q2PT_!{M7f=cwwnH&_j33e9et|i_&$#yD_p8 zq8`@9?)(CusKrrUTa$@ju)V}9pM;ukPit32rjP7o#46(~;VbB@vbb2qGtk}Oj-i$IoYYk|1;Uzs~*^qm`As~*nelvwP zv}_(bXi%id#UOd3-T82+B`ZLJeg;G0x@@j)K--#|$3RhVp(t2AC%jf?rD6T_W4~-W zg&kr|2fF^H7VnT847DBhy45xjW|nJKq`#};MMly`?b7Lyy2*A3U4CgpgQSUC0qq`E zpo%STP96qWWLg4+f^sBclFFH6k-ngZrH8Gw;Rt|0XxQLh6J0&kbb*O(KxafpZ;%~l zsv#NIfq@@yO}LfyO5?QfyP|Czdep) zxAd5tbX0sxNlHuaOXj@3TOF)E+mF#+3T`UG;;`biW>2nKny?;pdTRBdOE!-fb+3Ec zHzr)W?a^r4{%)}#%Cy;s-G|xb^gTw&Uv~Jrt)y7dGlLk`rFEnLhv>>ApILXy!b0Ur zRMTqtFup(x0)M6gikaV5o!%i8jL8ZfD;LZa_*#j(p<8@ytH9<~FiYws-I+HXe5FOq zgYLwWM<-Ua{X86r;r}T3Dmid~CCQ^~Qg@4n+rtmigkxMUH)K2Tz3!W`?RV(IO^wt^ z=BPtdxS}Roej{!ve2i=M%!6akr0Y-eDi$u?fk(7s<##E4FKQce;hX!5;i6W+!NZ81 z?mR+qj9(ikpqq2UD&V(w)NV7;*!z!s7^+sMU*GA&;5WKg{Hl%WUaXx8*N19g&m zxRaJmIu+`Fs;af5%OKFpj4r+;kpo|Hcsjlef-B^Ftxus*^uf}A86oE31dc&{RA;|TfM{I3e2klt|u$M;Lu)jPULSMc&s94w>;aEij zDO!(E*F{U>0znH@URz`T8{Fto>S7#ny{UJ~VXF>(!}y-(_<5W7nr!Hel?DFHW#;H% z8&!42#OKMP`U7F#9t3y#MG>Q=Nuud=M`-vo^VE6(^Bb|}GW}*bhLk})8M;hTeyp_TCe_odv3mZ( znIwZ4F5jQxpFwYLMi(#-o8g*JpO(qkY<}`pR(bjxiPHc%O;Y zFN=Nxgn}ikP1=KCD&i3n6#=lKv6V4U)B%;46%} zb1wX%e^y4vsG@O|_4L$^J^$>3s(oVCm~r&svK$&&rQt^35E|n5_fV>7k-#_og_W~2 zTj({}hO}iTuDk%(hN>)SA) zjKRB`<^=5Lx~4Y zVkX>Q+yS9W3W$3qh{H?gh$v{p(#AP) zJvm(yiet`l&YDZMW6^lV`m+>hg!1EPW@Yc1@Cy?Mz)H^g>|T4UmooH2-i>-YYExFx zCxJbolu$>w_HPg4^n4khQL`1Alp9SUg(q5q;6&dwlW;teu({H-%0A1O*whVHd8kLn z%s3L9P8`@DRf}=SF4!*`EQN+bp%ph~GmUZI@qK07b%zg4`GQD-+-I*XrOu}~s@`SE z4MdP?TXYE{Ac9VI9J8QPRE_`b6l5XN zfnC4xDg`kF@nLf4R~`vcQ{!zTlCMsezC|OddKVx3s09r+#sYE-vissn4JK&*H(HN8 z6W>%RSeuyrge4*eikjxA9{bjliHRRav4TaDBrcFTzJwttnXe2;?!k!r!JLQ$e5Jl4 z*!$m1L8=@<;L-GV3*achpr_XY72^+cR~S;E<9k2_(t|7>wh5f1O-{*vXaOT{JC6w++lnK04#R z2SMz4+vjFIq`xyIR@N!Bw>Xr%T($I#ZjpIQHmOlbr*?RoMUH=FesHs~g^uHR%j!FN zek>t%6kpglZQETez#@+XQugkwDPr8E=u0z|S*{jj*K6+iikB(wo z`O>pJn6dvte#=ArI9qn=KpfLY!m1|oj`x^p?L=vGCM!y$D!*urtS-N5#}Xn(Mn84&b`;_k*^seoTkvf-eaUJ&V&AH_Z>jc z0PONgK7TjK@~21NAJgbRk}Ur>CRskPSpTF@$%lFPp}>a%9}0XZ@S(tm0{?mi{;OE5 zKcWnOC&}{r4ETSWM*qI!pJu9m)bk(p{2%rFpRriX|1B)m-|qdNVX^*Z|G$UD($!-! zV5QNer+ja1srQbxdVgVMW}&5IVljO0bIE9AWT^X>R+lc8Ht(MowX%26wbC~f72y2h z=xA!d#;C7L$6{niL-{@r7L;q9_C+v z3H^s(@BhHY4+TCH_)y?Ofe!^f6!`BX)BnUu`fEk?TSEDFg4Ms(^9+oCD(C-zCw_t+ALBUn;a^ zaZ8;i2-}A+Kmf6p>zV)*p7gWo$AKqNH3m~UZk(@>N;@17wy#|$p)F3!O^jn%sT)a2>W z%+{=RKUSASEFA1&b82dT4F>1w?vkR7MVY8+a`iodLpxJrcW)>r{D+xVT~gS>q0>!; z>a*Q>Bj+ibzC;uXHb*R6$xV^s!}VLM3Ps{lu$KQ;t$*K??km!(X!&l|L~2L>^M;sv z&P6?3)5R(f)PgnzXTSIG{@$Wq%K05Y-Xn}y7~zkpe!d}CfjN*LM+4yQ8`z)GE`*B; z=^M_T3hk@UE(R=m4i`L|OmXlr6mryR3c{KmH8ou( zs*SarCe0FaH?=T!k5U2xUpUh5T!+QA>U5&eMwggt1_m-@TT@9|xB0RxCC;8~8aKRD zy58&4LFCjn{57s|N^0X~q8~cryt>ZIKgw)mavPb!f5@DMkIZo?HsC1t?ozfa(wc^* z5@UIX3#h&YAFefOrAVx8M4Ad(GM5sAlYtgf8x!1lZ8wO~!i1{sxUH*IDlRlb)WE?w zo`D0fkQCk@y@%jyz(rF|6>|uixo`KAvb%Ry$dUsB|D%=xjo1=UtQyGS53yxIY%!#W zO!DJQ)gyDs%+GC8|ctU-eD9Y0f(=jTU+%Sa;pF;!O4f z{rNX}QBW`mpu_2x8jZ)cz#mNMuxA{&)Ay;U8l zW64l#8t-r3<KQ4KB{$P zew^2L&S7~e1k5_(j@|tP2X7T@arvN^`;#JY>D#Q=b0jSPYaATrGq~MDO|Ofit;-X! z2HqEc)h|{k3{YJlj$E*%^@dI0VGyIHaGTT@`}5O^NS__fJiR7|oU1)tT_e#7tqBJw zPj^9Y@uZbUANn2;39y*#+&nLr50CuAwGF=v5Y`-;;#fpl{0OKr$`}pXyycl}c;b$X zTKV-fEWzt2dPe}N@~q3wCePBOMT4fsIJ|dw73jRN;(rF4dK_09cQv0vYwHun0Wi*> zIoZXG`U9S_fp0iWX_yJYkv7C-k_vgN_%aB&h{d(k8^GKB({-u7u!@Wbiu<{}xlW>QBIT0U?apdYi#+bu}!x4CZg z25DIIDprTo+Ya=T41ZzJeZmF5{aQVdJjj@`(c`u~H{H-rwG#dlAYd#s$+8JX53L7x zI^kt|hx)IK=D*^x&8yJ*{f8A2^t#J*nprl>xTSI0Jsy9swz)`?$qa+`FhE4>)C?gg zA7+c#X{6l*=_4QWPn~usC3(=CPIiD%<(m$gA}B!>*k))KZzsHAtbBJ# zETiNRFfkC19vi?XvYhHR2_wl{)hMs^?b=IEC=OaB|Fzy|3_S`g4~bXk*#xibYZ)Ir zyu#T!P+_1!ugjTE+B3&`thwnC-J1I%pGRs70s{(L)0bQN;%0dIfD%lp=~LPT0D|DU zKsA#LD>v{dT>UudNO*nxR)1JXfKlH_cW=5rHE1wsX7LMriJ=0VMm%2RO^JiWW zVUng?VZfNT0*>$OG7a90V49DWAw7#7gW7ljXdrh=7MN^u`7JKgV>4jzsw3nXz4yh` z%XLZ-G!4Z-Ff1v1p_0X58v@Wan)6nIc^hp3ocwoIQW{YY;|3 zy^@(WyDywz!ukZ%)MuROn985aJ<9W?!$hTT-H6w!sc7@*I~V&<-!SbsSqn+eWh&+@ zudpW?4yn#|*!R@5PtAhmLK!H;Aq6p}b-vD{`CZi8?PX_^y&YIppJbSIy#F`5iU^Nm zOq+oYdRauSVQCq$ySRYdraxECbfms_%N-0n8bB|aSI(f|MSzlR;VplFl;^ro5CZwi zStH`wY2=%gts~3_>yMsN6i<7B#b!~DoZ?eg4?EK`^HZceqUmYne9o|9ud%U6xpa@S zPqN;C#@XYgkhRH7siT9F!?J=}M-0{GS!SohYZ}XwgH6+spEdZf>s3hd{HZ7E{W4|G zNrUPEl~#vIm>rkaI`2kF@GW|iDO#mM#s<0`MV`@^z(*7J=Qg2UfKjU zPIFHmr7;2&OY4a%XwMp`Am`nt|Dpwp`yz^)yt)TF2k72&Li8{)@!Gd)}c5KS#M<_T1Uj_Cn?IW!s7_EOD{5aK$VlR#j9$KAYqdy9?|&1jTvJ zc`J2<(dr0QX<%-!4NCh=&vEa4dTL=|P>lE-DAfmG zKiGAqRk!W|#|(o@UAu>6htQ16=|FI!sgvUL5*isuk!e5JfFNwMZ!U-28rToaK(VaT z>%YA{JgYdy4uNzKGw9mBn-%d^3)|8duFyNF=Q4M3P3bOFSe(rlIhl&}w8P2$Lw7i} zzrPzA{(@8}MV=0M%tzbCR}8KP>uQgl+ky&dIBkfTqeFKW(<1;DKg*UXA8d#aSw?4` z%hd>9`VCHWW8+zexK>vAkQKxONU#6xFjx!`Vyf$Jy5^a z%`x?>CnoAe0f6QHVr-zwygdRm-vahHh_pR$aZ_~~5j@V~kyji5|K>uaXuOf+4(zL? zW%oYU!8+sSUGNMmA0T)I*Nj}gJcR4T=efB;R)HI=T(5xWGwZ$sqL9nef%>5d)4EiAzIHAF4zNOYX=9kg&&3a7 z)6mxF^K?c4Ko9{>qpQ6tl+xBEuhG*V5Pd(C>=zGB;Hw{eDJzu<(mAYW?sgfyS|~&E zm3)-Z?5GkufmoL9C#dlo#a$w356Yx-#Q0v!3ts&-#1N*0@Q^?wtJT-j&mUW~5$`SU=} znyO1>Z(J`9{6Wu*bN{J?4HtegB+NeE?V^J~!!5r$!+MjJ#x9tzp`>n8U~kenXp5qg zsXd3(JrQdjSbBZ27G5?C;`iM!

`mBqm_qIOtwD33Y%)g0*;>a#;NwsUT*w4JlPz zH-)WU@TgbYH`oF+2;gjVP653f15q=bd39^>io>5bMfRl<@6r!;?&h89SK_2j1Qn<&{?8ND>F26;%eF4Jqz ze;gg5A?`h^y+4z`71`cpgGfS@RAdWjfT9}v(%SpjRV~)BEpyx^qnU1G>#zl}B z%=2Z3E6cluU~%lKw+A!P^^3g=l9S=KvbFKyecSo!MGy+VT^L7;vo0c?JG=f9;$koo zS5!|oNha&Q?|gozD~|io{b89i&M(AIO}rc2o4v&pk>d542n%094c+jS4ap4ClsPF7 zqq4CfFG~1H4NkthO=$LoRIPSjvlx62!ntxAifj&h@}9tt(w)xPZ0 z=|Y!!MPH`H&`03;VVPTF;_OeKtl`4@-(XX(Q4H-EOwXP31KpC94i6=j52G~5asb<3Bk3O1FQ))&6F?lik z#ZTqMa2f1F-mJb|YIcX!((2?$O$}}7rgzscmBzo-b)xW{2g%cHUL64gRv({#Mv zm;n;`GbRlw>X?|;^vlc8XY9W7YzH$k>VuA}n#RFwSf3{3!lDL!qPbw3v)r>Dyq)=K zFQnA1EoupKL>a|`8GBnh;!0lBFo#E9%4BjfwlSyB&@#kzUN<|uXd8~iZTh$*-5#^O z+(klJa6P7d5GXo`UPSK_{o2#Ex18v{g>OlNZJi%MhNGMOh@HGUTQ4NJ;?X5}ubIg8&OXe7o(W<_3u57? zN3ciN<-DzWa>vW-@Jmf*a4!c`_pO}f0Wwc8whxiGU)4LEAj!WI^I~P#FyrC*Tb^4> zFluCTOx{9L5E3r{+Kvh9k_I-K7eFUwXWI$@)g5<-1PR25>Z=~iMRth2LCF@iyG1A{ zI(NWDr@;Tm-djf1v9HJDTTgnA`)xe*mDal+G1`JK4;75^obo7zw zNkNGZD+{01iZ;vANNQ!Ec&HbWrv)4)(Ic-TUA~VJw?>TtA~eZt=xQH7WB7Y~k6!G) z#%q4=9_vJzd}wE$yhOOx)G*0oduM@zma_1PaavyYo0TMTh6|SF#`0Lo-pc2_uN_GH z_$Q_?p)$1V)+61Nw7fp~Q~u}GA=xDS#LyD_9%_^kst(&miE!O#7Hm{$AARhF8CG3d zo#OAjV5u5OK~38;#Wmu-Sgv~MYm?C8uF&n*Y0cbd6jHdgh;IUizuLx_#*WzJ2WBQlEw%4q{ zKUaypW(B@x1^!>)6JA}oR|Q@bcvaw4fma1y75FEJjNg|0eLsKKyKhN`jCal^2DZ=_c9{q1T(Eo7tzap%em|2aC7&u;{h+ii6nuE?d3Dj zK<|~X{=YE3|EDEif5TrDcvaw4fma1y6?j$PpPA=>A-8`q&;Q5bVb(ukw|^$A|8eo~ z%fA4>U%ULb;^CLizp?HAny_YKVEP-hEpP3h=Kv&PpqBy~n(BSDcG38?pM`^kj){qg z9l-Rz63&0(zyJTq{{DTf|67dp|3=>Te;4Zw_!R-p^hcWb|3ZTQiKqX^G2YA^jLd(A zr`0vAaoI4ut}EBy8^cAql0#9zvRAJj6Fap;e%6hpf$vW;u=F&w{ngHvkxL`&r1M***ONiV^XO6Urcs0Dm$iq}-rC;p?)SXy zGn>7SYqk4pPYz}Q7_;H+!W0kY0Wb|wIdb*(BVqej8K{Im$?D}HqtkbEq$LTj*G76( zR`#g+R>aCnLMw48z0$qk&Xdf=7CJDs6qnC^pg;5s7uu&T8$9?n>x~Rjfh^1RZkCVN zrUZsqSYz$l-L)Kt>npWt;7ApDh^4AC`W32T_R50rAt~QNL zlUx1E?L~ebW;zIvSKS5}c! zwq_j+lvNsdgPypr#>=;K^3XfCs*Hl^LDOP9^tvnIMsMX*`VX7%`Gl}dAjiLDgeEF7 zyCZBFe%d%*?!9_2qWl@1Y5u*8sQMl)6+@rikHsa@&Y@1MOXW?hI&RL^__scUC<*Ds zrX*_*%f7q_6YSFa$rJxK0*OWSQQcb=Yb={jM@A4(Pkh&%+gHeNC0|n~L5f^<6OWbI=o@3Lw7b==%jhZMlOB>r_p-JAvh0CaHl({Z; zK>C6`Wg}*2<#6&BIK2=#Dne%Mn)XJ6YXC9*#_SkgyQEsAvOyP1vY`7^u6Q9SO4ji* zLkIT21QN-p#8#?BL>K=(feYb&1{F&iZ}SwQ7)b8)F>>o!!+dYOv#Txw0suvw?G0W@ zUTA2&Sl`|X1%XSPl9UhDk%>3xJMK&kXr%L*>Egj*Vt)WDQKGLT6f11^$Oab1r>bP)7>`H!O8!hq%kXfr^P^xXBszHixsV1{eOo3g6pYH$Qf?{#Xq6V~?FszOY`yr7Qk>rw(W}n_)*}a@W zCN;Li2nmn`y7c#w^-BIMXrQX>p1c(Pu$_L)&PW#|J^7LECCHh0qiVR$AZTGv+EDZo zz-uWcN|d0cA7ZY6#lh(n^{m&uEX^c&DG=Z7H)umN3ZLF= zZ2Y7Q3u0}F-WG@TV3-+zx&OvIcYnW^o`+|5n5B&E2Qt296pr)GEY(M;?Fh}nq?5{B zVk)OemVUJJ^(W?NE2<@2S0^=BL44;%wnn6fLv2l7OVDJcf{f^nHBocOH*VKVipwbBZ{Jbtq1K2uNIc zbS6%!vit`@&e?TZmXJ-Dsyg1{_7kv$1H)C%uB)z-j)M!Jp;e8~lLQIg zR@wB#ROcfLZ6Fv45esIRdI%sM7<1bA@5YZoeuZs7|*edx?3RJZEF2OZXX*6NF!Na@LEZ2tzMqyo(!V>Lebc?RwST`0GVMxK8?@G;N8!?^rDyHGq|1z#_7MP2VEecL4NVc!=Y1EC& zXao!yJLR0!w!swoWM{LNbU$l#P8rHRhI|w)9Javq=4Qu|Kr0>d9n1>Y+hRV$b5Z%c z6hm92x4SEq$S!^pEgg4hf}>a>QlhiOEO4zk^` zxRf6zDll%d?d?ubw27zC$wLGHH(6BR$~AOU1m=%(aJ}awSVT+Z89|3XI>@2W?T}n= z?%t9G)TKowio9nFGR5C>I&_Qav1qle4dv0cwYlMx(b+M!qHbA#AIKG8s~e#ez!g{0 z&X6w!u}w|^l7O$xNO2W7v_quekn%od(G_6l2+Tn4!NZ%uMiH65)!4$`;(piMzraBf zZ_0O7WNgQ^g?VGz2kIG?iQGArZEZ zrXrG4^+VI>=!x|3hT%&^}5b=^bK|=f{=hhoKeEap`=}D`f(<|dU=f(Fh(7uJ4&}E2^4@%NO z78QXaY&$}t2Ej}ssAr!QP?x@P2XN%ShgXAksB*>9bkR zZlH0$EY-wx>yy`PPA@}KieKXk?*_-@5>dc!S-4go$aKa-RcxTZpi324MMjWsL{8*|XP z#u~&ZLdSO3COY~(={j*)475B0KV7ntO%fC)`u=0w8pd`T zF=Xv1=dio>xgG8tU~MV`FAT6O)2L0wvn*n|3wK%jJz0Y?$iVC8W`fWssW?J(9V(&} z>j?fXVyaaO@ONtRl#pk9a+PhiW%DWlnxRzAJqnH;BBn!Z?S&pcd|}Z^U=r-B*`)0n z%9^U^j0*vJ?13cTID4Sc?DA$J zlM9|H*NfRQ4W94aXe};#*SJ(((M7up9!QjjLwmNyhdRurK1q5+zUfa5z7fN5$-Ie5 z^Od&^j2OgbOj^xOcdp_6dQo0Ib*M3TQX>cBq&{@`K8fR1oQJfZ4J`8~``aTzG2jjk z#!&D$1?r=Q2O8?*>H`GH8q-@uY70~K-sKd1_RP3p+xkGW59Pio3B)qS?86`Uv~W}z zNozX}hi`!(q3E+7+rK=57JOwkzR{gr#q@;)TC~WUcF7 zKKN;grOOU4iU$cWl>7YS+!6~wBlMlFqPAG)jlAVerYpcsq{F z%<${X{^gw`y2ULc<1FJdohK%~gk}!Gou_vvP3milmiC|3;qP&EFxpZ)J3Li)D`D;K zm){v?KQXsu_$FRAH<#(Tne-f7KC*5~ceOrSkZsBBND zjHJ`gI(A6zdpJr}Hr4O%h|i7=4G*V|#ODy&JltI<(%cFp2t`qfaOr-CC733MIH&}4 zQMk&01zA}8kh)ktn7aKaml{*SXDEd~B5~|$S;IspD!LZ(rK<19G5xrB2P@d{P@W?q z?5-mUol1YzLDT=X*y;=0Wk(!Zl2CqJl;>f%iQwlpCb6|E`v9AL(`NVR?HXP8Fi$2z6Nom&rK+oW?0*@v`B zoO<3=a;;|OSYGRr>qT-0k@w|@Nip2RtjMION57shMBzXlpH5hKLQrABiu#)(S&x?; z?4A7tUm=ImFjA(?lBGz#@GKPPp{*%(KMe~9AW!)NX|^?$#LldLW?|wkF;m>RX0EDY z3NQWnrI(kjJKJQwa2)V;$g4-S(SL0=iV$MuQghIY{8{y7gC83&D-UlEt88%T9$& zm+DvIzJs(0Qb9}(uDX;5MyB}6H|yhekyvdnJ&y47dp&f=~{L`GVw5AHNoTr}|fz{b=E)&9%#3wW5 zc0)_vU6h8XR*PT=ZF(zbd=sMTD@T$P804jVzL==8Gzc2em6c~wqTgLy&dgT8mhKl3Y zE&2CCWWcW&DyF|EME-qG|G1cc?4+^)I2ixrq_%08TYnM$6+_h>eT48?zr7Ta|IM;| z4Rc7kWLbT66v=WK7L7=Fa_oIAsbp<^O@M!LOh~vYt~6-9YAEG-?)>}ngq z<@BZT_79?02V~ytYBaby-<6He?{_y@G3llA`Yo?YI@AJ$=Zy(V`X>jUmlPVN)yZWY zJSfl_dgmo*8>4xbTkgO5kx0++oe13T&Yx}Nm+ zr=GZ;Dl%x3P`sn3sVpPvRQ;$O_k@`qiF)7U-R-#`wSoD9cq^9SSjvkl83>41w{Wbw z*Djv1E^2&Z6^lNoRdpawqKTI#Dz+>eKPMt|m_$Bi5=fZ+l;Rox%vq)_RPL~K&}%GS z3ISf|i^`5NkKocjA{YfnczxF~=) z#0g(!ZE*`v^U6KjjgWHjh^Z)o+lVQ=nar9PglfU+Kz#%8prYe)qEw>VaE+BZX6w0p z>HtZwJUEo9c=wjIe<*bo{&piydZJmD?+m_9#JJ8!6ok^;7mlX=hw*vE8zu!y5M^&X%&ndBhPSW95vSj=FkTan?wRxY~?j0pqp6WwJ84AA;$0{pE>Q|RH1K_`{2>D11L?EwHn~P-Z z!97L&TrC-?)T4RMD!Lq}7uv)3E`fA0sKT61VJ;>$*49t|oo<~bpFniCrAix3csWBt z%i%rKV)rm{L?kElIVe<}TsVbXL$ifl`7o)9C(&TDA^ykRCbTHrnoraVoQS*{_1$Mp zky{KZd#qSix?W(t{Y3WHvZ2t)Oxom9B|5lLblT$6aO@3gN~1mdOeH5Ximdlg~$(iqFl-kEOHZ9$EAWvU^15srYj39kC{0~*y@=d~hu>v9YviDuDpGA?kdKbPDq5THr>>Q@E@yF@G`QTc%MYaDA<&RB zQO$CO?;)xlC5@qWtj`=DjE~Oyy4`h}-#nMV67_j7%B#^`!;ck!(XsE0c7#2#k$IVf ze>2E!)DG=tpTYzQgqX0CrQf1QxFk#=LW53lOw45VFQk^t#TGR>GTMXGoQ$C+WD+on z{h9_My;PW>*0<5)_E2$9i-FAe5F%tFCw$oU1{?X!a&!L6&Y{dGdV`@oEDtPB@2?*i zrZ-n7+^SX*&bfUVqsk-BY&?b)C%BN|Nk(GyP>a%^^2r(i<#+qJCzylJD+0&_JZF*K zx=&Xd2Uh)I`A%zzC$v6Bsq3LBDoE9;!SdrV9|jo ziIKc*C4`>Ocl#*v$onii*${aobhFJES%~%c1txp#K1BRY_D|wimv{T&Ry0}Qe$aiD z>q-5f%OP;?cfBa3=pHiEUUd8bd3PH(H+v`dRM!Yo-(zU4Sx6aevun1U#`8XiAQ(up zxFQ29a)mU(H?WZ#rj%7tlu%qQ`aUTo2t!7AOA~||F6U=T?M6@z%O!YEZ$cN3Tw<3& zHYnn$D7bY~5_eoABM<}W0E86&pk(9gd8HFP5rRcj7m@m|Zi>)>AdYV>nbReWb~%!- zkUWtofdZ#MDBMAwBH=Afi z04yb+AI&`5Pq;_~JtW;SU;=`}H)2Lu%`F z$aE<=w%7IejY?Bc=Ji$0=2$WMb#AJoyx*6CSr~pG;V?4##bs9-Fysi)(%I`RCzJgc zGg+{LufmJ0O2gg+F{?51u6&!0B}g7KKmz46?Cn+HS2mw5^~K4DKy}$=k2eOrHK9_a zMS8>N?RfmN87f8-AXa3xbkQkL69Z?MWFkSQYhs}gAyG3vo|mq$R&;&6pgdX2K1irV zHa~zbYdlhEG-eoBqlUPby&&WQAl&@E5+av`2%_naTfdS_g+w?Buqf*nHSdC^y$2Im z{c&h06(yV?9vG|!0tp*PkIo}5E8*Rf9xp#FK*hq7XIWyEQc6Uzisiq8KQKb@qn@~$)Sx!cF$(rqE!0pX(P#Taye0vv#9hjNC}Z-J(CqTwn9SBS65Om=PifPGCUNJgc5+($aXF z&k7r#a#v{g-lRO@>4V|q$R6vUY82FMc&w+t021F%-kuGGVr> z6&tJ7toul4BV=VCfp44s+=C6KcH~l{f#tnB-gbsdQ2U5NrV6<{VxiY#<^7P%-XFT$ zGQD3FMy8?q!9Q{;RMi8TJ7=-C-)F2tWRO|o^U8ZJ1lTq~eZv$-n~Sn9F*_JBabRK@ zf{3ljP{EU(4-@Lx#8o(ks@h2~z%K*%-4WE9pbK+@>5Sqy^O$I~gA;crv}1B0Dd;G~ zr*lg#_~DOhdLjx4Ty?s`Ri(Fl9UcY2Q`~q)X?^A>5O8*)9MPEKAE~plPK^c>kCqgB zoZ3>Ns_~F&(r|a+nue9rz=^P}P?;zhFbDu45M_)Dhr)Ekh9?Sjkwb|IErXnR^w+91 z?Uv281gxwN;Rt3S&k`d9{QP+mPPEF3WUgTv-*LGrSy@J+o#3XTM6S5%^8yW=%%mlH zR3(mftw0PQeI^F5AhLpBvR1Ba*TziYn3#q*GI{gQABMr#?69RZK3tN^>L`P1>PnD| zMU|W`+Cf-^7y8w;9eY}O@TD9WJKr4bLUZX~(eIVVVxCom3V2`)ax@z%=vm0lGIREt zLqL|NZBBTY%BC7S1br`W%i45Fp5b?1PBB&tuIy~8zdhnF6m2yhj7;`>pqUv!HQ8cK zV=3Qhk5EgAe4mgG|E{dT{G$3D16{#A*atP?3GQLAYi%cyXt<1+MJR9HAM_CqK-Z3U zD&-ea4*3u$+$}L|-*LW*r6d~miUl-1twXzh4ML5O>#wKI4Bg;4S~#mo)0<_8+Hiem zR`b=mbyV`Q!D(B&E-f1a2Qh5y4iLB2b(8enT9>O2`lSN&XY1-Ucdr(fHVWi7`>a!P zX76O-)dexTfG^Y_hj1!ID9f%qSA;0z!vIq3b505*yKmNOZ{)Y6%Gmkg4aiZI_62iR zb_L6ZvCQ?HK8)$7FW1mB(ns>KEpawaAY+XHO)m?#5`we2pVal1i@H^D zy|PvDJ3{)C9IkN>JeIcA`NdNVjZ%C69~l~px>$Oigl#74s*DHihcm=Iig#65L{l3x z#DYA{=U#2lmRkq&pv;S%n&%5yrIRIDsRuromkOTLN-tC0gsYluQz5%1-_d6twW{x4 z1nc{tvMSFpaJ*vgzKJ@t#V)xt$GJG4{n$J>wscM}X!WDuMIN+`{E}jC>j`1&30Cc; zRC?q!SlYn1#jftqp{^u0`-7G*#I6(NaG`(w!4Wiw`~LDrviT{0F|PE>HL`Q33efj1z-O8G&*R${TW%u zLs3iHJC9~!yI5fT9>M(!3{WZ(#tx#Eq>z>_pu^Sq+-+m}YOxmgN%l&t^N8Im)VC=7 z1VenK!%AlI3!AD}cbx^=2x$30vMww&1mrka0)FYO?7_G-BDy%&dY zqILz+(nr!dRSC~THe%U*3dS{~YrWug7x2w~>3Rb2#TCiXU?K3U$^(d@jdZG*t;23? z831Lp~O|I=)U0?4O>)>*oBtBVlOYSyuX4uW0$e>lz-`jKL>q}*fX(SYg!U?L*JPApsqfF(C|4Ej%VkPLZOog8AtU; z98yz*C_&WH;FYTQ6*#U&$P+|4+t$h8k9YFM!yj-a^6hsb#ynB2<)l= zAJYq@-J6G@EdqWQa3w({-!jlhwr7Q-a}ORiErlcOiGnIh?cMmDxW=_=^ly=ujij@; z9mSfzxZ?>L7u&lTrZT8IUXSSqbLVv?BrypE3wMRNYSpXm4STv&uy?1lWg>wwa~OnpdjjJ6C(N0Q1=t_#aHmzQ z@8qbtxOYg9usNNk<(BG1d?jGWeUqpL#ppUEJh6mP<#%+my;C$)mz&w~2E?bv1>PaF zX%TsqA>EdVFHSa!hAumqx`37ErywHg%$F*_4$l|H<(^LM&x1B3^M*m3i$LWz+t5nU z%a9sXC|k$i819g%n_Hig`xYzed}u4_fe~ z8UyC0A8ohy1j8vlhFP($9Oi`E@gw;S-mVizVm5LyyNZgUq_}<{Dlkb6fgwtpsW@H_ z5~9kG&vySph9O*;iLGQvnNM7{?o}371**s3Sw4zGez?(Jg^jfow9$5@MycKO)4mjR z(!#4R2~z}I1-tv06T#DYLv^T&uX(``kO(X_K-`on`#airm3&nAs}c(MeR_ZN-+ zw=zQKo1%s_LKxi76gGT^+8A0?;&kpC)jC@~P_Vj3jL^i|V?bN(sk!3Jl=#itHsoTG^NcUgox^0$o9f+owRdL7jjXIyG*qBvlYkf^mPgm~j zg7kIG#E={+iNBa1r}E~ArLVadD)NQ->aFaIxu}A^nQ)!#+$iO3)HS~M=%3me&Q==V zdT>~I9nJgb)bRXxFne|1YTdChYd!@%V0)+MuD1Hr@3;nJmACdx^f%%U|mo5-3vdvcn|{1aNI}nbrQ^)vd$?kTg-ht)7$`pg*%qm0wENfeh}X7 zceqvT-j_ACw*b!@>($9=Ycb{<$`GvpH+PCeDy>cSHl+jp$&M>g!*qu(U^e$vRP`Fc zO5GOt${d~cOEk;HHbu$LLlDYZysQHg4x3A32D|7SzB6Fh;U}XWa8nV)| zGZ?Vb0vI`%X!Z4&0JQo*1CE!J0z)PiMyB7v0{nW zpI%k}x2UIIEXE(8$gj!%*AV}*=TGnczs~co^ZZ{_Idl9|L1X{%=zsh6{iC3<|8V?Y z294|de*@nCo>2v0{nIP4e+n9Vc?thlHSk|E^vwUE%9;6hmGjH$%)iO| zUmL$E`(GQs@%mpIzaj7cA!o1PVCQJyAggBwv~sW~VgxYK|5Nc~zt86n%i&*6-oHEw zrsDwotpS>aiS5_+f3AT3tJQ*ty2gBcRc|}D4(Z3aLD>4!NQLin` zNc6X2?Z2&K1GIB61=_#B{Zayq02ZcSH{vho`rmi^kJJ6fbUiZ*Bm1A|`Z{$Dd)!8J zuf@u)_YDXtO|*2y>DVGQsEtX70~Xx)t?}8#iG4wsfq5icGLPtw?T-`Pbj^99BNHUK z*vACAAb4pfnji$csq`Yr{u}b#u6AFZ9TW&V2{a=M{C7-PA9qu&Wn`kFI^A>o=QsCO zpQdd)tphL~M{`NuKE*MtCGnFeaTb>C$wVbIaULX!V|%cUSxaA@M6V6cvaRg(1kZ?- zhiNO3QF;}f2u@!B*U9iBrSlV8M!x=B%27~;xDz-#dD005S!9qU%XH1c95ZW!?oMPi z`cPG6$x-#r{oOlOBhB}zJ zsq$NU?XS%)TigY(J;EV66%(nX0{1^Exy4WWfW}&+y?aNAip(b~^vqiqzcssj>Lf3d zym|8=*g66&->So4LJ|ck=nqWDEtUfB01Zi4K_;|*CMigvZ%D&jqpD~CtDOLJCUwkzqgcO~Rw{c$R;i7mDHp>drFnqWlrY0G z!cbPp_h+&G=GpXZ5(yZ*a3hJZLH*SraED0`0p&9mE!vx4N>fyQQ(~AFKv-y)gAy7M zNY&T1f+?lu%Zw)U?oVgS9`E4a<{e z_pk{#G9t=>gO$X!F_9S8tUwCglZrt~)Xc=@PaGsOI15FR86IJQ5gQ0ZeUijBI%msQ zYDTgx5K#IMZLvSpg!P$+8bnTMUJgbj?!w&UC7mpBB<8^MX(cX>kFs_EFaeQHf{MOG z&o80oiN6ezQE=dM@$dltywSZZ^O8=MGpzScpS=pm>hId${gD0^bb5tKkhJ=&1wGYQ zjH~)!OjD?j_#;E`cO^eQYrG6zsbC1rrnZd9(>goOR;`fxZY@uaR2hsfq>!_4)p%0u zZ==KHNB8G*5JKy!x7gVHDR-E@lhaEFj!;TQDSN5xPMrt2U@EO$aT>;eD&!I6>I7Ff zpIbOUK-RV852YjLFhcd*XtQ31MV9wdg9R?BYECoB1G3LH6pc<4p~G;QV=wfvCD5*= zjaxyPxFELm*fePD7=oe3u#v`s@`;lc?IBH-yG8}r(dFAq&8v0je#p732MzH*Ri7%l z8=A#zcYAxlaC@C7+HiRxeNaA0r{@lfwoREi0UxTz*u{ZE3y4m-lDg2GQ(&zlCEc(C zxo@4|sWoljYvA>2Exon^uul$h%1Jprhlr!2k-72(_L zy>}ym9FS7;{UCX>1TJX;4-dpy^($=X7AuyU-TMZcFmmcg>2kbb^#b)u#{-<@RX?fC z!2xnL^pWR3sp6r0W_D}aNz=yp^HAGX$mC(_`TZ4%^H81arhqG+Q4?}F?cF`Os?F`v zXl`4qyXqQ1=zH?A551OVKk;!bjEYve3QOns92K%2-Wx-Fb7gCJqr~jt0&jfb%CD|X zZ{?GhCVyh$Cd6+8z7?;cs6r<$w?}dBI>tSU{;5fZaToO}U9;=m=$YL+jK$jf;`MG# z5A)`>AjeT5e#-1TmFj@`QKT&#j5Qj1Bf_b^<6KHldww`V`9jZ*DkWm$R{0Y=EF13F z>)aidJlXKkWf$(&LY~ZYG?E1IATuRb<59B*{Ll;VkN%_4c5WOkEu<$Wq?yJxTZ<5+ z!x|C1ZqOe^Gxr6^d}k~K2<;Q^b@W}z-xonxUVd_v_SEckpHIW_2u~48eDdHQVsG|f z#oKLcF;z>O#pIu$J5R265if)=&N7^JJXaVX#M!e{CzMmfPwo3C%2th7E=!N`JtZ3F zATvYe7Wn4UUVHIJYaxmv`eN9SD9`w3+LJCa7>ruZVAIRTw%t9(Pvabs_kwOvJG3PM zA_8Bxp#vp}#a8s98$uZP-RJhY3HJRjI1BxlpH7*-j|#|$qEOxT)?SobvOZWJpUZKp zlq{J?`J0ql57T__^NY^~A{56XvLb|&IypIcozK440f_@?y+o1}t4ewNLu_gnNmvK&p5lgJor>H}g8_^W;da~b~ z1Rc2>w@1I6rWIeC{pb`=D7C)V9l9&L7%O7%=pqE>=c&zQAM8;zgBlnHc6Q}CTl2+d zu0w$}m-L|W2`hZaeuM^2_Mg`xosJj~v1f*t0O&EBZp7#kjsQ<`dh*^(*tf{%-`%9? z)e*hdCi9k)6|>C>-gnZ%9GC&E3P3#ThO`->qQI#4CkQ_4C+d_T9@CX+RBkW$bw`;n zFAc8YSn9+Y#UkW2wMV|d-Wx8g51%}4rFaO(>E2Ya9#W{rC^z`Vun9xi1|g18*viuF zG9Y<>gxy@XpvHGlOqFM-H`DKDgopqif$sF`ePNY0~(LBb!a_9c{}qlqn7 z6x$QQYK=(SQg)0~twKZ-h+?WT%<{oupyH;{znf6t?b#+N*vHqAReee^-;PTIsyhb*J7*wu6&v%go@dBJ-}lAefXQWkprKxk!A478gb)DUEF3v_aojQpn3gKl z5Nx{eq#%)9)f9|Klgq5?9S8RnEO`r3O46c_W=x#zh;U~Wnmifv<1hp=;7H=~kP*Z- zn0#`3PCZQi~C@k6@%!D7~D>7lI&d zxd~Q9SJ?{PxVz0gf3^bAuMl+$JMAV=_w0tmmXU`?N#CKX_zsc*Y z{r#HUUJ)=O^CX0nyfz9k6M`&Pq~1|W_`JZyk1|74b#N15bf%5B+%&CK0!|n{B{x3C zU$yI2mo`gA!pbIO7trj^Yrzy+s=d3CmgQM?2aeYVAhw^8QmRYu+8Kj+{joQFyz09+ zJ_|6(skIbQ862#qrcZ;#CGL~pbtm47~R z&4g8iMz^}xtkI~cNa*5+*`rHOv(8>_IDxEdCK}8Q9|-s`*Suqwlz9=fTXl7CJGfhW zIIUzQ0`!LySxwQx4N`VC6vtrIoo5{9kuYb-VK`~{HV`$f=qw0 z^#@p3C1l$??vH5}x}Tpl%SLsB)^HNMPOid)I0Le?jw=L9$bF9F+bN0r zEwpo>^g*h`6~=FYgP4(zkPSK$)u$iUnJ_2(Mt(H!!9(dN!8HpBu?Q{4xC;m7DDa}K zSEoDlOMf%v`SR&o%$Si^!g1z=_uicqG#j!g)3%ilwdi6Tl0}strX6n0P)AMj^gbEr z?KQP_aAJGKSi9>xX+Q)E2We-kP+0~l9Yyis-A9iblAuL@SEIK(C{#5%%@n9o8VG7# zc&>de%@jzOO7u{fMvbGIvO9!pF8+}-GG+n75h3HzcF1J=oU5}ZoRYI}8bj$tBBNG> zqy*_!g(zc4SG}yrF3OJ&3R%+Lfl*bPrTbd73lD2Uc2#!T3O*h;?m2Jb!z|g(&#f|% z3>R$r!YnQ~R5PQ;uCEp3owK;CE&A0-^0&Ntwx2=a-z>LyN&OY5$NUQFy*3E_=LVtw zry4<7*%=vGfB;rn0FZ<6#n}7h$uZ~|(K4~JGO`=88!@l~SpO?y@5Kc)U}EGjpk-tO zvb}(MYz(vhIxL%zsOG`fFnk@Hf|w-^$9`!Cr%j0r1=C z`yZNi|CB}dd*wgQ?q6=v{SU&ieqZU|)%j-(x_?}qyW25s4reKrzJ=jXhVo_@Hf-dB?$QmB`ZM*`i_pAt~{oOsV5qh2ZnCf z%rphQqy{r@;uI|{2mE(*)qSy&A#&2k!=Q&YuaJOxD&b-~9 zGn2^7_Y#CPi~J))a|j`1tZ0Q&qq@(*acxQwD92=JwxcgFi7=mlR|E~ikmxsP9T`d; zf0e)w?aPEmYiJLLWVm9M-M$~+RwlNL%Izgp6hBUr`#$@<;nx|g?Du5hT5x3Z zSh>jpd~WfJx&caMER1kUP~Q#Z%c3-<7%Oq2hbK3dTd0$K?R_&9`boBOuV{x?Fe0qP zA_go*C+cfI5%mV_1}+R^N0hR_RkOxIXyK9u7(mRzhjfShM1{`wCVQKKAZtu5YvRpw z>x^Wk0OcSi#-K_pB`ZeDr>;#p&wn2T9_s>RkH0vE?kJiB8GX)%v?B5FGa~lUAe)-@Z?+t|h*Kn%UC{bA^MJ>#7EoP{sPF2Fyg@` zJEj-H=Q1xUd9umC%S}8bWhL}8)%rbZ$+*RYA;aPtS(uh%7rfnpH!m4jWmRN{ma-3R zG{bx})c8UNIo>dB_-vnJs?p$6A`o2Z3`ZDh2aCma+~10i+;Z3C=dSCgxGRGeq){vO z=*O6v`o7c&0adT+F3FTpDS!(p1Fh?1;ershlJ%%J2|$4^`iDoOo6dJB{-h70 z=w-zQXyg40sq4Oj3F~Kp;G4DBZ$k^L*I*nSVcB^6TQe5sH>{H_85Tood9~Zo&(Dur z&G)-~4c*RuJU}X@WIQG)_$oACKV2rq%zPi7HRk$8@L|;xzLCy3T1Sb^7}Ge}x?&Zp z4oAoVTL^HkFDMK9;O{boqAyJc5=j^1kWTcY>1Z3_aPeynLY+)@{e3n6ZDI(3JVlBTc>DAkfmR5C zaM|pvkRfg&R29z25Nw3x_$<^~2E3=t7?%@B5mvD03>o zui0Enm z6BwPwVCx%~JCVXvORt0%^;RTjA2a&;sr7nKr?&r@{q2LVGLsQL;tupoNQQ4~1gQrh zW*&qp2)dsRD{>!>2Tso7crMjX)O@HfU4$iISCl3s$yhBJ?yD;i6lp~CfK{sR_ua`Y zlUd?V3{g48-Y3h9qkTzXhLKR17w;|T8#C}?eVH~d1r z()mEK*Rn@~)E;>$R?JDvLXAVzUxo5TsT=NosoFYEx=EJgeM@Y?@4&i$=10w~jWAMp7B#Vkyl77B`ZaKuUO!Ay=ZO+ms1uIJ{Co zpv=jf-Lq(`%-o=y#I}!SAN_ogCwQXz@J@cC5S|w6sg(e(RJf?A9Df|;9fV<%CW<3M zY$IjJ&Te`@7fI>9i9dUc2}c)u)MJN*kKe5oU#UE8yIgr$U%#y1mj)VsR#8h!rhH{b zseGzB`6nJ!E0wRXO}T8NjfV>%jk&n{bb<3B&dXCnjq%R!*GOq+j_(>bk8xOUEGNV$ zx<3;E3^`RXBi2n;C~vuiPYUkcSdkcu_d84vE1(wK=a|~$W=fNW4&|2~>b$~JkCDvZ zc8Y@HQiLVZ2q>-Rh$o%^O$GuI;m7lB!YQ~31N189(oQ_+#NvS&u+?A3P-xAh! zuxh01c>*~`PW6$^idj2C954STD<7a1=Yt=a@2M?((v>p5fb4_Up_!t)>j*NOK zAUAFi4;L94hl_ps!6@H=qdASHILFW#1}~DFVoJR~>qf=Vn@qO3Hq!fwZkN%(6Ca2; za;U*6M6)4+c-!OcW5WJ|V%J)5G6z$XQ;p81*Z#6AM_Fr17JJzWjgey=7EfZI=EGf#B}$?p6>8?(R-- zcXxM};K3b&I|O%kcXtWyk~dH0nV!u2yJx!J=~*)$;6trN)m>+ubDdhXcHP&tf16HU zeydL*@gh^Qr`lNYL>h%2!GK=i?h_pi9>1WB!T{-lIskAB6bYf$RqC-(_RNxVSu3^u z&5UoB!JsO@|GQt1ubMl#Ig^cNh$>9~>&2+8qnC%ho9iX^6HO2hpb5mx)iGjxe;t>u z&HXm7^@l8i?dTBVpgReNdJ@8CKd4?W#rO^-#2h!P4$bf}|I-S8O`6rt0Yq#vqs)4l`e*pLH4KGXY%mY=+3d{u<( zAjt|6CwCtvcYmbYs$z^ap}W?sCe#^`8YJb+0?QC?7_|6Bcm+vPeOlGfMjNztJE?SF zrp4E}x($X5WhL44(U^?B$=V&Px@Ckyw!A`Uf#IAJ>y_SR6V)1l!PEPN!d7`8U*oT>o7y}JN zW;~O-BC$ugVm}S?-{a=6c_{l`-@3fZbyZc_LtzPaPU$hf-t2o)4#( zixfd2uK=2ETs+V+E1efXL3voSs7?F0+xI;7QAlzi5hP8IC+EcL<(W^8V6AOmAAf9D zDqjN>g_B{Bd(-m7ALSX%qmjv}hqcHWOE-^|@p8+$LvQV|jTJr06Ky*%R2cFM)fd+x zl1^al8R|=|dWY-e| z+>lEk605PADiUF#! zEd$x`A~5>{A9A;xJ>r*+89(vkC)GNM7N#NW?!(&|Ti4smyHMtr4=>`PvrBTdX=qG;{!mOKdlVv`!F zJKm2U`2f8Tx$C_7oN@xk?nBZi&}_R6REGQ=S1anA=mGZ_d>EwE9_QPXD&F~iCH5Ba zP9nU=dv3D%a5e_F-4l-HDlXN_slF|#HPsqER@U!IHRlfph;UbHAAMYXPxeTFJVIhd zH8LA}tyxpHgvd(4O}9isIWA+_qjE^(j18eP+actgP{{;o)^X}^J8FgdoOvN7b`-30 z8CG*c2w(9=&rO~1H(gJ`lGT?7Wz~F-vTOX3c^-A?QHF*-O9@0K(b6zVDRZJv9(aYF zxzbDtd@X#Avh2u(plrjJ=b$rR0|;|}jDkvT28|mA;(h#lD z!!=J^;Gm>m&%f9D%;Og?MAx@&?a7cwgO)~w_~D47C+2kqtZN-ekbNiknstTJ;XKB! z(ABapVJtH+(z1C@45noLuaBhe{Ff)14fU$;$HEuFPBaY4zBcKhWv{^w|JR21Wn_*8h}l$j-#BYs6&m6Ku%vGb;Hr zd1*we%dW3S%fiHB$fC>mL642i=q)t)-zwDq&Nsh(hrcQCrofv5ZwkCA@TR~&QqTWJ zIr-O$>W^gIFFMJ;(r$X=7?e3n)#J}Ia8FPk8mtJa;zVmTPqw4uo z{8F-r*+7TxfwZ4uk=6}NR$;cRwlrXg%JBkR)h zBENh-k)Ew`Q>m&g!qyn&zF@f-cLtob@nG^9S}Ju#4;ELI$LC;WiVvDGVrxdIi{`D1 ztfD+M;$JaWo=J_Xr@lHIYK>|+!w(>Q)HoM_XsQAp&YuvZo&)lQz_x}Ykpk+3Xv%mF zXIXT0ba(RRYE~;w-{#t`Q4nliXD}@nhMdsBJ~cH>)zy9<&eAuYv3T76F zgjLd4Cb)XAy4V~JOah0q{Q5u zJ~Om;wzDyOG)4~5l?Z*|S=rb$v=?HzFM8K^ZTZLtJG~p80v}_RD{;ASk@!XflmlcB zbYRQQdZ!}oVPLaB8T;}tf~rVOkcTtQsSKbYzS6%>U;0WEm#@p(e@r64-(^Omqtmn1 zhFbBMW5mf-&?imCWl{svKnk_x5{h=cSWQak{yF`c{SnLWTs!f%Rf>Z2<;$0SSW<9Mcs#r@WD@p{EOABzwv&dQ~A` zDA!n|CGamLWuWAYoa~I6Rn;{`dF3Iv#9bt-(?<&GjD#?AGBzipMBm9n=`U^gN&5+s zM@i^VPS3~TZ_Ec}*xp9po#P{>ZIh&i;=#P9F@TBIU({uSPa9cR7AMsB7+IPJ7Oi1l zbCD4%dMQfemY#M>^$}exIgX=~yx0_0U-_)Uh-x#QthL_qh&HE>W^%2BYl ztfpZ}>O*ynYHBC;5Wj2&71j*1T{&qwG~6t|&S27D%o5RS#W)z$)gpJUPUq#frIOH_ zS;Pd(;A(wv(ULq{HRZ1CXE)OML|L16L01|nIHJS`X=Y~*XCY?2pKyz#nO)UKtjBdF zkaMFd+tO>DG%^=Sp?GEB%B03E(C3W-e9q#aHnSBzamfo|#_dizySk^xj-T`A)fsHG z53khju@-L5Vb!RFP%ua#Z#GYNYCPUW#4@>zxXZ~xN9CmY(@$}hGh8;gHGL!Aj@T>_ z?$1L&N?$f>RxEM|6RdCyv?xvf{)`(Tyo`_N3KwlgHPui41PIaKnkj-Q&fS;7u*X7} z4VVkk9DO;hTA&3Q6mWE;mdQl5ZfH@Op|>P|f}e5|8gmWTG+k*v+N@|YrK!h97j(oC ziafUEmjf}w;6~PKpo2ljK{0WlfKZgl_Hm*T4jVc4??**4fFLIs6V#(7k)HKsNzWKK zYpdm+FokE$0=W!qSqmuVkAQ>9i`qnSjS4k2?X@{6qT9b#EQU{lqF|(p21nLOx`Jog zt_~W+pyfb&Mj_3%;Z0@Xj{6Vl|5h(f{h4{$z&A{U+_pX(~jpNW&ic8Gz}HOcgY zl{uf3U^jf6JD$L75QLP`S$KPof2bXTtqeC_Mz;2r5i5hV^WtpVLAuA|Ewe3R7MEa* z3|*woo$4B$=p6%NH^KB(-@|p}zEaP_CFmlXqf;5< z{EMG6Ody?$prtjW8VERp(lp}AE21AUa`C})$E z1gQY5&aUnDr#vPUykbgu)-4Cs$|yjYRqnS0z3!hBl0iXx?ZSKt+F$pN1xy;I5x z3$lXooTaeP_&VJeYhT9ulAJMquUi1k55(slwJ^-$?g2XV4RjJQsdhW=?2c_`$!CYl zrsGF?(t^Qi^hTJXGixeKaH-nomQ#=P}7)VIb&QFzd-QPwM3s&C-d2 ztLEzAv4)>dFqRE4KiW!o9vVc~g<&5LpSYb7X?{m4F+GOKQ=%Gdt~FD(MPqEuOB|sO z#cvv{ETi|oztF~rG->a285s44s9ts9U$}35reHDbEE9@!_JS9Cxe^5_bQG%tIXr@ok4m_Zch^ zg^ej`Ur*CMGD9n@n{M`d))Jkr4pepT_T~!Y4Ipr{a+^Lu#7}VW@b}IjC_!4&H%EKl zl{cp!jVJ;cre+Vn!%^dW*}P{n06LZ)D!w|jD_$En*y$~Mrc-xS(!WDXv|Fk3CAJj} zX(aY%4N$=^i(jUBjtvu12)HKY*%wNRZawhHr_~BDU^~ybagM&>TH?#pSF;I37g8%` zdk4~|I(dV;CSQD2|J`U{$JVVXjdo_cF5q)PV8|Y`D5-qzM3@z5Hxu{d(^OJ(YT z$myjdR%^4jN;(5S&wP}Z)8Tyuxno`n(^?#cgJ>kSe8_mWGp!7?8`RFTUhh;H^posM zT{xGd&a7K!ACd>~d26QT3Z0P|U>;eWX)B)ET~W=>9s1I?sL{u#YeYOKLs?br?h;7K z`C~LwAADSewr%)W9La;abemdiMYbLNMLp+M06e57to{(OmJBOF2+e}C%C-v3$$L9s zI%u0umbLM@Y}O_`XBU!dShN%cgCPoZ$Pmn!GepJ@HCX(lTv$PH?>|AWp5LT`L!N@*&ahMl%+EUCP4`|4i^uF*fVq3g87EB##(27csU-hM<%un>w^TNVa^l=J zbDeisKRmEJ9*c`E6`7`QxRZG3pwiwtAlBH6wiwC@yOHCr#Ux7I*Kz}<&)lFHi4exy zcxQn-4{{H!?d{X>Rm^MHCkr;g`Gxk`2B@r(Tc^3>G2*mPv@!GQ6MycB%i~wXK*P9Z zE5URx?L5_@7JV6S4uDZpkM$vW+Y{{z_f3-V`=`}wJon|xK1)sR_(sbI-m|rO8ho2C zi%l)?8cBr}Im?NK^zN?q?ewpcmqrtjZf)()abDS@%tqvUKSX?hfkJ$z)Bai{3-CwN z+na^M&dyHHz(oIM;rw5WWW8}O{!WMFzgqUTYxbtVn*whNyeaUez?%a9`wDRKxY+3G zn;SY1{2VaFMea<_!wvQG@8>`E4t9pRmj6aP__fjhXPN$+h4ZU=|1FZm#`;?#>o=f1 z;9r>af1P{r6O8@`?fx&^3&1bp!vBPY^XtfeUd2D%!udP67r(Cb|CfRDKg+#f`)TC> zehm%y7w!e?AOHX9&i!uf{JM>Qp8tPh?Xa@3|7PusXqa1L_oKdyX*1#v)_)p(Ld*j; z7>tTrGDTu!g<7QeB;S{*3ec$eMj-O>XPUAJ~fu zX{(<7%rn~8`(1~#Wfki&I_=f8*4F2(aPVO8xK{e;co%yv*UID*S~O*fDisZ0JX zZLjm}Nv!}KY~*~z@bFL+YqH4rzR=!5gDCQn-mRA%m&fzx>m8##EUs3LkFJ5>AGy%U zc{T~9v^5ig;uc#jrkRddc(l_s(JxO(q8riZ3sh<*iVhF0^=|DhZUh&F&C@G$dv&#B zog{{vuG_UnjO=$kkeDc@G-f zvZRVU(=kw$*`|yVCYTnbNe<`fcWCl_k|g+O!LT7BG-(nij}!?@DP;Qx_2O$a?aSOS z*&&~|uaJr=6d7s^3AQ;jUcA56`y5R~2m?9R8snjg?&XrA1gn+nb5T@!;cd1}c(IzLBJmOy;m+4nmzbCD_2V2XmX{Kqdg|rre4++y3*|kf@e?Ue~^*|1c zP2?ybj+X#x7d9R9d_}i~1cakiM|Y8$1ShM6{d9IdHr{UiL|&N2L#^q0kCEAW+Oa>N zUgi|V+h7&($$X38I~x@G?lVwpkyh)6q_EX8C5$2$I~VCtHDzy!754}6B1XJ(yP>n| zonHTKexE@;mWfVA6q>#SG&W>vH7P9s3>8AIF8=p4ZhmtR!O*|#!m;ID! zLl;#o*&A)^5rpGpS4`s4`dWnLLL#G39C8iGa8M-?5h5{9i4nT)`9)i>v*?rwCSTny zSAon{L1Dxw^(zIJcuAGOrtFdmAcs_t1h>Yp=yafGA{o_KV@O%_Gq6gkZ77#xOeK6t z{eX$CDai%W)lK+eS0wk4Q9^;N0y}u&_v&N!J>`vj<()kxuDBXi1FGb}t6F)3SdU;x zO*Bjt+@!M8?jw=*sgDuDdNdOovy&hC)ce276$3*1rI3QZ@i!3KfLtAeX24cU!V?L6 z7=NZpQRKmtA{=Kvtg(+5QZno@2dHW5#;zzZHHjwh112o{T~)D>abW0WbsZ;{2_D+w z4H)7R!qkq`ejvGgWId9t9L9)=NZ=edpkkQbW)V*0Mt+RHGBlFtj|eBb%K^^cwc0$B1n%n^ zU7WrArP;WicAu~By0%0vPABi4WO|=UYNnj2e!4wlbXW0gqVKk@n_$^X_=vClgL00A zI#|wC-%Une=vcL!=n1m{#YVOwHu>&9R(8A-iZeO&vWW2lv~=`x9JIxi+cJ#hPuq%u z(*(;Y95&TRv!@c4ro%Y1Oxs(SJhYhUJHFom6t@E@l2)7 zXvx%Ed^=lY^w)U=gQy!E985tA*8pI*W$c1HDyzDA{xdlpVgA57(HqQV$SR&GaTg&r zlZ(ZV`xkVE;E9=B4wN@{CGR*Rzgw#cnaENdP_#4wcGU#db5G7NwZMvC)e*?!sNCm# z=9a6CVUuETN9{3R)bR4>fxZ%_{R>O26iOd3r5f%3^ z^pP(k9WAJD29u1E7nG4H(Q_0}J7C1FDNR*L<8yJ{-*v`$ zkD&2P&%cEBF&UAZ`<-8dAXhLXCkr^h(+V%wS}-65WxsHEtuo0^$Q;xndmq4Emx&Oo z6mzhTwOW=jyLlm~9vp$|`m*z!Eg((f!1eQvh|v{OLO+QyBK5$Gv%!0lb3%w;XEU%I zA{~!See=GjxhvMAu_3KIs(1dO+B_cb{UEmCSjoPcGz#`m5<#y(+HS$XfL&r0*bs$V zeJ61XA|m%N?haM!3H;&H$f6PtvH_EhwW2nU)V?-BE9l{kfzbwedI9yM4uS)ZtB|c< zj?n5>YK*mx{^BvOCFzUUya8z#HO2Hpj~;rgA%;km#Wz(}+xWr5ionUrrL&8pl*E=O zR`V(&z0hYM$_T^v6Q&ne!Adf?!>aeLl_%HN=c?bvcUq53_XDe>c=Lk?V-rYOM+gUi zc*C}KEWpexo9;E$V`_?2?eXD>?pYD#M_yHZTosDl)+dN*us80NY-Y80D)Tkoy-z%n zvAU@>Kct*a*2?14XI&JiW}&g28GuF!AIbVMw}>-qrhtE{9FrP&aIUu$upHP(*1ak; zpfbk=Nq1QG?j)%G(j43BqHn1an|$XRCu*#uQ&xZfw6u&IM$SnpUNPnxbN*qr)o>tg z^)+k15IR#y{s6{1PVucYp~V1;zWuJzrj(2-`y`SksVNooWC7%yQY9ce_>vpT=kEY@ z)s0d^4DsAy5kgpeCL5=XJV3!y+PTveC(~*^ejj%5M&h;IQA>I=R+k7`L2rJ9-}EjG zH2snN7PNQ4Ba2zdf>Fj|&k<7!<+?zG2 zVeNVc;cDjK_!92L-or!8iAlK}jEfRR@J0unO9fk<@@VHNTa)9xI0rF(;L9=!IwtDQ zlaI{_V#=VJVbxYa`j+Dc!BjD!t`e}Y3hqT{Gw-SL{Fn}s^`||pHc9h!o z^=R+E-R!r96OgOfz{ zZ2|};7l@-K-(cg!p_ZJ7i{5Q;x!#z;edj4; z;P|@Q<+1+_2S+eoH!c*%Ip$>C&-D3vF*EhDytB)kz6h7oW8$3Hm=(Fs56aw--Xb?m zjn8n!^D`IE_g8o)dE`bpiEk2N8Z`)ZBlt6?boH0_8UEtzl%@4K_3u&rhsn1xG{E%A zD$pO5YAt>N?I4rqS>;a?2~6T7x0-Y!njZ-~8N|;awzVN*7Hhr-!|IEs)psz z{W>bU04h^iia}keKHW0>_yFK-#5Ndbnb7&Ybw<+L3FI$0_n0Y? zOGcC-mv>MhpIfv8XNC!lW$b_;{G7w|eTw9GM<@tB0r`By8xeK}=e(C%$tqIaw%MNv zPZGqDr!REf9a%U{Ua?PRYx!}g*y9xp&^Or$JHF&tKTf4iZ?~pJ^u?HJJf3RNMlRue zNj|m{mptFyQVoeCC|Gn4^)Ycj^fU*1yA++o&W$`h)@H7l^Z=hw3*f zF8bA({*tz+@x9j{d&KK!0JryIr+ zd^Pos=jV0GGQhLRCM#O%{F#=d`?_7mC{A(lVH)9~*ReD*>`YV=kO$6MF|c%g2F_OA zhee-`nYa_4BJx zb-ZfIM5Cyxg}~NVg|cC!J(XhgiMGWYR%uVuJTL#HUCT~VRo5x|`9a}uFskr+et4>mts7dhN;jisg0DcP~zIBDYS&IJ`Ek!*RR)8U^0W0kX2K^5| zyTaI+X!UfNSZMVO_1W1B3=Nom27-Teb-7sD{Ol?dv$A*4wbC~f6XYUtbTl>K&^H3G zFtam!pk-oX`PmxA_<@O5mxaxcmhI0a&yWvmD0}B&7lM#a<)6aUI z@jt8Qe~!;GU}R&{rDf7J{Piw9T3u#FMp{EQLn8xLR#rWB2Hm%M{=ZYD{=M&g`wo9o z;7x%y1>O{RQ{YX3f25xO4X*sJ71bX(vR{CPf2-$N{>Er5z%OtE{ojZP{>$8~zeEIC ze~rrepNI(lI`W@CHvZ`m!M}_00Qlot|2zW$>;G-a1N+Zg$oeb2`R_+y{kmO$p5@<; z0{*OiS$>NGma3^)V-F&F?N=Q1f1z18dQ$xWW-xfckqBcvx&VlTV-hI4gSWtooglr0cg2bd}vVKYRNi=C~9K+@u;~azDVf)*@{CtOL-A#7@pW^ ziow=(la3)%O6s!$^!B7)>0YQe3LT+FI8^8&H zVd0onzF<;q8#UtPc5@%xw#*Cd*@Z?orJ67C*7 zcyMeM4!@VsE0bJOhhX5y>$c&P&gHXTh$wh6<3u;K2~97)&>QIi1@%fKumI5Z=s9T| zEbb`JWOI}ytItd+PFJ)@J|EZaO=m`f$*N%@UgXBb^zEeuIxy~&piOr^WHE|uHfI^I zIzOmp>O75I=EZ-fqd%EF0yKjMO+5O6uTh(F)`x3>DYO6seYQ*^B&K9SNXt5!Y!{1b z?M@v`DmlE7Kf%Q}NvbATc!?5XW(;9~jgpJ1XN7!4Jr9`)+Hv`TZX0g_&MWjgHu1E}CZz<*oumz&{b0$Z=B5H+{&QkX(TL3lez7>jd@%zPQxxQk z%HlynFzO{j&VYwN*$LPTx(Bh%hldS(O&O7nLDXPpTuWf9Q7;D)lGv16SV*Eco!DFF z-svfIR#-_$9he%26ibPMNXd`YDrDL|A(}%a3pBJWu2@zzEiyBLf+xxlk}wM^fSncz zs`|q2sfnpPaNIRQ@Q8Wi+xv7I1l%zb(`<6sR2hEog4wOCZG zy63f{8{%L%VE!7Q>q8qjQHHK4C!K_6^B>|S3S((^(gr(BrBU;WLF-7mmE^oIhAgD2 zs4!8#VNUdNC?e9F$5u1Z7(-d)5_t~BPR5ow=#?-(Gu_@^PxNekyaoRJm2h8jZ_8+i zZK}9SApKC5J3fIneStp6iJpL9=n0Ml2klFu(L7eG9}J(&m4yTWFa@+;%Fa8oFM>j2 z61Bq8Tj}cJWL<<#hj2~Sx9LVVZf^-+mqet_Q(?uisqEFsY2Imj4g#< z@{mw)!$Ov{(nJ9Ao~3w+G030ABI;u~75?C=(EqgJBZNwO5@-H@m?rIcfn% zd(ZBkBn{ge!?rZf3>k@p%tR&QtGU-#hYH*VPR7RvX0cMdc=l4%y$N;DuI^sn|!(y4{==B;jCv*A+EA zTYLevaPghRY}=O(jBP22lhqHJI_wgUODGj3tR}0|(h>8zPcx{==v> z^4UdGM<7~9N5LwDVil3ntMi+yGed{=O#Uz~3$yk6udr)#a*4Qr_6M>=Ha|Q)eIiPS z$?129g|m0<#Y{@#{@X!|WVJ-7CyWtTT)AIahIujBk~?bHrP52k}w zjZ&(sBetwXbmFS6R2(9?h%OE*o;htzEqlE((9%7@E%ENY5}KlyI0N$eD@~AO!?4Xp zV0wLCvFc|4p=6%n11NYAB0E1**=6pEIJb`Lp;GtR=y9j-QP}eEKCHGbP4}HOO22Ce zB)QJ{oD0?_j}!5=hxAz5xfub!lq)gJr&$QSq9B)34Sy&#r>P|9381&Ga#n9rV$Xx5 z(X%u$KesaL^rQKnBV&9F%04~7;lBI+GDeTyDCA^LO*FTbg?ru-%?)A$#4(NUE9zEo zYKq1jP9Y&IB4EY)o0KI<0A9!TQP~hKJ$givGo>ZbB`Rh%r^dKHUn6OUjUmR!$zo59 z)Tap0823xWt);tJ#nn#^fCpIx@JrLC`wj#v@gpkO_hDffPI`^71Shnzv@gD@gy)3r2C)S=j_-hgX>GO%XDuJST;ZL06}rsKY3vS=o4 zXw@USoMoavkA_EDn^AeBIr-_5*M|1nZHcA#Y>yKAjmsZh5LzgQBkTOw2nlpi+6FSf zPz5UYvtU`vVT2n0Q3BTmmMjY)DY3Rkiwe)^r2=jbZusfoq{&!g{#!@#!GILdmE|>s z#w`L5Dk5rscIiblbOtecDHPr+QFpg_#(u8mcQh_bY?is1HU)P{tE`I>;ymuM;%-{X z4);|VVCu$2?v+d7y^oyPY+rjGzSaBGJeElXs>{id&BF^Qht}Y(w#~WJJRp{#M1vH|E+wV&{NOo*t79?$;Z7MjtJPvn(Hdf9jala3F^}v=Y5ryqULk z?O`2Yl)bd3PS<|;D2|S|X_dzWgsS3CCwsNDnzAyQ-3j{aIe-fPKH84td#jIIU3>4l z6#gH4j@I54vx|y66`HN(Zm^8yhy3+8;TI2fO$gY5$T6a8@j(>^xdR6_xDJiy5EiPN zc1Q084)loQHFBs7Kl47Mz}}o3n1oBoI=LZUoG%a5eQb-b`PM#= zB+;)q`nvz~(bGwrBaJBxSrh(-?HynIsdki^ z&Z$X|lB)AzRBvdEgP=hcgFtG< zStFo9ekTcU^5|39rIH(i@*!!v4c+asg35%O+LD6i(zNW7f-v<g)!Z;8)+==f&}z@7@n6eDvHphPd-GA=e3bu-xu`eP!r$vt{1@xq zwyxe3cvIj_fj0%-6nInMe_w&WAGPpnxBbtZ_1}DyU)B3>sD+;a^51=eztFOOLA2%n zhL7^gd-)Bu@aq`=Bed+_&+eb@qx>DHg@5ld{Ua{QKMl1&|6d`r*_r+@SAON)esLGr z|3GT9{^^(fn`8F#x!=I(f7@&U06wt(X12^|EZX7pCwTU&%;?{^G{rn^#h~&5&*<4s zLNK5r=kWu-lR{!e!vqqVI(t21CucT4F1KP4#hi&jJQ(7-%4puJe%koi<~KWeA$8M> z-W-hYrFrsdbNzU|b?~$KZ)=#oeQAAp@nw%!xuU|kjbmkzi<2dx6i)lJhpb6_!~4;u zO|JZMjfDe36F1{56N}Bx-$w zcuquxecOfA`ct|&?bO}up`&yLULn@}Z1|(u9=002SBVi1{;Q)%iZCTAV{Z2gOV&XU zn&H6@PsY^Id`G#Qe*f;pm8=*2*8uga{@EjqOdd(GaS~zoOyu=Z2H(}im7G_$=W;ls zE1z|NnJ{Xu(Y-A3Qwf=-)4XG!8ZVbdkR@F|JRT;+$SKCYVn*&hSxs6ety7exJ-cl| zRSy+?+!@__m^o*415iO*&E1HH4+ zdABQo47J<`a09pk{D7G5!~HF*ThEK{ue%q^SG~Pbbx$rbSn;vDuiuDErp@{V=L5u{ z1n?AqN0flug{kUa4%>^NE7cgXJc}$qofWTQ^%%vS@5(hDJz&FFIiZZIDC)AcRQGQX5tjx~a7iZ&8&wEGFp?D3~ z4hvS!>m;d7!ID@F3|_D|FiEywNjNQBP^+*_Qdq}4ask&q#5TD@=RZq{%X`ckiN>T; zIkBrF8_HU3?U$$E3P$-y%a~dr3o-!pa&` zA`E!fUGWeXDQ(M<_66`o*Mdn5f#z+}IBw@ti;)l+&)ERQWn0xs*8f!Q6<3;z1JOr(oWf9$p*9HxY;H}#%tXLrQ&d~62G)aMhVT@(VQ>%1_y^mV6np| zIbI`xtmubi8aK_6bd**-Yn}HYskCJrr6F`H#b7Ti0cy1{h8hL_m;%oBy|w=a*48{C zC!~SZ_Y%-e&Ks2plBdut`%4;9P5CIInqml5<+yuPqCs|{GA1Q4McB1^i{Prs4*qja zOl8Bi8^2>x(pIuE15rhpXY|Pi!-7l9<^bThY?5(#CZpu9wpg@wUv9hO9lsf-!a<_< zt}!Ds7@qo&!eD)6P0v!Q*2k>QkgNlI0hRp1USNWb5=+uW-a8Wln#q6y`pmz6x54Sr z65Ng*@y&rwjU+ckwv>Hwtziy?gIX=RLPop2Rei){#so#@{vJ|+FK$s09AEyCXweDM zeD&b*a_iDkTvG)-3Ef_i;pSnvCUshS9PLcuM##!bp3C)d`#U^154Q`9p;vsE^af;4 zQxiKEPpfEpi!|o^Ai@n91Ex=p=W~o=Px%xff9FlXgm}5|PM{*{Ud8)8l}K(4=mv}2 z>j`180~8a^3NF=_E(9D$xc7X07Nd$^0_TC4VW>!_UD-6i*3bq{y&}-926Omgv5lqP zcY@hBYn|j}=MX!NokxlrT#f`8YZB60D9#w`owriWDN9H2UTyeG5KlB>RA~ka>#5hH1f6Pwv1IfBng-dWq z6iziLMi~R|zF99J{xC8ES%iEr?FIV9u&Gcw(K@y66XHGXz%0=Sq60=LUw1k2C~${w zSE|?hdgWe*?Iqdx}Pq%PXVLVAK&+e6Xpf4||2|wVzUCDBu zQ7LehXZ(mLMGis;BkXZ`&w8l80A^Ni1qoJ7r>E{0*o*-+3Yg#M(45)T3__1YVN!w% z>x$taJn1$ndZ&Dzi(_JxF5;UCU1mMRCR>%8B5Yv(VW4xy)6`twE_jN~rLR{`10GJw zsCXEXVPR_T5mC6`&`UuPatX$aO1#J9fbJL*3cJ49^S=v+Q=4}^1t!z~EvpOl#iStst*bnMF|g66^b z$bv_Mmre6cix(8#BK|$lb{64zVa?f-`2I#n%p6xyPiXD4P|2-h6-Wk7+9GVGfu|`1 zf?_*5(S>KdMI4M&B$WyAQ3d|lOZDBs)8gj3DfFv9KNBeqw;!3r)Aotzdq&TO-L}u&v$iBDL%|-m0ey8TC4StNt5~yEQjaKZ- zr_rA!gO3J=IS@)bt*0B#A#zCKn-KLZm7|m82aQkJ^9*1Z zEe~%(Yt8moV~Zuw#c!bL^NyII$~cx#M zZA%+Pj0ktruG{Q+sm9lINN$mX@hNY@@R`{?S`j5R)K*V*JcXK16bD&?x8s*L*8>W` zxHMN$5TX!0181M%8ybRj`vzjiHk_|V(Jp&csqX( z?kvfkK}~;KQ>`#i;Tm=`nE>g~(!j4s0uDxZxjxnXT-Pb#*9pbP@Vx6A?AX8_J6;p`Xj-6&dyBMqOe@MV0{h-Q z1V}T~he-tndl9U7jT)4~i(nqX`JSwJGjv8xY;<`?m5rit2`r*%3fvRp+;zE_M5I-+ zhFtGMx25-Z8Kt_#qbQq$7Z%ufR+wbQWHUP89X7%BQ;d2)I$$ zP+l}0i}Or%9Oj^* zzQ(+|qnF&&+@q5NBU{^NU#w&9!{~zvyY*3Bqp<;vgLMuDj<3h%bosdp&8QFzKEWI* z0XpLQrGB!tb0lEg5di5%To5Zip>f!8UiiKx$1R)Kp3TYU!S1{0?@AOdF(Zxc8nU&b z;2$KiOkAouW&FB;8xfoFP&`v{8R9wa3#N^MPsw@&77N-8knwF13r93U6IV^LKA&i0tyHb2`SS?nLXo z5Hz@B08l?zMpU4opkEpS3antyxrvp3mJN`gEo%s4$j^5XI|(aNYhFv2wuQq(S0ohb zhoo`A9}Ej$lf_+LZ+fPpWR9O%RvyY8u`+&+3`$O}g=e^SbA{Gdm*k}QPA+#y$-y^~ zou*?(_-s`)kp~(|=_)z;!MQ}t_3_+G3W{PyR7MtN{7EE>ml*Oe@fLe3`zrRx52?~@ zX{7K~ZXU}dVslQEp3;nl%Bu{#Wvbs}WzZuh$+R1Z$awu`x z6>G7ug9Ykn*pKe8Fy8>s8UTfu{7HPCB=)mu4$^x-mtYx4;M0N;R$?^77VKcDLnCbB zI4My|tb|@NgzAZ?t!qY)^gxJ=$pIpk+!4S?D1TX)tV=7LGE+6sR+L`lCK1_`h}sjT z9?@tO>7tLN<-_9Ycvokv~kHUDe04%Q17PlS)7cFhLCi6 z@(M|Dh=s1nv}o?LtK=>uQ8c+}t~y8pzQAsSk2v_e2{~B0 z-6z-z>A*%30`np`@I>qc3u3<|eqQDA4Tqfs`kJLbMF%j3?W9AS2_(JSm8#!?C&aDo z4b8pGJ}+aGh>fbO=4uh+oI`XSSp?}*Mt0__Igox=Cmd%9I%LzOUIdvH5$?@!F%kbx zkRgo)u$X=VzGPE)7I)HbhmUW~Be|WvT^bwv;!S|xdQ;h=UK(`iyJ(wgKIL}a*t0U~ z;Q=LI_K+-i6gSduDQX!5pGqLMdWoE7mSe$*Pjb=L49|@}%E>)3w0tk=m9gvx^Vxst zsEObiAGM1BcXQ6tp3?B4jbQbPV5rwFK|A0E-GWVv#iwmgNUKm*gKB==$=zpT$KGOA zz+bqo8sxA$rOXZa%%#ZCHL<<-K}@w-t$n5Y@$|9sK{os@8IgxQ{OiTgrsc;NpB=9T zf&`VwO}#XsXIz!~z$Au2^ox!kPOd7d()xbiahuY63~m-Y-+i{T;(;C9xz%52naoG9 zekNC3($I zgn)zJ9F=12=8`|%OmK8p)D*1Ju1zcp#0|oUs$vFI4!?mAW1-+m0X7A{7 zi-{}VD^*K9f85p0G~o%^HKU?1?RH3oN6soB zl3d(!7c6>9>*AYR>9}!6E%)m#emG{Pr}m58b95rB?(@zHL{ig7Zu8Mz zwYVY;JXNyDln-!>E+QmeJK^P>e#~OZ%A+Ep zKtVFSZe>JLS~rl&2Mo88dfD#L@?mgm5o0cGhzxa3+~VjUi0cEX*3#PTgI`}37ECtK|KiZ)V)-T8jk`WH{4_bdWU?s&!Goivh0b!Q z$)!K8_2ny)R7PQMEe(BHg3u^4OUp-7Xja=S>N#DIc9wPBqh8q|JS-`b>OLL6QcU0( z>FCwx9-tt^UM)t=5T&AeDh*Xm z`%0AJN<7co9#0QWu1V$(>S7#zC@0#X3lgL{LW$Nxg;br_)4&x*x{C@&S>#YVJq56S z4*AZvJ{xUBgx#y^J$%iX#Fb3>{1RoO!!d3b2q5tl0~tT2b!}6Ua#8~5F5IE6f_gbQ zR4X_z0f#Ng9FjLpSk<~P&PeU|w~vIJ@oZ!VJfb&|71N>BD@a8}s-PKJ+{WxJ0(CJ* zA(QD&VY$SFHcR9fci}~H-G|}vj!-%b5)?F}9-6}r>dFM;EovHmKkQEXFs$bcsgPIQ z2H7&b`2VqYmQitS+1d{7mf-I0UO))$?(T(Ka1ZY8?hxGF0wK7B;O?%$J$#&W-|o|W zPoLXojK1HwKd3)c)n2t~t#{Yh%(d4u-?@)-6IegIbb&3J%592^ub?7vBlGYYPn+fg z=3BW}aVvrt5ql>oldHYt?@7Wk$6Q%1CxQx05tQ}fycpiVz~%_ZWNSb(S8xP&LwLn9 zY3X!{VhS#Y&uPedkI)n?#86FQr8{7Ali+_fhVIjrW=Vc1NkLUYQ@h1Jj%Tb8Y?iO- z;g9kOzWiaI@{X47YgW%!r6?o6&4m8De6F?j^`tP>B-Jpj+A1bM*VY)Q)DKA!)<)8b zQ6|z7M}@rfn^=@;=hgM-T#3EQ_=gUxp_9fv_l_*D=~?8CT$~=oEUBzKRgM5I)rFWo zP4V1dvok*PN4#|Hks>V(j#wY!4X6)k%|=F953CzjQkdw&XRWl;K`i}9bxS%W=g?p5 zEj&M1BO(Y3*5~Sr1SXYaXcj<*4+U{}jOzHAHj}EO&ZsXZ0jjf~?)RA~D$td^yfWif zoHLmfvk8C1dJ~cNg+HJ*OU}i~G=ElKxXmR$0Yv)F%vP)5C&s~C$kgl#-iX!LsG;9J zU{dXR}OMZ*#a0tn4C@7?#q^+ZkmjYB8!=IzvnZVk4p~3}n zyhY;|jG=_C`8L@vz^_QjxxBKvg%X^}oDnt`qI0_{1nlmYo?K~r+rrh7U2dD)yPofM zo5SU0?;xDpf47UN$o)9;ZDemtSosV+dH8Z+as6<+#Vm@q>3#jrJDF%2%DNua?l)^Q zJ;!-@NJ%y}9S>)vkL`G(lF7>j?-?X0g1YM$yY-P3bwoXECl)1;M7B!p{2XBq6)x_{&6TY>; zC|cc0n0Qj*c}$`2+#-acRc=(CXu3A%*Z@beZQfF^yEijx=YPrmDjzbIwoUCC&9d~- z;k|U$cu9mODCd>BH&%Qc-IMII)zKHfnULQ^dVW=t@&)O6L3;i#B0VowNB;w=^Y0%1 z^EWa7-I4xpwR=(EMS&LuUKDsy;6;HyUx7b>^!&<^^w&tw&y?qX06l({`}-2lzr^#u zVRgjvhpdkNx%Gej`u>&G(Lc5SEvq9o0Kkxqk%gHK0AL5uu^Kb7(dn}rGSM+VzsF|C z&cVoO1o%CxBSRpNS)Z9*pN@mkh~@e7#sE4_BSvF7BLgNwfH9{r3y{h1#p>vPD}?@a zzkmKE^w*>LGoHUF@S?zr0xt@@DDa}dAFw+5$!PxuqW{yS=rb}(Z&p5kL-aX* zx)=QmM4#g?r4s*Ub;R+rI^zF^)zQzM|2n$=IHLb=SsneH>)-VGKMLvpQVfyfXGzMx zK>EKFOXT=jEb$*5k$#@lzfR_NAbr+2C%xt>PHlZ~`KxqlVUZjtvSA+y*;5UGOWTT4ueODX#D!>=^$b|`Kl zZM*jCRn*E8^TN~d=G4Rbrm>gn>Ftg#56|qv)4mv6WyN&|=kmfk-suSQNZsRt!aiN| zIu319g%$OMwCx*@N*kRc>DG=Jmm}79bK5sZ%e7Z)G2`azf+m_%vV|3rR_E7ql_N&` zt%So)20BpW?A@?Z{WP3h zUd+{)L%V%l>s0l384n)qPs)BGaR-4S=ZKeT3Fl1pQKQBm72NH~XqXDa1~F+@Z-^+m zFOnmWfHeSJ=c57jI07BR{Hx7Yo6Qg!(=3W^?=Mx9U>kB*O@fGA5)+V1M z8n-`W$r@dW_PNj6Ri=3ppry&A#VbtAMJrfV7`roE!;0IrUV0{7m~-EWj&o=`(RkCmp^5sWNkt)c7`oKJH-efHf{OBH<;Ml1ROEZ2N z@TtM{HMnMQNab(`$?sxq-x}lc{e-|dPBr4_@uWKf5g4Z8IGZS0F)>mXKN~*%=x4Fw zo$Wb6h1S-slOa8Fx!1G&smiTFgN@2juV&a_%*BoVa>re9$+@BtAwZ1Hq`)%2te~uQ zxkn+>d?IC2E_C*-B$dm9Q*xsZsljEth)Dq5E$NcHp=E`>XkvM6&CCXIQoZU7c$6+) zi_v-XH!LdADl5vopwOE79LPB83;7{>O1F%eY+%tWRX5QcJtsw+fn!-$nCsLm`SqG` zpBUH<==EG5>0{odU<@o~9d_t$#9ShS^XRtkH(E;iI!QfFCGnWxj7U<4kM2~QgC)d* ztZwj8tH{e=1MuC!KCGZcLp3SvO+owh+OAZhXzFLH|0tP>U)zx~VF1V_|r2 z6q5)+45ll&aFjZSP8=uSQoK?~CmVS`_CRnib!d}y*4QXLTMZhM4&!@n-)aNu*L?$q zi@M$YAm;0?0piI-;@~X^MAJNUnOsZzXM3zT$%@+q@aueI=#*6%XlpUxvD!hC%i$Du z*9N=qbw-~NVUy1p9W?!Za%+$XCuhPbEDM#VCu_3{xiACcjEVRWNyAh~)76(Cv!w7* zB{F%R5oGNPKQw-*8I%NmKt>Py#UcY07Q9(OuAKH427Svu2|VT#e<<2s1)eyAK}+&w zhr+K`RV>N_w~O|aqHAH>yXw$tb``H-!erbi;VkMkg5iZ_2NnVaKy!v)D|SLekctz4 zClMA9cO<%b>mE^W^SIf0KVfxoXegqAHcf$-wqhn3XY6-W?RV-k+3Sw#wl}Aa`F_RD z=8wWtr3) zHCdUDhiZiToh1rqm+>JheCWaWh%8jDiFIao^uPuh{OikqjALGr3f^z_^Bv!REJ-%r zm5)lnc*pMj&TwHb+h^XO9Nojah=FN}3fiQT1q~$;&0A7El6avYrXgMB3?5F6H;M?{ zK2A;NJ*cvDwzreU77U?iM?MS}6CXAv2rO8U2t@xPJ^j8elmP-_M$60V-BnHaYt^;~*{*prWCu;}K6HSPZc-59JAQQg+C19yO%0!iNu8P%6!FgQ z4A(taNLn^SYDfER>Yo0z)Hal(PEXr93G^^aoL|t{Ihgv0G8ge}=dAr)Xs#BNa1>v{ zBX*135OpkxGARF5cNU!6?f7k`;ky=?p~j|Y{tj;zpYO2+wBvW3th0Qm(9)eQ-?~Qz zs;5Qx$>r*Fc>y=4tKZW=DW3rKv)PEe0uQ45>0e|)PR$af6{`o~aFx@2NsYEKgIV!v zeB?hYh@=R`!DI3-zCjg380u9}I=Sbf3uJK(C^XK{g(P{^0Y+X=MPh|{appZu2)Vc4 zQ(8>EY3apJI1EnAChfMNW)I2`d8je+3ex;A8=SVOrcury0ol1wFk4eyJ}2He zJKd)T4w)M&2%29vpYp8*K?#gXs=~b-QpFh_Pv5#zh`VZ6T@8Hixqz^PU}RrzLg=Ck zMx*swN`+MCn=qwp()$SRzE)YOZG}C;@ZhzuMy4AHuq5;)sDmkndsj6l&8|!oQuTNm z@N(%a)mD>C1=#Q=b6X=N-kY=aP!K!mqQTCkuKTMws~YdVb$H+=o{~*-3EniC#v80l zOI|!VcdhDlc@(2CL;o_P2vwxW94%rr zLjqskD@hQz-JblVF%?IieUzuHz{8_+^ihhNbMbPukxaJN+?lVNtw<5m#Nm&z8vQ`XWElI6zAs29B8?lUD`^MZok@$dVah@c_NI4b-_dw$HdYOtnNI`^`uCI6I zu{EZk5%sHA$+`qld}Cvn48}6Y;n>~2tfICsgnpbz#@j}fS$`rse)I4g94i9a!8Sj2dkE`RQItvfzsQqro&b(#~E6;Zj zSIy`1kv|3s`oM9JA~=n8J;3zK8mj)vn&*Ci^q40;$6^c>HZjC`>u1zt$&(S0LR-)S zCn^z4n%+Bk{5C!S`O0uY6J~xd)u%8TbRyzK{U{VPA%%q{Emx@uo(|PIjUw3Ha&!Cd z#mWH`L-lE`!paX$%IbLfK_!Pqq3j{Lp|m3TK_{2!g*=+OgMQ-PjdQ$@QCtcKuzt1! z%NcBe@(goa|c)tS#~UA*eT$Rg#YTcZqM+K`4D*gyBxdkU_$V*D|IsQBR*Y_7jhEd4kYrty^|WY3*Wgv+PA>* zY%~kYVX-dK@Eah37O}L$>XW1Z<%JKsaoG+LEJRK@mlcR8#2z^0%+3O z@nl{xc#x=m4yM!R);$9`rZ5ak7hvw00ox{-xH6P^S;*y#*qVZ#FuqYTq|LK>J zTQYpF_bypooQfE0WD8DGH?BtuPXfP6yymm_dcSsC;w{#{52 z@$kCyK~lb>VI&g9r5vT{zu!-d?WKy$FEzw#+Wp*$wemIrDKLeo*HV zb7e66_^sq7SL@ZASLEAQ5&@(?bhIRvdM+L!c+!_vMH|m`?aat+I?~#sb1(EXPU6ys zT5$_6QoX}tXK5;RlaQLoKC0r=F5Oao(;6R2Uj|Cp+_x#Ga2raf!I7+q#`nWiMYL(s za|pkRzwzq8*Q!8!YZ0}a_2l5noo~UmAC=?$wf_ouke|G+QrAUXh`z z=M2(cF;78pLaqpgfhqm8dQjb-yi!KWXsE-WD~M);&A)$!EsX7#PaBKaA|4&o>q0%@ zTMCFuC8$9nA5KlQalrMOP51RUyCD#nx*j^}@*KLIkqS=)x2YI4cvJ*)5~J5WAEMQr zZ%LJP@yu@b@QDDWUWKW5yBY7$BG|}xb4{m6r9QbM+p#^mHNr-jNI&bVdnZHM{OQqE z1;4`XT<*i2y;mhx5qh8Mgk=<_VH5b^eB^j&?RC z$dZ`DHV?C^kmCOL8f04ff}?ef@#0mN>o#fdN&||ORTBz1C^hp#-}i&Yy&!tzuQ+mt z!3BN%;;8bC?vDIX^nNtdg=DE%YtIEV&3~4?!AZ#i5Yz%%Jv8ap#IJsg0K3su_O2F0 zPwbB7fE6waJT@zj1t(k?L&@%`Hz>=A$BN%`cRsGOo4n%Z$u0O^LO(6k@1T(>_I(YUp*|aI_EC*;bzW4#?OF|1)6@r|S?!wuQeBmyMqB2m&mHqKnB$Ej z2prm1%4Zz6y$3+LkeDo&nCeGqYxZbX0n8zms7dQFFsS5)JgcjE^z^JBlr4AXhoMN= z#LMAV!fiEqRta!HSf8jGad%~<8EE$XEfHy8B`T0)SZv@=U@=LCLwFZ^aUma)(bl{I z7{K5=k{RJ3Yf6~Yv?NVXOQYxvIi|uE3$1Y1ry9Hx^C>l-2h!#-8(VfJN zfmx5*-IH-*Ei?-4#G4LPU7AJXfa_r`a3z_cBy(9EQ-36cK$S4;*Dy?qf6i>$#w5L} zf~Lth$MY?DGrRGja@_7o;gL&qm|~Wq2YnfUrvgh8KMAx$rAr9E;|}4kYZI5KY@e3b z&PzbA11*W!E7>x6YkjwusNMZKa&iKp6%ohjXy)2-9_l1jS9WyU(vt|ez{C1RS_?V@ zPA1#81j|5nJBn$Dj%n!LSKT=$sE~!sM;GX{5sQz)0(5J-IyEX;IAgPVL#2l&>c+U} ztD#kkO@xNWcqoohrjcD`6pyi+xwD{vai&A`dJrtdYoa+X&dG;vnVmUJkEZjm+)AmE z5M#J*$8n25Kj{EZBZ|ob{3to zR37PhD^@~*4fq3r$`AqMD`@R`$22L0NF;Gh+s$3jF4#Un>$O`OVbb71W_V^##Kfhf zRrwnjwbuvYfep2{VGm<%lx!SZjZ~i)C<0@|fb>YvNkP6LD`R?YOi6*;%s0zO4Y9Ax z^Yx=OzK-oxBr<<9lMDZT6vT<3i@O~<60Z=u)`1;v^&KO$qSrAmM*~0C`|>++jeF@A z`7xN!RH?5MJ`xl`DIaY>6;XquE+7`7Z{jU@was1`-Y(S~t%{sIa1VoV*c^g>(b;io zg4I!CH5)loLDQa_>nq*ePdv`g?W{*S)l9*jrrZ&g(12%s_mN+cgh`$*ww>a&y4Q#r zhc`4l-ff80Ymkp_+y>O-x-+o2kU#Q;kw?12DK0?mRJhuej0-HJ`Ed_x13iD3U7eko zH$sDuNsY=Q4Oqb}uD-kF+M$?Gs!iZ@^cturyehfdPb!j8Z_ zFT$X1BPydBsLnwJ+&Um&U(GC4S@pQPr4~h> zgog-0_0Wu_V*)@ls%RcM3RGoH9I-1>W7G6{n*Fxa#enGM{vlI6aBE)T+|JeY2=YC= zfyMjVhuz`YIw%)6!CLHIdaFsr6*V2&(7f}}aT)yx?)mz}=EjC=Yt|q8^L1M4bIZC= z_4Xcgs*9yp@+51*HWr&xjIHG{P~+VFxw$L6%qJdJc5NZ z0D=EWK>tQO|I^LF|=YtTe$rDTmM9BG5SmHfwcv8L5| zR3GA@NNi0zGJx6f#N&m#aliJFL}G>(9%hwO;-)R<`7qqTTeq6|VFvPvh?~2Eywkq4 zxo=6;J-%|&TNvyF@ zOfZ^ZMNfX*0C9iIyg9Q zgf#AFjhd^i5L^O$z1^R$8#Gm#olv3mo>@U7L2nZ_p)~{}|43ik)om*7-6~M@fv{jd zG%HneuW>|_VrcK^(y|&w)TD1voC@0R1AKgPm`{WwntyB}VZQQhcoHN|GkA3DemEh)S*EE8->A40Qsfb~N)z09Y5T>+0F@NbU zYp-%>yxJaLXhq$#1z#sBmXM*)IW%0(2V&A`NJR>UXqOL6Hzr>vTh4Z0lPu_2n1P8= zv*(jhNpC45jaHW6Bnc$BB)j*S$=is%f{kYfvWZGkq5m=fsTMUKn-$x_-k3!XeiRcaa+ z7wB68ucp%D5+E5X^=&s_S)l}^0WYF^5p#9bCG%D38|(J`%UJSuBJJW%4U-4q?@kvA z_eR_eATdO7s#3j1#-W@EF~uV{^jrI^H924l!f&p@L37g&_&ys%Tbr_%tI!!iyM?;r zfWq*lAjjpwVT+TE+v}B(rpap9fj1#_ zqgzBYvdF$WKf#hNdnxq@E4emW;jL>S|S_rSmi6Mp%=> zQFDz(etL((JcTV@c2|L&ha&ODHcoEgMn)~KO6JFa!64~c?JZDT{YOLom~SiykDLN7 zs$jjrq1179=vbQ8IBNi9-=~!k2?C=4_~{KHY~|sRUB5#qRsK5}h$-)z$Y3r1xx&{9 zuXsv@EteBJKU8a+eLVsa_vrV`LLK=<^TXWn-{;5LT4ZU`@2Xs5_TY`>vqMj20pson zDQG`M(T(0QsrTR+?Gn_5HbB!|Y8!)O)~JtV4%dLH;U%<{F!vxpBlY`swqAx02%9ei zU-m(iY|BJ#`4(@{J(WQ;6TQ=+Rct)CTv>~G@bHQ%==Mu&pk(D87{?Qg8WKa>+#H#D z8yE>SY>0<`qaLVoMo2TXb+MFqwZ9W7(?2V|tJabx4=4FV}Q@ z>vlbUA(bUcw7Jo?PGxvqY9EX0zJPR2i+*$yD$RmoD5OwO(TvEMqg?7#S$?i_4~o`x z21fSba86RAzre-GNowjdgu#!{uNWQsAje&P(99<+jJaL>QKqG(tLU4!X$xuZ&B#)Y+{ioh3&fTu76hnu#Ap^7W%=K$ zPqTjgC~2r^rlgyiRM2aklgx*$ILtwtuD>QlvY2Ul!xlw>O%ob@bRX*}YOK=9zPGpU z!D{$Ed}%*)Gv@9+srbSvX^16VV%>X@*yLR5YGIm8GK5WMTJkFuJ`&@L9)@Bx^DmiI z$yTrZ7Sig_gQE~^Ca$@vlM5Om10VBJm+J~;OWhRQs5SaXb8`gA15nh`=`l0ZM*=;P zCesTf6F+DTTrJ|{nyE}MK1Q47J1Y$cgO_S8RF1J%KF&jRR1MEPj2Mq3UTZ2#K~+e% zOcvE|`oSsjVe7`xeEI0=4&VxQyvC8NoE2)o;MBqp><{c>yNBYypK&OGx>lcoBN;sd_E&q(_r zmwXW1O8klwWy#T%iC-?v0iJztt&K}>&)QyR>GBgaeO8=(&845?Q{mFO7e8vD!U76N zm*bJWd_1Ni)a~o4VxF~PwY(OlN_UolIVD&~8fZ?I`dE9(g=4j20G(26&m@%1vPTF? zoe*f95J+7@?SExn1X75YFqEB-i=4wB4_j1SDGito z2;QKh?ubW=HxtD!$~+(5-<9M)Bg;#ov!V1A_DONN3HK5|615FVNm_E0(LuuKl?-pBoAkzl#ggm*`(H!mkt>{t*6eiDh!H9Q|IY-NjRI?0&tnDZ4K8k}h`n>$k! zOCL0L+U<5OzV8llZEMqwU+bWCey^BQvL|7xi=9nOjYAZ9sSZA%bdAo>Vebl~2BJL^t2DmgF;jNXavtc<7kfLF@R{)W--p!k8s03G z<1uu3xzr@Lj1&(V&|(7_xd03r`!kQM==ljV`gmTfLf46 zo)6sLY7|i*%JzH5ACb*jmudpb(76uzP+D^^`w9y*KlGs3dfu0CVi8Lfz6ybE3e!_R zxB7&LOp%JmliUOcErd|%Ud0c>KelodMET}KUr$b0nuuCwE-1e0;a4n9?U;wgpCxh@+AK)0E3o&tGtv1> z&sEpWTQxm~$av!3<))^%HFhqowDS-F4r*aF97!^fgww7S<8CpW&1Lr5te{~5(=muv zOs&^Z0!=Bc6TU;bK- z7=xmGIHHXn6Fl;M|0hBgA+^QD0umiZg-LRPre^$c?&_Ha<48 zoaly6AHT*Z2}aE`*v1#O%FJix66YLI83%Ok`QJbQ+5Qj$_|L8X zCj{`H+W!^;_-tUy$_!xTq+`@)VS7gSj9BRmjG6W6fX@UUqXC-{kcnOY_YgoPb|9-E zCo>zJAv>D^9V-jlF9;yefR4q0-RRi_*U0$UX7>dF{12@eez%)H{}TGU!~6em@I`?a z1zr?*QQ$>^7X|(R0{9c+`i*%0C))RSvWw%_Zn=Use{;d*{0rr$%?|AhAe{(`3cA(-!H&wri8e;nrfw_I?4&h@V&_+P{M zeiPyQU2u>27vo#NUl6}vKt5*9Uta&s2$zld7b9H2Zy4eJJh6YB&%ZXpWnpJ${}ssh zMZ?Snw-4p9<5TXtW5{Iv6;VCRD)BjSK zB!vWTQuLfun$e!Jzvx-&o+>>LgSwnE5jFYrv~#vguv1yNoc>O0spIzHoIy4cLsT~l z`|{4~bZc&X_i&gxZ&Zgabo_>9P-KQe+2N$!Y5&kTXX-$B#=@%lFqhZW@yhG8`)qSk ztv0myS~5>ndv{2|)A?4Wyk(XGi+L_}ytN?2aUK^SMc)m3Zg#mbw<6|E0KF?&#`+yZ z%}R;tc6mzzO6O1nR;vUxJ@c)u>#E%q!@x!{?UBvd`Al9V8o4$e4#koUCX_PKc8!OV zrz=q&lCwaFy(jyqk9X~x4*1Hxg&W4U!_cAbvj>f-pe!FVS1)J!PKZ|zJg;nrhF}-9 zmsD@|*LBL*&$bk@Ta|5+l1_}$?q-G(+8*cTKt-oj$R3i*+zuqDO0J9J%Z}+WD$&6t z_uHac7@X|!?^#?{EWc``Ik{)(oMaZ73?hrF_X|R|G=l6Z?B>pxeZtiYgtsjkSr9nAfJj{Z(C7NH;uxl3!gIavYJ_?yP?pNg}Zhy98x_?*9!I#v& z_r6R{z($#aFTMBh+o`9LUXe;W6BT4S+fD8IhCG=rXo5Q!RQ*%y57=#K;A zPGVbUA8kZ8AA@>op{VO2oZ|O})9(XGI3D@Xw_cRCNxi6)hF9SY<9ZOv#}Op3OCOOp z3$i0g)YOW5nOq|&*+uaEqg`Y&!VbUkMiL@0s|D@ruAi>3-;eqBtV+ys!PAn=*yf!I? z$@eP2|MJU8X}rcR+ZLP2=KR)bdxlvC;(lM1G()| zC>q!+3F_wzT$cN6mqgp(;hh;f*t&ag53cfsd^1*Y{KbzZ=9Ir{bW&Q&s7g;^_3aC5 zvpovVV3^RT4k+Ci7tvo4h3X0J?j>{qG^g0jGLzD!BHNhNo9Opdy}5123aPncK)QIm zXFwCFjqlQy_qc*MC-{h_Gf2{f=@Vy5Un9fj$iqZQJNXOOO_wPLmY5jT=f(0-mG;7q zLc|$`$gC%27vy$iI(h5jV6XAGdxbwu`JIFpLPnxeNG@LiWc4#jbbBc|z%MIRd{8VP zfM-K9pl#Y+n@P?|;rfw8Yz*#~>tPraxJ^x-Bw_fN=MQJcD%l4IL#@h2-tHfM94j=m zwUm=^&^*Msa7GqgJF6l&#&9KNg@wT`uSnXWcEs%2Y6)-funBOtjzSFzd6a!g+d60j z^|9VLH4@3C>8Vz*Wc{RGC+xuO^_g3M&ef zuL!gJ(HSi_plN4M;?#kyQE$CTDIs6V%_bm|gt1MnP-O~j8 z?JF6QQZO1b z*GBJck<#`AL`>NVF6Fd+Tg1n>v@1Ep%)^ryg&P+tM524eTGePa;a-oV0-vdvK`zW3 z$Z{T{UCZDz6s?)6hgUi+f#fdNl#yvZ*Qv7}k65C|KIaQPyvNZe3$&{X22!x1N41gX zjby-}MNyg}iI6ka$dJ+}qj7U_p?O3RZz6Q~_vi3*APKzva!^zlhD|G9F$Y~>FyN2q z#bs1(0iP2x=tlkOxP+22kSWDTEowyZqP6-D*M(^hrw^s7zXpFDQpA0l zEI;nz7fw&is_80~xt$53O2y zDA`D@(NG4GQWCrPE^Ng0#bSiSt=19 z-Vmnuv}k12yKuS6g-ztw%$__40BukKICFvvLs-s7ZK2kbvhnEGQ09Q0Sx0?ANYOuE z2M5+F$i@5Yccp7eH^_hHKuams#u0F_r3yoI;ZXv{#AN8aEnq$07pyPrR)L2hKoy*y z;mnD&VJgqlKV&qARXU$t%N)n@eIs=*$Ul;9!n;sK_FZhZs!YrGivel?)u^XeSv85} zm&hs-CuDhe8H1n!SFo?h+>4{LOf?oC8yomTM`@Qe;?ma3wfW4!tN|OV1AQy)%Xdq| zngp-Cf_C*#gycj3;^j%YZ{PjkV7DiUr(`-e9^CslV3SF;`gE+`6FC{;h~e(2-@d&G z_2x&}2UJo>Tv7dXQs;GFh95HET2}$$XdzX-v!Sa^DN(Z6wzLY?M{3HDMM+j8Jd&*j z-K~TNh*O^PDblI9MrIi&fe^tY!Zm|tgtZ3>35m@`2`p<^F{Gpuv~_A&1+Oqg5&b>h z&=pHln<;twP%Du+KO8p7W+ren45G5;%0!CYc%%b2f~x?Qy|PegMl5jFlo%8A&Xl~l z3sQY~*}4pZJ{FGVXE`EOAWZ0%VKGDv{CH{{`dL*<-lG!sn{IjM`Y8c99{1wul9{M5?a+Mxg?Y0Z zc_faDlAJFP!kW36!7GuLm?gTSl)ip1M5plG$t#5`tk|rjci{Q_yd|q(^}u9)#I7$A;J9ubT#WVHDQ0)AQ|Tuk<}*YmVbO3Ct}upKkmW3`pMj z&4l+-qjG!~cGW?=%|Shkjq4yX#~=tY54MkXzhFa9f5)z_sdHe3pZV0Zye*2u+OOfJ zLNx14}o$GK-c1cjUt-sX?7H}>Kb~pw*Go5ZE zo6%&}Q3FQ0m?bxEp*huTmMXpxv5b@t{w^4`BxX^#5J1WtxpTP)A7%kp&-#rpAQcHg zzIB4g+Gn6P#uB2u;bUUX}cD)XNzZ47kb~R%A~Xx4D>$;(E~o`%NxM2<~cRv^%uQ4Y;s7R zmNjGN2?&UA<`GFH00jb+-D*sk;3NsGu{mq;Sm|+%FXe8noGfRZhsSJgOilaC5SYkL z%f#{$5n)Pt+@?}<$_wyL`~5^GYO(lE(}V-FVQ?}qlf$nSDSA`U%{uwc6V(X80RXTNp>J*z^yL7Wz{HO1f&aF}v^(Sb~z&uCChx1GwLv zD9+B`Gb$e86-EZ%=Ha2VmAKp>whf?ie^4~z8j+$rD_eMwcYLyy1TSEzBzarfo`@H3 z+>oYh;qW#M%B_R`rfH6QAg%q4(RrKFW$#68g(r|3>3HTSr^+~RWsVmF1!OLj=%}@o zA`aX>vxUM~_eW;_0DAOVNZo4dmC4CTO#6r0gys(hOhlz2ZS}To!SfxKgK1NAp*yJC zNz#s{9g*%s=nZyq@raqdYF>J;a-+>g??V>QH=WhTaJ3=13|QWKb)&JDlX^PPd?P?7 z%B@@Tf1F#0SionyJSYT|`+!B464a_RR6?aOVD4 z!`rQ;>E@M8OcYm!YQ<*Am7A{lo@s}yg3F;O^_+IU-B;oJ0Y@|btu8&P-b6ojaz{&X z)q;7{2Mr8k`CtmKx&huovozQ71sQ9W$#cb#20jr0lM2-Wf(<%^j4LW;fwb1l(H^6P z%l%QH^LC&8dmO<9t;_Rm^VzI)rj4OZ0Ij!f^Oh+0vMj#5L0OicS2E}9xZXWPq3%4+ zlG0t({p-)b$pJ)$vz%-4Lvz=A*P(?IQ&*Bse>_e^v7VD8Sh(3vS-fB*ubH>o@lLF5 z@9H&cUcYV0zMUE?YY=6_lbSenI*VYzS#0)Ni4a8Fm`6!Y_sHj|ZzDm(M!abAoAPXc za$6o9Y`i|mY%~dLvob@1o5n0WIZlIcvUHPO5byf{f%$6I0+iynI6=URRp1LJC~ae8 zX6*WF^ABb^MrI`@MlME9E=Fb=MkX%CznOP%3EBal^FsgK*1xr{_&dG-*1qD4Rp5VU z!|UZ%?u!C13cM)rqQHv+FADtm3jF(=;Lkm$|GuyIGkX6AP7v^t_wy3Z|Mz&Fg^q<$ ziHV7eg^`Pe{SR@1|J?ew;`!g{{kP(IMne`(4mKkrIs--kfR6Rq4uuZD#>h;^%n4-X z;ACRwFg9lYJx&l{WC%250Wi~XaOyvEf(9(iboz!YjC5>l%*-4HKn^AjMuQhl@ISO> z_}y;){7dNX4)6cN!50Ny6nIhKMS&LuUKIEPoZwGJ>^I{1f3gaEhRI&?eqQ4F|KssI zn?5TeyD<k1M$AA%_P>1I$dJp}#?DIL;VrSgt*xb*p}vEejWvU$&Z%-yeROZz>5Me3cM)rqQIZ8z#q6j|4RFY zznAv|_{Y2-rf2u)=lVeZ3wb|(>G`j-_>brP{2M0TKWF;a@Bfpsei)RLIG^pj8Gq*V z@bdn5?`{?*LgweXHou&LpWfXp&tBe4j6YL?c%L7?Fjl|J;CbctD@XEwo$|xV#=`om zl%HYEIcr>TC(j+#FNTQ`QpSfdB6&Xep-WeKq|#}15P4+K1q$NptVt=vWRVXereQ@84(dZdiraFd;|dHo0bANhl4 z6iVA!hCggQU304ESM1kx(Fs>wzn^Y(3(5jQS^R+a*ryuh3U<4Bk z{|f)D%g7+o>c{15yNpO$uj<#)x^Hk&n>0(?#+Q|wPI#&PC0LIz2@to%R*vFc*7(I) zsZm)=WxTrOl_!&@=WmXzN{j41aF6c`;5E~;itkO!_euHMQT11T3pCVy^lJ%BC4*X~ z*y1(~D!G51_RY=14rg*79oMWbvdfS6gv+tDh4&8UMp(sc3n3r!?G`h7c`1$At0Ad{ zn`tULsnVeiOJ!rSp+Ymy{h|8mh$9{e7+k&LFpy6O=qh^L{Wb`Y7E63!Ku+_rAvWCp znh6C&V;xd;QJ^&Z4O{h|RwlD6%@(*05-@xWCaju+XOPI-vBFS3N_ojU1&qd z@=$JeB-?IsaW8vw6yJf$rq6ZEy`>_>MjV`A%shGoekf+dRN-iZbF&@-T&h2N1_$Ph zDRVVbNVv5W4TLPj1Zr?s@YOCzD#`#A<-= zi4|DoB6^|(M8>mebpqu%reSS5B~3+^*ks`B2No!SqJfU6kg(OXx9nGf_9?l6i)F)a z*x*oVw?MfdrH=2`f$Ek4Ig?9_@y$r|iF%fk{LvVI97z$OkeVT2nx+-K>tz7$PNH;p z%7zZ7^?>p5Y%;4?gImzX9&(?4#h4Z2;^zmEz%c&pHTe)|i#(rE4JK9M)C1U^|)q&dAqUuL+lLA};aDAv+>-*r79f!iNRZzwqwikrdWTVZw=kAB+_ zg=7`lgvj0Pdw2vqS)$jMPlux>T$Y6@=jkxw&+i9ed_4=&SlV2kx!pnJS5i&uI6I3a z`dB)RJn|| znfbZ$lFch>0mt`^DnnD|p6)b?vhvCXp_HueSUuh4ItoCg;LlPm8eP>3s^YDhN9I0C z!KVm;k07GMl@RQOL$~6QIAW2wo970UszP7oBg^2(kwnPb9fD~~2vfie%EA>)CK0$h z@nLJ51Q0z;4i@;|;^A3(3%*H|s!n>sw9`EM=;md-W;p+0ChYu^t^F*dBeg`MTdM1n z?0dUHOfxP+C$FzEI=EPuq7FacNZ;ffUBfmIOxj-#;Y@Z=II#Iw#0lELRE&=!xs<_) zazlVvE`Vj!&211K>I>@0FNEYx;kApa8*2FRMW4OGWXBCj`aIW!Ex@c5`z6l|?^Sa} zI+TEqQtug7*_+%pD8eog*l~o_bl{?>7>25R(*(BIKulg$LCcdnb{4nzuauC%<0hp71yxwysx;P$qXa=wDGd0d^VIUu&P8ldfk zbV}Eir5KemJ`*2Qw~}Y)4uo@%hSjr=Is@jAFn9uFuqIAAdXzM|*wY|7!gyyyh3JKz z-eW`i6rnN738+hoTA%gFInxYh$Zo%a2a)%F_-si}Nf@M_03D@e*x z|C5h};ZdVLP6(;N>>4(q6J_$%xfhuIs#PC+5?7)FGDGW3FmDLT)K@PXmiZIIAp|EZ zPWPSF39Y^JSL7{+cn7=gec<%aZ3j3h;bT6*roAWQDa2a0JfvR5Ub?hDRPQTAsZ5)A-n9M2P+7SVu7(shV!aO ze@VuVl~f#ftD?pt6(XY}PVf$Ax#S}}tr-eWwuy42%aRNe-IXTMn_=`fn3rZ+K7{X* zV_`xl__@0nJ0<)wAX2t92&xS7zGd_3!FGL7lvp1HTRI2sNTe3LOPYcJY= z;>ff41UpcAt$2b(|Ur5k#Ta+uX#5)KMmu4Ot&I*msEQzejoK!b~)ECd{Sf-68s2J+ER~q z&!D+O#^0&a0tCwsk)HcFiFt&+e!ffc^h=jJ+yltnY}&-Td*S;J<|`g7rr?%gMsLgt zWO=?&ewB>YnWZQV?)iW0y=7P(+qSL?f#4e42@oLoz}-DqaCdiim*DOa9D)URcXx+@ zySoIxnX=ZNd)>L$ne&{z@3}wtH%2|Z*QowgJvCZYd)wEZV+%z2SCsfCka6KDh-{BZ z8&1NnlZ1#NFOq6uJu&d%#W%J@^~US1uy)nXv$$5pYhBn1oQZ%EkQN_+-fKE2ZdAqg zM9ag$NB6{?YIN{+CjGjR7W=aj^iZ*G42X*fei@(UK@CzD$8(;as~rw+2@kwp8eJ75 z>tC{RvzXJ7!1iMeg@-zL9{1&2(82Eb`Z)cxokrv=t20oK-`DAt2v<@;TI8Ikr#(Lb zlV>xD-n06rsPrFXlf>A?ZBWgpZPm}zR{1ghutC{vp(YIymp$j74D!z!-ht_ZO+?WN z>JZrE&ni#vduyMLNwO*fMKnJ2U5?u@-`|h}Wttl-Oh;MkT9Co0Wv8Z3cWIR95kjy( zqvI$ZQt; zCb2l)RAmDvM#ha`h2tOe1^gpEB;}6`IrxJEqy&#C5wbbIHm1%5vC#C=eF;jkeQV;p z$J)iztW^@s$FD?Q&m3eNi!op{kTi(2bncF9oU|L-Z#~E%KGu~*cuQ+ytew4ef3q&C zu-JDVd`o-jIP{ja1ovrNg&CJDTXTpvA=1<(nT)Ka=j+uS(S@tsfZm}~qFI2x?AK+j zrSIGt>R$52(nBM*4s{6}#%y*s&B3CZSq$xqvpokr6dCMo%G2p&E4C+(>$WZrVy(=F z+io@Yjg9KNu{*43v_pYWg$DP zOGsqP%DL81zB=q(v(b27g`=&SElmyT(~ZadcL!r@?K9X^yzyeayFg1h4Rt*ZJU^9d z_W@W-_`qd_m)=VUISs8P3%uM|8c?)y^)s4SheV(9eKta;fdRt4TG5|>01g=@Ow_vo?VXi_?D zLU+5dG8pnk0F|w*XgfflV1){fq_mZamX_RItwrfH(dpB*CA^(xu}wkOj`Ye7Kt4^{ z<2F(zuHAo15&FOcjANSr!-MGxr@0NGok=3R@C{MD$Avl<4yNAwo>JF_Ozy2X2Lei} zGcYUg&K(A~Fx0-P5Q$pzVWn|rVvDMOl8(A-*oI1y*^-PMy*1?{JEP%9 zs%eHCY&_$n^p0-PTv?jZm~_H9`VJ8Q&1G>?F(yAXD&@Y@*i#|;|m`cGKdy;Z$C5F*Y!9IaXXAZoh5MrH(n>Eo*ts8(jKP6KdMpdh59}lPE7mjx``ngNElVtAY~gHLbN| zFQ21LuCJH+2_i(2CWUcncmM@eNf-G9)6e7DogbL+fO?qN*K`)E8*km&jFp<4a&tzc zj;jJK%~|+|)^C`(SiRZ~x#CVS=5O>yAS=qF(LfM2GGqIZJ;BRnBaJZ`LEtZw1KQV1 z1G{9wj1U<1eJZBS(a-FMmO{n}71{?e8ib?Hd{3#tA6f}zEtlH2jmG(L^+##y(d} zSqS&clXEFnuV9p8>Z71DD4+QBsHT%>D`@=K=1TJ3?Hkn1y8_pKgxQB{xdb&1qe&W! zVB_a96wZdTJjtMCn0-$l!O=gTQV_^ewXA70OjVNm;B?j_oL_y3Yg9(L!`5*{RWCC0 zea&R|2gE$}@CxBZ38tOc4^U1?(KfJe-xqYs@pP+BK?C2UThd!GBpDKoKuYcCP+7RB z67lVHaKaquvTJ@r-OC(1Z{2c|&t`1C(Vl>|FHApHK%WXoR@poEsac+gFZt*=9oK|& zGNEW%-``I-^+tcO=yVEf1ZJWobo7&{(ziWHAG9WXULMf4_5_zfB$qon*CsrWCm1Nw zqqpOg+x?I}JqgG41`Mm0-*7Ex(UUIqvrX9Ug==^nVrxhJZSg zR(5pU<&1722OgQ4g|-E1#6LV|%*W5U=g{?!48|VcpAXZ1fVy{v%I{7jZO;`}2M+$bOswo1sde z{@SCn^#^*)fVDxA(0ot!avtBOWAxh`YpqY|c>bp``VL<@v~c4QhHH;J`&w$CWn+#M zzs%6}741}i;!Zf2}_wnYojWJQzt8GI4CeK*-@B-=X<#UK(m^S>tWLPd4+b2I{Ual}7 z*M`=ah~St2k#Q5#k!1Oaj{P_?f-j#E6z$Kbc~`+uwm2X4pHz4%jI)TfzYwr z1ZlXUv*tJ#9}`zIB(Oks;_`2f8{oCl8z2{3yqrDfC;TprRwUd~=^I|qwLvsG62AUP zS>12%kA8oX^=jAoYS;N{zyF%H|DS^k{_kf>{^!>A*Y^CXz^ekU3cM=ts=%uPe;vd9 zEpPjKnEsE#reD&zzh{5{nal;y{o?8P8qdGB)B4Xg8GkH%WBFTUb^kj0A6MTWZ8iSQ z-v6wujzL!spv$JuN)2FQ08lfs{KRN=*>u^c=>b2><@D+4_1W}T{~d-)ug{>zWT?wX ztplLbqh_RIWu;~{G-RRHWdRuIuo}`c80av*meu{Wc=Z2l)ayO`Re@IpUKMy%;8lTF z1^!Mv{|lG-C-MC6j_m)4=NXy*z-Io3{XT&17c)Fr+CR152hjamoA*!qeE{9BZ0}#h zaOLc69rWyFbZiYQ?d^URBGJ+Q9Yg-#e(U#j{kMa;f5njhx7GiC1pnWnxd1}IZ-)EA z|Fq`+UqZT!jK4g^{RUP4;?vLY)3+Z$_bW&JpCH}e&iL=k`mZ6~pYik`effvgOstlK zQ=Ut;IwFsjO;Q|`;448nW4`K}W1)OX?dXIKi{On7VaY*;vr8GjJoK z1uszPVPAPD+VBfhVlgUHBxC7O(&A!$epJOO(*_qJ1Vp$bVtru0QgjSfzyllln`n-#~8W-)eN_*I5#L$e07jY1CFy46gFFuIM z3J*l!YRO6K?Y;Q{?f!5b6X)xly3{#8fm7+i>9&C}C&bo|XOmm4H+gsPPu_!fNrNK0 zhba3k4evz53bW}UKyV4H8O$S>e~gVU%bX4KJ=b zT;k?vph0hatcaVP$)4Q4S4viV9E*fqc$zrJmnn5XKFiVlY!WL=L4hM1(lg_FI-@BN z^SE-~DDHa6wIed_Nyjx?gDo{y*0k_+7)|#h0pns#UBe`B+qbxRbjceenprVclnEM~ zbUJj?3n>;7(n{Krb~cfv$FIv{JB*gx@ufSrN|)A~aX!CRT~*|)4n%|^54vylC8xgI zcA^NzxM7PzgDMqWYxCIe$xO>T)8X?+v}Ul<(fp13E!)!!?{t}BqC6Oh1PwUNbal~} zo)y`-_+ksb_p&`MQ_PdIld}rPx>K5_a>-lcA?@kkQ8_&+kJNbIfqHxgsDOUR&}VlI z8{tapuT_}5aa|%&cI!%CJ1-W9H`kR3Y)^b{(mGB-=!@~NF+s=su(5FLdY`EU?wLKWn%k$SDbNjlhOWRcW+clG z?$zM$ge4pDgN2E-(dO!sr{V$p*ir9%DASh?eMCwWxgF81LfE*!uy9EPU^-s7qdy23Mj^l)HoaG{Z; zH7p&CQ5DHl2(F*4MjWER`dTQS-N`Iq4?xreM^P6lh8x_cs?8#8&DE#NjSt~>KyLG| z!Q*h~Z$`l{$4cF4V3adtIF>Xxctr3t9Jl2jGY5YDk=@{m{-!n!C&<5tWVtq=M%95x zU_y?5QAU(7PDK}c(>IYs46mDpPh7~I`WmEd5kBd1&zj(+>aA7t$ATn!$jjL5bKl!? zY{o-8j)b1Zg|V4%H6C{7=d*I>wtI)CgX5XEoQXMeO`O!0qco#5>$SRfuAsy+nsw=+ z4?L`{b&dM{HuW#de4lqk^>B5D9QWI1qVKMYa`2$Cu8x!jjhMy0or8F zxVKQS>v2ZQv9Sz}LmAHYm(?rJv3+kwCMBD-mPY z<;<;8oLSuzl3IM@ZRSD6GD{6+dqsb5Bw|Gj?XA`Z89(@K#$Z?MhVBQ%wyzrf-fG_Y zL!ldUGk3?TE9wI1vUj`4HawVbB@oe{zU5ER7Uu2nGqlHPdvrqdA*{khCXMvR7 zyF+hUu@C`v2Z0;KkCeQDigMea^4Z821NPCUm7hn^gZ%t_watTImLD-sNad~gcfNGF z7`0z@bvnN*b#||Rk%byvyAnIsq6eAaNWE*j!u5P8({%v*VLQih=aT04BOy-rgP%2U zCSAUP;n=pGIw~dIu@X)18!q+BnF2tXAvoA0h(L^iTBkhnI?6ELuRA5dP&`b#r=B|w znU};|;rU}7EV5>7z+CWIOqh$51_u1Lf63%7VKD}{%LtwE3D84M9YNS=QOe%C{V1S*HBS`{uFPFib)Ov32jeymG7u9=+kl7+MKLQLq zclcHLU1bQ*9%c6R^eZWc+&~A4@`S>H!HLaLa2Yj!8Rhw09f*PlRJt;cW+;0|>k@m& zx2!7m)ln;Q7f`^OQOa?bid|RXD2X@cK5)LJp!8fcqU*fTg@g;(JJb>0XdSen6~mEE zX2#zb*N#39KPzw5eO7tf@JX3HZgq)hwYk~H13`j8IyA$JR%)fBv@$QSLrv@J)2Cte ziL37zg4Z{XJB^DZPMfgtQwrcm!|q4CE}h+&jpV_3Mb9)G_!Zm zz)g$EE1baL2)^?V)kEHhfyJwIq8!?q&n(5Djm?}*3#c^0c`@48yw$QtSfnwo;zmGd+6XJt}6CGoIps=~i{ zq#1#69Jnc7JDDkRCY{G`{WGBX+=XIK}^n6UGQDBs*7$R zl&1z3KQwuTY^h zHDoq8JSkqUr`%J{eH24(j3GfII~5JKZ(eG7^g(ii`h1*f$9ta?R)rk#W&v;Lo3!l% zaEVG2e=ATSJ>W;YZbgbH!3L?KK)VbN9Vg1EM|q2opy3qOZv7}AQ=om|#B*6$? zo-EEqyS82YkLuyiSgf6>a#qfJA2mvJ8${iJ@nB6qE`{zO7)9sdRm%wlr3){lTjPz^ zMhLt)_|OJ$60eBm?Hp{@?A}gQ4LLPfI}e4dOP=poSf(>zy~lEQsh|OuCZ2#f*YBw4 zi*@1Pn(=;7i)M-k)3-Jlz1^8N(wFv;CYc+s<5Pz21X&-DbGg0H!M96sBXbtGf3KU6 z{D=T{d3JSGR6#ZetER~?X>lYjoFd%`j3XESbmec1q|%=@$duIe-eirc-V4bthzDsd zDyrV69!d*^#ytuA6YHwc2uDHuLL%?a(%NO<9?F9~~n>%(Eq~X|i z-x?Hx?3wrxD1AyLpfW$nmgf+^9gegTvw;MF05IirRdIv(jtsbW;0*Y#F&?SU1BVIl zL|3bNWD_Gv`vv%^6PS=*KrcLabJUK;1oxs9K_89=W?^SzrB&a`$a|Z=rH588T7VT4 z^KX|oUl{7p2xv#6=%_#xY`>~J?f;>AMk?s*H0bW+%jOo$mNJ%ierC-7Mik=4J7Cdd zt31j0u4)%Cvi-_@vi%-;kUPnIyx>Am(*cV3`UjLH*rE5Gr|)&D7r`ttP5|8nHA-RN z4$>-1Sa|{8x3BQhsP*Y}HtlK{Jns~`weBymn%iPKBCfxK=6kFlyFoBiy40=$=0_M< znM}VAu}5Oh*QJj*b>f;@9F7@YJX?}>Y2DWcyULvzCpE7!sN6~*h$}VPXk#6Jy$<_U zyt=xwvZV?!6+|dX$QUIS-DwVd~*HGzlLNb>b2%ZA_V_<8SKKXZFMwDPLv$HH$v124Hr`0_P) z1^kH%x!tp_G7d9Hg7fI!U?_qmlQ>LaQCstl3=ANy9__O9 zd#HP|rQF_%cr}mTc3PH<>_(BwK0KXK;TOu_F{|`(#+FnKg!j4fAnTkZ8{G~f`u8a@ zg~6eFOD@J$Ej0kDm(4jH$@K_Zi;e|*O}W4d!oGrt%j;pnTE6`@#!n~3Gr@0>3YtOz2mDIo8#pdi|ac1J;gR-3(Txaf+IDI7;Y@TRsj z_iLdZJHr~E-4T#tCj2sSf@uH6QV=t|-z1xCK~ySj{Ycq=ok*6h@O~BUI4K~?Va2r` zC)ZBzgI#dy#HU8Hv$zzG4aiQ|0nJ)|ET_m^koOc{5w^d^IrkX=92uJV%+*aWU^Gjo z*~7%*AM*{p^BhO>Y)igkDqwLs6rP;W%#1Y3(@79ZgYLLWF!4-acY_>b>-eE^OSO0_ z*ny>Z@^T?x(j7e`b$DJ0oL?jwf zH_YBRpD6@$n;ufEaM}mg9qG$VZ88;->Ak5(Jk`^qX7QwL#E)DXa1G|q#(0Q`A{}tp z4s9KxoCU}cYk{4gl@lR>MS_!%+KDJMK??D~@T1UuM(rk;7^W0|V}hh6DWkH&Kx1bM z^2L?>bdnD*M-D#HE-~;TmCgXZil-cVG1T0A80+ON8yEuX56kuuSPZ?{vmPodb&ZOX z*5ILwNWmS;eH1GCQ$!9(0e#5UFSkB*+FhyK6y?kZ+p?Eoll6Gd1DLdPmDI%y!eb9) zs}E!zUc&|ktLHS~+dYSLP;lAcoWKImskf%xHV{m^nM+hma2pIKNxjjeJAFr)SJQmU zksH`J6eF%l!POKX#fR@7_%GmvcAoMWM3Yf2)K*Y;D@KLvM0{4n$cV?RrC~S*?QW!- zzaOPiI!}H-smVq{qNj_yffEU=yVeO+aV~YzHx`Y*Tjxu}zTIpbSYA8UTZjFii3>L? zUV7@WxU#%8v0~{+WjrR);xe^qxdrqMw@xkM{P@MH_x@}et^*=R@RE$_4Y+FuT5Pz5 zo!3ij(27(m>;yHBEaqouQKRVR+!o+~(|oF+6NBK~4BUu|aRTYqQZD;xP*1&2cxb9h z4p@LJmEruc+zM@BxEM#RF z!md$@XzPkUFo5rf${9DbF28dm^w%XdcCO?M>8?6E-hk=<;f!?6UwOY z2c-0cX4HW5bUl`!IlC8?q-b@9CyJ^itc`@^a)X9E*vx#vP$Mruj&`7KZ9I-43D$}_ zKQY~y)AHl9P-5wy742@uK{#l<=L_268d~~gW82pak!bk~!riw^rN;*-ynfR&XE{}z z;&$@D4}mQor7FSvjEC3*C6*Kc2OPbbw@7@m^HEx54M-oTPw|{fwT8b$i8>Iqrw}sq zBj(Q!e^H;EReqCIqzBbux*;5#P0O{UhSq+u2}Js`;5HYZM;OdIL)R4dW~d2AC3BHx zE2t=x36esL;PAtD7)NH2M~=a0Hx&b`eZ!8mvEpF*|ds`{j<@ z40RLBfN@B-k#f%HJzWu~>8qiEbbT3T26oQmc||<*fvbXs4JfHT4{II+tZ1_;A6D}= zo5{A&!r-pO016AJkxvg8k$aa)O$m-7h50`cn$9u}&FgQ1caH+##X|UBti(Aj&PRm7 zt|d99ozy);q3}rik4nyuYS*{Q3coQw!k*PJ^eDEPVyYNj%+-INO;$c5{ULR8K&$7Ux&#{U6JfiGJ z$KJPuVdsRLql6fhACn)dnA?v(8Cpnd(H~mqok{{rzpqpS6026GJ@~8^Q-)lu8iDGb zX)Mdf2zJ$RR=5$v+jOcM$IGX;TZf+;RMp%D;QjEH&$pk<4x^oBACHP!GDoBXAy~@g zShNiTIzXn>-xElXp}vr{g9vAddi)u(4*11Z;`djfugLlNl!^3U0? zS;bccUKMy%;8lTF1zr{SzpubwcAEQ*V)#8w{|7Yu6K?o5djDf57y#f8EX->>{~FK# z9?$;~Drfy$$ojvI{>Rn#Um@%NGXBqyb!J@_20c0^CTccD)}NhR7z~)HSpf_N)GW-b z`UcFp3;;%!f7=Phz);UX-{7b7G#fJ$!%yU$#gLj!hxsSGuE)%5@Y6b4*HHJjzLl@e z(fX=*E%315^8E|sa3fM6d{>p*>^l}z*wil7J|Jl3z=XMb}0O4=G+9GnlaOJ{|D#jZZ$JI+&bH*Q7r(0QS9RS8hoyL zX^hyyXicuIAZcmbk?h3Q;0BY}eoP$N?%Zx#?=aO)#V7HQSlI=R_O%JtOJX}ww?4s2ZgjO~#R!**(_#&xQ z++5PTq8=WXv#g0$m=0#r+I;pFZsX!rgp^vQQg$vL<;{VEgR9Ms;`{xXok!1YY>idT z^o;e6S*4jfHO}1oBW9(vvf1!5yW()pRn3*{jqQf&`eM&gE2XT@*E^A>*F*cO2yqtG zv(WFp;t%;B(>VYopE7vL6wS8XWiijU>}zWGUeb6fm>T(RZmJZkB2aC za7$+qj@vfv94b{s9@DcGQo^fM!Q$ENiIY8}bU?(PuC74l`P#h+(29jq<78*%qhw1g zSs<+t*v&894uPcm6AvZm%Y`2fbLB)$am=c?gZxfFCuqR3n@2ivQyve?UD0YL!;2%O z;}j|3woGSs=4L)+x7&yLNn6(+r~DXrXRKNt9nyTxwnf_hG2fMB`}C z%mO zeT^NMlFCiODM4iVdDbq@s9YwCv1#PbApckeM zMx}#wIIa9)?H!QN;8Xj%cprZ9Po?l0bL#m;SuDhx;6429#tq(I@cn?L&I;&ipmDW_ z5A<^#14PU?7DyX!5sY)t6|&9k7Pjh06VQCnF1m{U!0DoTWp(9~k+KjbhJfzPzp z;yvhC+FQ>%`#=;|Eq_Tk{1DTDM2Ys+p`y*d0*}(6zYztm9JA@?d^tr1E-Agm$5H>v zE%%d!#GE}LyDM{WDmJAge<8BvM4=j0cv68Wxyi+GQSG<|UG`JoME_j)U)-ao=Tt4h zA3ImA;xDi6ke?$9-lxk>cS8zA2C(YAPgv=N`-aK5h)Y`pv$9$pzAkr8o~4b!GJaMz zo+@brC2U{tWpfZAHmj}W@wn?apFXQl5o#2UzLwkbZi_9Hz>4;iWoF^-Zs(-*-ub3) zXQT;u$dQc34c_~Gzj6H&@_mDV1q<_@H=Ji9OfHNOlqHl#o2CV;u{`BfQR=}$Bv~JA zF^W_z5pjX#xucz9g)U>+N#2QV&y%c{*2*L4jLXG1F*#zbq$G(il+s&YRSc+SbxNp- zS#2U*?XWn7affb@pco73obkF+#cy7;zK_m}QZ`ae5L_04Rvn)57)Ply>{zTJ_f3d| zsw}rS?|vezG(}o3;9wk0Bad(8t?k_IR{24SOD(JTyP6P{ZkJ z&n+5$#PXDRc{l~A)h)wl*{q zP?ZXRmyz{nNt^Gf&#fN*8jUIN)PHubNJqDJ}EKVKmcwZxHsZk+YKb zdtm{-_7=RnDoEqHn}^Zfem#8LR8_5-I?&g5hpQ}6NLNqScc(K@qK7{1a3WKu>dw+C zM?6Xv0`u<2xg4o(=U;FIIkuT6KS6$A}!eIQ6*YsQF+ioc6UnTw$HI z5@4%9s%P!)=%uK!()_}!q8U2qfCY{1F&wN&=LQ~;k&aanWS9N= z*+5SZwcj#+6!@HGKeRcGpyozaXp%Z|N~*}WVw2g(O7ct?ai{4(cy5$iAdd^8M3nVhYcPZ;(N>a${su(hOTTyMPA zDmymo&F?(EdfhwH&TnnUs+ntF%AEmcql559#}5w@!XyN^*bX{@ z9E=8qFT^)93`6A-8TCjaprY^%*m||?An#N~pQJV>X5MCSgq|&0BkHGSiq|SeU*(Ks z`++_Zqjz4>sk=kNi6FKU&aTg_**mH9P3)=$-s>I`($3i_uRO<>>mP!!-@iX(y|+Jf zcBS~%(WL_OV__lVlzVCSigpZxFkn} z@;OvA+?L7>F@ax4zeKS}nhV#gY4ZC98rhM@J~+Ge&*>SMxOZ1x#;)k*>?ZE^vI9P! z4;M}cCSa+4SRt*Q{y5dkTRd>NKEQx;?*L+s0Fx{tBt;d z*+Jqdw;~H+%z&?bOOvcXJN>k+o{K#@cNDIlK8CSE_(g;m|5&W}?Zp6P-b>z-&}bL@ z?K<`qdW*uu*IZwCRY$2-ce}XN@$So^&utm$j=jxHf?Yr`C6fT^cy*WP&P~@8{t!O` zB!x{%3_3)y;BbfT_43Zn+V!FMcU(AV=+$G3Vw;wgHbB|Svlro!?rC6YY`zTzS#BLo z`1iu#`IzDB#k7=};d7dxH~tz@Ty-7jU}tYePgwxlNUqK(xeh}TH95h-=@D93Gio5j z*rPomN|kC%+#WM5n1!-_AL#U~kmIsLwgHbHCA zh3?&=BmpNztTI~7;It_r#y%1t^1bJd+Kgu1ieh~ELhtE}NIK6gWlxTszvc8P#JGMv zV3_L!?={n9*Iq(xrTy%t9Rfg2*j*zRU_r)1tX=`f8Nx1QCp+C%{49jq978bJ6o$qw zZATz63Mgau#1lRX3LfOV`%%|Vtk64e;4 zR~GPwn6o+&2uub#+SRqpPkXBiX$)k)BnIG7BABD4UfcN6FfsADKamXQO9x+ml+Ggv z^0)8RVadJE%0GLb?xa{X+srb#{u%7k)P8$Vz*z#HqcO=cE_8N&LQo45hCsNiok(x3 zmrw!V@eRM_c6P=aqune(pYS(Qnd-~=`Ofn(a7#HdRaI10NgStG$xNtN!%4<`K}mz6P+d<-yP}8W**pUB$STfR3VW?*ru(~ zzmL>!K76P{6nZz3%SNXILUC8MiNT_(zA@u7{t^^;{G4X2WyH3o? zVYVBr<*F}`n8>Sys{YBCcLc|-yW`whihF#IcwhO)+8g$MGG+m{aB^Qcswc>Ar-^{X zm2SeQFVR<%9wW~*p;`##1^D2&_2^w@5`7))Pt6+Rh;~&e>3o~0$!tbheX$sQ(oK%< zwXTWXh0OAgBQ6!d7R5v(K0Hz9FEjfE0v^N2YxsRMqt(sM%;Px;XkD_7{cTsT_M?@W z+23D}@wAM}hhIKaGk2N(Qk~NG#ytKo z3!?9WR4mqbn_L&*J}7yQt(+QTB_$xD9o|5JVy-Iz#*TYrw#o*8A#x3cz}04 z|3$YuqV`f{&MjZVcjn?I;e2Fhu{01{jMTeTLb3R+N2>sihl-qs#^yq(k2tXyG+8xUmseWJ4)EXhFa0A5oWj3q@bB zaTUd4+2${v-vZA-&2~N#u~I&;ta97NYM=Hq#C{hOav25s6BOm1K&TWc?CLn>(S_7N zLQ?c1f}!|Rng?sB${M8am7Lni6MWN>eem!(ZesUZ_- z#0&bs2{)NA?pBx$chVQ9$(Yr<=L{;c&Xe)<44vb2lWeu7%>u`icg9aawOuOMxZhCb zb4?qKBt0BwME4f~DzPVYBdC03aSW42G6M*rB$Wos3`-z8W-q4NpsU$EXW6fbsb=>J z-yj+4J+QUkJmEt)8desC!;*g5c}CJ@Md)Qs^b&91|GtDdcRl&#om5l+4J4ciK=3>a z4|&=*n)a+#;4+lEp3C5J*O%4RSYl^K5PE6q0(NH%zoUI5w%PL;1Rs>ly#u7yVI%@g z0z#%qxE#X&c*?+8eQyH|Z9X#rF4n)aR2V8cid1*4-7ADyW26>7(WGOi&f-2LJAA9i zW(j<|bE7OUM3+JnX`?_y41#W-(B08pY$?KO7$#$zdH00gpg6(SbD>$2*tMT!!d_))wWO;&HGad z`m|h$;V6;%cWE3Gf{XS{`rceFABUsG7-@;_=zA*MbQm~0wy;T82438gcTk>p@%z

YuMO14uzBZ|l!-2Pw6IzV|n8KTaUg zw6^1@C9!(bynvYKYApAT_HdZ^3|qpS{nYieI~3wgZn=zPCndLWK}9Jr+Hb(z$wI5D z+02btb+IOLxw-|O+G4SV^y*{kjgJPIrPSCv4q}$N+X9^U5?1m%zmN%PF)uRRK(!c| z*?#KajHQ_RzU3HBYd#Cuw+1|A%C=c}f=PAle+lLncUb|0+s9 z#7q4YuYGr`{Rs+{KJzhmTl1WlGj*>1AxXj><1UtCAT{htRO$6E6PrWN_H= z_HlL#)jN$)JQI5nnSJj26zT^~7r_gE6F!urG5~*K?SKJ*;=8Mx(nOfOm)xZ1>= z7omO@LtoudD>~ei{X?4HDS7@tSnFXK(@f5D^L+jQ>Cyhq8+33cvQd1<;`n7*1N#M2 zYV34!+%~XR1jB@#(bDr9xz|YD^lZNLP{)Oym8Q+d6>q=y8i-7dBhdF>+2+>;JLHV4 zmlJUfsP13T3Q0s&gmgqoI6ko9<1xf!`$caj!~GNx@Z$) zx-demN+}%7yYAW}NvvlPZ%~jZD80Qug{NQJbicOg{(raWrl+Q(mj}?X)3LJC(Nod^ z*y(=55q@@@W*4wE`1vaOkMP958~u;ez+W8yXRw7XBQui$v;I%Sf=-Y1XPa&|dTL!A zdPZtp13fkteFJ^^pGGkM&L2?Ekcp9jjgFO?o`sSAmp0$@)H;kTKVc2MpFWyw%!WTZ z8M3_k1O9bj;D3MQYl7`nfma1y6?j$PRe@Ip{swIEYxe#3%=$kfs$Y`u|I()W=axTE z8L#m4D?I%t@HE@sf~Ws=^gph?KgRQaM6>^8{GY}1`i%NIEP4z&)Xa>(_@T$P80+eVK_uRdIV%2{anU zg%wU8@=vqw3W8JBv+C0(NN`YGaY?lyVbcnzka{H4;E4IXo@jEild{vx;T62dT)|Hf zKz?s$y9aiLvC-kGt>;kS_Qvx5f~?2&%=6La!`-vfHy-Lz>BP{~!?L#L9qq=tm*a}h zJj=%(3_xJUNC~~^jb)hvw%7N{2_~_c#&b;$rl!TIgKsTjIWx&4`oZo=+#5XXVwoJSnU}Puc$~9kh!o}ot~1c8 z#RA!j@F4>N<@sw4>%yZo=bNINq@e{KvdC|>m2CrkS~qP9#`fD9t9zbZZbuZ|ONxPs zmkcgV&GBDZ=kzymndvyzbd~Xo&$HCbJ5I9LTjaOb-!LeAtNTWj#@F8W&bN3+s9s|U z&Bey?+ktY+cfrQ*IBFw2{dCunbu}fPtU$lP#Ug*iSOq-|U~SQPp+!~W*V7i@p@i(B z$F!sw3lPBFb(6EMV#a{4nG!fryu(D-y@GLprFzF(%(<4P0aF zSxE8*(Q@>pY-jFM&5NliKV3-q!&^UeQ`!zjWnnid3x>zywdh{ulg$U70yBxk7L!ax zV*$OH+}QcB*{6LCdAb6{aKJ~1J#6>llhM*!&yJG@vz*0RpI8I5z}_lK7q1$Yibm)~ zO5gs1At^^9wP=eRfs?IZhj25nT)5+pH6J&sIvQa_yH9F*w87$aDZ9>l?=HT8eRLzX ztv)2Gt6}ksCE~U)Rn^KL?g&m>uhr~@iWRDPhDT?@)Ke576jr;@HYi%c?E7dR%O53F z!O_asS7}xt#+980SS0ipRe|P{~~N| zo}YzNECkhElqEnVd=+_ybdSL&z~bE4O%{H8dh^VDWB=x7XZIiT69{X}8zN(pLCF_r^&W$!w5@2#~Fk@@ah0Q-rkw$YJ&rD(&}9io(e>u9oI#%O6!B9Sn(T_d4_QNaw9 zI@4xXuE|$w#k|kRsh}ER0_Yvy<8%~VwzcidCI_EBk&37#Qz>Hns7c~D%aiPJ+5ih_ zK%o^f4^73g!v6LN=VDkCrXuWUo655yt;O407K&KZ8LqO0s zram*8bV6+7oeagI)WMrR@u?ed4r zaU9&wcHVx1)M}A!TcJsqELWdK(bAwU^=gcbmn*B`te+*S*X1I?6a6p4aNTRYxah+sOJ3auqO(V>G znuZDf(A98%p2Ob6+VUL>gksw264=w0XDYYcHvj5I_; zGBv;)gF7P2;?aD6z9Z|=ckp)BVP*z*=p4W|B4!zlRHPJYrU2|$J(=0JDuOt2xV}B? zu|0D#g8uSuAOt}AMySlx_k+b3;w3B?Fqu!r%1Xp4;YX;jxLzJ=cym;kh(X|Ll7~<% zcok_OGeavH9(kr}=!np4nvroeSt5$xjxsbQrIlkE4=|_lO1;8a0N#Fa8A~)B2G+-DW$9xjX2Ze@Lu3j4c#3#7RFlEFB*YRDk^$;!SiR7 z9^8mpWmyOfS!JIAd7o-AN9Pv>%LwM%oWkbR9&QbmIPRCM4~1*f2Q}^L99Bb(c#4^T z59C-j&3dy40zMJ*6!~{Klak@;K`|L!6HN{udh6cFb?dkUBp!0SG4w6aQwcT7pGM2a z_m1t_QhEPA-0#zQ1X2J^a~hav@>^#<(9dr%p{65DMc`+|Ts#^%HKwN5s0hP_P)7~X zq^kD^_?$|8B=rgK@r~93!6b4lPgI`!4m{v*6~AV3r)koRDj;L_(;bNS620q=l37+l z!R3o|(A(Ch?Kb&>rz}3fc*hI=f@~{v~Y*ZN!W%- z-1n|TOar|w*_AdSM+fx~~+9Csi+%b8}DCdKui|l@P0hJFs zGZ1=d=Q7WTP)`!>r@VVb4p--)f53aW(d@r;3A|gz^ZrcIo)g(U?beW4I^ZLUp}#jg zZY-XWk4u#xvobK@nld^6{-7vmO#6W3syyNf`u?Y+?J5!rGCZyDL=ojxxY~yIE1;!Vlb;T7m3GV#u zU{_DLS(EXPT5_B$^O1wi?TO%nm1gcGkSY^~!>sYjFq}Jj_&Gj}l0;vbsqm@L9=A>g z`2$N$e41UOPp&EKYH2#n?58v#Aydd=ooiPJD>~L}D(zET5w3vZC?YFL2ugc|Q+Y%o z2iO@hvI`K%frqY?C?JfJ3RUVwlo9DB#jJy7x~4tf0T*Li8U|>J){^=Ko01uCq@t|! z=}q~LV_eA?>u9r#%zN)59_ut8t2cGsbZQ5M?S#T-R_%}BP_z+s{3-8QU?$)`S4Lj+ zfVg~h}z%} zG?NdnekPWmuNu8k?k@{GiHB_Ww*g-ZfJZQAVta57l-_MutTU6}^cwL2>ukGV?WD21 z$A~X*IOjt!SHfkbj~CK_vK|tL0I$D#50Btu<%qH_J*C~yf05vbehxUih)NHT5|KfPvXbHk)Sf_-exdvJ6;-##nFwN z`J5Qk!9wX&i6Z_+)0Z&osmg_LC@YZ7l&GW(G>+JxQsra@b(KgKBxQg(xP6 zsX0M*FG5^$C>{B8TR}xaxsa*(Mi4fb)hK69>6LY+ZL}8u_k#1Na2KV-0*K=I!pu)4 z`mlVpTzo4C3M(PO*1p3SMEwB2^=saWx8ykBY`sQHFl(IEa88X))HvIfd`@a7AMqS$ zQ@+WD=b94P^NZ#z$}ZdLa>TKYW%dFL3_G$vxP&Zc_fGKC%u--i;#aC+Yd&(rM+0$# zDhL=hTBEL9Qjs6hkuQad$g%Yh*TzEz1!f*`b+^PG;=wqah*=O=L&4rBxB+cvJtn5n zP&bbX^cqk($U81-?B7c+^)m6(*;rVkvZt1wtcv7)6%;Fm%sz6>KI#=rW@NLN)Q?qu zBi&6y_5ZN<7C?1u+uA4uf(1`-cee#BJh(dqhv4q+8rtEFBl0;g)4XI?RSFwGiL}@+n;A6dp+#8 z$$6Jl`@)NC51fv;qnpip52YCeIB6a&jhgWp`B6eXZV=|^xx{v?H9KClQ zkHdxcUO^~m_~eJfh6PV}$E$Eq9Yq=*&*(2Vk@n-f2A?A@t(%psnAfg<@+E+OBkh{! zdx}td3ojzpXes=hgNx1^L7$8wSA!51UT7|KsB*QizmUBvULs6-az@e{4j->@RtC>5 z`q8t7-)L)Sxt|3idaHAuf&aw!E*ze^?z{|M$il+Wjy&9BQ5yB##0Af0<-V7ZDrEp+ zxB<2mVzNiW1`@jcSFf7ARk+5B@Ne;&8|C&VrZ_Oj0S|B-U+d>6R{1yMW;56JggxCM zGg;pH3t0MIb$g7yWt#KGnr|rP2WEWr!d`zUgNJI-X6lX)!G>FNv|mk|D#I`{b?$Jq z13_SJuBjmSM)oZVzT*Sl=L&DY>Di!YZrs`#_X}8%br}9{|11Fy@V(Ue_j|)%gxxQ~ z?w5M0jk&fR7ooP5m6@@www--+3|B(Xqo$dJ{RPEF3wtv&jo+aC0U;fqrH!7xjoNpF=r!o% z^mXmj7@40#Lsoni1|TgEpOKA`mJNu{0$`;DFlqeP!TTNOe`%YEBE zHq*1wXSJl?Y%_h|5vG4?`zM{OKW+qn@*DV@B-Rhx%=kdIzv(xCh4wim6vzssWoE)> zVq>LcdVa;mM#~EP2giRbr+?`<1IuqK^moUZSpS~m3_u22CLliZv$^Nff)T(*3uM9v zGCcp2^&gD?SpUBp|F@F3Kzz2}n_vHf2G+BH7Ta$e`OkV-f3$1@A`kk?6R>j|HSMLtExpT^r3jnmM02V!8NAdjah-^yeG59niW-aknIs9 zFl~I#tZGbTe8?{Sfb!7#Fv`XsJYix=Mm{#*VFSP8u+4FmHQF|JfT~n|BgN(627Itu zNOR|KZB`y}2)W!l|Lp1csAuzS+E3B4K4wLl%y6<$URAQ3)O-nIdX<}nuS8OYp=my^ zuV{B9N7j zkp1E^bsZn=Hm6SUd$bh5Ow-rp!n5*8Zi$-WFa{ddfC8kUO4EXPG56ObQkIrYD3IZrt(tMB>{C4$9(ej+nJg@I#tR7q$>F4@*HGm z_}CH%fu}D5;dv9;s?RQ1Tcw0j=FxW0=D#Apy5VD)C~YIFDV7m-vG4Hg8bZ1&G`>i5 z-^FcMam+|7-*IGFGRYrJ=N@0?5Tv}C6e#vfZU9b+?)F86CxLItMQWD9jXa!NPQjSO zV^>HI){ns}=m$5AyMEKdnsR$3U{b1kviiAM(R`hI zDeqgDyldt8Z8z22^Lqc+$gJW(Kg5 zMQSD`AZ*j%_@>d|+7Sj&|wrJ)(0 z8h2fOvp9|g`=-oVf?XJYU(wh5Dr)pgPW%oTnoD#I4dzlzV%XBR52nYy75-3?p);i{ ziAts~DuF>>Ws=>#WG^R)5kFwKzeetIBEU?A`-nBwwPCigMP@Kox-(`B}Io2edMDcApSZlpwW+!KEcchQ?P+1j!mcm{!*1Cy)jo zC_@P3Nzf*l2@Y>sn=FWAgy{}KCViO<+R`zymwoZE1+UPzn|N}PS~VN;xh8j#b3Qtn zheA-d%8{3hcS68H!oGXm6dU73`pK2+8mIdsxF>=SThHJ+z#ZRlGv}5kJQEb{jZXN- z=cdv@ZOthfifAiu<#XXHDNw0`y5Le*tzk*CgP0oU?6Gn%an`x`9)>IEVSSFHXanhV1LWxOWet7uS4-nv#GJ=GF@HT{4!vC1WhUA=!{YO zP574p{E`X4VPpZgip(K7g{WY@h2i4dr;R+Y6(c_#HJ@6a7dof$&8SY=!HzhuscMx) zO?M^ z_oWHx$)$lSLlL$HpSBmcc)-?aiQbZMnr#QFJ`%yEMXF_$&0t+F4bhFN#AQOpz4XW4 zLNr|UJUh~!TN*s7X%vPJgp#*gG~iXYiHR24BQ8TF;Y@?--B?sQb8zoQNUz?nYc6ey zL&Bo((O`K9ZB}@1$CzxBc9pu+vgUul=R7RsVsU$!$iL7OeDz=oSt1)S0Y|bHpAZ*NF(!Es3TWf4J=HzpJzNy5AF%$mGA6dEc zF@*DuGd~1R*e`{WUQjOOUs6XN;)H%|Xw7XOLfFI6FEp>DwXa;1U3gPnKQ(*$^(J{f zlIoFGW|zJ;j!i7SiGYaFsUvpao5*{0uY2ll_H4+p1 zC@9dDa|tg?#7RWTgbR?JbK3S4C+efJ#A~;AN?|{_3;4F6k0Pi<1(5F1l(HqTfq3DD zf=n*FMuokcG#7Kt&}nobe=C2C*1T_4{Rtjxln7EkGdszxZ&^4*ZAR~6Xe&A87_E4C zJb1Slxl$4>q+Vjle6H7q;a0@yPVTBCDj<``v%vX@6PDEK9C-K;5zY^{>6?${*u2n; zqa!e@QDs40XR>hEGdR1~+gmT4k?tv7HQO5yU2*~WQ1C1@kzrO?^n#Ey#^L5l;iM3p9aexqNY*feR3$fL{At`MLqoc% zhh>nc1*y?m{Rf$Ii^g|1(+84ozifF*vaj1DB@r*Wxt~g6Wci*r=()gPjI85uy7Ll% zgr7YyJ4>HR=gTmMpYgq9xn+2lKN(Yz>~#QI(ItSO`<9y(wus44YV##Khphb0 zJ`72!qSoMEyquX{ofdFGy01gF4g9g~xU4D6CruBCci~B1=rij&@NK_A@mu@Xxrv?4 z;DXAJDJYV2vWSs6leV9UrcFC(CQWTDoA9NP24+=)$3^HHZTZvc5Ou}}lG_?@|Xbjxzi?+FvnOZ2`CmuFo`@->2?w)E_>G>B}Fjgx$>Y=(-8c-mpl=$U^ zu(*r@b(lp4l181KX$o!1YZgD{9b|I^4tk_UlKU|dEvlJ>C3B6D9V0SRO6zUfGZD#a zIZAdiSiQ-+t7Q@Il3#bSIV)3KLAsICSw+hkEMr9rel326G0QabZODvxYY98PD>G(})# zb#89cHyya!;DvoAi!h*Gh=w#h0F*dMebCUutzLl3Vc+ByQGUq1&J;It7)rP_ zio~mU5cU=E$vH#KkPmScuIIOVUT4Jgo(tRjFxF?)f;9*J;qLcTL zP@yD(Ebm}KVWa2w?^xl`heGT;=*sp$vRgY$s&;sAsX||Fdt^1J-v&Pa5?dRiCOloP zo48mr-%x9n*SaNP)YNucueL@=MW?!XvHG1N^tuiLsnx68cDy3iRF6$rjSOn8fOZ@3 zqJBjY6Z^nN<08-GCapU083mU$lbUg`_i)oK6 zlobj^_&l*Ri?PapsqV>sk{$cf?ur=VfwAz}3Rsw1PihLZhiwt663c;{)OvpUc#WfM zT*NQ%4$kOyn@SAW)a>qpZ&Ccr12yd z|3%0Kb*FlXy82TBVj7E(a?p{DZZrtZn2b$X5~KX{6iiSCxGD1W!0(*7C4N zRC2t!NFS&gJd4URL)*rYq-S0c$I4=>%#+FAy;^;|m6~-k#E>Z5rMh+ZT08D8AIj&< zojfGll&47=a@vG;C;91=G}x#dyTOyn@a0ySDURf^2ti33xY zI)T^*$Es~9jq=>u7T?nWcYaGB@-;PRl_xMFv*DBGxCo3jr>`G`0*4RU`X2(3!ciYl zgbJR@!^Y%LzPJS=;fA_(K+))X?V@3>Ijw1G``*3|jjS$38haXtL++F!LVS-!Vf)^NF8a4ZpT))jjJ2$IoAyapa;1~XwbZd5?QF}&%~)s^ zyyT`?sM|-iv$dx`$l5*|i^Ob+nmH1BPW)`;WPS0HEcczp5dHXwyNk@qPV~CyU9~7vvqzpd4rHwv5kd~fC zm;U*Y2MZf56AL|?jsbugA3zUapnKj)06h&oJv$>SI}`mMEGXf3s`PiJ^ml8|ueb<} z?Ch-A>F69C9cdjIX)S*VF{8_(!(Hj{@B1W=PMk zel#!pHB@eMvYLr_#85xj3wL z*WTE~#=*tjR`~YL?CGTcSo&K#n~S@Hvz_g?Z)daZkB6Nxtq&{Qv(x+gtt+@khj_Tj zVoCw|u8nfnLvhe`K?;gtwu6cRXs$&rt@UQH03!$Wbangd?&YD|)kdHTlFo)nDXRF& zX4CEYz@14YtYj=@TIgt4sXcl5MqBUDJl)A#+DDsfNZO9tS4KAErmJ8=%vr(X%)mH% zEuDqZj=n0Nod9VxcwLoqK|80x+ME1)x5lSC7&`O9{rB^pA90J%2)1q=cgXtXTy06H zD(gh&RjF4`ykfLnhWi2>su+P+m*dU~xXW5Ow_o=}VEV~dcOYJ>WyE$(f3c^?z|m9+ zk?~X#-!&OeyxnZID}LN*_8Wd|?&dO6NupdA&M#sB?x-`?7jtUJH#H1r|k$(9KkQLLU(58jI}kSuPy39d5f6KS;S1@U^5eH!_#Qq=bt3;8WG4 z9K3<*X4SVoW`@<-9@1uj-kIVcwAudP*Q^+`(&Ek>O!teim%Unpn4z$7nC5K0CLgZ= z6pHoEn&!y-ZYNs}b)|#@W2j*980YyKYEQjmEc&}OXMlvtOPsd7Lm2+)TXS!rrWjI(2LcH;(Ky;*PAR4{9f2e84_sbG+qpb`pE zy<~z#U##stx0Z$G!fEm^8+Ny<0>|+;@-)9vc4J{n^O=Y z4iYkyT6c;^3kX(> z;bca!lS(0`zqIX3U~4h9db33uWBG`R_=AropG8t+o`yf5)0oJn&~ji zz_o5vzd)Kt6)qnErg#U^vy65* z9<5wTPgprG*Tqzs-2{=dwPew;<3(O3wFZRLrKw7IS-I3T*4|+JO3N4zm!$DKE>ks} zxP)>s;~>F$IEzs|m%zGg;5S5~ORv5JXSz{ZO;-Rs%CT48g(qfZ zI0N6t3VIC!LJ}KrhaYFpV!(UU(G0vMkW^7^Kc%^Mqn8lKpx zs^Y+!0*63R4-2U}w!Bj)jlxJ8t%;V7j;*dcPt*k8=T;cw-1S{C$Y(0aA-gB_jxbk; zr*CHmdGe*GCY`VR@cTMq67;JxY^y8WXtcfeRw0TaS3A}GTvhO%aOSYi59Ip-IpGv9 zae!SDcpU3>;FGkUKsw-c!@_WSP{K-G*H}GW?;Qym{55Ns1}pHD^^56dBIcZ3?9U=z zhL)DDq^ZXTY~ZPlvPX>8#eCx(GTSvtez^f6opQcjjaJGD*9{wZS{pfpwLC{^i*e3-an>8ZuS-)hcX)sCZY$iZPaZx64Vsn0d!(M* zGt~`=`u_HBYo>5U7?fKSq?~Fb zV`T4I(S)2jT)w_BGEajfPtUHcXLL;_v9HiK?zUSJl}I{H*sLV#*LF?T@o^g$HY9QL z`B0?>4Qq>Ve~6^oqs{zMab{L&Wm@wQ+83vkLTz008WKfO9|?iC#Qi~YdR{=4!oq-a z(o0K?!`6KeZZ~;ykZrsOnnyiUBg}9Iv6WZo6ZHlN%kJtscPl&FDcrC$4Ueazyr#E} z&Q}j2ew9fgD7Xubr3UgEqk$H;I&nD{(`Gs7c%{Kd;x2JI=%h?Jjw&j?P;vX%g5uquDXEaB zo6Puq5!l=C=X?fxlKX>&dXed!j)_hkk>2tIDs##X3f{(VOmZdkdBh+~yr@Sn%}u32 zKb(2fH;AuWS1*YL4a>NlM`QRkB`54XOEBLO#yX)~gf_qo?VyGUrC^Tw1Yvn0vLv%0 zZ0v$36xWE`PJBE)z3&vUv#ik88pz15aRV}rjw(3@;^$ETk_q_ikpSA5q(-r&bAg2g!;#)5e?ohIYxAp6J#c-sz?Yuo(DL$|hT3=^@Ytf_ zsmaCD?)^tSba-6U+C-lB@kO0xS{nPH+9A^LZP)7q~GJ#bl$|JDl4MY{ujl1Wmf5Tcn6uc}ijku{im zMypKT=3x`5n&c96ZlC}FO%C2OLlu)IHLqx$DVCjqjNHQkM?3>AwiYa8*H`!6e zPc#x0lb~{i;9`e2M;4mA9wb>PU(LO4D{n`+a#aq8GJHe8b^)_tUQYhPZH85Ok*QUG zvs;8Bi2{a|R?1ot%_|(|pv{J{$;(gDF6&A!ZxZ~vum0Eq%BB}s5fGhDA((4iXH-PL(CO!5P31ni1L$F@-r&T}S;R>w9gAsYh(R`FTy zYXgWHoD-Wvp?unc#clQkOiM-Tqp#>{-Sq}Nxb2>fcJ_8EQq2}pQtuT!AEL-Ql=Q;N zBEl`D%0?eaFkUFAIabMBUN%o`+;2DS(iS>TSG31#pG=QOtU3B0QL2mKr6E~f&muWhiP@cT3d04vm%JtdB3lG>XEh`n-d}YJ<*5x>}%Ei_Z@+7HV z;uz_s&qMQYwR>iM!4*p{477u7cyUx1PHm}mrhBw@lau)KC@DHyiON29%L=^kE~y$cIxo1`{pXWWZ={wMAGy7q|R*Jek@uRK|3 zJ7OC6pQhoQP!zXkV~%LI2JT~~0(nnd&ft%C{5)t%4U#Vrr&#y1T0=H2{dbsy;;Pln zw>p}{-O!oEHiU3_wCXpZ46NOjb!09E8xtux32olM6oh8MrM|G@|G0#HMseNG6dcv* zdVAjrN0EotBzf53028WYgOFqkbttLFF%h#97vH`k{pefz1S;)Wj33o_n^iSExwoY` zc8y{i32PhKpf4?c=tQ;?1h-1t$#XPsC<^1;rZm%slr-lP^}fqmK=>((@#_s5ys^dr zld>u~2K7m=j4nvjyUmwp=dFehi};GfVRnX!&fP=K&KvNtudn(a$qD*0C2m;@Pu7E*$26egJGccxc0$eee zll1x&A{&RMn0l;&E%fH5^w{{(gW1@>1X;TnjNNf!=Bu5(h05%mqwo(gQK zB%bI9ZMtFX*Bba#pl#5bX!g!srr|b*)AU$cK?PFb?(nJ#(O_eWF{{9{Oi{W*u^}i< z#|S``U^DtFalXXq)p*=OG=!ebGLJM+R9LM=L(o|Jih3nFnJ~xeR7Tx!9JZ5FOn@OX z2Eq79C~-qEay4{Y>M>g|k-VMD!u)Kg`93Mbj)vbUqrf+@=vadwY{VOP@F)@+rdw-7 zHb~JWjMt6jU2i2S_#Fkfam;k}nb#z_<#B-u@ENtCUOOxK_N$oiExHjxjt2=Awlq>w_ep>?ZU`PhZBZ6 zq(Zu)Wu)Ikc3E^m-5Qe?NhLZni)`?pM(5khO5V@NFeknvk7u4#e4eajvom}%<7iY2 zt@gU6xk@g4No(NfLmVyQB}9A5dk9hvGDiKaUB&LM9)FSRfUh(TCzdhV*4~KN8;t#* z>oznI>TMV|#OtErn!_@#$6+G7^zK=@uMtRsX9Q%lk@a8|_#-RF%~PTi+i}4_G9WpQ zn^>uP*1`$AnDO&xu8?2QV=@fakeY>#E|hL}MbXkify0k-7~gx?L2(g*YvpIL9s#_k z?{Pu$TmYRZMUt2s39pAb?H0W@{7$$1d_&^$x{aS>+U*(7G%YGU34y-^z3)h z>&!UpWi+jBK%#-!2VI0F5P}wo8i||~ZVqcjqIhjdEqP$F#NQQi$x+^xNeNXZ% zF(CNHs@IMNVpQ0{r2oA%a>ynTnbSN<+fY5I(NVtmtU;Jd$EshT0jHfvSVVCc+-q4B zZUszNIS*8PRrCCo_a-kyP&Q4mxk(zAL~QP!(l^bNXA;*x`^*iYo=C-8J`JN46EzZ>UfP)IaJdjK-#<3*)SM)Zwc;vK(Tn3O1q zZ}ucpda_O?>b!W}AG1EZ|M(4#u9zR)#?)p-%fPpcS55+5H7*)m-TA5YP;DZ4r9Y=Ylh9#9}l z0#~^lg^yJ2xh2qZ4)#ak;5NL z98yM_=#Lj40q`E_{(srVU;vvcyHB@cQK1^pXKvT53fkQKd;fqra1%#lm zhZ8n;E^iW9ur2^JFITV*FpZ9Z1c7R(P|en z-=^d!xR1MQI47UDuM~)$T6W_D3Z+`1Ld(@llyh#>a9;}OhrrHpsGoP#3jp5`&}Xo| z6sp)r>@go%B}|lC`+$*h(`JIkXsJ!AyW76r@7?!qIrW9EWJ@z%KhUnK-fj~i1{AD< ztKRuN)gBxLePLAXiurN4{3-m&25%^D&Vlo^DI$_lw={2EZiiFyD{5>ZL5#zuH;LtS zn;lN*$t{y7!wJwZKy$!70^l(o8+{x6paHaB{j#CS8_+Es37&0KBNSL%-UVhALfq*E z{#H`WUctTCT$CQRKsTz7NisDs#YXG8wfMDm4&TySNKz0h6v0h}!~U%fkoM%7*K|pA zuajJXYg^MIn0Nq4|9n&;QaA;!m_!+!Dc?B$Y4{R7_|O^c^f(XQ=N_&%$Ae-4JftM< zbR~A3);HEHt+3w4!xn=>lcn*!n_j)DxQpX2&hIv8;zNR1?+a3rCI^#WsFq9D8+6it zh&wSzfKH_G4q!@K9og;9?>s5iV+?z7mn{3HVO)n8gavn}tk(!MWf}1U8DFK_6?#|( zxad>{j#SND?rZ zWrs}~9vKmH7ZL?4&m8(1c=mAED*aXpH(g)aWPYbXHM+M|OrZ3n>f})9WaiJ@s zzDc8HA_0Zb>PzCZvktUKLnM|$>X;@DYsg$a?QtYTh*wbWj|Yj#@C@hgwB_<1PxlWr z8i;Sc>G1daZ(p=EGC_LU-I2^9Mj8%a zCn$1M0hxB@>+yWqyWm&H5WBL!y{RGKnD<|=JQm|lAV#{2zeo}G$GrVYvBR-SnIEHG zCuO}b4P5N|I%kAvkbmmDSqbb+DZcA4S9`6b?dY`q&A2?Q?<)7pdE1oKvG{{}6FJYR zL{mXEi7=ht^c$XRd1sV$CdtpAb3xb}gYXPj&x2EE?@KfrDR=N&zG@7CTyW%vcr@AH zrOlQ;;mk@ZV@bAcO`Pj0yKF}Fsa6$KGY))|Yiw1?^g-U{KkS;bo9Jg0BqiUjXIVus*NJ5Uw~F+8A4XmpZ}!o{guiV`=}afbv7~ROu9IcZzbG0@V}{bjJE%c2iC+l(Lf{tyzYzF^z%K;; zCz*3UDc1a)Irl?p=I5lT=em(UQ|JB=DESr7|NlOo|Ec*K6T|pT1x0>2RWg}^Ta zej)G+f&WQ7|C4^>9}(4a8N`q1UG5*^`JYN*e#P^@l;{7?R?&ZrxY_w_^tJ8u|7Lv7 z{>SS3o8$jkJkO}3txM0O!~ERp8TcHO>$3o!pU<=C(iku>1K9KdKqeM8?f>riJQIVC zfxfN*BMpFA@A>n(&q2KoBdb0QkikHkfgZ@9!^X(=>-qfOjmiJtjQjN+{tJO$2>e3e z7XrT!_=Uj#B%c3X&-{C>@*fe^_jvwyHR?Yq+ZkAXO1Jq*d7kM<1^mBIo@e^4?ETNm zcBUVd?%!wsQR4o6;}0!*ra!dkp9RF9+e?3c>jy*dyutiKO#A!BkA3>S@x$o%jUNWS zZ~QSc^B*%a|1mT3A2T!mnE7wk)yv!2*z4NKXxn^GPgyS6 z^I1CmP=IG9;6Llt{}5hhd;aG?IRE2-{l|<0nZ5)5hjA7l?LTVl|5zmd zG2=`uKYPy1_E*pUiJIHL4|Ya|pFL+{{Hy2RPlo@P=L}3gdkz5p)${Ks;D5?E;JLBD zPsf~r{;!^YKk5Ht##x`2`JW38@V&XiKd$zl9*F$gPc;DW$Jfj6s~y0?@>kD)`u6_! z8)stu*>fQMUp@bc+Wq$%X9WCo%mGYHfARdswdMC?{=e4M;oUn{d+U7qNM0LFqq#)EUKifavwSZ;gkBU9$NwJB8hK1m1znbj$dOf{l z;=q+bAe=jN~-Cu^7>EV(3* zdm4eoFbyn;SH~=_PjcF zoG?a@&nJrKM!DnYa)oj#1NI>$X!u3#>>NG^JX&2514VUZ#+V9sQ%ovLwZaL)f^~qS z>@qA4bhO&JkEr9KvLe~0$AWmokPFZ~5J-VRDuHYcXILGr12c2%@5u7|l95nh;>H0b zk5Uu3{p{n}pbr=buA7FXk{NCo@8#Pu-o9;2TGp%&iDUOT$853=mN?oW$~M^h#8Npr zXe13ZU8y0pMueBGYaTV-v{Cj`p3#IJ=0#X^;@hhY83g-f5g4Sp z)h!-Al5pFJBX8`oQ820L;!HP;pf&Olo#bVNrB6P}zyX6IM3zfMAP9)p<#+sd4Dp(2 zRn)5T_H}>`3oYu9bU22Ex`AU&(5UAo{Cp+mq`b3=wq%js7;=HVp=Ho;Ywo*7ClCrD zXhxjvoVN=+({)l#xyH(nSdtuxIog6QA19^EJVwfHBdB_hW?;ac>JTU2I#V08^sMlI%_lI@X#^=N?McJOd88vt)0Ls$mU@ zu)v*zP%pkzQI20tI0E)^(576YSu4%tk%J)P8;BTQ_s?wG%clq@p%rCf9u0mcS^!^%V}$#y&ljX$ zT(3g$0BF3PK%9gn!I==XBcq;aP{^`IA>--PKk>`Gq{ z@9t^X6Bc4HO42dd;u1=qRn65C5f>>xfT=JkX|`d3!h=9dDADLYV5~bPm;_#IOfC%g zR1BufuB4LFAnGTAL5}sv5JQLu>NaYlgs%&oOhYU(JQld)bl zTXEk$qHnP(Ug;E|0d~XT+-*iIGd`v|*h-fkEfB}O^hcW6q(tv@+ho2$bQBN=|L%J5wxUszWwNK>lrMd6l}$52rpE(qNDb_UXagy9`c89}pME&!tAWv>Y%oldSrHL1eN zBDNZw1Z%3h?mdE}SkO^1d4k7m1dzYemRKbg@Q~x|E71;J=s*v_uy%XP{|VsbKV2L% z`*Pf@GZKvO;cv}mt(@aPDLKdV1MV98?J9goZhS$`_>jdedBDxLtuY_DP+ z_N|uORZQO{6a;a~U^G^Z?KA$kw3liad{9^BA|B`{qKQ`SjL#eqwXS2-mfc)X4z45-pI^pm=R2rIWDh@ivht-{V} zeIT}5?y0UXZ-1a`USU0Gqh7O;OpmW&moU#t&D0W{f#n~Z07dp)w|Ai6zZ1K~(BYtL`Jfo47? zZDeoMTW>vPsW(KlQ#W9Epabr~_!XMUrbV3mOR&Cn z+?q7|G_Z3TUg+hfJelZ#z+Du{#yOV(jeLaJ9TqF;h)=SuB*v#m@m|@L!WG3+`|lBL z7$&Z<=5FUEFwicf^|wC^f6$%trG&PWcoaP32@qO2C7IBv+cTnx1~8``cRFJNieuU^ z%0==(UI?NkdL@GvvhZn@DS`XrLnVC`b&D4e4v#yS8tB@SAR~{Gy1bp`m5dT3$GkBh zaOBX6S3IxSXHdejYeMSnqtDa@gXjI2h1AI7BSg#^E0c*aK0l8d);{GD-Hxujqe>b` zOf0<*NtTuu6QsFuiXnSkSxq8hKQWriot2G+91v!A18Lo}%BV`)Az?u_de+a3)ih!2awInfKFky_Y6w(RC;VDo|glkVtXX4aGQ7^yg28RSh@ z1&dSwMH$Pel1-~MI*f+y0bW1~zxiE1fwz^`gO+x=X`62ouBqRl$aWdx-ayh_efrWX zWd_F$`r|cx#1MJfXkMt79;~!AhsqC1h6tve6mx#s7LjusLskL^P2A}xI4oxYd=EUc z3$-69?QE%N6PAnPJ#m-(jsq9rg@QPZM=C_VAT`v#A{nVK@rk7LZF{5e}X(=v%YHJ1j|l_!8-9JQCB_h7<2E_8#z{y@M9Et zr`XSl_UJG8V2Ha53<6l02sDV7KrP?*oL-fGogh*0e2hqY!8>i{f+*-%N1nm{&eAfz zqT-5X@vbV@y%V6n1g~i>cJNNU`dzYImDJ6fMup?toV0SG3*-LHqSZp1fjA}h9X?Nb=ygI8OIrr*{QW!vQqg%_{aTrUi15*Uf>B&2VJ6eHz1%+`T@9=E#&dn24 z`|Cj{BW2JqzIEF>u6WyJNEkDACHEz&e6XK}7}RP4#O6>3Z4P_Qk_-jQ0xu>SY9c$d zPHo=x08;4v6qvQeU8hq%-i4x}R&80RB-^{k<8LN%h;WefP0qebpR$L}7B0~y>jHC9 zclm>eQQ<-QNG%<)z?W{hw|P?K?$<6Zrt!1&*ws$hO9d&b8W&NB$n^t2Q<}i{mB>=j zHILs&T3VxqVZ2wn$0utaK8)pkUt%F6sGznP*`YG`f%U5l-f-g=Pr}nCl zjgnBr(h~gAIam{1Sz{k9g1~@R7`Fx+Ve*1Lei6RTfj&4{AW=&}kWH%cddGsmB^<{7 zqucwT_Q%T$Gd&TbJ?UswSYKl<4y4?WK6&JT#<0OeuBDaua#Ao@2w3_gfJDK@-AAO|$ zXl1xKZM+g6(h4ducGyiS?C5A(R?I~atNP5WL&IICV4{P}1{whl0VoJ?#(E+CAE?k5l`TiDLuxY)l zZ%iJ#y@eIFGVq~KDG4H(nsDg9R=l);8v$W2y*lmHETjEPqJPp0t&598^3HkoVz@U(pDLu_D-S(Q>sl*H zud3lP7u~QI1f$xV!wC-!*U1ld$QvRQ^wvH#^1`lkM?IFZ!AS2xjXsXEpV=9$7o@s^ z1IS^};?J&Iv_eo~xZCjv;Yk+)e27>9EPM^Qim~;kk438DhTK@O7;nSFkTYp`0`@f! zo@hZ;+tH~n?Y>cD&A+fs85!@Y=40O5oxk~zHNU5kH9wXg6dA0Er|`(}q2yU*t#JA2 z%}UJ|F0%RS&plB~Ls7Z=AEnm|5*Q!TPAA`@aMR#XyTb@yER7r^a=#LG<`zETc@jOH zWN*(FxjS6X-|v^c61cCSbuZBoyJsRVy#rBlT+3;OUVhCjy~Bd%admC{HKi#cG{det z3ZY;6eE7QY20KXHb>)Ez-ZOxha>ug%6MGstn#qg};zkWA6}>%l(6FmR6+rPcqXtF{ ze7Lq+(RHhaCdii2=1=IHMqOGySux)yK~s-y?b`|^z`(c(`U`M)))R@ zRV|8o>ba`wrKsO^Uw5CJl;We1ySZ!$t!mfDWgIVoW$$xI!Bz~3WfUK9_VDKQmw0a= zNnUn#q4VWtZ=2>qj2GCnYh8@hq1?g*A;@eo3pYUp3~cclrP<|WKf(5$+mYCI&`PA$ zgrjW^))r=s!Q!!&h@?Iye4jR0E{G<)mwK#lnfFz=>Z^y*Z78Y}C4K0M{{PmrA;+Jy=7pM$Kd`sFnUtsHw9lP7Vd%xwM zXcj|4F^}IESYT<fRc`$osRAghtogsU;VSK|Dc6`_Fw&z?f;SgN}G|HNsn2VnVOYOhxM(#nGHa# ztp#AD*4EQuW6{;q1-yky|8aExs6JZftzd$IjgFNX!17jYzZCeT!2iI1^^*?&&awY^qWTRQ|DFHp zcgxOSf%jiqi!lE)0~Yh&8L*gt+NAzP3)KI}@bq)fzaQQIPHT~$bN#D6|C81te&9u)(-IV zB>sNv|HKXeVEl8#kR|11^Uc2UmRp%CF(3d(#$%i*?hy^0iL*Yb0cT}-3>y@H7k{v- zm_N2DlCyHe`N!>`f}B`jv|%c+cnJt7YSQq@L+L|7|K@Dr@R2@XIq$TU2bYJ7vA0#! z+#tALzee@xZc`$cRIt~AHnc$v@0pRC9XGrfVC-TeOBvd#JU@XFQ((8=Y;Wx1o;7_u zo;S9zQJ$~r$n@fL6?b$sp%@h>x6Y7xDMfZ5s>Y}x%S{m+WRYMfrdBr;4N$}55Y;E> zb%}YkdxF$%BZUZRK*rqzX~fpC9QfP%G^|Bs4( z;|_0fh`V{swF&`7#@;>j)sO3 zx%I+^Fym?LWo+V@z9w2bsl{iWG-l043gm1Q zlQvHwmX*jzMsg^DvHQDIqW<%J>j#HbD&~W`r!Fu$A@f^G)Zr;9@M({bo2u&2vQn=v zFgk(ZulLQ$nvHv6o^t63zH*t28Ae06sYN2-zQ%IsGBLmv5E_NowpLe^b)+Ss1&1I4 z`VCe|0KFp+DBmmdc6XWPImR#gg z1)~KuFwzVd&+u!1FYFhsI42ZDQ?$d2ufe4IE*RyQn965YFO2|;iu(TR=F9LnUSn*@ znz%aL3R9K04OUGiDM`eXs1P5Vv360~bF*TDWtbeOTnD{?-8%eXtgOoUTvUs31vrG< zH)PwG*nxN|_)4~wTmWNUSrXitX5g?Z=13#o@WYj&a*a-;cfc1P>U#XSLPI`0YLyQ< zv^u1f;sU&`1io=u!v!CFA?51`siV4PdXBT1Az{Kt$yL#a19;aF+H2)l{WGabkyR|a z9*K1#Z}<0fS$DPijl_d<51`6n>=nfBwPdG8g5>bhBie0 z+)K=fI&VLeQ>}jp*mCkqwcjGL6h4oSR;Bw&F^;lJ>_FaFn# zo6cgeB0#Dg*vSP-_D}kJHLI~>-SY!cgPV{wK)a=?}9wl_a4qvoK>MRu9W7<-n)f zNx%yn*o2fjMxp+9y3;p^}Vp^iG_A9@<1$ox4H^zt3UZls~WX9#Sz8bhEFnzJ3ZLp7H! z)-hqL&S?!nDd1y4I7t3sRABhL7KNR=c}{tRH;nh3^Apmpi?{Dns}LGwmm+!i=w)G5 zt|b{ysw!nhpyrk$N;r(f6`NVlOeFAIt7HKj?IR_=*cV!446GiW6_;g34Bm%vXa}2; z>iWTu^=?C+xs_rUCy%zZ^(k2fxzQK}9+KNb?}UXGb8c+6snx(&gle0Okyp=4=ycIO z3Ds(3wy?aAoYZ4>q*$W5w1hrHfgUTCbTn`du?Q`b@(s`fy~Ej-oY&s=kyTuw&O%yc zyl52GAv5NxcaP6q%*YCaL!CV^XB&m))1U3EPdnIZ+uzaxi1j;ov!hq)CFRzmP6_E@ zbMyyeC|p9oHAB%@X5)ljyd!I2NQGQekB9n(uXgjjlZe>fCCZrM!PQ&iP>>vkRki`Sk-&`*Ll+dv-EFh_db+jkOV+$4{BWaoZ{PCazT`@mm9 zqgRoxU#>FAoSPGhn8Oi`I|~@*xkROA14M{)OJx1l#sVnisP@{x=DeWvAYKgf{T#(y zm2O(I%u|cMu!R}(yc}A3Br}5&gt??1=)<{P(PDI+ZC*s|5O#Gel)S)xW@6I+d`1Zm5(4`x3Ulg?_Gu5 z)F(3@N%G`LMKysMkb7P7Xi!9TBSN;xg)F8krtGrs9yoE4I`*XoT1B}B7sX{QS+Q`G z5h!M8jyO&&=_HXtU17UeJLHddJHxBu>aBQvwaY&Q_dUw0p>WJAzNCo&d`I=!J}OoT z2l48QF@I=9Om-MQ$_RsMVTl#ifj7I@qNHqiTz8;-AD<)R8|-jKX;gKFP48aqg+Ql)Z~0`X~b z-x3K|n7u_=$I*zR%A8ZBYC2DYYqEsAQ7N>GTg{y_!|>O6tPQZc*9VO7+LrG2e*MYD zer*E1!i3W4+4&vw`}l$QMAhsQZQ}cS0aE(DJQG-RZ5tcGa6aQb-jeV3LZC+9!^;Yd zRhAS9s+7G?VBn9F>NxLDFT-;U4EdOFN_&OA0N;DEk0yeQN>eJHqnwyy9MvS{fP914*_syE|Hs4^S;xH99rlVH^j~ zYK70@P9%A4vCWra+S{Vf_UtfJqVz>0bNzIs9&A&I3qP`)u^GcMs*AoyseX^J%)dp* z#wIF+bJE|Nym8Jau8hTLU^Q|RR&+C})Kfnm(Ma&LI7ZnSHfckSpGUh*tb4oI8Si;# zv|8gfq945fB=xlAR8uErp*o9HpGFerw%bVVg}MLy5j^rRh4d=?BU0TH-3HkbN7&Z& z)kpbk_1D+2;zCg0LhpO!H%Dx@36VPIZXS39qxx}9%RaAy(>3|Vsj7{G-u77q5DR$1e@NpubA$ z*0w6pK+?qAVLxcrxtTZukCOrT}d)ux~+*E zlz9rRHalC$(5EFa?~blzLeKQA%ZB)bJq>XOJIAqkQ@u$S&Qz!FLwLX0rLZfewHmv- z-ywuYS+hhC|4eWt%PM?dkn$G{(z}d`M$@s_AH|#EUth1-CDoXWV@vieodBskQui8+ zGHJ>MVth+%$c92Ky=u3YiIbCWe?nn^oKqW%MU=j_Bafs)SQ7&M2&FC5W&DI}FW9_9 zn)rbT)|z(xF`r&-a9oEgVEJP9OcaJXseY=k$a>Ne;5LI zpwKZ>TaJvqgdeLau}%DHf5x-{m+WQ4@kMkn?#r?n%s1i3a%-A3*&#&&4@a%1T+WCtppm*l2!~YH%-WjOVwM zLP6@cif*Bh6Ik>GnZ5_LD>={&%*$v~&HOkgWMI0xHOL$trm_<>@+C$0nD0@#s|LF4 zQaLEBqDeb>#rJ&%LA5~#D(XYx>h|eZq>1!ZHisPjeHP>0yLX2YwxIhKGt`g6e(Y{4=j}Vor z{6hr&4@zTxA?RNS`u`*Z{VSsTUuL}je8XRF{eLO&OMzbs{8HeT0>2da-&cT>$H7ud z$3)K-U(Z~Zi}V{Q4>!!)*V~GX?OV>8*>6j~A;;f|3w{dz?;o3qiH4OGpMl{m83OP&I3vxQC4hmEjfVbj2mjMG^lzN_+u(1* z0p6zkb_~A_{2^dmdw8j#Qblp{$($V2FoM|o~Z3_F&A6g7AauKg+VG4Jf4$Yi@ z4vAF2ef}-JS$L;(ymh7&v zT0Q8rSQ4w@{=BiVJ%7&6f)q1tgm){K49!nxjsDEo9 zz4RkS8k1E2vXZ=|2(|K+>(S)gczmc9N#Q_ydBntJPMcuo^jr$%I+0^1%4i=pWjgEB zu5n$TLxO>Mqd-yObAHfHX8hCW5zk08b@dDiYob~fyk2Ydkjm@rv@SqyL;(hw)*vds z`+?;O36_tiWo#AVrL3MyYVP>8?%W9kVuV!2|9*9>wk}ze(9IxT4o5OkG(F~{u5b?F z1QLFU#_&iQ2OauZW(HYxet~c_v#cEQ;m*+w)nROJahzVZ;HD|+kKqpHeu#WsBkD!( zt27Q@!(JFEUI4?cpF2*Lf)u4%!^VcR5fdkz-zz=@S~J4lWwZN z^U1f)U9`gcPS?t%pi36o7(o`^lU)X4Wh;G34T1D5;*fnPOX#^$*~FQb+15Z}O;l=I zJl{eO1o~lW%$~jb!BDhIct&XolqOL35qWI}jg)6N*NB2>OH6rCaU(46@q1THkAW(x zA5~tAIb9!XLp9~3RpKVPmr{&n5(m;z(t(ZT+Ba*vdO(aJAEkB5rHS9g$7c2_o}~Lu z)lgJ77vMwX zZDS;3DaaMyr^+W9*%|c>cEZ!fb1S-B_%QQYEouz4>L5`ublE;i#Gqb_kqMbzSzcU6 zEng@wJ1lEYdT`1TjP47>xZ=2L$LIJ_c<6ae;~S8`m&MA~5Ygg64|2H})3VAcU?Mp{ z7BP(&M)ar{BgIBh7Cco_10%^kL<1NgN6tu2nr5FltA^NDP8W``qikEZPo!Qf$8T9Q z0|}W4B+m*Ii7Q}nNfj;$KptIo*vQ<^oAiYhOY7Yc_(#J`@f`j|>&3IY^;jglubV^_ z2%bzQkv;JF2}CpOH>uJ%hMXxAtV<|!ejV^jr3wz#Lh88ufOW?4!5N~ve#5$YA+c1i zOx?TzsPX|Hg*u{hS&U(2;%rRK#c}qdP;i7WG^nyfD=eaZkwiE@+idL#rw$G9g)nwI z%|&7?Nrs)Bk4%)zZxI0#LbwcCbJi&e_Q&x@8G-EH9?B!|_*&&c-;Q;ai=F!3UCAbz zK@kBF6Oss`!9@z|3VG&7_q$9)60V`0yBQ=aSg3EfCu5RJlQ4|?X9W1#^mtJ>b1)=l z@bO(Z5`bm2Mr5a#wi|pyez$))h~J^zA%SJS`(dlbjgF@50xgDG}la6#ky8s0_FHz*VEDOcIBBg&7a? zK4EAz=N*!Dq&_DL-}Wu-ZdOAOQ6(MB}TiNw;zR zV${7Npfo%~-MUxXt~bawpo+cw^BR{lJ70s;^E!?aOV{V~buCKntWx;=42rIuXBaBv zU@R+m{YRYOa83k*U}j<_7DgAs1F^&kR|!z8a--AOW3xeWl#KwnWT|ls#cL`DeJyob zQcGrC<9@hcLF}gd%^j^3)2=Vy&tWGamBN?ij#`Oq8uaDi$#L}QOw?YM zX1LgV$5Hu*NaU2-Slug{5s`g3E9=GYKH#xxMujj4dwx&+Y*0`~vVu>x{Yg`*O9)2} zIL)ywDgz3*D#eDS?fVX4@%D|5Hjiys9CyfV^gQ_8XC_O|eaNtHa%2N)tG@sM0$Wn=dB-f8}d3#v(Nd$rUos+*e>wG-YCcfyl1{8~anLh-zzTU1O zh%g%mG7T5nIAj`xj$%B#IqiSP#v$0CxrHDit;aNYv|n4u)bE}A(9JSRDjr$slDF$# zXyh-s#FS+437Q4-yj-0VNK=uhWwWIf2)E>pVf?K77O9!gBYUHz*|`3B3uTxBST4fq*#3 zLX9&T0iY7eb;)ADo_9aL1Lhi#98GeO9Df#TSRGfNoOQQuDJLqGf>I}Y{JtE*l`5<$ z0qv;gx`zlgGgyd`@5=~*QFYQ20~5yAZVDbKC4(iL7`>6qR83w4oI=8f=tTeiFqe=0 z9$~k%8&so@>M$u)NKt{)w1yS`junIID3)BmRH@WzgajetF>PpBOvpgoYM$ow-JVep zw#*nC5%VC{!uaNxMC1Gi6e!a@QFle_3?cpgU6>>l;#M!K_eoMKe3ddn>F{>18~c+V zvD2gaKKDwIkP`(@j@LA22Wzx6y6`58H}-EywuV7EhWOX@g34m|b$ReJf}VE(nWAOE z!DqEW^ih&gH~r9bi$M4o_d#*bba5s)d5JWV@QCb(Ba?ksAt{~h!$(^$1rb z5&1CZKudr^QT(v$hYK?3R>6wgwp}bQ1-fY=7Iw01o+N<3=lI z5D`x5xR71gNdHyQgg5FUW!3;1gCol^HyI7_*fWQAm6~{6F9Hs%>6YPKY~#qBc(I^IIiNQrEh;m!z-cLMb{F&D_WS} zVDcP!kDE`-R_b92tC10*z9RzvB1^u3DU&RnV_2mUjreG+SL}4NT$0^YUgAfh237(Xf#h;7k%Wvjp)m5w)%rcV} z|=3CC>< zQCK&uWUm4IGs1Um<#|uri3ONhq1|F7nHN61IM*0%Xh%HLr#JA74%`Quu{bkQfeMFI zTweTlX!pqs=MEH)3GDb8IyfG|dGpUVNP{5}wLI86XlcHiqZ`q=wWAu_Zau)84+@Q` zm?+X&O?5Wxk>8WU)O6PDQjgK}Rm)rwE)S3(q*92REQlb&BR^1uQZ>y>2L)Iowum3mc|})Y9Vr9FOgb) zVPO@JWDCGCG8yDx2d^S7sGpD*je5S2Pv)fbX=kwsB9d8RLOFz|C8S` zLINln#X`El`gf06Qr$i(R=`%6Hz)@7^L(D$XK3+kg=#{90pv9VXF%S2$k?-DL8?4@ zU}UMebw=2kiRv$-?F7Kbf&lCa{KBfvuN-4CcTyMtNL`O~90bL*-hoYkE-KuF(Iqlu z9(CB@SUEoEv1KB-T0iMCFXUwvPSqtL27;pIHy&-sAdgqtHlRsfth9ghiizbP#6$if z!M{lG|4AhHS26NG2fY9CPyhGdegDgc`v230zZCeTz%K=UDey~yUkd#1EAX$A;GYQU zcR=yM5PKU+-v*7||< z4>rvD2OE9^pl=!4{|y_aXZ=gL^WU>!mj4+W{&`@(i|}t}!*78$G;ECctgLT@k_o`_ z+wxnuJ>%aI+TS4ge@STn(u(6Rboh-AGO@D#OU)a8C<=;3hJu?jxK7f&# zhVE}WSNzoepN##NQ>>_@jQ=(^!(0Cjb30R0wZEM6pOl~WvxT*;p7qb}7<6j1(t0|! zDgeM6n0-UC^h`8w$>+aOVE{8e0KoL02LJ7R|GNkOed6?Q$^CB=2eAHS@Ha;O_cQ;e zX!`ex{J*r!V8Leru>4Ci|6}ks82zRdfb~uMH(1Q}cToIKKI#7%m!=hz0pPR!5f(rz zX>IXema#MAv(f$K7W|u%_BU4l^CqJe_-#i&Z?m^T_kS8|&rJVY9sAq)e7hxS#rWP_ z2X8Z@XZ_2S@!L8*+i&Z?+eiM4vwwa-{CqH-B_7O=-hxC2`^t*nM$Fs)aC_e}$>5#P?)$y!>r9{;^Ej6)M;!Mp z_v?mMWnIb$b9d^Ct=s*Jo7+q0m411mIqKoG#>>U_AezChd-GWL@aBA6oWX8fT+Uec z_O+56*^>$i$s7PRhT?}zOgHFnOxt~3Te*dZu=`5x+B?<@9hdM;4Vu<#sGHu&zv3u;f zIcCx_`qZ_Ji^cLz<(VfH+dH|?q0@`q>3a=Wm#X^Gdqa0Y9`S9y0s#%`U55Q-&c3fj z)KN94kaaHo!)09do8eBC;Qo)}wn|%gwTecJuJOV;eh@FFV`Z6+=A2ZxHqP|UR6SZ( z`b5S4Rz?DswSeNga2B2U=fXh-k0R0c=YqKzj5P%X8MfsG(&uzMjogJ&k*$qs0|Ria zI&L5*9FtMf4IEtV<^~o#xA6pwRp0Se3MU+>&|EP@b0E+I>a6^Pr1{?kr1iwLzK^_& zJDi_fcBv*GX?0Eah5?^HIgPI(8~G^dZ5F*bLE_m0P9|;KY4h}G&Rno53vx6W^3>S zA+*5;vuv|0GvRHSNlNd2OnhJAoXp;arOrT84(emg z2Ql2kqD3UomqbN*8rp=W7<-WUL}vXxqBN#A7^4%mBF@VY?*c(6k}a)5lRmK5Y#KCK z4hedh4@|NSW!)P9dP=x1n;&`K@0-&Piyms87$3S^<1KP(-HFM}h^Q=&&sq{m@YXVw{ly7jUY zp+eHuk$#lf$MMPKgHq6I)BjZ0y>0TIW|m$e+*i`_YD3<1) zOmiCQqxEC@MIB|7V_JDfZI!vV62v&5)!-CHMcZO;abhjwbrWm8&+NtO^*JdEr~shj z0XCx4s%H^oQc_zV>SX6;&vDmE&v7>`e-8re3FxS!@7rj3@|MGUxx;!%o| z9%05D0pA)*;!e2$o#Txc!y^dt=^r!CL6c-5TiS3-1S6V%lss=QZ%vi z9qaaoaDL1+0sTxM0AHBx<)^`(kQs?Pk-FzS<08SagNb3eaXHb&QW+OD;oZ=*LpOru z@J;Ap(wnA6-)vy@5`o%@mZ<*IOT&cvgh6YpFhFLvZM{n>DY9ev>ege?i@MM%_Tvg8 zq@jMKz1Eey9~uMjdOCz5O(k=<<9Y?XwEeuO0W(LRE@se56$y3~pIPVqLeP^o69Q}3 zBS_hK@22*Vp7w6;$qK(U+@lkzI6 zgaM;|qqftSHB70^DyHWB?`to$>+4iZdlC&Cs65~xPwF8Nv&UU(>eNFr&)hs0@vj{1 z&?R!ZWwMy~IQtQk(vkv5W!R@TptZ}Hiqu_ihm*z;OItu?^d61BSvh+^jZq;Rfqty2 zRXvGcqN@L)@I@yo*Ax=dP%nbDE!r6Iid3&;O2aa`xLpy#2^@gH%4#e84G4V1@nE)@ zj1}C;9qIvHm^1az)!RL1B|HNifvI8fO4>tOU_5A_$?9fk%S z$Zw(kC(+?$Q%=s%N&^1CLjJKZvVG0jU1X@GyVqpV_Zxi5(zsm_97FSRXoC8*VIPjt z=~!#Yu=mRSP)q`qRg0~*t&H%3r=4U(OMB@Qj`y5snk`KnsaqZHGvY_|C8l^GK8kJ4 z9X+#36JPsISDsjM->TD2DWVfXkC2J3l{Ir0w;3W&&c0({4whkQaEzSkY_f#WhTJ7@ zL04&LrzKz=3LuSh!{wRC>}sE<(XXA%@du#{*{O#H??1)5i%{Yicd7_vX4{gAk>0GR zfvh}kcj1m-Z_ffIN3_1MRYrDDQVr9|0vkzua-&Zg@ITtk8F#8q91I_-ROQoMmiudc5W(Pp6sI+sVUG&cfuICs2HRnn9O1q3%Q0-;Ll{<) zrkgo&pizxt4kLs{@U+>aK#oOp-1!nPxnn3IJTN!HN3? z4(;>LTsVD*pe|*(*FVHGTIQCgl%SAi7a2vyB6Ub(1HNulL5L{bSv%*<67pTJGdYz* zLM|vZkoYBUKn(0$@8N!=peJJVg^y^nt`h%*tz_6{0(|mu=3Fg1`@l{Uc-6qo0Uc{4 zNN|oh{By?ql^eT?X-?sMvaR+kA}v6~MMnWtx?236CQRt&V;!Q3YISkW@QXns=VsC4dUj`j{Y8_^Qk z(5*5Hfhjt9WP_sgEj@jk z5DS{I*|ZRc;|y_-D+bv^FB~EwbQ+^KE4o?L{QLNxYrCa%=8P5q*)LX&b@gUV?-WZ@ ztlfe~##0){&QgLJ{YHAvQVJTr6>M|82Voj1nK_kbVal4M4Dg$Gy0(8ggL3?GO>%*O zNsx$;V#|k_WcB5lv;_hIYR=#^KZsH6a&@?L1=J+C*WC$9E*KN#Cp}X`lLx1>wdeYQQZidRD5^og=qp_jgt->6A%PZu=Vl>U&g{+%ks{e+xN%o0XV1KUG|?>5DO51U8?dZ;5JLt+g}(lF@xCi*vvh zsFI3;R%sJ6$`Rfx=fyH9p?iR5DH@9e6U6SmVI=cBkUaZA@nMFIdRhaK_;zjPN|v<1dq+ci?7b2R|i8pEVuo)fB2Cdf%|4 zusKcP^2w<9=Mg`rZ4IoW`z5xr_3*3}RrR!%M1Y|PZA6mYB}mKFfrZo5Db{HY7!uq; zp2U9G2yJP05NT9=#!id|>usuQYk0cd=>Fz$fc5lHOa{-n^v##Lgt10f9Al>! zOxFT9jDn%BUGKY*{YDcS=kW=FnPo^<&D43&(C?jAHeMcq<0Rkh2}rD#zIcLs*%rqa9Pd2JNTT`G<9_7G%U+MH=~G zuMO4UkB(f*DDE^pE5X~(bpAK)Z_huR6e=eA;dPG+$)`coB zOYIcwPlv))N2L1gK_8lV1T2#W=vCFyk z?|_Z~lAi_H)kyV5@}?vSH~z39idG2G^sGspdwlQX!(@1G>I@Wl&eVhl{cb3IueuMy z;R_@%3;{o!AF{aZzDb7~wU2cOE9WOn8cR6!jD66fj8C4w{j+F$0%A0KQtI z_I{{zaI}A(*W_jnVI}p(B)9)CPMk?eXH7JiRJ&}#)V2j;kxc-*m1ySDRkqsDyuWYKGuI$Wn z6GulLA{SxP+>NfDAn%3AEz(;{JH@j5K9&**bipyU?T z6s{XG7JMyoeysU0%Wpr_M+MT703`Al8k~G_AHhfMkWxiKw2IJbP>DX>e#^i5%$fj` z^9TDZ8yJy4YEq2->4m6=@c4=FJMU`3Ar-{Wkkc{vnBO>sLkbbU!kvZN{NT?$`m)9R z4rF=(ho+9`SR4bET1%-7)G&%8Y|Ml5M4Xq*B_ldG_kobdQvloHA@XF3_?hP=`n6ER zPbOBESUr{Jr8R9AuX2mP$O6Y)D25C}@nUP4zS>-ilMP~}$?Hd~%QU7+H!ufJS>!tb zd3CN{K23UDWgdQIwi5N$fr8!bL0SaI_utjaK3pE;+~h6ryC~FEN~bNvs4~DI@sv<0=j8mb; zf(J4qB*_`oDe7*KR*6kCgkd)O4ew;oDjGxY-@tYX&fiRV!Cfu?P@1Xbr3cSUBZN{5 zroG~iclox6i>O3Px^#0lAq$|CE9x~Ycd0%0L>dfGGl0{Vqeztx*<`PoPR9_0+1;9$ zL>M;YGK|XC&6qbMO{Slc^~z*2#X?^W#Kfclg7f~toQDkB>t9f$T5ZPTtpxGGwU70~ z5Hn7M5h=)`EfMv!-yk0WyABrt@KpqP?1Mb6<>yb}a5LCLAbVw@cIzLG)~dOX3XwQa zDdBwZ$!F8|H3OI^UW6vo3Dp#LpsLpVa!uJ4%fc6R*xIxwufRVR$~o6S?n>0aiaJpq z^@bo_!W<3uHJ0Cy>8t88uJ&ol)t7~Sz1jkeC084cp}7e$o)9Zwi@UZOe+QhV3xPg* zsx3NEr6?wR^9U8}MVD$lPK3SWEu-EQcgGdHVf(@QpwUSo-F8nt&2C39>PY>i=CDMvw|m;-nBbzk1G{L?7o?* zu=nX^a?mRuL>|MzVBn*GM%t{#QMw$5C=WL*&@^`N)rXHBeTxE<`KN(tCi5wEL1o3{ zOg`uOi%E`s?Pl-=UGmjhR4fCR664KTu6Zu9?wCFgrO80>oF-)!ove(TY&gjO=7}L! z=7d<YS=4|@s|hrrE% zkU>R1aaAVYB|MjVOt0#}wFVK6G!76h|3IyAE1USfek49*z6`JOc;el=l0v_9%xQIr zD7nb95vBW0als?z^XwoCy7k(PArErC;+Z>U?{oH<(auU+OIJkFI?XUT1PEx++!R#a zq_s#P&}ZB4xIT!B$nq`fGg$9)$L|YX^i{mAu|`cIZMa?)L3u+Mfl6o~ozz82_P0Uo zmK4#wsai?Z8au>IN4kR-|1Kk{RC zVrlAo)YzPm0-~F)LfhJ7;2ddU617E8Xeqg3XqbfF1~E%RE?AQ886a-k_p15=%Q9jc z4U5)m5uPL@LY5qN=2cy56Go1N8)7Ch2=ABoP*@FGEFR_CiiYE}yY?BmymjDWv$%Jh za*}-~^!@zo8h+TBe9OUWkI3>l@WfR6&KqTI5gmBQLFT0Ip}2T9w0-N$$SMl7=dp}r ze#>3PL=x0Ux8!s&wDL#{g=a5N2jYo5HeQ=uUFPWLPMrCwU*$&T_u7Q<`t||c+Bvwr zTgoJNnVJ+`-sI#hr&N!9&AEn=G;nT*eK!|n!Z&>#lD~qOTudq1F09{%2(2o#jd1C6 zwF*;Agmz_^94|QG(t(0)6EnWO5m(dGj_s8-QVOomEcSzx^IdCq={`_yT&1^2o9Ob z?y=;71HGJ~|8M{$IC`-2Ly|@Sr^tQ6FEInsn>G9PC(n=}&dp$>3g&$!6=+9^K7yD$HmAchYEWs3p zuT%q!o8S?aI{HC77;iH-w&9ieF~H`oe?KtQL780DWWktjJ(?*r6@5k^*##!}ynrv$ zq(gjxvKnrXs6|1T-5sqV8rVr@S3Z#4Icsob7;vt-rs__Awxz?RSqq{pFSKOM3S@IY zyNu#TJP{Ku^zER^5uoX%j}4opWd2?*-}!p{Q$GDY7@W5ylk+R`niBZrOqD}0{L`60YSmNLH)!X~C%^a9Xn!o#*c`y#k&cxb)GBBahDG#iDgr&3M3Pp*gVk zs@>weTO$MbxO-4A3tQ`v(+8CZtJs||(eGZ~XPmD^(I_twRF!#^W*C{^(0(hi!r9o) zZHClqSJnNDs87lHE-I7Z`cV=3vdUl{V#+a;0MdCL1O~?$x##HT;z4Nf+Hhj$y$RtKj8BeHOUo& z1Q*+rWMeh?`bnqg(&T0Zca!aI3@ zT&6|^r_vr$nwz%h(D!=9*S}u~bq@GZy}(tXN3V0Vz}*8;{Uo#P$w$pEn5djunq9QU zK$EMXjr3DoC@wcH8-JH;1rDw=Mt91yLEJIlDAfz^wG_AO9Zy#Hi{U$*Ms+^rKnoWCc zO^!KF?0Ic9ajxi$;O)9$RbkhMM6?`BJrC@mbM@e#?-NE;n9`_kEZnpMRazCbglUnP z7L7MGQ01hZl~COLWJwCVO%pU|XvZ`pb$W~X!@6i7@H2VlVUf%+y-2%kYIhzDzs(b?)~X>uhW8!GjZy-0;}xoQUZh+QMOX@1hshPOH?=Yb$}xcaLz3Qsb8sb77jA zB`ao>tr&qeGk7|xY&sk#P^IwUKuAI7GcFd+EV-XqPGmwBg@d?MF*U0NsYbqwOtAmZ zy}B%S+*nkF(8wq-p-F;zIp2c?w?;afLvm)@0-Sw;AgX&u?c(#}kzC~X8nZ5_Sj{6P zp8JR?nQnWt2|}sv2D4!lSe%|!hd5jI^$8^1S-%a#q<+?w$4a(bU&xM&@<@2FEK`vu z;q~VI0iluwlvr5I$pEfUt)X9e$#Sh*E(}y|!YGw!R#2e6#*$5Ih^3!}4X-4qF&oU1 zdV|I4pk2g}z!8aGN@I02J-i7y_)9$K7-agvRM^h?{MOgp;$m?{MQ&a10lTeI;D(HO zSI(Bo=N798BWPub+{&yf>MzHd@C!Y8Jah0Rify~T8@$g9jUkWKIT;I?FP&fPU3$ug z*OT38?<1fGf$PL*;*(2q2Cv0RDs>;ypU>{h%M+HFOHuB6BJ7!7fH>^F+JE)3YgQY&%ofHmXy^8vao`G zK#Yc51^`AjV~`=E9tSHsqaiy`55x*&0UzApXGvN^18!q$J4-zWJ~BNU8w*ndJqJ^3 zD`p!*KSY<8c6w2s|S2h`=KPj|e;>@SjKEPsH=TF%5Hkyq83<$qM`DcjkMaEfrg)x{UEk2ifK{JS-+&byj{}Vj z!11^q8zU!+fgTGd(7@0Lp!YN21_#dI^?;<6y@Q^Wfsv#rADN@0sUf$%u|Bv3JAlzZ zkKK@wjZNQ>k&6|`#%RFB2?XdH80Z^vu|3A~|1Z`W|M`#5{};3TXMH>(@QA=80*?qh zBJhX+;-84;e`62v+j#zGDUH9y^PImeYVn);9H5_WD*wQh1@w#g!(Z!jfNM8^T^+!y z1<+3~l^+{FeIEXs)+?YtXT9>X_g@Kyf4lX{zfhLrCmO%@|G&YAL;Oc!iC^4s`1yah zd)OG+IhY#R^YZ@m-2nb58v**8?*{7+Uk=bOCLce1I9NG<{Qc8shadd-Tg8{3m&C90 z{Kq~V>>O+yzwzNX(Ac*kkZ^h!DJ;rj-On&yWbV*^qF$4(CFomg*a~m)Jv)fplw%^A zik99jrd4u@c^TxmyO;S~F@C*cmH|4=vtZxJY8hN!_2y#s@c!zu=SKT(6|Hca*qWno zS+jNai0SD4bc?{l=>X2iOSyJ_rM02OzNBsH!tHHU8rz2(3Y0#i5bwK{u555|2~==# ziQ(mp7pGf>(PLb;ZT#x1eP*@~s~asnuU@5H-jAWU*|uoutaLI}bl)%%jjgS5e6P~3 zfNlR=&T;3_@?l`ZX^8Qt`)k;|5$=Tkd9B)m&BHdP5nQ?B$IxM&lYkmo#(|0NSNC>J zio?`zpNF_9{zgtbGWJU2hZiM{Ga z^YuzC6IkoDx>k^yWEN<*Dkav*YHQSP_kKUS7&iWDBw<=Ay6@g0r&~3BW+R9uz7EV; zd~Afi;G~iD{C>kz?VlosG%K-+Q6^G`C{}rTb#a}6v(NLwP@c{u=n|CHz3AqAhPfe@ zqxjME4T_W0IBu&}`#KJKN}_+9YIJveBVFB7^?1>Jp=Pz61P*^mROJBZV5!daW1kS1 z?#NB)h+!#|D#4>jo$#S{d5N5I{keTw$pP+NZv-N5N8H#U5pk2`$Y{s>Q4uj2?0M#7 z<#$ndEj}0Al|tw8w(^02(IPgH)IEDUIBbKZuxZ^v)W_HqP}`8Fv<7Prqc>8q^)Tgh zAxp`Y7Q9?(2)QBhbzew95nldeq^R7n*>H?0Hio*PSUID){K6t{vJeoKviA;PFxuPs zuaiPbF44bGP)>CgnL@=Wm%WfKWfPHaizdM+x5!4YP`%Ve9)PV0Fe)3~c3rl{^gXz|Py-+(AbD3j$VF4`) znobE2qt-H2Ems{f{Ct7+p2LuWrQZSoqjeH1N(KcrPNMGlqs#>JiI?*5tIEvv?{T`Q zv;}3IcX{w1(Cy0t2kq{%S@3AQ98NFxhpg<~Z7JJaAMzU)7A&8p46O~xwYs){SYUWE z7gl;`W&a8aM%Kg4$-X)_<5TIjw;QS9lCBK-jG=+TtDL^q^HL5)G05Ngq_4N!Bs(v= z0>3YWwtVH}ymPr!(A*-Po<1IUIlqYSl`Y@U=$_WnCb5zG;dB1Cm|fX|o}n%5I<3~W zHqTzPG-=yT#-l`ee|7lq6FpAU#_|z~SyjII2lRO=NQ{GkLqKATjsiTniy{SliqVPP z?$gru(mow)L$~vkVh2N~Dmx9Ky9=t6?gNMV3@zV`_?EGexy?43B^tWWOC^`gql0) z6~((<94G8l`F;>@38=B*w8*nn&@2vD01YxlT3 z3(J+Ea}1C^WD{ObmsV+1F1DdQTTu>swGgOyXT%Hv2Ifob~9SJbulL;Bo{L1$K-94-Ne749Lt`J4T#KR_&!L3r2s$lgUe!~L85)Eyshx|AOz;Oo zYl}`1t+9S0YMMIdS<`S`Ti-6^V-(Uk=5<` zWZ<%$2rkmBckZ?d1_eNR;o`;CV1frFiyP#=yxDml? zVSx~W=?lhklm1{?CwWYMan1@NO_0qDqsGR@Z5Oldz#A^%8;4lGHf&H~ZEhV`qvw11 zF1v&>2D_^O-HABjcy>c%RRhj734;|7z4-9fS*#TNd6UedmFnHhk=4>NCWfLBjNI*c z&($7e15?F0S_`E|P3VlvERMf2VVTQ#&tyVlliK%N7)RMKPJC#jnW@lFY5Z@a_w#r9 zRR(U(kGiv+3iwb-6Ku2fn>|$-esDk*3@ZH*T z3?F8e)1JsFbDvi*PpU(5%WtKg3HFujI~{NHrfYayU$Rq8;pky&E1&5^#pm{9yWVqYf>4i@XZZ~By z2`#_h8aXA?60Jom(hHb;F?P9mKwn73dVxYEc3^8_yDs?Ir}famC_P?zayz3}zb0w@ zp|;#DPk8s~18NU6e0NVDS#S0UVHsP?MJ?26ujDYx)z(cN#5;y(lmgU(G=lFu6n&CM zF6YtES&0Pr1P9gc+wn-^-_>xYteU{U8yPXTFI)H|*IpMt(H~wmfeGH-c!`33kI@X_ zTc_*9W+3d%rnImOCz)oZMu!s88is1Iw<==}rL;4LD_wk1n6V;1c3QK72K=^RM~N8) z_%a1TjTug0u+96}N<(h0KxOWEx_4l*p~m5*A^mdU+x7ELh2AD3-=7cOKY!_s+3}Hr zAD&!0gZ5%HT3JoAT?+IbxKHWNA)xIAl z_}{+wLEh(!&M>T3)F$Pi;=XIaXV%>JyTeTJ`S$b!hxoohq+e3HXY&XuM`x-0vB>mM z$8k1ZIkdVs0-^c&Xwf!%r`x+|0_FTjyn`-j51@*kkS*$JLbjghEpf&8Mw3z5ouJKE zCZd6I!!-*EbXjP!5V$#@%;C$rd$S2+90J9IeM^9)zWk7I9P& z(!4CSAEUKRv~;a5vCbCn=~Zyhg;70!9)X>;b`^vyE4;7V7F99Ntg|Vq(8PG7P~c;qi!Fi_lrnUN9Je5nCyz@?e2dIKe zTF%J?$N!Z9vM(_4EoIMwhG{g}$=RR?md23(`3&MWbeG^r{J1EKTIbCkOu8o9(+GxG z(kIPwzzC*T>%*`Oe65Q);{mGby;jZus}yoAXy_Bij9_CqUR!H7h6Yu^?B~o8qtBUT zM<i9h2G-tkun?kdtya8BJkl7}LUR5*`2Bw2isgojYA@U?S_giWlWhOo zwKYbn7bAgrnmE8uU$2Qi1&5Ib>hL}7GX4HpVI)-tdaZ0%e+sHq&*27n=NS=EfHPz! zpG#DAw6^0$+zKDQ-8QsCy-{FghSEcOD2%qOfzXTZ!7v$y+>Hi(cYbOep@$q!?H^_Y z>CN~JVF!p*364f*qN%EV1H_)>#u<^TkCij}zQPYu=A;X@I~4ysdTXR%1e-V5f$Lhq z&wS=m{v2r+bw)|}C4ab#YCUv(#lqX*FYpu2iB^0~Ob9A#?b@N^O7HUW>^sscO#Ka~ zMj?55)RX)K<7=@*H9m_GFM1X-eSCKQFp(&SB^6tsAl z;VoYoyr#74R}`{|?f9Y=N24mN**<;b_c|I4hP{;9FjAkfXcPC9YRqZ=xn-mvqr5sh zouhz!U&W-^K{q@*Y>A|ySzbD94p|O%FrF5qf`%u8s8|;xE$u;FUfw9>S=|-Imen|T z+JzO9qH(2tZAO!&-={-GSTve13TjNz_)jbqwDhuaC7Uc~fe{Fqk@y|hT8LUX(m1jO zqq%`Wkaw)hi&5B@A1QCW_C*aGQ2C_^fgk|bVa9ksfQT{pn&~z4tVCG%yKeQijKTYz zNJH`Wnhl>bQ>Q^f54kqWUlPr@nD`Km>~(r38AZ+zQ>_GunXrxWu9~pKr@aLzpT|Vz zkStnI3b~;sD%V`M42#n_#O1|Lf486gj8%q4O>(VjCN20;2_u@NXYYhd^*j0cH=Y45 z7Uj40%|gtz?~_RiOuKJR*&v(yvj}JgzMeyQi#-Wn%a}`sEc@=P=p)mBwUVTJhY9rS zI5v~&P!~+0*oI4qZa3zahl2KR_1rV{+p?zRRjF1)KsUo6K`lV8qI?L1Mul?P!FpJX zG1SXu&f^cpG&qdL5`Joj?B9uj3>;1D>V0CiwT{PcTyvQ0&QXYv%u3Sj;!m1q&$~N& zO|LW(b9VidkmEVM@1dj*wG1ZA;<@kSS`=V2u5b?8ZS$C4DsFOS>%j214n9Y&zqavn zhns>uaD%E)%t2Ac$8U;%udP0RfMQcKc-U{vgGiKt;S=b<-Xn>9)R};L7&V{5Q}by# z&jK9hrbb!w zpq?y0nIy?&7T25jp4s9JtSO^|%#9s$BtM6FPE~|4sa{GGtY`?loRPkhW%Ag8<@oB0 zi@IXT^8!S2Gf0iuC0*|aw+?_V zWr&kjCTeN%DVm#);bc>Fm#sZ32uAFh4Xa1q?k$`^aS zA|)KZBgoVmqn6}|3EnNaXjzYI7EKXv<9lAOborSt3pp!;j|Uj?lI3+-Fg0m>uB2Sl z5C*v7opQ2Ch$+LH6GynMk?15$|2RPsXL+o7=Q>7X99? zBO+xs3cPn)q9kE#6q1?Ot?dRxd3$834tmdsJ=m&S7ptPRT z*KXsZ>0Yoh^X8QpHlSqhWK&GC=q6IRo`-M}jlJK)wBl_HV&+X2_n>Ws*D4aXD!PCCq$;=4(0Ctq!x`Bnn=^N1KXj6g!Gf<)Ivuar3FE zR`I()S6DaL`~+>P^z;k)gGhE=h-6}o+3wI%1RO)$ff)IWsC#xv;*Fa@$)$M3eu1V{ zo@Ls)2S?x-9S*+bKH4!$`9w13BBf3UrF;2oc;B_~&?{jka%{x}Jnz2zi2Ws0_Q~Kl zJcCq_3?8o5Wn?g~xrOa}bHieueqAjH-FBNKxz{MBzMY zBhfAPbpcbojHg)&m6+&FgC_%-v50C8foBDMZb})(pvqUOPH}yM4Lg{^0^jQO`LpeM z&@*PEPBx(QtPvjO(8MdWI9#4l+>Gf!End4c8GB*8Eoy|!6T7Bz7{T$rkVW+GBk;m|Zj-IfJ} zXJWHP!+`~v&p}LxT>Mg2uTcehD39a~)urHjv@Y69L?$)mzMLY+F&HWO7o0$U2Lr|~ zHO$g!EgC9?3MxfyS@x>bH-%wy&#UNF_PtFNzu#U0niIZLUA7ZA*U^ZLIIJwx_Ikvgbp>J>QTXe+&Z8JjjI)F4r*ZUZ z$HOO}=@f!iwkdS~GMn_5xn5%xJs+D+p5j|BAsjM*|4pQV~w6T;UDlMa;t$%`OGoNc- zFqS2wGYMQSUM%}kt(SkTm7)l@A-6+El5$GVPzl#~HF;f*Ka+vP@+-v^3n@a{mqX8U zG3eOJRkAfbRX?Q2?G`n|KQ-Hg!xh{#b_~adM9K>s~?p!ruFI|q|Rv19F*UA zs*lEgQa{7$R48`$9s&v?i3m#UkJP@PM|FUm$zwK=p{cR!Z}azo|C`jlk2RkDS$@i& zc<+(GeMI09fky-$5qL!45rO|a0{?n8(a(I5UvocxlTGw9djC7MFX&Mn@EFg_TK_(t z|E(UE{ZFZVf8Y8qSKn_}3;*Qkf28&`(C1*~0)e;~*+B;2?0$U~uv(Xk4amr4sAtT| z!oi}?3gY-@>HuS7Rt^>}BUVNLhy|=J&@MiBLa^IJRlL*%{fv!8|)F zI}01D0oOl^=ehL3yKu5{f}?mKcs;-h0x)s`jExzM4fWUn`dpkK76YS4weP<(YW+vY zdi)H3MBovDM+6=bctqe4fj<+^|DoFVm+1XB-_HYn%0hn)=l`bm1+x4i=v8*LF=Ce2 zGcjUTG_tpLv@hKq}FOcP@$oEH!pK8({8$V^FKQ?~qM}KVm z^bh(Mg}{o|4tfqoBrMFbMuw((!qzStKUN>?Aa*7okOceEiANt(NzCLlUdl>&d|v2=PX&Yz@QsAXn=rBTtE_55GNBm7g)y&`0>OB05Ea< zZuUPQ|J}Omf8ICykBPE!f|vl{uGyG4!Q)_M`d!Drxzhe^lV)QD{FpV6lZy#N!p6q( zW76QPZYGxB0saT2A^yjcX5(aI0$;bk_RaMZ{y*yb4-(b>$9;o9Ol&|B00;PD`*E_l zm;m4>PR{=_?;m&IU+-EAYda+yJp&`Ko?FD8gXHH81{QjYxj0BD{kVU?uS+NaNPb<) z{}6y1C>c3uFoTUmnU#%P9JH9lt*sout(292D8+x=%-~J%4u8@7D}#sh>j#1|cszh# zZumdalC!b=xW#|%>F;jNAN&70;(x3aXJcjmtyX;SwTAt?c%s*I<&K2zRKU$rM|>Qe zMnl}-ob4z5*tyX61-OW@P0}%Vv36@&n?ZN&_ro9*baYxV$xS_J7070MPtf~g&D6pX zj+UNHi#DZ~S($PIi*<#|LlxMivsTsGp4Xe_I40DEIvMzm^?a`Ue4q@ua-f-;>ljT$ zyZG!*Ngke-Yh^~NcGeq5M__SX!#4{iYi(I?&~>qktyLMVL5YW+0|-GysmS?ILPbtL zp>behiYY4hvm>o=s>8brbp@vv!*N*UD7NVx-+OMuwNNLH5p{n&>RnFSB~ zo_#It>6t!;mMR~+CQ525Oz~8%C)n!e>Zp^bQz(H)xfOWs&IIS?CtfTcrsj|f2W$k~ z1$Y$$XZrkfguf)9s4=~zdmRId73{Lk2$00|CxO`XrJrr8=31n7_oCg?v{}HstxXF zlahKcqoB-@n08V}JPgEnqf%yM%V~o%dF#M+7!z{~MIa|+KFhM?e64b($ z1?bD9_Tz6cR&&_SW47bP!r+O)ZH$^vI&5!YNourKP3By$e)4-F?I>5HPePhKp@Na7MDuSPb?U}E&I zZ4RA5Ms{Xt%UrdH1oF`)t^`aEe55!WuaDb`O^eZ!3oF>eGgK+7NIlV0WFJO+6;j+` zT*S218Kx^-1fS3eqBl!p8ZSV3Ab17M?Wv|0}4I!0am zQKWL$YN4_i|KwDmwGnfp*%=((5m^@rZ6MD{%>8#Yf`O2{K8o$x4%GkpyiA|Q6NOL?- z`1C!9#(({7jTmfG=N^NAs8Cjgw>I-YNRZZ=%d#&wG;!^IVkWvYs933{N8L2^a!+5# zcLiH78K&xXZAc#mn+Ne*FwYmCHO!$Fp~}rA&RU(PBW%8H4@Bl=*e!Bc>YoH#KNnfk z`1pCjBM6`)d}$k`?4}Bkk$;i=MgE)6XP46)igmio(>=mn!H1Ghd3aSTaCKjE9LZ)) z=n(;gA8YGdH4_3ajNR#n($Y2y2~xZ?nVoCtcVVMap~ds`{T9s|*X28+{9JY&&(t@s z_*6Ea+~D5t;W~=Eto@vdkmUj)kJ1LQ6F@J43)xiiqNEnhE&|i3)&05z`rz4j+jzp@ zv7i!?P7tk|aj&y^NaK5SP6fiSC`K}x-PXYo*_PDzW+Rb-Grm5t!cO{dYbE_y;(;Y& z!cWXhNgAAG^WGx>sK%TH3~OiNwx8~ICM=SoTbWoNpx?Y3B;C&=LznJ-CrMDlJmwtf zvHsy8jld`?DtbsTO)+bJ!mh$P#1wwK@^dmxm5ztSCW>aO1!t4c-8u?e4=8aE!fy%R zq~MHPGM9woJ!Jj~5lVc{D-;{vMhfaInSOL$+P$Zdb3R&s3|Hkdwlr5@yI9014W63Eeofp|G|8BsSMHY>HXwc_Luzh%VJk)EONSx~N?rIUa~k8=TkvLy6n z9xIhk?ZstV7OEmDG8DPQVLUxxW7PNjbqT%pXo>?||i3j?|C z@n7zRjnJYVR6f1Ug@dakm{{{+KRBK&Z6AXWBQbdKZQMjkSJlDMO@!B5f5qCCZEQ+E^;5j1!DIuEGH% zM9}>^kSI);0ta8dQlh~}H*o34w+uBr;9S6VPxcXBr0squnNHZ*3XAB+Ry`Ud`DOY7 zB%g)&K5Dg6EKx&5n0*7p!ZQB~*Y0qKSA>AnKeZ2Yhm!qm<@7)pFEn!8`^4aBfJ9E6 zED5Z<1WZ08EStki@&0Yi)3opdP%N$;&B%AYV4u`jKbHnKZ~0fQR3~m!n+e_uWpY-X zSj$Px$wcSyOx-aRgdLk`Ml5+kl-Qad`Elu3Usk-X*H+*=)EV=-y~ldj$EQt-TC-8# z7&RZ8NX55b#~PDDs)p23g5y@D%skjLSPe~HHYPx=P1BF6v}r@$(f1TycRm?fT5_ApvOzm z8Yaf>tkEXeR<~20ZeYjZyvsCdf!c?UpPFZ5N^yV$d4n?A9s={w8gKTB04q-qNSb!< zye^t7yq3oJn0v%2vh|zPw2BwknpKX&2Ie;vEw^ipZk-*QmIyc@=TB#jMRE_yXkLl9 zz`w(a%fjJdm+;ARN}CNubk|gQeQ7(!4@lm)HAj{DT!Jx?aj=@ype3ns$X|CYOIJ|* zEr0Q7LS_kJCUR5AU3u_DRcmb?y@GEls=Y37pbd zMbC7`d|Ra87Uis`9PNoC!&w4Nm8fc8C58!Z8LHlBkLN8^Rl0J7i$#S`U*X_-uqN*I#QG@`P8KD8(VmK*8U)?VaBZ=nec`K zrxqKr#9Qekb8$W|S(#l7S7k$gCb7>X-@h3J_z%m&o14?^qF^+6x`tSZA1*>-c2e_m z2MD>ZB*hknuG{#-`Q>Eq+Bw!8we_psH6$R~$r_nA+b*`mihSFIhc$D{)4x|bH3-S$ z!Wwxv-~4(I+#c8Ri~<9TAdSfR#=beXv$|f-fjFn-CU~IwG%BB*CoLu?Z_Rst9$#o@ zVCV*~LB~K!gDw&eZ<#;zLO`;bF??bqpEf2J#GL^Vr-qq)k9l?mtFKJ^otrpwGrw#AMcA z+Qvj1^gypJB7Tgqqw~C=8Z0DhAA&iEj0`WsM1A}Xp&6gMbryxAi`lE!FalCy2|ML6 zX^z$oN)tlc6!Zs}rGf$qW*)DU=9f`$+BeIfBZDjBPA2_JMq{8~ny(X6AoPQ3Mnz&F zW+Yymc`UL~>~6+;N7}oc&@W8az7*uWhN-wy9*1tT$>ZT`Hbp!Wk%0n;kNC@dYUHK& zpQ3_L6%em5Wn1|2p#hO%@}c+L3fv2VNOxeY)r^aDd*_C&lwb{YM!MfAaN5((4g{M+6=bctqe4fky=X^9cOQ3dvts&|ky!zi8CJ zffl*U$O%J%H>))7+E>|NV50~;rfRe7=YhpU;zG-fdTk4HtT;XyW(dTzYg=? zo?Y>OHdP1yoZ0VIJ%6Ly!Fc^*VE$`z1uK}k{#TMK0GvQ3@R0!=;0yyW$<4*W1U5eZ z@gnEnC3gJ8`>*Hd{|?{&8;%`}H8@k}mkBBVpBQ&=TOiv=nT&eXPPrIi{U@&+5&=6*>PhF-~r14E7}V>7x;q|_V&Imvhth3XI131Ob? z_xuox&}p$2Cb40~cr8*EY(B)_uLJn6?P|5O25~e_cSieWZ#f6z+J|*2r_K-e-0pjD zqO#J7GCb~uL#8<;qDCU+hKI}K*ACW-j@_6k9tz=zxevwQ8(08Poj}CJ$Z>S7hw5l&|teVBB zE(9kH6`*98CANoN5u1E>%(E!0Kk^-&jdRE*h)UetX>YffPqAxz<@0T=EJOXkdpK(b z_u-qkH|Ci?TeOddOsaRt5*gyiqP3l-j9sJA+;oGT zQbD8K;L4j-~^j4>!3 zo7ul79px@rhK|x9Y+f>s9!+73T)AZVg!;@@jbM32{w<%`dl->QR#a}^&=hU`g}ZmaYLjRjB|oVa~<@SAC#2+s^M zOdi6%9k~HE8)X(T-!})iM5Lr;BTxu)BzqPx7{|+7fIbF2Z)93|bqy06(|#1yg)f_j{Emc^Nmlv1){Ovq4~_ zs`6Y&HR<9Rm1MeGF6*m4WvE4`g`nE%&dw9aXR0P2P+~7)<2s{X(NR@U-|s(}Kg~x~ zcanr9_ylZ~>EaJdAu41Aj-<#(rH}9g*~-|B24p7qkZ;o^RL$fC*#9I+*Y7<+ybC1bTEtQQ%n-5Z?JO^&=VZH6jp^YllBk z1}d}Z-%V%U+lK=?qnDSStDT`=Rm1pVgpq{Rg|~N(-n$pB@SF+XOk{?6n`QC}k-Q&D zVop2zV%9yR1Z^&!yvm^Fi1VaNiQNgn2qnohvz>hv@FY~;82P+#4d+wEmxTuS(bCN! z{gmEdE`+wc@#?7{fb|KK=(tI6n0cVpe3`XXH!U; zeu-E;Q7CE5MR_!m*Kr{bb3%J<)8sFMfEa=2{IrrHTWvK@PRTRP9-j1UL~Pqc^0!(2@e7&Qb1WWP0z z_KW3XWn#WALr*#3p!6>|Cu%Y2f`T|Ht82e*XLc1t)q+3`%DF>jW!E)^a}%O<{KR>F zZZN92V9nZIsWE9`6-s7A;x04tjVfDDKL5NMe>r;Y?&65{U79!w9opB3lf=((*-&WVc7Lh zFPh3&CU-k&D^&6hwV5Ctvg0G~AC~?cAb-A=HYd4{yNl8)T6ED;|CtwVk%4H{K7k&a z_PkQf1Vr}f^<3YZ&}YW1nb1~7O64ldhTB%WrVbHz2L~Nuuc7MU^zU(>;c?|4IyP>~ zA&fw~GPk8u>~_KJ&#gHjyM%;Vd;}QEUYIFG8X;v6l@iv5()MC>rM46Jab)V9KsbEP z)p2-5s?+&Ro}1~2y0mM z9NYaacmVf%hX_|#I95$Re_wm-@y@ew{4S=(PgO_>0?$CkpYD^N2PK1Gm!MR>+e#(* zvFN(V<d`1TzkhZtW}g)5kD#pR+{*#0|5=P4?1Z$<5V z^($PjcjcFFyEirM`}}WDgVGC*US5~o*}N&s*-#vujxV!RRO1~PQTMH=7_gazj4LRb zG?>HVWt{0ptn}@9)-)rDC7-LQ8H==VTg?^zPFo>?!7OghKy|i*EgBXJ>nZ+6ZM823 zB$Fy6mz2>r7%xbBGtKU=xZCIJSW3UhYZm+9I_PkUjXV>rFspR8o+x*07S(#jkL752 zfhM69wsImNwn!UA9NMgO!L3uDa;K6Lwnxi zVlgzwiSm7E^R4T4?&F6TAF|7yf3u*EnBpB^$vlv&T{qnxNSoYugtfn#9o2R=?wG=Y zZ@YG4loB>hj#l6kffB3|QdXPKO7i=nW$zhwf-0L)*>hPrFf=qWJ*@%oe!Fcp+GEDo zj@3SFuQ%m9W}3yEw9!!!3#GLb>0ZGJKWE)zma(#Z;#Qks-H?8qHmQrAdT0zfArGfW zLAJfebG2(@5^j)5uTb5eoIHGX(rMcIX-#u$z|+~TWIG}m9y_JC0xBPNfJq{w{$QwD zTx`NhyECb$4O8YV%`U@w+M$`P5^~iPm4QWbv){YR8neDbhC8F&8ndHZV}|r4tXj?J zM255?UuVVyvluBvW%O3RIk3~EOmQ@pEM7o1`Rk`|yb>0R=RDh7ye~s38!wAaEYGJw zi*v?aJ&7a^s0>l0Z{p_>dowDFW;ss;U%!(x%dE^!|8yb;_FA?3mU+9hQZ8qmHL_gK zI>}S-b&Rbt1b?uDVU&*Ebx9DA%Ag>pm<$7>l&p0vW$S z-a^Nnk5-{~l_u_7^0;k*V?C=&=wwCImdn#|ect)5>sqLk&Rq>KdaM@Z+nTzTuE!46 zMM7VNoXBNKkDQLbDICe5qzlNOSggn%%YZ8T%MDUrDJ2SyqLfiowU%+lz0+;z<=Dx) zg15XGhFRHNL^?Y~g~#N};HrirF<7ap{YWyFI|0Wv_7xpg%m$4C{-E&~`#@_Tat!}@ zV_KVrPN5DgFMk?t14pZcuR%7WT=SA+EyR_GH^?MviK23RAaSYLfIFS8`kL&6+Jcp0 zKdVk_`vBCeXn`KY>3Yvw)`X!h7)oZKOPHqwG$Xqg+A@K>(o>j`5*erRR(E!)ypQxJ ziH*v5yLl2^cC?dZ*|Cm_eMoniuDE-D z4hgoXeN?!3UbrUuOg!Q9r+AJK8c9F=LHRJ&bM&c$dIt&#^J)X6!h@ z;=BBsCSa?I`a>;%Rd0(IU&FtJo3eMsgqdjDtzg@;LXy5$w577D&#~XKL`l)_qQuKy zzKVr215{pI@LbXh`h4Ce8!rvgclfPmICmGZ-v`EwIO}&%tg;QKJor{R+jcH#umjWi z7MVDw1F~7 zrx-+sVFDF2p^%)dJ3dBx8OQ5joSzcHr0Yq)mD7kqeDzFrB-A8ywu9PM1&PfV~U6iGHU_CoB8z?_5_wT8@0i}B(fn1dHi;x zrVtt`(*pV1u8JgS72m0v;SXWtUQ|SiOdNwG_?B0bXQ(jy!old(B37?oZ&1MItTi2QoB+;}95vm`-#i1=) z=T5^!df*iED~9rXOfEQ9dI57OcLGIw;?Bs!7?&El#0MXNOw#SWN+i~68sy|dBH|b` zMx9V-0xdGj`27es_Z_RT%+%?sMg&~_0)#=9@cTID+td)NG>2z_yvBJKPkQ?x$S#-m zGVZ5sC;P^b^3LNutstoENRZD*Gcg@G6j`l5Roc4*w$B?obL}Z#W(S1X=~sBik~1#9 z#`PK(pBHEx826O%5V_7RVANjP1ibf|Jl5G7?dRj{`2f#*Gdc!~k#)Xe!6^W|G;$Z9 zq+0sA`>H<^6mJzjJVn8i#3YHlJ|>b9x677bC2@D3=c>)&NoUIck&T!_*6}p=#kf^# z^Z4~1ld|b*7NH0KM;7~U3RNR?DGvcBx#1OUfbUwXg|Me^0a>YuXaU4VA7^O&b-v~g z@uk&wz(EG^KKEavc8K7`|47IF!Oq}CP9ScJc%YGhaW8h*#YI??SckRGaYncehcM{9 z`N+xqA<+DU|E7kM)1>6X7jdsm&*d^lw4tlmnl?E4Ugm6bJ5ycuo)p4QG!0@iQ@D8a zX4XWRxIF!L70mItIqnUj4m(YDmBn#aW*iR}T2%v1`@3+T?#FA@Uk2d4=kw|nO%9y8 zY)c)CmHOC-0|3IbHbDW*M!zGmK=2J_QvV^#{Rh&HN0$4M<$m-5wy`iZ&~q@gwqmw1 zH2!Hr0Dk5Mt9lF_4UFvg$b<~7^^Hit;vNziQ++!t|H{w)l|ua+&HYoY{KsX*!BwY!YLWkHGywdmO$PIw;F8r~k@Eiy6M>&y{5s5k zJI(zsnF#!x+pi<|uX_6bLUgmT{%b@x7sn4JJqI`v1S`0~1_~S;By3<|IgsP;iS8eW z|Me{W?;^Uv4glcCj}!kpqWd>O{C`YzgH60ZzahH8(sJvC&(%Mqi}Ya?r!(7TdlXG2?HhkuQ~5fSiy@_gUwlQSp%R(BH>{&q*lHS14p zc{5Gg(C(?cY-NIGYnRld1G|wvETjNFdc|IV+R(J{h>5vM@1AVWM2VHf8k=DiJR&(W$LCtsle6C4j$V}2KYy9Ds&{4O zf`(lE9!4i18y~+)6H&HK9|a=VVV#jyGR{vIS}W^&1O>>eA>GotxksF^oeMB(B35+^ zM>RC5;Ad79aaC70R8{FGhG!5G)qYp`TDR#y7OGkpAFq_^ZH`_zQC3;5pK!fdxFkSu zYDJ?ylQHt)$x(=T?p%X!j4Mu1=Pb%{J1A9c5&H0%@8_a@VV(lU@rF@S95;Qf^*IPS z5x1NtwMNo@SHe8c9fe^oGqH#y(`Z9JLeVe8BueU=pW74<`F=bXL7bNDHkNPnKSx0{ zagN`WtcIa()do<#duc+w!5$aWm5!aahg^*pSX%In#q_m_SZSVex3M73d9)Y{DH~S8 zI}m-9Z0ZK3ER{qvFr|L@#Jt)mJB=gca0XU%OIVwAHZ+BHiA`<*_iQj2QNj4i!MH{3 zwU(fuGCcVU7nvHqp@Mp2A7r7ClkrGFNO?qkDVt7`Vo~ZyX+heq={Vw*>A)D<%yzC$ zZ_yL}5Na1Y)u$Y0hhEr)gCG&$?QRK28(=blV#`sMNYN3W6lFUP;!`xb9?hMEV&1iy zYE4dD-i!UbJ+p}T?X=)KiGd=k`qvc`4*q3ub`9~J19GR$i5Uo^eOIMYmhX%t?-lj| z_<|5&V(nDBRB?v?AA4^d6<3#Td*klz7Mvi3yAve1ySuwP1a~I{cXtWy4#8c5ySwI+ z^xNmYeZKB*^gW~do-@YH9}G%%?X}n3yK1be`FrLAm1b823x^3YSV^#mMrB%LgtsS= zWl&`7%BEp>?nQjH8mey1)~G=(&3fqXQ)%8SAPVD;UTU51&6z)6xsWvrcN3%!b`$aC4KU3oD3mMv1J@dMx>9? zTj^TeqpLW9H}!!*Cjr4ydSm+XF|vi8-`RtUG_I4=ujjt6TYTo=?Zm9;lX=G zlkWB3Blw>Y-8+M?XLF`%V+OvfC1VNN^a1%E32pFxC7k00VBw#tJY5PuA%gxCXI*v( zJ@lh^Kq=~phS>1f(}=Y#V>&M!eg2Lc964}iGs{xmdXew?sSvPRtmu+Rlu2iF*672-iG50)!Nw+RvxXxgWp)TC3XoVVJT;@cuCmWe+qApZi=IqY-f+XGx zDpev1XUoE_%B8V|VQQ}&&pL?X6?P!+-&8~uA(^3sWmk7AoN0)xA2!xCvQJu+32%(4 zpt>qV6uI6H8#g~v0MC$6+kyy$>h|Ik1$uOZd@v{ z+|`VD33i8f2o5R|VN$uBh%{zXh=A?YcVu?IbUD^%iJ*8AG@7F8jA5eWpAKcGe(vxc z=Gf)pP@K6dfN?=7)}zbG_VtPeU0m}M9_DR`p|P{v2w$241|EQmy9|>*9HF4N%R@64 ztxCmD7dS3V2=4Z0(kzO8@FacTi8A)uV^}`{3BDDSrTZliOz7n)o->&Uny2y9ugnJv zRbq3ts{Qpc3&KOw%s(y zKxMm`isz4U6uf$m?WAUbi4(#v_2P`vfC1I8Z{$NJbT4Fnwf%W~dLB34I-%U(4Fi-=lyxPRLIp+WG$OQU0= zLLs`~jzM9K>9vhrX&LNCzBD?43pMUXeH{EOvAOb$j^|K~kG;E~K2ug_P@jhORu1b- zos82So}_6T*f7%*h-pHRMrZ&zxHwCb;xqfJOMRZT#?hwlc}%t~cP_$0MzS>?J64rM z66h)C2Q`KVS&}z|(stZZAK9olpzhAiyu#c6QcTaR7z?1M66keez^*BjAFx1Shy+Fc$m9W1%nS6|I-~CeKN6*sCMG zq97a|pF6PRh;Fuzg2jVP3%O?5oO9W+^nu=!U=gZ_xr++>Tgc1TnjVR7yPAclBu3Rr zjVVrtIm@2=`v}z8pO!pTOBU^`Pd&y=+pvLhf(Q9e1#4VtX=`_>?Y}Nw)@YQbJ?OUt z?Rs;2ETN?&JAH_l#POm&lJUW>4r5%rF5}c=A*}kGGV7A=&eyh<#C2GZR?%qRhLYKm zC$xpiQ44HgULkBRQTcLQ^JP?fl?go6sia~rTv{s%Gt*nOD7=QH351Vg&B6G`LX~=#54$sm`RlUCZ|yQqjp^aLLC}n9h#_m*!vI)TIgu2 zfOO}F?N08eFt?t{C^&OSYjDNN=?9}=?dums)$aB-BBKW`EHR!Oc^_)ca~$^zb>wfS zp(RmHr0cRbx( z9{MJg)1|s%6x-p>`PIAKwX`mR`8i9e5*ym4WS&g7`E?mXlrW3P*L_Dbj}6iLIa`h@ zJ0tV;L(Q6YWZ{7!_Vi^_NTQoa@ychJ1nV*^{x)M><*;5OfgRq^k2;z$P2AEX9q*Cy zXAJbQgz5tC*}>#WmVh}V)Aq?Vd3n%B9BScoJyVj!UZUE$Fv5s!uUK_gehh)qsJWW4IlKH zDUBT8=%Ka0`mJl+BJZoB)I)7W_)(P4K>)Z2`lKWIx`&mD!q%Zgc zd^aI=aSA5zsi}Mjnck1`l71m`TxEL~he&AMAD7-7)NNlx^aF>`2fuj!#b?{cwn9B*Y%0F>L-pqbJuI4?vN;A?&>O2$ur~g*(pg*70Oj$KD~{pQl0sJ>CKkK)qa9m4U!Jsu6?*pn;>7bQz+7s_*KvBNTYu z47rV!1+WR3Wv@|5+*mkVaL>{#2jXF)-@QNzV;5SQA8cGyNh018BO?|j+hwuvb+_1P zZEd~V(&gi3eBVrHqr+QI>iGK67Z@mrUa#}-`L~QeOA`Lm<b`+u#1}|0MtRca`$L z$oKv4|Hb-WG?D-EZhkB9TY=vS{8r$%0>2gb?^ocT_iuk0YJV)#|K#8PTD|{GPW+$p zoBxy(&-km}_#fD}jDM+M{onTOuPW7li);I*4Dx@uYx_?WC;yu1f5|p|OXg-I;$Z#d zXa6No`@fvc{o&`1{_sbChJ4!^**lsUIlSe1O9=s3-^!cc+|ysS{9o<^e%}A(zW=SA z`sVa7qd^ZY zSjY{;elSKz&AqHuuf62Z0XL5IAQDpz>bqll`)pfDsYWopwR76lj(H*P)A9NC^v&aW z<;Y#yiGAbOCGXdV5fxF$U>{D_!3OO~QE09<{oBt8?d-9lTZOr?d@vEl1tS(54fu3)*hU@r3r?n9M1rk17Q z%;#mcG!0-j8Unl0b{p%ZnN;H!fqK3#&pVy>=*;y$g5Kb*cR5HKSEs>x~>zh08j)mu18?Vn+#;N5d~f3UB`dR@ zRpC0{qtpAC*VC!Kxkb~)A>Z{_ux7+C*~gKi09#|Mv7HGONJZl_O-O^ zAyti?FzZx$0l9S4#|c$&y2}SKG_6$?4rD;X-+=_kM4p`&RQ*o)b>70c3j!c69X;)| z+b4wm+w|C%IK)ixB|Gv$bkdqPjfU{>dazqh^+p-6({?ssSy@sH`l*0&(ed8XCvt6> zLa*i{xhm+2>M+PwL8Ja+%=K0L8F~5W-HJ*iZ0Y-1z5OS zIx)F3D{3xRDK=D|ZV;G0AlF7v5R2Xy!V0Ng)vy2VDxlINr$MVbMqU*nHxFNNs)~q5 z@1WUG9~>C1yDS&JHKf@g$_-RokO?FIDk$}1s}!7vzACXEkeCAHzG5MaIX7e&fe3O}d;AxaG%j z8ZstaUGHXU?hw880Ep_*%wE=Q3V48rGYR-y=z%jQ@;o;kpGmv`_+aMcPbGPe_ zHIA?g<>uBKfe)o8CgEKKR)cnmpRj3mmTR?^_RcB7OJgZ7WnEr<6oCb0@MB2V}}7 zz_E)HZCMSxNerX)(6v_4&dyEWuIF_0zTqRZD{-sP7sU36ysreCi-ET8t*7@oa z`nBk7#BmBUXAaFh=s-xp`=rN=pR`Z5Q5)PJW^tF+*lg6n_I7hgoK`IbvddIr)vgyJ zS{GHQizcE)Q)WD;*5|$aVlAw@Vk=Zu%}viUk1?$(8!#kTh9(9Zlp&$+k&VYZ zddItLie0S2R3E~6U8*(OA>+tDP_E*@soALH;9yuA#{nTeWSk==+`v6Ur{2Qc6PKbJNFJrR788LP$z7sn@p6(3G0T<8L1(l9xFd5?&S&_LsW*!o13OXp+{+<;} zVlGNWGS$kjo4Gejy3mC!fit8gC2apXsyK1ZHw=W_j|3bS#?GOO0v7Bb+1V|?sE{Q( zxdxy?(u)}aS=};xgcv;`drT6=$!*GB0U0?9Sb?xP0`Qs_1Cuc5dVm>N* zb`}+HFI;jOh#vC$+*mDQ=BSMlvKo}(7j6|KE+XyiPk~O5ANYj_M=XoX>Sa{1yXZgc zyA}!IAzmc%o>5{CkkGwLNf<)H?~m_Gn>L;>v}3_#S(CUX7>@?BOd2 z4N_%00Ul}Do)s;2uKE2-FEGuc=S*~d@6yhJDp66SUu)LruVBsv*3D9I3)mP033 zj?K8}o_*p=l#{@P#oZU<#@4JJiet-pk{=-AD%+b>?Nfs4O3G)Gb%F8i{+WzstP@wA zXE^NN=05KVSx_qP2X#XTzVrNGGh$%9hk76Sg%kpwVwe1YSrNJ+2Kh53wjH}J7T(e} zkgp-^tJI~DXMTW6a$KI9=a(*>0aPo@*m1bFL6sr=8wdPC#hzw_;5_zYXlsj0Jt4?+ zp-pyp4YQ_Uy;*R4`{O36@2ceNw33H~eLh%a+{J}E~1y*w~&y*&6Y zUpz7>q2q>$&&r#&LO!6~g`CAS*ZtI*rFn?9HY3E%v9642Z1(-t&TvXtz~VeX*%Nil z6+)kr`n8B6R1321jCy0mY~Mkn#!%Mb^*}(#0tTYhfj}`hV4omjkO}*1z65F%F7ZkU}8v*EOP5>ho}92zrrt$9XQ-BVheYWL>GGJZa!ov}BU zc{;l~o!*@8=Jb@M68-JwaP8UW;&9_Yo9B7Y&FRjA^X9%vA@e?dAl?1&=T4n68PRp# zA&9nToDDxGgv6(TB5CpOSIuCC3bd6hD;z$?FcHs#Sv&(C3E?AkwI?2#UMNjjR_jLVa{!_nSO?n^i) zW~XOyX((|I=;1Uez7C%@fNF!Kj|XPQyvWWzL!3xwKNv6@!#KSwlXlGRnJ0Y#+Tz+| zikvw1f|pKL1ShBhlOj%eB4oBPNiXWX=!7@r&o;2mpoz`il>QRgJ4aVb*)K=B!+^U3 zqSO6;`?Ces$7CgGJXI@wYlbU)j4#Ff=uRmg#J21)FN~sGL12-T;FK<|4BssX)p-~U z>4RdMWr(Foz+2m7!FB2Ih}*9Caw=)H^J0Az$(3IeOuWk@Sq%?juNB?ADFe4vp?$MAP?zik5yTQLd z309M6Tx*31m6ox9ktRdySogKR@Ox2`3M4JxW_WnrVdL_c^_hB6IO}D(I+nTi7#9hc zeZ^=EwF2b_#mGS%^1fq<(NYz8d5^A-cW^|r!E$aG&%BUgxAoL_`Wm4fx`OMa%Wthi zY{#xOJ>>~2nq1daj$(mgzGX@5u4zffguNuIuqBVcI=MMDU|e8dsxJ<~^>-g93a#T4 zw%u>mKjwDZ>tgzQ1z?-z3+H`Jg2_qm2}ZdW!rZz11k)FlqkNnb^dX_+kiw z@|a2A-ya!`6Ooj=uGegH0E8B?2eIc=^V2BD9OHJA|71M+D1F()#VW()tzVk6E6~i= zEC~_Y0PQ`n(X~9>hnCYB@{%0J{w{}bXOcBN1t!ZwGlhdNp~&QQh=}*qFJnq)@Y<6+ zTi875fU!qOuwl-*d>%iN#EKPWMCh3We)_omP^i_~2@m-G5%YTx?+QiVnpdNOIMs~0 zm`plFju!fK3R=ZX*c-tTcH}~cfz%bsd^oC&GAJrLV1jai5BYlAwHxaN7DEEU=EJuH zO@p^$>Z(D=0p}DB3iBgvAyCKLz<#AG>+`q8>tY#n8Q-IVXfE7QrLDKp=4pAb&DL5I z)@{Vczq-96)!=0W$90E(I<)eBKGgVH!k*fK`|%XZLqKJ-clFwR!=uequOp-66%A`! z{yeRo-;s*4{G?>fgrwnNkV3!34 zN^CyhN>@lFA7sZoiryk<)(RvW56V_Qt?xuG(H8 z?>S>{C)=(FU%#uK9TQo(Sum38c;>Ov!FB15(z4f9nuO9Ynx)(%5z~(W-@uNh=?jEJ-8YK(d zsVNuRzQ$V@sa`~Xql@ryGy5L&(TUz2n8ST<|K%wNh*k5?EfvC?6m7dY|*r!5vqT64!Q(t7c9I=dH`Yx z2Is3Ph3}}U8t>_T@=AjFal2NLsJuW+%Z21&(-4iSZ^C0-vnPo@#I-)4I7qtnIm{yy zzFz^)OA}vsnP8uM>nZsyyBtXOGUe}50e`A5_-k>)Zz|w774Sca3ebP6k}+a6WTjOa>gzEw)9M=;aIhH~88W_=@%$>gek-4T>pm}T?ck_qZD1rW%tPYjWM;@| zV9fH?GM<5*mXVE_@$L2OjBnlN*^Fq}3;+y_9IVDHOw4S*UT4O}rRT^)qG$WN zs{6m}z5l}({`VU(|M1ZMFFo;Ff!_-JR^Yb+zZLkcz(3zT{x81p-=PA2)nos;s+;L& zMfM*X{$9^BGSa@~>(DyKQW`8_=?_va!%IbFc$w^$b|pX*oCm`o=~K zEF1uqzkDC~y`KL!uWEmPhW}RJw*tQv_^rTi1%4~=f3lwcQ<&;sS5$BK=dacK-%!3k z@zMX_SpOrWiRl-f`VS~yrvKE7=q-@wEu!b=-hZ*dKX3fvfPdcj1pxoN@ry(KcaXrp zM*m|5|8f%epUQ9gHSPcOmFRyrDth}h_@_MdKl>B`-cVZrGvJ@gZ(?PoV|&}2gY{RV zA~qH}7NWOaQgkeTo8RVL!F%yb-YgR^naF~6lpu`$uHy&VY?9Si&4gh%~4z5j;6 z8UE6uiScd909N+57%KqVn+nW-JNBQh@V|Z${a2{YLig7D3Bdd&_uId>iT{*=h2#Gt z``^58{yni-03wdJrdB_%9Yw`I^@@5s9{`45Z@ItVu!i8$8iC@4d>wff9Tdz*z~1At)Nv^5=RU?a(QGJ^BdcTCtlf#Ad|B zC7m6&^B>FfB#fn{&1p5h)x^#sYj%XG$#16Vvp45*vB@_&8$Mp=WIk!a-O>Z=c5Sbl z*{igp@DUqr+?%R4S8oqHHxG+MxyC!p@~Qg{CD!H=%a6DO^T+CwJy1cr%Jwyr?#y6}S zc#CpLqw7y&&||DdXt?0=hB_!2eCYwUwlQV0j9%S;XT@5$k)1-9;MqDL(dWoyqzeJW z#|2ce54JGc2=dKDL#cmr&p%sAZ87Jmb9&~-v*zU~y*0gWO+!h4w3(uj)^bD1rPDI2 z`Lx!G4r!cNM|89Ki5=fATh@!Ndu~0pbn>b9ZMFv~9N@~IPjDg;UbO;2sjeFy3Td)m zgCvd|#1Fc;^@ZXs?6)@KBze`mkglVH6#yope@F8cnI!^0rHgxFX146x0fSc@k?-() z=Tn59WYJpet86M{G)pQl6S+2WWTi+{q?`zFg6m|tSGF=K4cD=A=d72r((oH<4Hw$8 z96A{Z51mz-(2hmIt{1B^SYWiI!T$^e#QT1W>ycH@8mG{d-_?$ z{z;VPk}jAfw8C5rxC8}IsqS|*QONLuMbI*lbOS}-h5 z(^>xwSu!EvGE1SriZWxOm@*vwkiLj#Xav1hAe<(@Y5h#*r8D|hi@^h&&FxPcLLa!G z8ufVHIY}cvLqmg2Om$JKufrD7?4>Xhk%1XZDX%eDh1Rk3WbDtP&;!i~QSNg}p{KCJ zH*jbdvVzU1N&&$|sIE}h0>D_Nrwzgz`YDo<9*vYrQOr{1-yv- zMRSa`V7ow3SCA8-4J^`L71B`s*<_G4RglyCz9S6Jqkfr{E0_=CVBfewVG@6I+yc{N zz*-zNi&b0&Di7;ZiuX!UEUy(*BB8cq62`px{+64iXqYI#L8Gr>(Va}G#+8$3mU8&s zn|2Oi#?)d1|H`+M+z%E)Lyu`OZP@G}AQPLPcz6_!Z4~okX*d!RS~ou_H~AtQlSTP~ zNRaTib5l`4kZiP2@qX}hVHB-eE&qg?d-o~O=OqT+@$j?C3zhe-mj${swIk#*hj0m; z{tKYd-sgp?ky@H%c-Ke>E4}KNjbw1%zH9;Un!L_w2i6@2GYuM6^SCS?i1TdAPTSkx z*K3$$5CY*@34M9t)TFUI825&J7z7Jk2>8$?^fU@L2xs@>F~_^a;q%X7Rv=`aihv4$ zN6=QCg-#)triI0HXYOe-@Z>2o@I1HLw4Y)2EW&wyq#INYqY)Os(MqU@WJ#`JYq3EJI!A5>)(lz?pf<$EL$dON_Mb_~ca9w{@~wz{w6 zDL?OqZM6p(+o6lVUR#p_6#LP-{gBeP;nPxHbnuHlwN6W1uL~XUZ8IseN*-@W zEz%>SCJPn0PiYNSiX}Nw%lBe}tp_;!;{ko3fs6s?a1Uagp4T}jZSIOxA`zQC|0oLuiNW1o7%!yU4mhTpB|w0RP*diowRG& zr=vo?smINTcL$D55YhQ~YHBlPQZCe6;ZTLW59AeDsNsBCz|H&CnQY~Gjg~>Mdre2q z%G1sZ&=XH_??rX$uA_=Dl*S2~Cl!Xq5TSr>3fJt z;U(4}0gVz2+oVb=j2Yd}&REHCn7t;m#sHAW#vLcvW73;a0oFIaE_ujT4C11yIV<66`cP~>y*~MkF zb62dwo0{9PR@O)J9qHu|I-f#*zUc*fMYb+@yRH~<_SX6UG5luuJ(vik&PdQ@>SG$R zqF$)0!596_jaI*cd)H)cPY)!hZDCS4jt{sg5vbOWgBwOO#-_IsLwK~Q8M-v~$?qCS zHge#zsM>CDi(sKqAT=J)`p#@**rMex*^$BxwhbM1+K_C1IEgRD1+)bqmxU8r4-5by zF9T=?24eV6i5}wDC?>UI4=&l1AVc#WCJLehGG+>pE=$zXQjB{E=g1dSon;4&Ui5Uf z?DLXqq6u|6+(l+Nf~PV0+i>h`4xAN*Kg=tcgBInJP?RE%DP-cZBA2;d7TM(`FHza?}3SOat@ zWBRe&s-Rrju499~kgVNS>Dx?FNn~&>fhslRt3%quQc&y*cd$fOit}KAfg;lP$U)B! z=zihIG;~F-G5~KzCl2$?N}?WLIs35ja3!>AVj<~}dJ5<<1P~R zD>ccGjTC6qclE5I8v{B6u2>R%$HubCgBgcAh*jj-t_pGb9j~{8@CLjEb0?IXXO--S z!appMj#=h`zl%|&Eko7u3ueYanTYC1W3A$%nRC2&wXe|kfjzyGi8)i~o$a%XLCbGM zJGSYaR5%tR&R1<~nW5m-ea%)lO6-jm+gq;wL^yHQyNBf}s(Yw+9>hD)2${L%cQOBM z=MElPO^R-C^L@v2Zk~EN{TiMcQW##f@oG3E?vrQQragge z(y2Tp*t1skGVFN~!Oqr;<8nGxb!YjeYz&7FyCRb<2p?wDMYTwC?7>bO3mTpKeyX&? z5a>WN{nwe;kME__rirGSo6C!h)Nr;)?A|M3lvSGFCT^e(VWPP7RuN2UGEG#XL9Qeq z|0qIJ(0tJ(@__EsI2SjUQ`99hB7C%4N4IM1~bAHW#3E~ENbOZeW#A@(2h~e z;+1aky*-+PQ=_usX=lrNTzeUl#;HS%{!{&1Yc;HQp7PWIWT0C`-Ijc{f&2u(tV0c= z8}nuuf!kfWx?cTk8RP=&;t{zKUiyS--y|G$e9* zfkN)A>Ngl_;#fW8r&D*?=b58n#LH9te&0}DUQGkWMU&6|rf4(UL9tZfVq9@2Z)f{9 z?Zr`uz^r-6X*J#bPHxp{`2kc-skbE2G>m*|Ml9HYGCewzr!@bjwE!-2?4qRiPV25J zTON#q@_n1;x|2@P>XWpWD!ub`u(TCv+$qC-`&$T_TH)GBEMwe4j=)$!>8$$h*^DFW zvO@ciY~wu?CaccLxy)zRjT`T~ruCbnA73QQV=ePn@Zu+h1EFC9JHMJEa$KHI-S6(2 zYszB9FL22e42V!4A)-Bb*5LG{ng>Wr-$aQch20qNGUs?>byGhO^=P zo8nVkL*i44Pyo~&X7UNxEb{tvh!Rm4RXU+BzB8pUqUs z@CAiE7<|{@TW3cIku*PT&ZeQwM-&@>Ei1*l?mEmxij*6oVp(`^UrjZXKOM>Q)rj3t zA7D_GFXrZnv!nuh;p>yG@55-A>;6^B()K0)ogQzj9AZ zPfXc-%N=tc@DM!GI4qEgDr?rNtR3D3L|+?PK5=*Ag{d`ED1A{??)z5c{?wkLEpNWl zLJ{u0yt)7oP=CnC8<~ zgj|L*gu1{;lM_7M6q(0)7G+Dz+A1C$JWU3zv=&KtCD+ZHt2tYk+$B4N@5&R0HwGfo z1kAHwkHj+!IhQY%Q>8l}l7g?3V+^WoCypRY!h}H4Rw&PzG_A&oC!r`XN!A-9?GC5w zG$Df?wpfhWO~wuch5EFc(^D&N@hOm9L;;xSX-LP!DA-y)@UWS)JzF$=k*C1v8f<*;K?-OI&JqM6uLeoSZ3 zm@;AEdz;K&U7>5;EHhV|e2y$pCC$r=;$eYD;WbhiH)EOi=`N;xKp&5N$5NCDVhi$h zEU}w8>!r3;=JD=QuP;II4}O#wSb}P2#jTi}^+_7KgWxU4*MrwVv4dqXrMH(c!4#p4PGX;6*b zxo47n0$*8}hCZxxxS5_80DH-pZeCueOdWX$rp-Id(C)3vG;*+|t!L5b zAtCYtM1^GVg=7hFVuk=QN#)s;??}rDh7WpCUY|SP0b7U;5YA@mJj==(KR@=de<~a~ zU|08^S})A!@^Y?+)kp03_}O~5CHk=O;k0eZY3jq}u-8F#977yIZ|Ca42lIkCEdAw< zys+H~-+iR`*CuInG59;cxEx~r6RDa?es(fCVIJDm`N&b9y6X?uf_p`csA0XUF=Dx6 zHQn z+uWeq-Zr`r40>6(v&qzl!j4VEQ>FuBs5%dj}E@vRB)f4r$Ug{wxTLW4%+o2p@1+} z;;4FVJIljWO9+$2p?K*%7nLumtrE$!YrT%$Dv{1gMCp>35x349@wQiEa?g4)`IHRB z_Ye7BkSRp)a6G3t_X*=U8?+5$ARybvE4JNmc%&u{`pX7Z($_jW1=vnUxsnvfb@O6J zNt2)WBXsVu$LQ2xAavOR&$Xd4h3n~2K7O`s;C+wB5P4L7ym+`jJ669Wo$Tm?{m_QN z11FTh14-}gv0mPrxUC`OSKx9r^V*3ZCO0mwtG`~M!CR1>wjJyI%-9(}$tIF^y+Znk zJN_X)X;~enCQ~7ZDMRNdZ7^Bzb>A58H4`OtmNn6xFZ*=Y%!v(<@fD!!B~$RjsbDH8 zCK9|&aIAh;(M`LW$3l?RDxxL{fZl4afweshKW#X348}kLp$&Gla&lUP2YXR+)HPj7 zL0yAZ62n)(_0}VC@kYnW{{m1czjk%WUBa zR6^PKF?9m|0|n{sT_PxohYs%7B33IY^W!2gKVuv$w{&VxZy0eu7|)IiI7R%y{57*b#{YYu%&&?62cE?I*5A+C$h?(V$&|!Pybl!T)v2xHc{4JvMOYA>J7P9%j2g&?BE{2ui=SltrsQqpF z;jhc(kAwWjTnrOC8}pyIm>KouC|uDvuRWFR5fG)8p2JohplIMyvgKL>;D+8wT|IiZ zk~DL>NvQ_$2E&u!Q5RRj+aI^W!phZRtQxniv~X-RXR&rX$i?B6tSvh&53|x<7kz!l z(=VHwPY+}EEbFxMrSu)1x6k(W7h@K=)(!C{wL^WDyNPnOF7Z=|Ma-{T(J7p`N)qi3 zYFsQWX{Jt02X)Q@V-@5Io^GYagcN+N^wyZa@(&l^SMJ`QkCivOM@LVMvQ62|O1CTw zmVx`&Bx#t@Rl4>ozsy+v%s;#ksQ?^O^EgB4m*W}fu0-DW2E_ zMeB$<+&VfuE40d7P8XL`y+!E7EA*(jN#>g$k82p0;-ePfcI0DpOx~BjJav?y&%F0I z=Pi}Z!Z%mfV2+p!AF)zY(Xh>9BJTrw^rtuXsQ>&7;*DGy0fQkQkTC^7$W?LS21-&} z1wTQz8!cL1a+XjPw|dJzwD2(3+LPd?2>_j;rrK=m>4LF@d|1-22H-QtBT)Ebp26noR+y(9>dvjhn@RcJZa8vV%0$$m!eomhbr+?^)oGmlAcW zE0}tF$Bp$JO<34Ks8WdiRLl1Hh>1s&gZfvA%&S2nUtQt}5rnN;0;%$=;BMobWyO2^ zuZ8oE;zH{~KM)Uda(0FnaqXqBeJ5i!Q0KHs`P#eOYb66mLkh<{)r9U?@-biPX9VI| zJRA#(b36q32g_57E9aG&QQ4{0g~kH{N7bO}SOou8VcbVcwFFZSv$sZaQ!t01c3&zi z6;Precbnd^PDhrH^%SyF;CY7gdj$IWw!oAN%Q1v{8e>HE5TQtI6|@8AogVWy}AWNBJnH}_i}6; zKb43ZQ)n9t3oMvW{VQ*oM@~55YKL>ag~{GLWN8Kd8MQj75|_L1 zG0(dywW5bG5L45NSzKPk0tH|w{eXavb?k$9cwlGE6t9aaR)H?vr*B>4aIAbQuQJJM zi&?qKt>tyOJGEedw4cP%xw&;;N{}D2_z>*TTvX6 z3qj&Mn3FVa-~1wCK(S zRRBZ>%tGvr&bNZVYe^rt_f1391hkw#&|(HSdyYS(Ffb@%f>h&KjTozhO|bU|rJwls zyVbM?)tJTNIl=Vxk9UIUQwNU1Xx!P;2#&1M@eMbD9G#l%p{T?9GHMk#b01bcZ=U6~ z%2fzc%rZDqKA*$^eKDJ($;vzFIgzGqG>2`gs12!ah^3h=ra4%;;L%OCFP-Nb@gKqP ze>~)Rhxg^PAm(SBse!Pzr_$D!It^bkeeUR8WQ2Gbyo!>wT2~;aa<#m(ER|ko*~YNf z^TlM1cQB0B#|N9SH z>>Sr>jX}+891THY8dKyluiB>g&?Bi;>9L)=X7J%&oi+FAshN|8yP;WesZe>#cuV?} zY8z6$Zcw45q)$WeJsSL*01`3LEzL}I?DvJwza@mCggtlywwL&#NlkE6ns#4XL4*t;TOa zPw?r*1$o{r&!}ZcIP9!~urdA&IQS8~(VEWe*BAlpAP+QDt9fAPv$?g4JsQ}Xef);Ocf{5jh3RiWrRe(}2#?djhFq#c0Z{(S(Kn`*=bZvmpk(Ks@?MGkFu|Q-xa_&K*fPjhT zuny#jCX27Dw3Q75$Y|a|;P;f}(ZJcK1{68u10QptQAo7MsU*5v623zjwUP3HLi#;- zk^r{C$+~GXvB=hPmu+3r*?%Ou`+68$u{HPTW%Z&slS367)E>3flxjbqSJl(Y@_J%} z;4PE+QQkP$wzdPvGa4!bnfW3FN(H2`UAZF^r*5q$R1)1q3UcRYfYVLBf~QU!?{*e?Cuz(%0CXk6 z#2qL@KWmp`(h6d{uG^z|F4W;Io^G4W%B$f#!{z&CD`4h;hV%Gz={H5oCr4BgvztLn z_eEz7WvuP??PA5GAc@u4ik`nbt&E16`uGn75n6hi6OJ8zab>1v8R}JP zVhL>tI$gsvF(;`(Lxh`F`EjjZ>sJCw`f>Cg7*BjL=HmlolW~*wvEwYKr`hUrnyxC2 zU${TgXCGt;;s!2%iizy^&yyZ=$I|Ru@Jd>qM0XsvAIebMH}?>2G*Egp%W&#!v8iwu z-GJzOg{saJMg1CYR7Ia!OFB666_+1YUry}F__sftB=t&y?$hz)G!d?Uv=A_xdsfF$ zt)LFP zzQtW1ja4xm0TCTkk#GlO(m{FiwJ$Ishvx*t&fiDNVu)e~h{&|{wRTN3HDxaQ~Wt6C>c!yv|t!V?&mdJ^T>Zzq?Q0d}@H`N7o1qvWe zgIr3D;fM;wxeB+15R?))7;c)w8>Er6JR(@C!;$FFv#-`lrZZToQ&%hac>CdS5#DVh zMO#TRwD)k1BXos(07Ko0StBlV_0eFz!(eycvr7aI#03V!4wwq0#4EbvgEh!I-38** z=cU$(08m423;G5T472MYelc|s*1n>Q@nfAD4*|c(>;^D^_(-PWhPkuQ==PHAkRdt0 z!z#%46j~#p?GP~r0bCl2e~Y<$i#b3GUS7398(P@EebbJ zSdkp~4gkR1T>1EcjT{B!b3O9htS5FrYdl8&7kh6V6jqmJ z{o?My-QC^Y9fG^NI|O(4;O_1Y0fG}CKyY^t?tXdccV^P_b$_?-)O7XK-0%;lkS%K; zsB@m*UTZ%k!IA6~cXIEf)2LTU?`T25kt@&=-wYC8cP^;-ob4`@&snr8uDw3bj3-ww zXZH^~blZm!F?M8K>N%{_^{z&{6sHUe>`!;RYK$nO&^X)s3U+~$%SaTRchfxq{ul#1 z@a@N6iH+!S#l#;_Um}J8;Gz`2?hxN|o&B+ys)6bHao9>%ev7tApB!Y;~_rjnz)a-C9~ z2txQM(lSJOI?LSMfhn_HZ-!0a<%m2;RZ?;SM2+u|Ldly-eZ+itNSMUF8rq zve2*GE{XNrahn-YUnP@Cjk@y*W1Wa;=Nj~;3fQV!e~;>MPJJHmoSP*7(vpdV><+IQ zH#up2sJx*a>E)je_Y>}3c=7TPmp2uhvgdZ z9$GmV@U~uhkI_kLxuuwDl%yNx?2VGKNWiQX$8Ex&7{GbO_Cv^y8T7j;+J@#kUglS? zAm{)Hds&))i@q}dVG8?Cb%@_daR0wgj`$x%Uw2?~Lrv$Mj$5>-*FDFUb*%jK7?~_A7mTPki`0$q~$dIgRW;=A#G^O25KhPEZypwzH+r#GAr%&GvoLuDF%g^A-r%aLW)4 zaG6g_8nmfZV7&9sZ0ooA+J^+f3y#pK30bRo8l*#aTPC+M7+tDet=;_a&ERki?-TFy zm?uy|)JF_F%|w^Z*)pf0M$|@48D2R|;vV?n{X^VK0$tx{@eXvu!Ob3C$?SR4ud!gd zXZWJ|+I=n@hueKv5coZ$>_Ec^{C55ad#@x?CTL4L&tr!-IVPt%ONn`THIF!gmMp`* zV1p_An(aWZly1VBVjfRNbcd)*pY>Qq!&xkfi=qfwTk7W?3jj(K78fJGB8%4Dr&_BiagVezM|$tp?v(22Q6 zDNNYF2G@g1TKH`<|a}^OyIZgCT!)>8loH4yzGgzkK=swp-`cQ_1%p#>EIs#Z??e3pH`2{hH zGf|Jw1J9x%q{0uOLbfQ?ffU*W`7KRTLXpdpus87;U^WOU(0aOA-83!|zCP^@^9^Ku zhbYE}!{b^cYN2^#h>aJwU}Z%TCh2EB#_O9}{1gl(Pe@;+3_dcC)R20>@1}eK8p>Ui zH!P!McEP;7>z-{DHZ(5-K`lMc&FsKL3cH1Yp9YrpGp84-iY5qudZa<0JemiR6XH1N zC@B1p3NQ;|ECcjx$oG?ADz!@$cE|uPU$vpL~|%1L5@>W2_?ae> zGeoz4HI7spb*&aYlsameUcG-T(YArDM<^3Rfgx<0AMGY0e}x1hG~6B;2~f}`XQA;s zu28Vx_=Sa>fPYS6sQECcw11z`cd1ZCxHbM;09`b(@9vi;EH6@Ewll~!#;&co@nGK+ z_E1)^sW@!_l3NORsQCfKet>zdC}%4Gw$aQSjp^HeQOs^yde(3JnBC0P*rILKG-vTT z_)zo2sC20!z;0L%#$1!gnHvZUQ0ZGEa-+t>>m<3MWh;+Avv3w-?N1|aL7s+ckv28p zQnJz_&~cx!h^%C3A>?ptE<_`FtTJ8Z#4=K$qUuFxD8P|IMKiLgvHzJ`F*|S>4o|!7 zOHCSpA5ngj$zUo)J^V$m_7`?7xV_$-q1~?v+z_=nj9(R|@vtAO_Qkv(<`-~x+AO0+TKNPioq`5l=!u~}Okig8 zY&|JOwD3zvDY5jPD?!R(x^XNVdTRuHU9df!bX~#KqgJ$Revt9Lm&S(L3xfoUGU-gVeHW(bj+jmT9qi`Pe2Gu^b97HsrRO+zbK7OBt;=@!1_ z>nX+5*1O=`p!k*Q4*ezDm3$$;k#SpM5A<=C9>wGcTvcpGk!XQ%v(@g+Sn|Q5NMW|l z^0;JOt%(OBL2>w!b;xErJ8a!9PfyCk;Hl@86;XLNdEI7fH{U#wJoZf_wJ=>Qe>!?Y zs9W_dPK!(k5cES%HW~DGNoZ~)@O7oF8H@9*7 zaj#yk4R6cDfTzEZ(E#&;-0paWzKHR!pBsIHgplOJ?iuSoq_^#9PNWGPq)dFe8=a*bmi!9&XxTnckRi3~F;nZ)f0*56$|4yc))F+B z`|Ou7>I%}-S-`TkWT%~6*;dZq33mt%`n|qQSLN@#EU6W&cVirhO@l(*8DL)SP7cPl( zvf5Lo>wYy%u^{J+!!-YS@$b*IDv@2byCL(VuAEcKwFQUM`RCn@$@6xMb!JEhe#dz^ zhZJ6N{!(*!pomM{I&|!B69_qd%VHL(gxiH zWk127cU>T5aob-N!3$Ll(b(9f&GCqMTJVv?9+$EYLwj5 zeiedwTpa$VX+gjiCb#(I2QOlt8gTR7m;xe2d5;G7!l&gsa)qxv<1s^g`;pmuy=#pb zdWJ9P9DXcBn!p6x;13E0PfY#3TsPFfYjBJ3(hn^&7VT z%R~Qf`o-T0{8r$%0>2gbt-!xtf&UNX<6k`Xzm$*PpWgqfeEds%>o4VFmj7Gu@LMA5 zUzvAU-mNQdrA?N1U&lYAj{M%mKdX-X514n}XZD}odVWc{W&KOF{f}&6RyKSlHnxAv zyTicv9vZ3Dx&IjzY*u^@`ady&(p$RjpMEW+f6KW2 zoAw>{KbHADR{F2)JMTBlpM(7S73?=x6U#65ou3*@4%-89Z}y#aL_4bh=jxtdRmA+% zTH+8vwR*N3(RFFBShnRGq=tRL6?($Vs?nOS8Mjh0PEN1H6AdSK!1 zHQA_Lg8b5gXkaObl5c6P*rc(lQ*yYQr~K`~qP0^kXGgm6$?4qd*<-0%F3MKMYraC& zti?mKk}qd+raXXKjV*$8nz3x}M&A+Hp?()}@G#_IqEBV+d9dt$eCL#|!7_>ghh3v{ z6LzMi@tfxBAiPZd1g{e&Ri`E^bjZE!KG{r?x1e=r)Qt!0*tu+cd~loAd-yXBV4IB&E~ z6iHHvp)*0Qm*sS+V5L14uPJeLQ*#XQDt7{NmWdRVV0}rZi^+FT=9CZx1!37YN=fRj8t=A=o(iX%%^S@&gQVmAy2l82(X}IM}KfXR##CxEw8V z`~+$gEwPDVv%TF>Cc-R2ZrEhgCD^5gjG&bap+K`J!v>^Y8nOX>K65TXB%0ucm3*U` zU(e-H1Ybt!VgsU3TeLI1#UfCA8p=Va)RHI;BV-=Yca6pFurf_cb=Fvt*xG%KV)Yi{ zrJ)8QKj#7%1baz$luM;tiJ6J?9p^k!LIV~8dU`$k?$K}K@v!EnJk3LNM)c+JY&79DeL-faR8Pe z%?gw567iGq6;1}FOfQ@j#|OD#d0exAaTE!fq+AM2?isU`2le)n_xMcEnQC;wDrg7@ zJI^3Ua0$}6>W!lCu>||(xYm%w0EPIZpU|A*#g&W(rzusk9gHCEg1YcNUp|aUJ@G;B z%2Sn(B=VmONksVtXdFS`RSt49RJJT-F;5CItw#i|2IKAy2DDdqV?|MCjmx_Y zXNtUC>O4duL$kiVSX=X4GNV@EOGvwt<{p+zsZ^64hF!Bj10$jFpff7kL3?JO(&qin{>xQ#N+9!g2>h!*~d(0wiF1^)!A`NH0Zf5^R19}eE zih_hsUasymOab#LZ0g>lD?vgPOH#cJvowc$o~5B1F(J-de8BLC8^Y14ArYJ=9U#99 z&+MFw8&)N`w!8~r%>OyRK&;MaVINUvQIF;mW#xH9hb+h$4kSQAeXPt(Z7#UkRGPpV z0w?swOgY&25n6`}B9^~adTcZ4U5rF<0meQCHAoypP@efr3BQ0myzf-x z8$xv0Jyjvp>?4_ofks=n!;2u6gkcZmwng0(NDw#JoTO3!1d$|XNNw=f$2ia9MV$*P zD<9mynHIayk(QDNPGNF@yKVu(mE#nE!0AE8T`htIM1yX`c$^+gqd;`O-4PYv=d^$_TsO(!DB3HO&8yGk$_o0G7g9{Z&dhX-GIcmxe zC7Q{tBd}C{0=S=fWmBDLam2!*4TtCYE7RazUMuqAl|JAAwM%MQnH5YOK*ec%baTYO zh@n#-T01{+fPWv(qpqY^qF+(c@{{#0nU~_g&T1;Dj(Mg)qxw)wa3{n;+yr;vl#>*; z{4kurA7g z_sKV)%PbajR@YEW@8(+bBtfybr`laq;8HKe#^j#l9~{N+3{ug_zDwyw{mEf6oL+aRX$>tpZk zp{VbQs%rYy;THtWuY8~HSPO~0(Mf+u``|gAHlHA_b#-gj z3jH1q_<4rbqPP=`+A%XM&5etKS`O-YA2PYs2+m_s>sLi68-lK*)yckpFiU(|*MdeU zy&@LPX-Xuu_)sJ#S7X*Hx~n8i3|GR>66fy>Zs>mA^fkUn=LI8J9Q9(;65k%q--&>p zMM72B*Yj#Lz!}3oWa?R)_tM-^O4JNHasp0t|E}e`f)rOB_q17xO@|SVn}Ji_tnQ9q zfqI}Ws$Zen88nbDN}lw^kZ_R=?PqRCMR_N)aI9!83ja+aHr4N^%N>xIeUSuubz3b7 zYuL;Y#NuEg*pC=T>0e4XuBcl51Rl%};b$`C#g}0~TD$VmrQz>pY1d}7u7Au|;hGrM zpO6N7bZkw=vEnb-Re|@w4(&p_$wkZr9X^&Hy2wR> z9z(i7f7?gN^s{1ez8Z}%=4{&~aC2=6RO4zK$)6|Dip4s8a>67=3;N9V{JC#jCSYoL z&A=x&HR8Kl7~p776$J=BPi54yjsBxq5i9GrsM{gT*DVzzXU+=L*4ARD^QldnR|&He ze=BTvLwLSG6G&d|%FAagTs2F2f=QrMXkuwvg&oYRgc`>*3BZj=>>Ut{gX{9tiNn~P zJ98eSh}*Ha?WNwV#@(ZtG0H*c7&rSFTh$-l_-5T?j!6zOB+Xdm|nl~y3g zK}kr*;}x}m7O)dK@Ws&QfnhIFz~OAk$M8E5A!y5ra$hJd4oz1kyD1B08GL}bVF2MV zMABmYQ6c~?v|~x;i?a?@qqwUu!D%oPt=1^SeC#pvzrhL(?&0>5Ov|vN&Lj*K?SS$qZB6 zz9b%Sw?P0ljP(^m-z6YBdlx^%$_^t`!YZIoE0gCguQBHo0}8X6WnE-`2li3kyOA3~ zR7?8D=eUwm-)p;U_Pv0TDICMV7{4-sKMQZgn>ulf?@MO+IkWVmR#1)0!S*MV@nPTi zR!D^nt+61VoM1HMu0pBI8TT(O&$9&h(8W|eNJ8BQIo@E)@v(6DD*MUH$34?mdNVxllnX70CwQhQK3Z-A;vZP05`oB@QR$FIfx z!G82!o>h3ARq)sllzn*z@xr0z-h^%h=YeRKCNJ+ck7ER1jl$wwds&d)cCjiUqUxaT zYjk|;b5#KsDZkstOLW5KZ@7m?JaFqra#4kwTfiUmiV5Vvvr>2+L>e{-JhPKMXA4YK zYv?)7qX+CL`pbv_=bo4kJ^_vz1w$b4GOlT4tUFnFcy@Xa1h)uiu_k6C3lvAqV?c{&tjOguFnE;P zBjRbP-Xh0%LdMjiy3cLU66~7nOoj)>hi;mB-!hSO#izW?JJHV+o8d4v*0u=3Qh6K+K#J=r*#aF1Cgd}jeW)ftJ&KLjk)$6!*?{hUCI-pD(&u1D?nfA1YL<|r?Cr+Rog>5%4z~F8-p|~`bVDJ~*J6yCx>as*@iEE7 zg-+|37lV(V`x1ocx@O{n43E-REU4g=n$vtW(MLkb9i*HUGYr9%VdRQEMYif!K7W(S z$qJu~<2Iv2<1|1k=FQh215(Z1R}K-VE*@(m@K%r077M@9O8H@KppZ@b)E9O> zwv4e8H)JNdcJ0IOC+E2AqxQKj3Fo`&G$!UvvYbDIA~T&yr_1veqx=8A3bGBPh!Bq;3ZFGHy{7l{f-!BC=wqNqRk z3T7puuEv#r^2t>;Ac2^5q*Jo6#==`4p)s9u?ZDO*S=P~mF_J<3u&&>yUbsiQ4He~@ zJPZp@yoE0Tj7d*l=~BAAzi;BhEq^``^0{l^xS8<{zTWki(rw&I&36ePfJ>9Vp9sEj zO0?)YuKolBffQG^{6(8KSwM$18V`Ch1o|o}w%FFNVVQQ)pQJMh$#B6b5qt8{P`it4 z*Wk;}VR^Om!(4dQ-GehxHKN)_cO@U^Afg1M&^A$(Ce7R4C1%|7ePwblxsabz=y-v- z5)YH{?e1T;*&dVSc=sMXu4}sZhKoHfDw9N`rSUAkx_iWQ6YClfB;<6Qa9o>vRQPV=f{(?;jESO_D^-_O-g=(k9M}OJt z`ND0($(Ml>-9EmXuLyn8;qxt>)9!a(t&u-^lJ8dy?kLHn5rFCpKO>d%{xZqrv zyeb+Yiaoy(;oS1=@ICK%t?9nEsvJ+@awWsTc{=!>kCkqm@wC47=5WF$s>=AAi29e^ zbpMvL^4sC`+u`&-DPQup!|6Ak{H?%m1%4~=TY=vS{8r$9jROBTqJGDSei> z^#yI+-V+yDI9O;I8Q&^MjDL0h>3hq6&f;I=aC)EXKZ6hd!i4`zXP~k%yuo7D_nid) z2^Rmd>EQ3fVkTDRUtw{dhNdmnAd2@~bxJZ&yFg3Y<0y~~fToKTno7lDML-WNlxUt2 z=_U!X@!-Aku+Xb+m*e-J4ib{l(8x3}@{YUSRcjpeyxuLVqqJmuSl8=c&+n!zJUm%j z(`ZQ37vAz6*lUlQyB!97{Eox_5V8zXpnB z;Nx@5x$Zttr&f-6{<0tD{EX=5cw4QcPwRSfE8QfmUyshsk86|b$K%DyD}T~G?cJY$ z3=$yoTFH3?eQr?>ZLQ4Gk{fSzt=~;&pEKIRrlt3mes?}aIm|~Eg;+RL7M$a9DJNV9 zhB+eK)dOynl_DH1L`~FRVkr+EYWGjK*;uhWcaKc3t<88oB%C9v&8m<*F{rc5Hd>cs zW-qdqUtjHCl!OWocumV#-VWCC3f%a`=MnTcT0 z=?yH^;3igXM+HF*;6RGF_Y7k+2?amr(X5q!`oc##v6O4?+$4Hw&@X22@hH&W$9 z&%JyZT}*SIG^jgd(`7m!%)zoo!c+K$A^t7!@ou_x=PmFtniyPq)`?hUtKoQ?)Qup6 zq-R1T9Th5s3IoZ&kO{J_HZ6?5$wHg}m8y-DIq+W4>yQUjQB9*;~^;X6j4kYXJLyHX|TtGKYMl~9Hdp@;XI1Bscb2#JhgOiJ( z4ig5)sClhY=2w;8-7*T^$h2AYI7&#AT8yFyXMRL#2v`wg@$caaq%u$O#0f;|siTUf zmzK4zIkM@(t`)$9js(@IRw84_wwT4nFzie@f{CATGS%slT+oQ{DFgi^+^Fkt#>yIt z*f1D*dh2m8AR`s2i$Ks|;>DddTR^CcFR!=3?<{-yIpk#J&ZrPOaww+19oFH*dxR^r zhgM-sB8C$d3?QIkfwz_5h965M$)?VNAeFdT zSu^6U(jt2BFYc%ltBA$;o-EpPaTk7AxCR3UNu^uKShVp9e!4yTFE;vAEzLv3(y*;6 zB<}M&V= zPy(7OUN`q!O&wIt0~1;pDf!WLM+c^}^}$-TLqsb=i6QckE*bIEB=k&e4YJ(ZT+0iq z^y%Txr64*yxdhRu@DIaEJQ6(lIxNc5R8Nl`TZpKBpSdR6$3T0jp}sP4U_q&$3iOzD z5v6lccDl8wiydnl2H6J;BLgDzt|k6(N8EZnG>cmbL{iOifv+(qA8;Y18WOuv;|e3P ziot%$ED`PLBj-SbhxK7ZFE*grwNh3#yDQIJ!Datcl*uYNcyRNc%xZarQ|Xf9nRc4u zxiw`|j7N9f)j1-2EZWY@o`VrPd{9}H#q@^{HGy`3>83Y`yW5YU^i5^WrL(a5-F*d` zZMw!NnDQVV^SepCDB+sJu}iNEL*RSkoP7l@C>ho3(C`#Oa;G?1F0Xp0$FgD6Pff&nLdt3--dy(@ zG)X^l=f62NW@sfw@8nqyt!Zl1ea&270uUR;*>Ic3eRk&TKXfHxO2amIiwmE6tE5fk!fD@{u4pHViZ)Fq%9@ubSn=s7Bot1hlcdwO z$T7HWiDfIPvNKrz>xn^WRq_vDb>v~VpX0YTsueEGWl@)H4_|_rOMV0`dC1r-$-@JA zptR*Ak(3Ddiyu>ziO_J@yYf!lEPK}jhYU5}0A9geGHI}3^kepGJO_cHx1c|sqUW@! zjnnF*FBolNfyD)o_!3WrVoG2X7@i$r$_&Kwj8h6S^ppuz#p(uB9i=<*axnf6d_k0QX?8&RSnHh=F66=ngs>`d-DxMlaU z2LmvRuo{O#Ci=>hSO6E5Sv4OntgADd6m6nzgAO#;SY_hqOf>CONczJc*1O|_9GqhH zB?-`};20{Ez?5e2;uu0UHb(?A=Q@TD%Nf-9pzCLwQPq$MNs1uluZqIUMN+LXS*`$< z&_;@1Q(uPpyTK`-S;ZduPZsPyM_^<{&;edBD`KM~v=YhF$RkQg$OYQ(a0N@Y_(2;@ zav3hkgKJluk3trA<~gG$^d8tg#di3hU8tr%A0V+GYgM+Q~Y zGoi6o^Mc~cM;sj+kGU`waseq>N`1AcQ#dt6s;F9h#rs+KWlo3tqT_W`$J6pP`9`oi zVWD40p#a+>^q7XP4YcpWr&y>CxBIhmZ=-8Kj2HZ3<`qlAGB|f`?Qve|nfqf89_`o^ zp3Q@yc^D-YuQMy?&UjSvNDUj%)aq;RGhs&EZk0#Cf+u~5Aj)|rq?z$(NriM`3tUN{ zi%1>77Z*hn?WYhC0l(*LQ#tq#)&@po9EXh7d#A`yLNMX23xbm_ewO9;NbWljtC^sh zYVx@dlJr3!{qS84Vn_^=TfW?)DE#x`MVuUcimc3YKzmnc2TKY!&6Ts{g*&5eb!i#- z+(4>x87de;&Q`_xD}5)Mp4QnPj^_Lcd<$T?UHh+^ajx%P{Xu=;tXQoY)>;CXgHLXe(%? zFtxxIsc|peK7~7Ov37Th!j1=XS0*A-hZM2HFZk@+-_?Tw_h1iWSCgaMp4-1cplLNCNV^|K5o3 zfG=SRNbLQIuF3CkJVY~KucrPI4{e~^iS_K6s9>7CX|t3R4xk+$?-+yp8GJ|;@j$eX zZd2!oIAtlLB))4s4hbWJC?sd@$3&L^#GV|U7oFHfiyXVC)0qq(HVYw?ES;je8&G7t>5+{=oMLKj<{!gEJE3GN{YM zaxQv!@OX%$#g3pycq}^9c5c=AcDvMi$b0o7K`I@np|yP3VCdlLk3C^giZgOiC@*d= z@iMZcs8-b$e3iesEL~M#sgcDE>p1m=vZJz7vuZElC>}cpENOJu{97ze7^C|4+!o0k zRiuX=dU5~|)9^=}*Q26ONoUyDu0@N{#s25xLmJ{Hr($g72TF@*Et2L6O9w4POvGihEFLR%VFBoF=-(LZK^N-T@M<$@M(btus#5+2PLsOyfeY0XY$ww`sHQQ7< zxdYrJ)^$ArvCG`=DJLxX*wI9##?*KVlFQ$wofTZ~M-v!)K6CKijib|%W+ydc-N&Fa z$O|(}PNLCC$^nOebtQK6M{)rd9Ql?V3#RLB^@t>#i%8l9Tvbwn^y4LoOH?m_W=ZoZPOJJKTD*z(`uoXG)uf~EZQp#X$RImSe+v?_{;`Su&$s5^knr~*biWUwbFkKT z;vv+xv$HZc)ORwswV|^!G9moK^z!zZQ{KVW$l1`?frn7Q$kxCZUtU-gU)tQjLEph0 zpMiyzo{)|g3ZI+K;q6Big++Oxxar(D-K_1bjh*!0zVR>q_&tE%3j9{!w*tQv_^rTi z1^)F4{Ns@D9UuLlknsKK{jYqitbh9yI@Whf>fgyhWPLX>{s$%ei;eRSX!Xt(|G0SP zh<{wX1H`urws%JO$HhC?`ez`;_hJ0Akm5gJc731ye|k0g53}pr%>Uy5eRsRE;Iq7~ z#XoXV9TO`f?b~Xwa=e{S$N1)vWuRroXJcTZW%z5J`mXptt#ejp+PAF5zjV&Z#!k!f zS1k8^k^d=uzn$jC%E(O1^vA^MX*n42S^x0)vi?=??|0Tet9KT*x89lmt#=mo|HEbc zemno;y)(1_LyOM9#zOlRddbd8`{rV1c)R!B;z}7g*xzo1znc2{AL5^#|Eohf+1{+! zjBM|g_P?gq@Bd2v9OVCvS~JoAVsU;uq0k0v5TkSNdw3ONG@ULmVH!Fe*Cr&%K!!ThYS zdEd4Jaeuo4RiV8BBNmHWorW}T)T&Yp-EO_iv4(afT0I4>l{10K>3M9~L7ML9VbL{8 zb=uzE^=5cTgu0GB$*Rf`XG2+<5Aro1sSgIll11eQ^0W-2K!*qo)M+0d&ABKCUJ8Cs zmQt*U=kWtFm0)kr!fY__8e=Ugy~ilh3NR>ihacAICrCfeS zoLCxwyoYlmkOjYPUpy3%av4d92G#=Peh*$wJd}ltj_W!Z5BhP7NfDZgguGbdH&ZPh zG589kFc)fQcv=_+){FBxF5PDvZRZO~b7kPz^2BX(d&wU*o>==czAvH4JQw+iWpcc7 zwxw$_F>)&PM#gX1OW5w}+azUs-vBBH`#zn#mR$o8D4H##> zz-bODAsrUM$m%a5VYniRHqtU##4@apOaO>kxPHC_RO>r0&^bL{p#%**x^zCE<}HL} zZl#R-i3b}=J^v+r{k&W#ojM@$`?h^xMLUB_351dTBbYeKP00!>%oh_(ComLEV~9Yj z07ndpl6*F`A*BGB&E5o8=y*Rzzq>?+o#)918}V7xhQr|bH^A3lSWv0O7>O!0x&-O~X1Xd?_{21dZ}KJBcQO7#c|GI7WJi=eUGis~v_?Ob;du zS$Sp%-vMCiD*&63vpl{;^&F%2POJ}jfz&+! z2x^R^VTP4kHwtCIVT0+!aIQhtLhE2B%_wdz;;XpAD*6c);2>STzeEbrBEiiK|eWl$t(D`5x~V z!d0|a0Amg?b`16QSrmm%VGcWzUWt9b{BDC?ufr^0N|Y1l$dgbrU(jx^QmLYuKrr>u zy^(;(Pob_qao=A8DGY{#zLBw9PQwA@iE#Ba@$&AT=t*pL-$CRD1bq+DEaw`DEise? zN8Uqe){`Sh?QY{$I$?E@UaJ%hKC#BR#%yOi2d*|BJBoRm1m$VEpun!IJN&r{vZcXEUH>FVu zAQXtSsR(&OOyFoGl!A?ES+L}DlMQg1(&gJW5gUPJ#0FMH&o9QX=Sov+eNe{Xf?@uI z8_Yt7AN9yX7Xd*z=38iHY|Bn{x$zYa4~_UkYtNPd36@6Ten#x@)(@B-gGsn_Eg_^X zG?Uv6g*XNMF!LqNIpJ7Oo_tbAKuW1*3f4lHj1J1OtW=vePl+-efuF--SP4xl3(kfU z(TCY_#6tvrhWq$aVsjfrkYy%-z^}ww9z{ZugeRY;K^6FukY6~26TmCjMAC&NHxSol zl!lajB`BFv7zuwo2gXW*gZB+hHN~~s5us{9;I!*C8h6SOU2257urMl&gGG}8oq7)O zxRoJTb?nSg2G%4pDiJCm+rp|u?e)m0w;>?;?kE(B^~y#l9hs5WhQjBdEIKC1yNlcH z{*x5=-V(*NkeQ~shJFuKC5EEIk2m@ri(1v~#h|TK)$7ArQgwW%?scj>KWuM}P7#-$ zRo&}uKf=DY;(fB4gvoAKm0OZ>U6_&Hfn#T|;cSB-l@xa>7@pcU5_^!j+@!f{bsmwK| z-f;Ho!`)zVh)RT+iOZ)%O6;=DsA@~0uX-9pttwrk{ny|oF7+)L5sqqrixZgI6NgB_ z##HBNo%x7l=bz?Sz2V$nRgOj|__T74K*M2L z$3WdD$58E@TRBJYX=WHi_pQ-QzVdL^;DMSVt_BM1>Y0*Z@#Mm4mNT@ro!>Du_wx%A zMB9dX4WA715}GZ=nRz}9hGvK@c zY2zHjY9J*1#JW#;WSoiI;hbq)?s*XhQecnZ?wdHz6QF!UQm&Um;4>KGf_JayLTEEN zFRl4_H>uChPj;G=FRnZc&RHcf?B>Z+^&aBHMF2dD=U+T8a$Lf(Pgb02saa6xnRw7t za_blR(!JGahK;oQB|N^;wu7{nJ+SNAqK>!%3`(KyV-%y!ag#ffE}|t*nGDkDn3)HQ zM9gUT3t%x8!z~z(-7%b>tT=o+x)?fKq={jp&i4F>+N#ASQYB7kOv(kps zd)*>eH}GfsL;a3CdmA0Lm+A(BJ}{edA=+Z=l=T<_PIKxeGgM*jWvY(}hz2~iEtmdr z>}#~!*eir>3#jcP+6{rubj;y*H;L2C6zvss%CA^YigS5fK=M~6G*gp5a{Re@9Igx< zd$T;QlRif3aTGtoeYEDCv@KJytRvUlwCRFlLu4?0JnH+=Q0i!TFkefm;k;a@8IX;TCa6DXjmly47s$s|0C zc*p{wNCut!@(tbPm@O>)zq&Ml2i?$pf}D3=xW#8`(ogp)x0f|2o0DK%uLhVilTyIj z@%#z-WaGI+71zv&1qk>VPXQ2x2}Awcq@1g-fHIY@=2PJxSiv%fqkoZ?9+=e8c&ym$Pz9ny~pP%sKkQI-}!EMKtcrMrBcEaF5mKe#4l-4(iclDkTE=$f;MCeY6#FLTgh zNcV^_6(=t8d2`vXMv0Byvj7fn0(e_5$4f976nTLRch>3tCQX@l*A?ohF>hQPswbUy zS0S#)uBJ`5KIM3jNE?{76gVi@++=qzf@6g=6pij+l}-gdtE&QKhw-IhFj?-C(n|xG zg5bB1!z*QYln6fI#=R|{HFgJRY+-z`;PMI%x3fEHP%9163SOb&Ci-36gj{=IN zWC)TbseLmJ3Dt(E(;Vf89^Oelw~8`~PKYH0liqm;*|%K}p7)oBKy@+GcPeznp6B{y zBllo3o*z02ZJrclnm>%xgRYqC=m$xpbrL8d?kF@ zXyyamvHgS!wa$I8Z=H9-+no?Oy<0!3IxWstox^&m8{xX}s)H25+kpU&k{rX^B~K&- z8~_;Um%BF_oZJc60bSI5AUxOw)yt9T5r8dAS5Xx7;Km}<=Cy9#gHL-{qOq7>I@5GZ zo@)jazZZ&3Cty!>u^Hsusptez<4m;Ce?nb8nYh|hzHguyFOTNQx>ERY@P)-i${%Mm z!Oo-G^-{e}4Zx3p#Vi2x7~Li51ab45_uyRlV)8RMM8-GQpe0an1o800c~1reEEXE3 zbtQPd<3j*FOT69IdF48P-+{|Cn{|Ms%}H{tDnePRB; zX(IpSW_~O1TY=vS{8r$%0>2gb*DLUkW9oNa^d}qo#moBs^!`_v`kkHrJL$44 z_ESo~1|0t_Gwa_9JAPB+ZDs!K^jAaj`)d6;$iGhl8JStxej$OLYD*4St%&bo#|hK| z%YLN}T7`{TH#QX&L=3WpKe;ES#j^r27q^F!pR1pAW%Eska;>au!vnx3qB>oHBkHx+ zRx4(|xLp|4KHb!+cj&A%t(4g|uS^y5W%H%e=xAZsw`V_hWY8o@QAMh)j#}iNmj^}3 zk|wXPeq|VO7=8w9>pBir7hB&~*1Ut|&E7)WOsq3n(jWvEq%ez*VOFNUHgf zicDtLxYr=pXb^3a9Cm1$!pAk2J`$4>=>Wnc7ofDL0()8q1D*o!3qGz5TNqSwA}I@ao|u%ViKmH<1S*G}HlZ zE6PvSv8?kKVA)DYq#=V4?OWXA#T(goYOk%aXw#vhjY~^Q#4dRODN>n0mVISd{9vB0 zuL~GKZWtEh^CIDdpZrAufofVnodFMDW8iRefftHg3EEgX9Iy^dH{!W1-3#cpuMyF? zQg7QKym#}VYIJRESEW4X3qBd60aJHXcT=~@K`gkNO{Rl0Kq3K;fOGgAWd_xH*t(7; zK2|95k$*JCo_jC?=)zQbvVAgr=nHY#74 z)~Nx5(UnrgfWO*@n~vrs44*~_b}`>UKH&oDWyaGl+a~a0OC&*6etG# ztY61Gx(k(Q1Rfg3M1FS|xi`nS1>z3sd#-0;O$}7TPm;5nlvkV`H=LbxWi&7xAPCMB1rZ z4LZx!V8{FkthLACun?obZ!L_O6nW-n?6OR!LLw6yM%&T4)Cy;2`sN^z(r)+}iM6E6 zrUi_|9;MbT#U*r8EEooF`->=s$&%20m5O-u2nY7GPC?(h?`L`<3WyO|HjBVVVb}`w z)EGb%H^hk1noFxn`!tPcyq!*oA2wtiRM&E#+6?>om{??RjdATHJ-0^}eq^Qdxa%`z zut7GEMTrReMu4Csxc$m5VeKI(N|-wX5mF)!+r0;Q^Mr{0NN87Qh4|RTt>9953byef zeI7qw8IL-s;aPh{B%nNyV7ZFSt1ZVN&?st-9@N=nHxMx_Rq*!eAIhB zgR=d0&caQj4EFgs@OeCwD!P0@R)dV;WvCKpsO`0A97AYHYu{Q+wJunkj?LHYQmSGT zzFuaqNL>56v8KgEbQhAut)z?e@4>(jWXiR;=Ur1~FftYc0B3%PT2gG^8Ci=Eu*ykv z&C_jK`f3Dv8|Yt~4;UO>)8K~`Y?y#?=YtI4bOXwspLqw=fIt}o1T_e)OsO!t6MP-`Y%$IRui(P6@poj)9YSA0b`zB;_7qqVr{F~r zO{+oTlI-%&rYY^8X%8ho^63Z=@P^C@*)$UaY0QAMc#l^ascUJ#o<&E}M6t-vqYP2;xQx;Oa{5G7KE=LwVZ;*-+_BB04xf zfA|3U^=iVe2O*>uH|?j~Ee!$7BTM7|!`@p*#kFtQ!Vp|TaCdii4esvlPJkf6gS)%C zI|O$K?(XjHZXYN2ba(oF=k~kzjoYu^AHZM?s%qD+-=2Gqs#SB%xn{WC+V@cE&T#A@ zY(58A;n-yhmgM7jBVlO#k5FdI#82CJXKPTH-!doay?L(!#`s4O?kBQ(3vEf&T2RIL zY$K|6n;<_8`RA&>GXY)S>kuqjSQ) z0@BCrV~pfFxvy;gbdhwrRYP(?d{8~VO&j3Z$+ET)K`_9$+HqxKf*zAlUJxDw*|2~I zxgGq7G}5CzZhO|O_63z;F$Y46s!pciu5j1L zJ&Up<165ru@u-Wp#a7D!x00`hbJKP{c%(F)&_p!&{xfSZ+X;0`%6Es|ML>@@kp9Tj zg>I}#&n}^~9Yeq{z5K8LggdE5`V->$ zRde>~vyL{cThsp#)JvZ%ZEZ44H?Tsj?EBWVxN<4ySo-r2g*Gm4@a4GZ_@}l^)GH}PtfJ%3!9UnhqJBEpfz@5BT;PGu}8LNq7QV>Q9`pbuJBY0vGYVUp5P91deB~xnX>R5Q?;KA{HLp9Nui@6@(k5sbjD`Y5q8+_Qn-%RS zd47z@bzL#KCu+8+S$8E-+Y76#?!ny8Y%aR!-Vh_ugjs4mz6wp$B3n@PBjL_X+w zrmRDxkre$TJbSZw2z$L3e44hSRoaRtcBcxvk8F=e*jO<(2|exl1OJIrKcef?7@i?g zuOkM+c+pu^XpA zLL%8izFxId6u*nUuYUwtTC3A}qiA>uO3Roashs#GlP0mheqPqcLr_!D_~U(0Zd}m% z4V>|OmUy81%?puMN}K{7gY?)-po1-jR}>upTE23Fzog&)C@uf2^xj=!?=G=-m)N^Y z?EjeD{@*_Ff1dI9-!AdLd4qQZ-Vu05;2nW?1l|#V{3q!5KSTU#H-& zDEqfF`F0xqoFMu;W&i6G|GC`1PuVllGSdG>*&n@)oLm%%bDv{*4su4znA0D(FV`DG z)9I1A{e~c2Wob`?Y@?qUXIST8&`;Gb$|-$GadUg(C>4oFrrvr4j7nKSt8-qVqPTl8 z-4N;TpP++z46iGZt{G5?d*|(s%*xaClu5_I}$-H zgc?jy6(sYl7X>elH~>}CG`dR9j!S04OorUB1yvH1UxST6C>;!EJNe$OipnCkHi-Zzn&`wI!*Pfi3wA!Q6&gS&*_O#T~wTG}{BqL>XP5+?tVn z2S%}}rl{^E{4xvG42L`vX;i3tO!tNCnb35fMC5Wefks0T1-;3w|EDM*C~RmF8;<9=n>Sqf28H#zMNC&`;hhY}iX>RPw_2Uk z5{RNw9!uJ=o>{d)@)T&H!oEf=*nS5iBpo+}07-lz+mHKMe&^H>=G^#R!4 z*vLE)wf>nQcE!COox`w?qGZd4JRj?pzW9F(kB?qF+}9(3l@7NP5rf-u$1tHo08;j) zhbYC=9U}ZL-tL~u%y_%n_6h1Oo^X#FLK_fEPeqi#x^h#BD)K<)+>63I|DL$oiwt;1UIio| zcX12K42OzxXkv5C51yP@jwOSRCivr03|JEBgtef{9Dk$}R@plBZ&6J`G+LPqjX@;m z-oP?XCuG;j^MXb@(KaiP*W?l@ptbBuc3>@ccqf_%h}r_PFMHXoxe>A{7JYNK&BTMS z9N&A(KRT*)tG}W~_!UoBbpd)QCMiZKi7y!cw|GM6w|K$^AKx=qVnhS)!b>uldJnd{ zy0k(`v~v-~N|@TX+V43={OlVOakBnNz_Oo=i7eNu3dPM;S;mMW=t{)$RU2~t$S3qw zG=PSy6n8>8EKDSp@3M-U4NM9Mn5Opx144FWVmplrLK2&UfswQwGlK9Br3Jsir`n6s zmG^Q;taX|)8VHuov4NlenZR_Sx*0bm0gn;AAf9__%6UA=8uk`Y=&O#|rnK&gdwE4V z=fkg6UQ65|O_bcv7VVjuJs_h9p%)vXj48TNSVe3e8)N2aDScVNLi`Q0S>!ttTAgfU zb56gBs4{!y44Mbh%#GdTPYaG(z{o=4T?wW6p)DJ+;){e(%>jST>P&>i?y&C;NnbTWXA z9kuK%Y*S0|$ec9MpNA{9i^BRHoV=O`9fkkrk=Tou_D^TF-f|H2$>-*TxOgmBe5_$? zu%KG6u$#oG6Lm-u*WRG8;k(F-1l(!&lci|VN~G>FH&w*-X?X}sehHQ8SEDv`^s)3#a3vKvs(O(|VT4<;E+_lh;O6R(Wjt zVEMlBvx$vxb-}?Y*^`=H)ZdePf8IUBr<8jiYvYwdS|2EAUDoAo<(p!_Fq}XNsp}dTdVKGWm!U&HqDeWNmz#7)+Hw|!uUF_#S0{r zb~`urzkDHENq75pxpN05W)RX$Q80TZ+a|{oJlp;i)Map|VkJZ(`@`{_MQd%hYibNu zXe1@C6q~0Zk7T!NVn5UXd_)2!op-sfqO1Cn>+SWoN=dbNRW>4EbT+Nk56QO9sr?{$ zwEdy=y0(e^^B>MZ=)N@%NCyU=?0E&665myZva-8*5bxaYOHbZEAT0}HMYJ49Q3muW z+NiaB2K-D%<@hu685VBS8xv50voc%UZgFH6WXvM==;zN|1Ww zNFD+^@?#y$<5m$SN8N~#G<#jWV`<+=X*t0pdH)x4h-1KL<&CW~E`7v1+(&t49SB;G z0W1KZKrT=vU}K%)HRjCHNnK~Fo|eXxt5ZjleN%rUnb;;QB8}@Rg4rCap)VsrKbc-- zMnDU+wg`=)8B*q5hoz|#)wYCL`@!lz0e!hUh&%lP8^5J@9MC$_*r**JHRsW0g0E=y6_qMdWkK6rdb{&aFKgh=o zFaDllXNV5wmM2@>1F~KZN_=}+VFPUn=@&1&6mxVU<%6yL_!LGR8}g_WS8de$&4RI| z#2id^WBlQ`XNjM8BZ0eEqrp{B2fIEf%Xi4F1x))kMj;Chs&1O9;DFKUcUX-F8b)s( zy2vtpK^U0y&P}wAfEYo(^bf4?Xgb zHZ_eHp40g5aC$nkDX5`OxJBUr3>@wg-xee%nW9o{=UfCVoXK`#T$Dgbx!l=y6qgo$ zzP?TdfQ(^qp74PL_l^Uy``RnMvQ`TT-2Bi%2Vm-*FZR^i9kK$P9m>B++)9B5(6y>$j2ia`{?5Cx;a`QWP8_Af$29g=uNF-2G(D zQ8o(Bc?5Ia{mFPdm(zbmrUZSa0=hc?g*E3H0K=U!-EU#wDTXl!8<(e}9Pa^@{yy>2 z9~Sx=opGeWt7H*W=)}WF+wSdCz)csc{Ugbq;!zQ4{N=@%A!iqq{4KX^bTiaY#p8#& zSL~ULT?P9}W{dEGpL9k#%ab*gfi~M$!PX$XlJ&MXbQ(+*8dwTqc>~p^33C)?X^r?b$WM* z@=eqH!?x*(q1J5D)G$$U9XhOFiY3R+;By%<;a2q70B}`cEM^rnQsCSV+W!1&^oqqRP zgro&!2a$)xfl#G!{u;`l;!U z&?xm9u#ocw>c9%_!`&Sw%GJ)nR(|+75{M@-KxYIGO5n6y{{F&h^#-+Yxi2@|4ewnQ z<)J&0+rSMkXK|tpo1K#xH87-))_N58*XxN#XaG$Yz!cbB4y?4*Z4(RIOTd&u@*b{n zmDaZU`h4;ezNbqCLs%aUfYz#_3I)dHPMi9}L()+2I}d6Uf4?MMt(P=}0N(VWD3lJ7 zuVAl%qhAgfa+>F0>6k zU|fn_BsbFu2&2MuJY_$g4=ZWe#(&H~E3KdEduZ`^y54O%U%qgNc9{eqsZ>d%AD^x1 zB(@^BM5#@J2kiA8qYqbF!XL^8sD@mWeJQJd1Rx>J%=#A&vtxp6=1QZ*@e!8E=4!PuYRV~@9xKyL@#n7``mvi_Dq@!r{e@9h4+*x7wIR{e|GjqhaoI|Aj0@@Q-(PfA#YI?A!g;+5J_$|0iP=>u)XK-&?!CTEKs2{wLet`o8~e ztYZ6b8|nFL&;MQBS|&PrYBomPx0#NNxJ>jcfBgS8Vv&*cKaE)Yb*%p#{o3DB0^deF z{;gU5U-f8NXx|1x(!Y(s{N>sDPd(b-y7Pa(M@!Gd@Ov!ak*es|w^%^#EkEt?UTi^= zs}(M?c||(1{ty9S2;F?Sn2&JafdPCGpuAM~*Y_)ji5)t4rG(1yMLzD6gNv6ZhHM};#b(; z^qaTJm0@h7OQ+yRtqL<00ZmUjX#B-hr;2=cPBUX8I;f)f`R%;0EKIi8Q@??^*>+C9 zA$tfWv0(vidQp0>2Ogj+!S^ElNKa7KznQC0*xKi6;Oi9#>rvB{v5nat-7Zk4C){_^ ztH;GXMU`7?qE8rF`HSGbQl--v$-gwJRuYaBTBLXbY|86_-mD3E`^~4sfw8Fu(AW2f z+Eyje(t&uU!+?_*`>G7j`ldfGZl6+wU1|Yy;iv`lmQwd%Y=>IN0A3Q9fWpWggc z31m6hG%6}Nen~mPTc`vWJ`p0)spfCZ4GU4ob^}RqJ(hY)l;Sn0b;?hEz=}+mZ~iKS zH-8nHn(D9sZV9?=KzEn}_(xqgJVrb3d~x@QyBGJfy4HMzwXNW;$1n$gI$a)TczDra zA3tE(Xq}*u+wRE$Hf9(J2pwqiZJ5P4iR6SEgYqO-K>X{%4EgGsu`Sd3;d8crxJYX? zyb9V4>(z*0?!x&rY;}F{XzZVq1Qrlpa5Uqd-0C-kCfX*-Mw*#kcOszS2E#Ey9Y7dM zk+Gus=3iN+cG}nO@x0BEI-o%v!CObxFvAR8;Ey`+(q~zt$MUNww!HfLOr=nA4xnog zyAN_>NrYJ#(yOPgD{mh0;#iWm>f^vA zV%T;VXf8?I3$bbL$QU~-1Q;5N8{Y)yPC|hPKxTqLgiF@u&ezP?Md0nBX&r;VwPpDv zqBUqmiN^yk;bjKSt`WiRYBG0Ic6UkcaL_nq({fHZc^e(@X95nIskW2gnGX=@?R~(K zDNhS#qL%fKW5+eSK@KXPs?vGGlR6#nnpQ&s4^oRvR)6U7WZRXq83hX{6;SZCKL1SPS zsYWH80=Iu)*g|oJrchhgHxcMm$RST9O9cz<#5Zh0ct_1BswP^=Jl zb)=U7?3Qw#D#c=D9RllN7h-pIyQb?Tcl?E>8Dp2>pZlhC+N+Z@vp&e}#r|VJWovsC zAq_L&<~g70De#){@>Xn7ae}sGLJ9EdxDgNGX-i0J`ana3GxnOZYsqKwXz)7gBjE(U zA9H+`f)n{_jr736YC7b947tg~BKFvMR|*;sj00P`1#_Xhgz}lile6k9?}iT+Dr{^J zRh^yIfy{z1-kjYYHRXc?P|i7Z(ui|!C#yUGVZ>O|3mm1n5!vjmKRx=OrHR0v-;>Bt zniQ!K3j&??%JO9ejQa79eS(C#CLqQzB$q08anwWWoZQDG9Vs~(VG`jqdTR6;FBrIIMQgMM{LZft8!^N|y73YrP9jWJ3A7Q3?{xwE#X0=(SP5@=D&p%} z5d4d+GZ#fR)BUcBGC$WR>VtZ&ZnumHHoHz|d2;x$&w^@9)RyoMVf+h2A|t+rWyIfa zmMxgtS~lE`nv9`dT=V>Sj_lB9wVsbZu`~NPLmdV)oQaZ$!?jUj}WBIR&5dZMJ;mPpKya zm5mc2(+{9{e0wLz-Z8(C8oJZxlON}pGoM%(92^jV#dE)Q)*ax7YT4eCSxJG+df|PU zYyF99zSZawZMIxa1_u1Cm9&qAyRq*%U3SlM8SHpgFw;>z;6({h&f`1OtQ9;oM;?dM z^(@YOv{G8y^Odkc6X*~5eNhK$Le}K!>O(H8E*!#D+j5x z^k#Q^LSn89$*i%k)Xr{We!ZYGUOyDUu2!&&7`IL{K0G=957Yw6uhI)+lUPaZZk7*a z&Nem!=$NdO&P(cJxLK8pR$Kw}**Z|{TCL6LCB)#tst`>S=O@7lTGFg1#FLVjPE4;X zJk(Gx+{FNc*Ux&@O5xmRNjf(6$o=X|D>qjrTFIgbHn9aU_-E&@P2DBDsn~lB@K*%A#ApMg=`lieD$Booo%e-g|Px?zh=SkuyX>3^x01cPI1~NdR;S zJG(DcWlG`8Sg2>NIq+)MGZtFS!cv39K6zrf>VwJ0GZf%uFyz6J_N#|f3shx2aBHtb zPe^HDwT0@FjR)?0WHH&Ml{IWix z&LEqj(zB)X!7hbii>mJWr!*PS7GD5)vQH^RRHws-vhNl4Bkwb~c1ysBz@;dv70VJ& zuP7Qx{4GEc1pM|!Rv`zP`Kl+Sl9yZPjA{Xa1-0GkS#YU6C%|^y$W?DU?z0v?&G%Km ze$oLrQZ3&2D*~VGw}Jof1pYgL|NkO^&&*0k$7IOJOvPx(Mn}bHq^nD%ORH-{MbFGk z$7;Z8M9a*`{3~JWEh$SgiBa8m8=Nss=8-01VX8nuW1%I)d|9Q^jFBbKG^{#gW-Vu05 z;2nW?1l|$&C#+e&h~ED{f&Z&||Bb+B`91yUH~#*O%YNgD{~mw;zvOqZ{WAXigZ?YI zFMmuB!=G&C^P{ru&d`XAi@CN?H&dU{+|#{Zm3^=r@nUHtt&;I?A><4FIH*!#Bu zY4o^kzhcz>i4kf4!Q}t(|E)Y_`{VXsOicTA8vk7X->3GO z80de`PC8Pz{7P77^U~U;#~np}3U^9Fwy4N_x+OBgCf4^emc3ApGRRTbS9qR~sQZ? zO9#Zy?)7d%-HV4)8S8Y+XH&1TEAXz97TCG-5 zAc>=lmco_&(PQ9bjisOpXL#w=w?@xk%>G)F&;l)1G?Ug`A0d|J6~PsW?_u?lTHNAL zYCIkA`h6hi8P}&|el*?X#DCP7rnT*5{dxFV{_D+whVv)%&nM*+^GnPW2$~q^WYBbZ zAKIsR-AfgY9(PBRT-qs7OWaH>fZ3Dml{buCxi~!RhOY^fa$+Yqpk7J>hc~aE56V?& zsADZkyr#a(!)BjfKe#=7Cz8*q>15QPn_sdwT;9qxP_60oD{EG2oF30YtoT4FczRaX z-3}9nbp+)*B3H|+a}b7ZX$!+qWnnDvriw(5{nN z-jM;rgRI?d2+zE+=e3r(mQ{p^Qv$0Ut!GJ2Oe0~6t z#-Mk+m4shsA3w|yy$j6vdQOd`DHn#%uLvwrEcvZFj!;^*xVcC}0mef8rfY6br}CT= zu$bPeWzDrAO6zN>fk<)M84BNGmE1jL6Cn^XzjCv9cS5&B=%iU>o2hnu$sm_F8({;f z+$gS2{{kdDXsQAk$vF8uzu#DNVGXeez(7cXRMQ$kyue*ff?DKH5@?nWFP~RGb~@c3 zV7{Kx?a%`#RIm!wWLEF^v{20OA6js6x4AubbqyDKdS=Le@86P!g7V_A{Q7c2uDGSVvm&MVYd*lKtaZqp(3Bli=bXdFi?qo{q`eUVSqa<8+^FsS-@hj8$j{f^Ae zBP55s-7e~*-$}VNb!+|8fx?8esr%%W5l84Z_wmqgHZLTMukdZH=DZLCxA{UW)v z83*c(--D>vDk?L9GkEI9eD*72Y$YW~e z;**>c>q8j1JS^)DUQKoWYJ1Ty3OuY<17w&n-mT4!h zaSSOo32jmaba@+k8;Lgg3F)JJ&DIGJ#n1T-Fl|j-mBR9777%mweO#59gxkPtAV-kQ z@izdR3ePkc9&LMApzXr!Ajl-~1GcKH!(l5~HCPFG8R!|psiqTbIsj%T!DYm$KL$0_U8KNOBGMZ$mCerXDG8+vI zddofZmOwsfmR$d)35=0_J6}}^PH#xppj?$ijS{up!;J49FN4S22FIfaST;w+0)(SL zBf`4te!Z&?XPF%`EInE3cc2t`|M(I5M)irr1!vQbh0ceu=oo5XE<(331b5>%luT~P zPz!}4hMV>CfnDPmV8-IEY?#J2Mfry}0{$>P^okmxVx6{9Uk9OA<8bO$p+9Jy;5pUNUFv|~dC zSWSKNhn*O6?>t})ukxm@!|(})U6`Qpo4uRrV5d6ElWz#sBv`XQ-|^wf;er2#5>D@{ zMZiS7-PHfU-|5J<&vtk0OQaUscKR69?qY>MDH05*v79sYZCcIJF>6)?5E;RKaX@E^ zI|Qvzt@k!jc9W%vw?{rB6DBp3{`j)x#YVRFTZ||c8gv-Z1uCh!lyh9%QLZBG)a(`N z1h2-GeRNrt@CGba|KR4@PNu{p9b~go83qrcqga5_wUx(4=G0kHXFnFKqG^mux?w4Z zaVkebw(6m;w*>wtb?t^M8d&o(l;|{Hfj*yyOn95ZLIKV|h7K@ge!&8O<%(6k&I)yI z=TP)lJLT_rdK5L~4Wvv0O$#7Drbs!#OwfC=H^W1J05pzMAu~sJ1 z!rK-W`fx(jY<<44^?;qSw(@dcYTuUb=A!r_y2v6U^AT{RIS8*s&AkLHsZ5#7>Us!= z*`=IiPL;-eP4x@yRD33w-4%v`jH!@-A68wrY{MrihNWj#LR!RC`x+G2=hX>QGs*CN?AGrPBZC0-m~EDJ{txh)DiXKRl(Irs=qu#y;&4gei2 zjM=XAax?3Iw?Id&0@05ZM%BavN%SSG_Kt!iYd!=Al|R~d3L(sUdMsv1trCK@}b@-u{q^J$7-NNxUO^A!F9#e3FOl@-A+@=#mYT0Rc7W$b6gYBqaQ_% zYvW`-HsnB<-YB7+aaftSMk$m!6^+I@8wkU3!iiqvBgvv^*{&2bEjcfadzJY9NvcJ; zM7pm5P;~cV4MhF?rzHW@&0#n2ey|MAA;&s)W9TBE6ryyZo`a{w;{0M5HnIF2Q>sW9ai#t+sQ)Jr#8GeLAtbgq5ksZ~_2L|MfrA8RpCCR2@- zxqZqYy1e8kJ4l*hPAIcKw{^2I$X^>Qe*|O&OI^D)4Y-q7{t7-(O*zP5oC5tl*}yz; z(HdajGGy>_EyaS@8qEJvukqSooxJN)k9M#wVs?kVBlr~WC!nSe#AFu;PQcILI2_A$ zB+L1k9EzFL&2EB) zUnaw6CeeCUQG+*0#0I7|IeMf*go2@AKPtj_gP=BQhH5WBZ=$gC5BI2G^2p+^KHmuy z#(2qwf&%Y3^MycJf?o@WMZ1@z4aii}!Dm*UI(+ZkxX9g!YL|fykHf-&Rwq9ZRAe~V zKG5<;6)X2-!nTS%G7b;~ueh}`A7FA2i?$?plVr!=l)>`uZwhK_p;Jv1XIFF`yx3P0 ztRcHU5D<&hvr;@P9c(Zo6MHl$W}lVd;+7Re<5?0@P(|Vh)e}L3=d8Kx%oVfg1Wa8& zwj3&iAqKGzE?I&`aJewtQgirZUK&tIh~QeQ8!92@kT4pjRA#6&V>1sKRLC*9MxHPu z;svtBF35n%KSKrq|&y0d1?O zce1d4ni1Z*@!L8-!&AI<6QM{#(kI-bMNw7fyErE~9>LaL(*Q>?q3@wYJNsUIC&@Ca zFIbx^SVem^MNv7svM|uWvW(dI|vC64QIBUrX)#dw@s+d`4-FEp#7beFfO&BPPfrisUK-z(2 zucSu-A`n?8;4rNuMgc~fdZ!7`W30d@*lgmF5NHE=qQ_WEh@v$Zl~YeF)OL6id&7Dq zA}u1RiN?(n6lHfiP+=O<*A527S&5__@yWKPT)i}hP!1T2^mnf8XN#NelTH?*odGY~ zAQw>G5&>`N$Tu z5F;B?IDNI4&-7-GOBDm^j?c_WZT8*O@;n;r=4XKheoi%qo=(}kY6fV63tV-;T1|d|~hU_drLYhhX-!0hoof^>YvA%tm(VpZd6&5dQlvJK1uu-=4 zGs_LTl!>#IwVUIek4Wjb8y{I_4)mAn;(*R|Br>)Og+Fvqvi0kUxu|9Mj6=ntzfL;2 zk5;B=cRp!wtvksO7nK&D7THBhju)RK*4H$_kYx`D{Xr@ub2&NflX^6*b`4e)gWQ|QG0aLh@80nhJi z^bNh04&}Y!qZ}Y*cso4%jdvk{o-#BuY->>YRUBWE21u9&`>T*4?95mVe&5yDvPbkX ztPB7{7nj_gGvwJRdC8Wf^xS2MA3-D3)lsE3SGXAF)1fZ-`L!v8iAAf=&o=XngM6(S zLIS892`Ig3RH#p%zcWWkI`;`IB1?@Y*+{gG+YKZiDk@9pqSLoI`PsEg-zS>q;SBio z!Xdf_fq(8un5ubwlip~)jSx7irH=;TB|7CEq>X|y7?>;)R9!!dfD?yc7?Bs$BE5{? zrr@(r4XTwv8&bl-aI$MpSf;G3H6?KbsuE|C^bO3Z$oN@msbIn1YO!55dv;x6u*}KS zb)=X^LY4&`oxUrog{e*2*u7Oq1l=_kv7*{!x-o@_M-Xou2qZ!QLqK`XKX{Hf>l9|5 z%Sl=UV=Cm8k(}Sjsc+;@KrcTC3+t+xTOp~55w zSwls;sT52l`B8S+q8D0P?J4!?Eo6XR3F+mm{CQ@C##KWR^FFnoDG*{FH46);T<2re zNc?|51AXAMR~s&HnQ#)wb>@myvQCUb-sCUWD;59MD(DIB}1&4EB zritxFBsrI&6*sm_zK>06nR?cEc^r+>g&kd^Bj|I|nZqK}$RPb;M|6zyxb+}UZF`>; zRD?veUxSaL@RI9GWAZ!_D}G14Z$Scg)jdG3Fs+!vj`DizP(3oVtk;a-TtJS9Cww;T zZK!fhQbw})*fH+izBMY36D-d?lfs4kj`grBF#oaX=fsZ9JwQ6yyFCGS+CV2%YUD3;Li zHou}8j6pVEhX2g)BiQWxswC6p8F-Ohni0n8K)++e?LD4T-OuR#G6s$AO#m^*p3axj zFgD@F2z}ud^2t(;zFQ9zc)j8xb4)B2>xSSG41%G^n%jdDG#|nZ@#ULiT$tL|d-0D~ zth^qCFCny4muAM7U_bK2d&0ZZ2TA7cpVsF#zge>qd(dd7ew9IuUo_h0JXN%Q>d-~+ zg3^jFxn~didfqjBFq+PE+dT0Twl{N5XmNvaDfSLco6l2tC}2_+A3(5utZiv+c%TST zlAP+J%{J8ObH==!_YmK$n^*f8R!@}0bn2zXi}YKnA2Sac?O$qFep5QXYggX2EB`NQ zSKiI1{~~$Cd%N%*fp-Mn5qL-79f5ZQ{^t?+$IYj|lnsBOUHRoH{mr?^K>LSu-qP3{?B@zfr^1vfsT%yftH<#fr6HvotF0B)ZXm;wuW!=BZ0R+u763lF{{@Q%Pc0`CaCBk+#EKchweZAQ$$RaCh2f2-bq)1trK^B?8>e`wJeX#Y0c z{0)ykSMbl}gZvYU^?x`kghuGU)Uh+r{c#!mEoS`>9sAoDo44D)bnV=4KmVQ| z^S4Xj&!hVLI(9}j*58xAkJQz_U~$NWq~GmMJuY4U^z zW)xISk%^Cp(cd-ocyM1WJb)sSt752))}(}1aXWB6i7(oCncQ}E=T0n}#(AyxxVSN@ zeZAhAeln{{)7F08m-gW1oLhXom@7~&ZsAEkI!;efF`VkZ*UDymVH!{>3n?1UytrSg-Uj8`V%IZZ|O@9jyFMH1IW;!+dxq9x`4){I_9wQlRy#}UK6B{%hUnccUM}JmtO|Nt9Zp&n=GOl_wt5;cm zj^$$a{v{qVmAa-T^#o?YQ?cM6@6MGjS?ti6EP+*driTsJ+O}`Fg3Do3c*SC5Ju`t) z;i0}=tlNO?lMW<+#|(f5op`%#tJs-qqg-~;T^XDS8@VN&3)SRQ-D;@8?Wpt(Z&fY% zMtGs3spceO(N(3XSxw4u>ZxH|j=T1LRi)!2T^r~r*ra*su(k8SiP7yc#(+#sRYW+P zoheXUaAt``6ewaq^Ld=agaMpShA_!1AXK9-&Bd`#p<$~lHxdIB@o{AmE8VH< zX@f`t-z?}=0g5UTOpb53nym|Tu7GRIOGcO+!>H@|wlT+rN{i2QKxpt=P=%o7Ax|tI zTbR-IUdaO}F|X?w7B(`5iSN<-;U<6JsMXQwL^p^E6SD~61jmb#(qy4;yHSLi0LW&d z3YecO#nAvw2QY>}#aCm7W0mNS2+S?*-lmDm@bIs;3@xoB+| zLqJ^E%nbdsBpoqyy{}bLjKKIx;sBsZDoe)Jbig%dllo@UojKmg)3oYExMRT@W3x(x z5OXARxmxiV8CucnNDXNEdf(vuIBXLU)zux-7nbzDwFIv>mQ8sK}MK9!=$G7`_kF94*WmKHSEk?ATzWTUXWy5R}E8+Xa??4Yb3!K;|N((KeTGTR| zxMj=0ZY#FX_b|&HW(L;c2VK9E0dK{~+UIuSz{Hn4JN9e55$Ft=+(askU=2!TX)#_> zA5XDw5>-@`Sv7g`Xhms}<6&YSawX8CQ=!=V;jy}D_{0U>xQ+olEK$LCFSCK3(mB6{ z<-rvP4Aw?YO$u8I*-?GMDl7OfOZZbU7l>f0W6QQSr697fNM@*frkdy?5N*BSQ#UM; z9-4L%d5$$%aRaj!CIyh~siydnDi|}HQEZVL|v)r;-6f~6=PHoSK?B!0vUo9Ma6)=nnE)9k`*P5iPmv6acgL(HFD)U<;Kc|RF;gV8JK&g zla_vV%8PprNI#aW#+Jc8ClPJpgnn$C7uuTK0PdS+GI3@@XK5`70$BqvGj6h}#G-bj zH6eujNH?|wX?F~tzt|9C{6MD>l!Y)PoyZzxX~YurBFl6Rhs}8dYhVgc;c@Cb-zjeh zQUrfEQl40~pjQZ+*)JLuy+jC+!k$b8(e+ttbVP0x2p^W?+c^0TD`uod!CoUf0~&b^ zZDNI)>S^a(E1ksIhFx198QB2X+3xv({=4I8Rlj&Mx5!o_EHa;ooOp-?2Fp;8%i8AN zZAlIf;O)ecFY#zE76yqeC&YCJeal0Kns(qg7+VM2zMQLtVwLJNa!?IvuJtOOfwBZU z^IFjo6FJ*<3ghh_?L7AnHa=V1cs~xY(8#QOSz-91V{PpFS!8{4x(~^YpEN#^@W|)F@-0H z)8H`V7BA*Jv9JW!9b8}QafzN4C#o%LX&HexLQJQ;(u=R5Q3(&1!(T=4yDkw_$p~J^ zyiF664kt}JGrS2o3xEl(0prY?--f4Bvc^E=-1#(29dCkRbckVoZ4>HRUQJN(68K>2 zFx|tTkUh(SRbf<;szq{kV3C$}Y}L8a5sClhyX}{WJ2SX5c`5t?H&Ejb=Wo}JHmP6F zs)(T%W$uHY7@PU|N76&VWCd*>JJRgS|?H-FKSu?d}AB^z*W0I2g*v zo(BgJpi^C@77nne}pbW1j>$%&T$-L|tY7YN%vhDlyJ{(g)0n=@vgdA86mxA7Pr)Z5MmPd4vYR9sbivO67J#X1Mj5{uj=qDPQcfEiVZ50sxx%>TM zT+e(a!E~i%vOiijglzgVEtM9v(LkSPHgj%4kPdB2f{6Y=#YNy2CDX7n#MTGfpn6F} z9b$n`GI1@}jd#5P5M9%*%UP2`BUKl+>;=Vh#8Rm+j}d36{N>3>{u|m`Q_eS&RL_-Ug!%>m z1S%-A{UrI^5a|1t<-9p_Jfn>|)jB1%%oRnDX(Wg(vNQaO05wb^Nf+V#dYn<4amXj_ zIMG=KH_n5XXl$Ucs)Cpj#Q8pPVCI30Twaf#wNzuCaBW&GW%X5Uk8sJ@5K1uO4!VwP z2~_ND;1hIRv|Xt*D!Y?Y#Hgq2Y`C63^>0az2$&LAI_Q8T9(6os&8*cz3|)q$J>#SD za#p#e8ZcV-Y*hF`Gj&`B(4y8Cw@OG#oy35ji){{DRCZ7T4dYcyOsfi)2P*_fY|LJ= zoRwGSsPpU192_}3D@m?qxeV|_>t|;#G%&^ycI<9YWPvk$5SG4Mg%9>b3dA`3#=G@^ z9hneB-$l^zwdGZ0kA861=HvZ~9U{dyM)2wmN1(tTCkD~hqtPmh2rCC3efNjDRj#N= z$cE!Gxo|(dqo#;HloIBY8Xam#E*IFJv=WMY-D2vt!;6n95?WzXsb~ z0Ru@~>;jJR!qn2`Z|LTfe+qoKpx@5O?-tyUq-rMXPZc?Ig1nVE-jK3n(U@xcnF{s5 zP@8(55Nc8QW2Fb#$mJTgboFz!D2jv?|6y?n90B?y6#+cWkF?Oe$OtCvzFy6&b)|Lg z&(fDt+~Tq8U7+dqCb2T8XPW0;`=*MRM{AZQVq9a*h`qhu7|mTVx7Z;WEkh0nq-#fo;4~Ovh}vu6kc5I=fkNfs+pmNL%9W^W~7an7`!Nn3ZKX3$e$Aaors(0H)=5{8% z2+DbCs(s-pG@zc?z3xK{uD(h&+*FlPn&)=*JfcK=Wp;9LYmySgpTwTfn*gE6O7N8M zmY&VetK?MDEjRySDA`?2wts^@apJr^V?THJ|FQR$!EtS8y0FkCMcHJp z?u9))H0{RKzq({j{MSdVcEk0H+^vHjwPWdfLA4KxEf`Q3}`M(=?ImOSqQ&Szay}6P0pa zgqGVj-AgbonRD4A?S*ia(Jcx^Qx97@<_CBTJY16YyT*b{kAAW&Q>hEuN71cDPC|~R zY+b{ab2>9#I#8;8+)0XY%#b0nRIn)!TxF@a?V7<}7y=*6h0OR3R;U6puuyGW# z--G^ovN-->+P1MZTbPgauIz#J%OT17_d~YCOT$#jOvq?Q5MmZL2QYcMy#d6%-=5C#)n`l!8fr`ou z`h*)fH`KD6hBBxU3RFqZ8e#;+Z_}ls_&d*V;I=-E9rzxlyn~ZU9BFN293hp?5kc$) zHuy>32i9|9qxvEg+zKaIu{O{aAeht-b$3{mzqPh!Q5n1i{6 z+Z5@~fq;c1LPFGO*O0krXa&Iwh4X@pZ5N$$NGW)1CsHmWfe$_LfyY9u!W4Dm-C44c zN3+<>%L`Hc%kiGOUTJr+AJ&$l+C>ZC@n~Be>KVGIqrekePIqmi>zCfUSM^-7kC1`3 zQMGk3vA!G>wtJkx1rYoM4b^*e<=z$KOfyzdFhI>?JM zbNo#wkc17V!U?VV_+$JD@4`Mkh0`GSUv6>S11aq~efwe=T8Zd`e&m(8bNJlRU0RSn z&jLrvNE21F#eHBi4np|eX>^rkA!#gtZD+xV;<|%-NXw0Rq?cd}V~dfFV8}#DD_a9T z$+2k1-{;<`uc>mQ6sRJM#A0OUTxNpj1Bcic^&LDEZ2(%JUCaDl;rp@Dsg1LJ@(YV+ zUk~;3pNf18Cl9V2`-If zI;v|-5M(Qge%lNKdZ*=@;Vp_u0$Avz?$gqXL<^~iF6mIy)(iz72w{3N-xuQsNAyHAJ zUCffK)|xGpuQzryFZsiT4xe!hX$wA|wb$KnZ}#^oH5(%{@eF&Ur*WG%HR4ymN%eQp zw-Y!EJ!>1CNg|OanR*}qYk41ai)RrcNCG5uCJnie{nP?0-rrMs*ZSKVo5Wk%tF;(T z4l4ledX{?2)B=mX0G`*l5Z*UY8_PN0%OA{{+u|p3%Gla^q>pZ+o$m4}TAoHiccM9& zpPo<@qu@pGaqha_FXJ#2n7W8Af^IlWl+NH5_CcCetjO34m+j#ZyF422l}g=rtb*D_ ztj3%HFN2P(oh}rHEke+QImyvDHutIT)_sO?Ha$C-D-^!cG&x6HNdH2Em*Mi5=KFGJ z(((S~9mkyI2~8lRN8E#Pv)>IZc-HCL~YmQXcgV)K7V#!@FRU1}x9jDXBw$9X*Y1R}m*k{pK>Gj#8$;%%|ubEyW zE8e6UbPOCE9CY-IbZ=6P|Bce?x7fgclZN1p9eYFI4S_cV-Vk_0;0=NQc?AAx>Ghw; z_uq;3zm{tJo-OxRjQpFG(#G(up4T%raQb`VXy$)Odi}Sf|NQOyCujd7={1Le4hM@4 zD;tg8tFq)Z2ArPxHO8BborZ&rm4kzYfr(ik!0?Zx*9HK3eFjEG0~&e&y)F&2jy^pN zJG(v;4Kp)~0SkalkBy0i{Y`rPZ@OprhtvGeUqb)k^8Op%d_&+3fj0!+5O_o24S|1N zdi^JH?H||9 zgU#?ugZ+Ofz2^AWq}RWW{`(pH+ojk4byWDD(D)Ao%`9yctaNnsxw+{CZCMF^zkQ+; zcC-^!_$~kZ^>tAN27=$WflkiGQddFWPK{1ZNQ6#N-_cHkPQ=o}?sb%+;@=Tlzkc}l zKB|g}e~AreAo$ZR@bdmPromWqtC^U7+nZl%`2Vb~{oYBk+vMI zzPC~~KK4!;9TUNHD#}eCT|uXdJ9ho-KZu^(kcSdK}%*-&NbpIyf~eD;b3a5oMl}z;#`OO+Ez2F_HtWFElN5a))9;`WwUku zY})v}b0UZKXows%eScEBPRsYU77TQEn|$rg(x{kr@Kfj4;JhRVs&7O_Ie|_jb2O85 zAJy9u()b;;={Eq;g~g^xB1*ih((=jIM+el0$~$f)MZI{#Au499A@juVzfXL-0U%8J z9HRn!$h*H252tW7yc4sGW~qhl*?R463doehq?tOP`+odML%8v4yGQ2;@PoK1lb%+t z%gN2uZp_u=Nv_86^xa`a&?trXk1<0Ln(0K#14@c)9-U!QPstAVZxugJR-ZGJJykBo z-Q+WYIj6}Ln8Ow>&uf)0X_)1)P!55fy)CEhTR9)F?msADp-~sVOPOSaueyAn<~dkg z>U|x|Y%t9@B@;v$RQnB{Vv6XHat=%m3Y(xXh@}*bRauT}eh!`Py#lb;Bj*V_ox`4R zR*Oz!Z2)n_SJq|}Q+4xVY&yx@wFH^*ucDi9G36=;r`ifW4#UrAku_*|)O6n{H;te) zdJr8ItVA8oGOXYj#KV)C)uP}90*Uiv;M&q%m!o`Zjig04%#Uh4>Y+6u4TI>rjb^|? zZYTvMip@R}oB6cl;1U?m zN2b-=DKUQ~X z98-+~1HVW^a(~gaP9NBgoGzB@v{;@XhA@v?GxOyy$1vtkr%pz$##kIEMxo4)_->87 z&`XYuP;4z~LK;*e{UnzR@tUN{4DSG5n`s4cbBHzyPf;e?LyB|mypROOmzokONoooo zu9{JZ(p`vIW+lE+V69v&A@Q}{KI5jGO%u|pSdnsRBb5hUlJ5|h=!_c;OrVx$B z+4JG%AN2;eq6b8VrI7M$RCm;I7;ibMATpG)Uds1yYt+3N+Mx4J8Bz6^>(JqnL$nu+{v)f50f?DVM zW3$^%qjh>Jm-WU>{5&im=2c4KJOH$6LbXuQ*z6j#sMo$1pP&zo0h11KR)J1@RKjAB zKeuCa|D1*X5sQAcCiVj3(mdWE@c1%hX(9L^c5c&>OhVGhCgBBs5LxgO<_3X(j(U6~ z=mRZ+fZuSZ*5{#Gp`UcMYBehwLynim73MS7aY6R2^{UnJV?yv?S8{VL#HIToDV#&q z+1{jRC5@@)+C=fm=r%fv7)!{-Xt7cu2;4R8aB8k*iC+qOBvRvkz><{Vze=YvcyYyA zW&O7jVnI8s3yIE4{f_rxuV52T+&Bb|BZEndz#vg|$eb#8=tOb4{RMU+L8aCBclP=4 zv<6dEDG6zCin}QiYo6mmP$;KmZ1(s_NE%pak!ql_Z0X)T^&(n9qLUKxZRA zkn1VX7}~P0Q>vpmM`B#fbn#UQ`p|=m@Hzfs@?E6G%#nY(dz;Z`SBE~?6V--g8qTHV zfeg3YQW;658+qZv1u;szdhAmHa-gx3)LN{ySoMCZ`0+KqZ`pi=v)zE_smJuZn~=OU zKS~9@>8y1dEFaDy1r76jSpP44Q!{7|CF-AT5?6~<@H7kA^6tdQwyu$ou0Ni9f{#%- z12A&zbB#c)M;;VdVnX+A7YF*IQf8T-T3E*4fOJF=xlPAvP;BPHI#2nmFz$s!9Bx}& z0~21H>=@LlJT7Y5Omp^RPNava&UVIW&PYGLgsb+ZjK{-$S@-lA6QpdX@T4D;h^>h;p7?vZpPYze2`h#KtfE@>>qiW>8P+MM7_BTHZPPb}W=1eO^a z&}}LN)`fl;A7E-?^Z06qXqte5NC)on4+8g$i=i3t6oC<@ zPN(vrzOoCAcpQkWrg@A>q?dhCBs>aHR|{7)S)q-mTuo{ji`I}(m=y2w34fnn$WBh` zjn%D~Qyw){-pq*^Tp^qCQNHS9*cZRFNW*#e?fQWwIKqgBBpctr#+IqcSYK#lm3;qW z%|XKGC%1~xeU}ij&}{!Z7B)y2lPr{Kqu{wJEo~eSW$I^%@J7`m&iDFqz?CfNNYkwj zqFWMY2n&_L#U}NrKgEdvC+6`&zUM`i6s?J^9y{d_pTrW@*q@7Lw{^rb@35) z;A~~v8?~y(?0H`D&D_iQH>ovzpwu*9Out;VXKBMAm~w*qUZIpB8GbNn^J!pFNm}W9 z*!XPoC$0R^?3+%`pK3sbwMv}m_Io3##iNt_m}z|{K18-t=1XX%^Fx^u9ty0rj_;fl zPL}pCtE}ajmX4MOh_AFP{1hv^cUK_6C>L9bvbaeUfI?};eUHq|4jDw4q72Bw zJV26o@Ah+9=L{-;ZoOZ(0swY34VSsJHHIjo7<{CKQhaj(uZqq|oR_zc=*QWPLS8Pm z;b|4vV(TFSCe#6zC^$8gvgGRJin9TfBc(8X7XsPM58q)*Er&vp#Hu}Fuo*^eOfsY! zQMt3_RrX=n+p=uEvd^`uYLere=ouQ<#S+1H*#q*jr75~Lk35YCVr?7SjmbJmSjBdv z)0B*}dtpvl^ZIkVL({|K*`s^75ObkVt{&I|(FNJb0}zWGXGw@>CPIE*(ngyQ#@1G< zd4ysGmom6Bmk|h*Ibw~Sa(A8sB(vQHW7gn)Q#@uHRXVN_IGmN@3W4psj-5xn^awWF zH35+ClZf{SgWHAeeAEnImSyw;rWh^6EM&fr5&!MO*%zJkvnsC8_)5mm3{6_S$8}Cq zhq`*>bc&#?+*{`Q5b4yMWUxB9_s@WPpqD7#5bvOQ{Jrbl29Cu*=n8nM3ffk#XrD%b zOF8(lV2V+5T1%<;pp+rQ=HgwtY74qH?tIU^eDer$vU_1A{}Ude(fdAm$K8bx2>D0l zB^;ya<8(X$uzfoNBAid+$S5|0feeyF&m z1WCk&NMebtp;D7%`_x-jHuGdsa&%%O`lrV6YE3`(lnxEcHqxL&Je!?5D3$FTIuPsA zg6M)KdniR0Blvd58p1-fL0FPyiw7`X-1XDoXB!G=l*PwO^upZz@aST!Y7ax-6&FjG zPYI9O{~2(iZyoc3&|UM>Y0;_NEawrYTN3kzTGp$7GBMhg z#YsSGTLP*5p*f0%JH_jHN*2$GW05*jK6foD4L)cb5v?DxMeu3Ky-Zx(X-gOi6fYS* z8gmT=Yha(B<#}ytNRpF@eK*GEasZ|VU2o-lj25#7`3$dv);ys68*#`_;rLomBBW|U z6gS7J zqKdgaN7ohta)Ta)=ULNh;A*{D&25d6si#?HEyvH~;6^<&ws?7Kk)r9HlLB0LHtYRe z54o0uXYs(rx}jkQHMKXdHN(SDfB<+w+To8dgOvf0`-Ms`K=_lNQ!d5%`2Dg}PsrEy z7`>Yu+Q(lovXU}C_ebD}`yc`z&`!vGH+sN>ngZcOS=eHQDH*MqpMs4F;tn9yuq|+`?>vnDwKtp?XRiOVKq}r zby1tAQ7vmec#MQ1cRuePkXk*LDiw}Hv~_L5_rQWv$ZT27k`t9NTIsfhr?$DLsf5Cl zUO?83mVAXpg@-drW4uibE%)b-8?|>&r|GFrV|=vfPuq_pGY^+bMd>Rq>j>#>j_wyn zMV}j;F4wm%t6~fd4Ra?(79z+RKHbK@M=^V!T&%hqYd%^kt9ZGiw?wH=f0XrTGqONO zR>nrBX`C%9Xtpd;G*x1GnR|D*-M3@+evw>*6Dw7%X`;Y&bc~wU;*p}YZ|ZdHSqMlS z;$yO)mlt1oA(V7zX-z@9SC~11R~WeWXG1z!+}X;Vh>7*jD+yZLV{N<-g&m@5_xBOk zpMQc<;>)kNo}XL@I9pnRA)79JF98QKq^rlO?X!5CFt_hncei~mrQllunG%(YS zx2LNyAMI2!Ta@y~UeELd>@b_PE{PrPRI?-xMKG4!Eji>>K42JAHiQkWIzNJ9DP5uxms^cXydjc2HJl;C)g*(Zn%AZ@={zrp*aV6!C9@2UDKkw!Tsw0qG= z5?RL*OL1kj)vlLOkO&8&)g>dt*kahL0pq<;Car+fW z&=&eF;R##3-^`3#V+;@(@}TnyeRXR(OM@?TmJCdxm4g)f4a#YE_(Qb4Eh)ZvaVX5Q zQl`ZxgvQlRikH(K#aGlC<^}oL3xKjJ%(;?{sP{`bO{pri@{dWW^2R2*aY1Roex{RZ zkGnyMvr5pruZ^7D^V08kRuxfWgi5Hik|I+-iz5H@X$!etaenR`Z>{VcWyAISg5#ME zxw%jpP7h?u%q~~jSn)8G_SCMB*5pKzJh!@mlZ4HDMQqdXfT^mX!Au<$ZC1X|^7-+x z=UVEWtJ7@r)BetZhljJQUtR%`Wm#$2%1|Ghey!Az z`-LJQOnxos)xpSEp5~fFmduif_C0c{VWrkYWee{~jWxnb@=id}C0pvdwv(%~((6iv zbX&UYDt>#i)1Nvk&TD2{br2IetIgGwn~0$mhQ>eC(~%IG=^ZbfC% z*N>G|beRu4w!fpi=>pz8$9 z^mf%7-p_$YjcI+2KAO2K7UF4EaR zuKi6APnSG|%)oI8hwGIIM3ErfnISPZV<_fGyHEL8CG8blXF&xduFSA0Y20J)hM=DY zu5eT=uGr(o<-UT&FRn3-#bo%?o9z{*^?BnUJH&z4#ob|b=1nGbp2wbzbz ztz{xrwUv0;L0P-0ab`Q!nyZ|R8{?`c9|@W-4W?4Nej(~beoFbGw8YUeP`{YC3{wU= zoo2B|<~ML5x4eipz^{{K&T#F|T1=o&?xW9t?Qa1@Czm*17YpmewTk#0mMl@S+yd#I zbo?!B|K_4xIDA<$kKiJdQnyfX4OV2C0&SSKmv!LY;#Q2lh27ZXA~^*pS~M_m4wr1$ zf{7YWwuz3uILh}afR@}O#=K5U!@?Vtf!}kDTP`b7v}FzTTx=cE5V3o4GI{0$_uW+w z#v@p^;Q1^F1!}``U0bX2Ygg`FhcwW^wwJS+v-Kl~oZZXJzThDEHkuqoAv>}Ld{Nf- zbI4Y*GAY4hLUeR!MV&!;(^X(4(3oTyFmSapH}UC2S6)JNAU`Pcv-Q6YE@==M&?O1u zicVG@1~uDmly|gIpf}ic$elv?RTR;-=>zhPQYUSp)*OUA$@OG`@xqy5I-?A{PI(g> zR5C<6J_}0w-icxqu94pK<%&)?0CP}uW`t+++iEoSw}W%QY)rIETxA+SjlfreskfOg z5Lv0I&_`%ond@iaM_470I)5%Gog~H+kNLtjBh{lk^-!y7iF(p;fQ{sjV5(etIY!uY zVSJ7q-ggL6Y>lLB%UtYdmfj9=?dVwK3bbkg-A-A5LeUIE8;Q4Kn019gxJ}`)pjyfo)o{}T=qC~Pw&w^6J2|6*mX8TC-3RXiuR;8Tk zVa8=yVKZ5&|3`k5^Y<{GE1obU>Z9yU{_T*~5O+%Y9y}W^0=d_iw{|O&&|uyMQd%ex89qfR7Cg3Oqeyo zX~-NFAYA8eLK5ctWWSq_vDPCY!?Eek7yMao6uR@h!wQ&DTf^}X;Y2^tbudq!(mvXV z(u-06n$P?PsuIY?!-^pvQdMhdb{IFqhD~s;Ljf@g_CE4BtEIEl-RdCBKs5y;9QRb^V1X^Z;)%i~M{^uUS!dmqR$ZYBrFpm8>&J>u4Y3Ew zNDEMr23}gOH>jz>m48pwmQjV>=~nS1nFXa51{6Xp4&pBka?dL_<=vMXNnW6ZF%ptD z^rfnFL-6BAV-_F+1$R!4HC9ss_qzkIwsA$BJhg?O;Y_6)?d^%J9*VuVGVV@RBAmIa zU714E))X6pr1T)IofR@ErIOvCy012Dp9j0XV48;-NpPDxTW`On8)(+M7TGvL5$fR$5#DPrpHsCUJpz$4jczizlVba(f z>Dk2VhNpiJD*4sm>$D{9mc?%2@ejqezG7vHfrb72YUi=1%~Y2_P=Oy{RW4`uG&R`B zXd*xG_WDv&DCd}n%0({7vK`NRL-%XobwcIPkC^4F3z1A;xR9?$H2HHaTQ#)3oPX`No|< z-r%tvC1yjw+UQ~@Pv0f^!h^x6Zq(nOPwr3MP?vj-ggJ4R3H0+Y3yYU4tbQn&>DfAY zOlHPFO4kiXV_n@T_Y8_%X{)nBlDprHwt6;*p7!iTxv(<{mU*&UYMbO4leC)N43+yq zWBinmeeFslAs3EkZ|@yt>v2JTdUP;$Up#Y_8CcP&m}g*f^wjFctnOjQvL%$#Az6t0x8;Hz~(OQ)8YO-EEkdMN`bwD4t;|B!p7DxXap*#n;3v77#c~WQ);t^*K zl*(|W@HSa#Epl*tb;jJ_7&823gtsTtneoZXcsNrrx|qG7qL710ovKXxL+`4bH7Cg; zK=PwvT5k~?4`RU_ot_H z$qt`S>F}qdY4>%970ZF2?AkKAj{>10=?U)P8hp%2X_L% zJwePO`kuIa)|YEVxa_lO9k?OZGN^Kp#8J=b?r4|}M}y}igDhVD0I_RR*D}pcPO>lSU6VTFJY{7#Qv!#DbaC~8Ie6`IPz^u%H zDVsY7QHayLSYR_wG?lw)!#%bBA%MIfMlCnF+gS7IHjoa@rApLQ9Aw$8o37xzO7wAB zU#iDxM^bY%A&Enx*aq@0ffB-`Cv&i)qql-qsR$=@3+YM;epNZ^GCg#wz|1ErCRorB zLx(I0^$S@)G}i77UUZWb)9wbh#*b6OEQcQM(NZN2`T&&}bHQ{8Ns(zD?Pw^<@}vsW z%;AojP;j_xgYHVsQ@#($PmBQ>MP$3sBA;b6BWvslOlfFQ%MD__>3z+u?R3#G$~;vS z!?TT6WDHH3;m~Ue7d1?fkIq|)10V4+zdJEkEH;PP4CJ4gF<+PaVn7@A`bJl#xo#|F z`e1F1sS10AL^wEfWfq|_4DJQ3Dg9Ksu@CdRuPFloibtaB5J+s*Y0;s%H9OIN2abNnC|?f5akn>0DL*;M zk?_V(>ZCerWJ!z!A{ZB&V|pJDNSv6wxA$Th4PIPWOd-Ztcb?qNZXToNehjJ(4VCH0 zkMg;zAU#S0hfec`oDICZ0`Ut#E$WTOj!Gj{2=VNh_7SJQ5CEX{`l?Ld+A3!tro@tq zTX$tqs%xk77ouyZR-Qf3`r!A91$oCb#!Ti+(Nl(Nz>q+fTIEsmq1^8_d6gh+-jQHDBd@Os=?Gwk4MExSTtSe{2{k`w1UZq$Lay#iHk)g_# zx?}c~QY{t)ym*&X0|p#A0Rj&j-YVgPF++rVI)M{mSdBo8dR^5cz^`+7^nj+3NBasu z`b0MGdw68qPwI-lha`8Yh=Um3XYvj7NZwq)4|oGN)!UUWk3jsVEpXZO zs6g@}Qz(2Sw*;KVhiVdVshY+40$*~U3t*^Eq)FgVQJ+b26)N z_FdkEDbPsm&7CmIgc&QZ2WR6QL}p&h*F<_A7}+RBo`c!w@6&@!Sz)dc(dSeYxnn{U z_1ZWX1u`N>_!0?teMJFZXgLE}tbdQAo3^+`x1dU~Zbq$Y#k zJAbnW1^pYeXKRQ-GHdiA*EoK*00q!%=k6~$d=2C0iL^spK3~77FY4VBv=UQJ+Zrc+ z)RTVFq1h)4avv+nzy3^)jkLF&O+fDNkAwo#)Xf^wscYRl*j(0|Q49 z#kfJE^2#u=H~{jw{NuN%03lV%(W6ZLd%NiX$eEI=N=ax`yB0y398bZy;mj3TF*GYd z68$WqyF7*F+b)>>{7@IjwbCo=YYQUq_f&WJO`Zq?6fEsG(TrS_C7NhAUqUsjBegiM zo#l7%wwBPwi;7KJ*0ZT1KmPR5A1I*P&e<2d?7BO?19*q6>kd|9+HIk89UWt!n0?Wy zA=VbT1K`QF(rbbhQ!V#0j}*enPc+48bh*YdOL`x!LQawE`~*0MDMj8^b&?Fr-dTL` zKQrXL6*z|+X~ktSY35_i&XwruB90Bk{!F$$^(m77kp$$vMm-7pNuE=xjW#h?qOB*Ev4JzKO*$F8yOEV&c&i9Rw4+y0~!M~-ob^0D$QhJlAEBT7{OlV zXryM@f6w=jn&P4_>~t0GZ4fzFLvGyqjAFEh#4yt!An(@xXaE8Sp6zg=U^HlIbQfy| zQWU3vcVXz>%+c2BD#a$h!iq_z3ojqfH|4;8laEPx#{>)Beycf}jzq);2gMw`tzQL} zXwh!-4i4fYt@yhUJ-ok%f^NK*O#F(4k>w)YYS5XMCN6RhLv}CF7Jm&;G)*F>Ey+IOWF7{X$CayzrVHd`2W5KK=tt4bIfw_1=N zSGHd+`vp6o1+NZde2s!1^NpoSCxeZp)45pc2+?Mn^)SMk z$=T=m=rPmCU!zgwF&bS$P=v0-efpU6eKMcD-rU_oUGA@d2KN`qpqgi2x@gV8GSvw!}_ES@4bRAk|hr)9Vg zS~vVPj8Bj1?#B#j(TJ}V0WjiRGOl2te?SnaEwnLj5RQsp8OmG>=Sje9=w)$=_;{!s>vcnNs-jp^T&8X$o>ju! zNK%2FhfBJ$8)dQ+3+PlsqKxT!0 z#DSkmipRxOPJR>9IQy(?IVPhZvK$02rW~XWts=@YwYgOrs9Sraz}x+eMk7cQ)**s` zVA~A=89qcxGQ=e8gg|i5IMEym*^}`DsS^gQJh3oEI0c=Mn_o8J8jOdB+-Ow@)H6P~ zZNAd9{^G}zz3=c|*^r?)XM(_h$bKZ7df;N*PO=Z=2wu6AP3Ej7`GRK)k30f*u5>YIRzivmhOur*FsxIB!sEOgvjKw+%f_~o>QCejB{gkf*IunOt{$sLd2HBPEz85U^}*x{&?#G!*|DLvui`}?j z_jeQ&?Mg}FF|pw>Jk_)&)X8is*Mc#xY|bVn;XVh-^h!zE?G++i9$ z(?;lkAGn#&SkHlO!6yQo-G*YO48D|n$6N z?;UQ(!9=N1?W=lhhI9f`mrZV3gTjQ5>X(KL*);QdQ(dpQSYmpSXZXLZ1FY}O=3ECS zP}BWMLgLfr2iz;;VyCoDoume^gHNQ#1(I0M+W_2}#58s`6Zv_w0;di(>5NMSclXz& zZMjaw!QC}EYXWBs9r)0+$*3Ly$zz5NO;4o3=TRmn+w`&4RCmL1m7uI=!h!D*1!j!h zvUY~99^*w1W+E_v{31qO!bsRRJRx=AX*D4A;Y76~5a}*I3bhFW{f&{YI*?G!b zPE;w%wPA3I!;{PBt1=uVU`Bj5&*%;^sfV`?nwL!~w$)}>6XNq`Mm zNQTXR_UgdWOWLjpuvpRab_C@tY@h@GOfXXz{(byX2ttx~=VP{*pnG5_+`ejnJFAeL z-I)Bi0BeozBWedbv_H#pi>ld-`%<&6G(65oZTZN2v$67sL9L=4H&OcXv7|v*R?+{)a_PhLzAZ1fk z7=VO5BLEE9VLH>=uhH>*O!OewmM<_we#xLtt=J+Q?d9N#>1)kA!{=CcB*I`CL9{M! zH+k#fevQh#xtLp2W+$#YPSyU)xe5r(CY_K0avD3T0m@7MMpb~Bb%}p?9v|Lhh89o5 zXNP2?A0zg>YK+_AD0Ky1RC!Q?-%GDZE1`=UITJ=V?db#-bJT};HhPrR(f4$@E#3I; zPL`^t;x8cM14mq+Sw~&@w4SqcFOkfEm}cii=EoOKLuiD0z>hVxa^ZfsZJACpvQDM~ z>9$_7SfeI{BJ)go5@tp#jK+%YStH%8L1hfAtaxR+7`HItpBq_y7@kcnxTO5qBA4dr z08u}8XxxcxxP}BH@Sd7)ta;*m3yq3EYr&Xg3>z<2@L11 zhNQjo>O%EJ6q4e#zte;aavo+KxrWonDJio>%ctX>J4-FC>rHDNmIsdTU6ShZFG7urx@z6)$kJ}YI-9`Phn{|oMRw`lS$H*Vq-(K-ws?$kwA z?KKLf)iBTf;jTw-{8|+M;iwzBd3NaXTCP zJi&`d=GmUWT9CP|j~A`d7h+l+A+AE=<*;SkQ&boT0DGmYko9;$#d6%;VG&Znk}-OV zp>_vWtti_ zUY#}MFqwim*5`BC0xMLWtkGZ589rNMl+VCGM7?+)Ejc#98zX!@re3qaBY%j{DCBO4 zg<+X$;qB%uFwiB!8PGi;^b3XeMfdGMN`MMQ;?AFH2`X;3z(lP>c=WqYqbQx*{!|?D zocR2xYbDIL69AQCQx6bVG$BDjTO|rnZ6>^F8j@m4r(320}0@4cD`TPFMj{+U_ES* zM7OaW(DfmbE}g-$Rn4+4q)6Xq!@X@0H&H(?;oAKBh?a-e(F*8%b`kjHW-4{q9W0f& zy8?q3tPh}H@S=>|M8J;j6DE;$4E-mJynRs3Vwr$@RB7*$FsP3O3|ai=5tDkap91b3$UeQI@D@|zXU;H5>k&AwkrQ|5B+9Ed9$MYZ?vMkrN920 zXsEYe7vB(gL*Na8Hw4}gcthZS9)W+}it^VK)}O^gztJGShk*V?y7pJ){9jRBOut%L z(*1GzE7LFi+h5XOnSSwSzs>%OAp7mXFEZ@Ept_iteorjTBPPF`QvPK}9)nUweZq~Im7e9V2)Axk72D1JDEGOte9>_{fqay9 z-##RBV`dANtu$QY<9SSTDLGVfon){D;`u(_V~_c#jt6}MU@*F{zV&%H;lOWSca)sa zXjhAuoLLiV4;nqMjlX+09S=SIGl#E+bMyaXH;g$$jZR6DPzKdwJ-Ts*Ikmz)@4 zCUoh#V5<%Qw-aeoaO712$P3%akk z&llN@-1(6MlRY#|+8C?jX3UUqi`08L(YE>$glL82RSoM6%2HkgMLDKn0@DEsu>=pA z4D-(6rj96}rT9+wWSkP^GSOp2(>;&gD5vawb@~KCu`BuD){+y;_KN*T!k1+9MO6YT z59xq)#}tt;$1l=TZj6ak#?9J!dX;JP^x&T9=$_J)z_V8(YtD_IvLm^PVM{~{#0w*u zrJk0$0%c#WpFxNXMYeAz{3OC?hU9Z->4pSKib58I^@2j1B6D&$aQf~+n(?XWDy0zO zhpgJ1*_!iUGh@Knn#bffD>P1~w1u$c2sXl)y1$2Ff)VOvKfDk~(3Ztd9cP)Sesyxm zxm2f;Z$kK@SQLU&+`*8TT_O#9M=3@;<9~L$WU1(L44tPCxh!=&?@95)^#tY=!ia2ilYNv5N=g*)1oC1!&RuPKnx-f^jUyt1KM|Jy)Ow982E7G z{NYJC_sT)$;uI10wyQI#U?q{uQRWBp(fW%by3aoT7`D}^H}qHAVEkkzHNnQ@)_t~t z(^bX1Mo<4^n z3OdP|jb9+>yuo=%ieN{vJ}q$*IS0iwkZA6EH;f*923X3bl42}Wz(P`gU^gsBWr%4J zHz(CSV*>4mP+8~zALAQP04vRtApRHmVyuX_6@@iSWSN z#nh7a16%gl^rW;r(qc!bCx~^4UvUfLA?b`KEw_Zs7={JG2ndAxK(*pwwmSw?kid>u+wE&rFS#^M&(D#oL8Xt-pOfVAt4PBe9yPjG zR{S{6bb~8`tHKlP=OA3rCV}Evsj1*N=P$mrJ9dm;6mEV!#BET)Ny=+2pUH1@lR~&w ztcwZj_Optwl~#cZF!sA=6|kX+W=gzj>jRBPkK~bfW}M{YV*hTuR`K+{p_OP3yn!KF zY$zr{FbKhvQHg2*Dl;1aci58(4IQ@c04(ymO2f|XHza`Hpx%P{)iZuwP{~y~zx=F- zR1>aJMj*_yR_lr+sG*QBL+~1Vh$8p4B=<$94B^vj<OxH@p>(G0Wc z)!I@52YbFdbZSwzw~DK0-*)&|(P5`vNl2sHw4FB4VXRIrEOTa4f9OR+yk3cZJ@7wi zkzhAHqFZwsn@lvsh z*6u-{kh(q~Ks)v-rB^UUT;XonOog3eCP%k|oebjKi%dbr8J=H%Ref7(?Sv~Z2VXA| z?n}xEUOXp*sd@`M7kNEFfYa$g)I2TzkV(`7>JKyB$>>dV1xQqcJvkQp3A=~$xIMkm ztTl*g1J(d1QF9CKeA5PY&t6wu%PlPaZ%yg#L{GBQNI%>Q8}-e`lU|-$;d9*cF!N4l z$RK2IUh!nIFJ$NBd4|3xa|vq zE?9$TOenOvGfS`d1kEM#5qV-d$}kMml14#>%g?o zGF$BRp+$>Z)@8o6AWd<4-T8X{%D%2=yr^nVk4|Du9ID-AtRN0c1zVJmr0A(1!RLpt zbqI^Kl?jjx8}OjK!U%)*Rrw!8%=JZRVNo4e7xroSUJ~n@2mtEnr`T|oKnpfRzcZg? zc($JUu`piGxw$VLT~zehXo1)Fl9zUrV)%vdq9ZabiL9f=`Q_7FwQ|X|X=5|SYGy@w zVob4Uyl|QXRb?K;%p}fpNdC?-M1yA%gzbUQUMo&C7p9KwnLD*=W_&82gRvRT@o8Mi zS$G}xa~VwraHSolNM_-WooVpm0g+m~l&mfjP8=pRKU(4jvL)l;)8xP5rHoQAhm7ds5vS3n51N#z7Rw_@I{Gs#cA9S(+dSFH{x3%~ogH+HQ(6zZ2mnfr+v z;PN?tFjZNLa#YBuX~w^}IjYZQjF5daV~y!EMMR~vBG~-0 zRNfCX>B#1WyW;Ghp$&0$m&*`{vEMNp$(FzeO5vM%Il!L+q?TR6icne0+ri}tx}=|R zvv?ADqD@=P|ABL-Y5T;v8*zCXYDG3(Rd{FXgv8#1l7S}f1g4&s#?p69Cn_GHbzrh{ zXBhPHzE7s=V|}CxIDjv3H@pYV(}#sM2%9`=OrftknKh?jVW}w?eH2_ZfHOyz#px4( zBW>MOyqrIe%-=;oS03hLmJHR=ohLx@-ox>I{5c{WU4|{WU z2De^}$zG|?q&9$;qmMTWoOS~~I<{ZE-EP?eQ(SvzhQfYD? zFe}F()yKw;QXQdZX+g~1MGyr%Wlqem--9JRyslXGS#hMuF8-4}OaNC({|Q&%;M5iL zhtRXt#8i!i9-_)#NvU@;1?@t1!s@FhNlD3R7+hW<$-uD$x1}m{ZW~BFBp>C#4@ z2>;CWzBw6CyeExSBQ&iKHz%1Z5v}7dw_{0ST~{BOyM%vyCvGd{JniN3Q3GzO#riv2 zmry)nfHv}2#;C}S>ercxq5Op<6IQB{D?}hSDoZS(*I+q^0LeZ~%|}*n=l;Ef(a%!Q zz1N^UsHCR2L<0l92vS8Af`X&(F+UTkBWT_E9c>*;;)6-K+`@7R`0|E8^ zmO*{@1VQKeG#tJSd329Vqf9dEVJ;j9$A$jQd}RnKS%h`ytN5}nCo7Z1sW6;bqA#&U z0F+~W2k#IEeNlvC(7s2(U$Tl15-7)G>PQy)VzS26FhN2gs{SLwk%)#^2nfAhS=EzjPts>O6Pr=~s;Tb7JxX z=+(h${VI6GyjPYuUWjz`_U{$FMEr*L%hfev^2ZVkEu^xwsT`IK?s!_tvx=PVde7EIx^d`sjX8<~hz`J$^3R z{jC$v(|UJ)gppGqDDYK+5dZMocIcxuZ`Nhl4DJ(l)dMXBZf_(}X*qRL6_wfvBH)pT z$iVqhMKBk5ICwXnEFbyuahA%qM^1bmO8a|6=kpO9^5aGVC=LcpJSObywk*NwnIbj{ z_Piz!E@nkd;hNj>Ucklxu(u_Ec48E=B&O%(2?SZLIq=8@SpnaZ(kv+BrimQGfG01y zRib3mr#H{te3A!d7X28nMpIi~lNr=6G9f#%l8`1z4bT4~`60`!zgD=aI;-?@kZV!M~;BQ=In+nYhPkNd$CAUQd?e`#F4j*yXHYPkvmCWwV0-Ty=`@0$=tW` z`F7}Tqq+ndOmvrI&08j5>;elZbOARTxWSu8RjhBHf*MZT#2wQpn`zh4d<2GDfQ*uc zH=QR8+t{uemC!`spzAi8a>$Id(1$)u*YhsI)|)l@hN*TBCcrLI2+LqgkjoB$g~z|c zhp`D08<35plyfp0Y25Ly53ajR@Hv3T$8I#<2Y5goQlN#`ovrMA9^Fj_jQjr>l>q(hrCX3SC5B~bsA^r(Jy=kdrPUm&l(L?=Rex-@ zDT;|wit3pg*c!fVl2Ot9ZD;L|UC)2pO8Rs2R9nx$$efMnw5g2<$4HlrNP$j_O3ac+ z&(KKF*;-G=SzK1f*+hp)mxzNMg4L1P(ah3J&sGb^(ahA`hS`w~PfOQATaWqe^>1%e z;^F)pVr#;N$MxF>IErGDI6M~CdN}kHROC8TRP;CuOcb;XR7~3X05Ti^6@Z%Z?Izzc zDXFO5+9fl;?Ueogz+;ENVYSxPXO`yW|NYzEj@a-FZEY=?DJdNs94H)UC@g-Z+Wz0E zwg7S}fGjl?GZiB<6@ZkAnwg5~k7QP69&0@Aw{CrNA!*ekt%vfnN&z>lOGjgw`{Ei<=#O3y|L)ZwEHEZ$XyX zpIE_9`}cQG{Evw0H&^&)4(y+vFx_7(=lq2~p#59((!b9i(EfxN{^Sp6f5HmCUHzxi z{@aT`hy>c7M8ba&L}2*Ofe1fu<9`c8_$P91f6DUv_y2zZ15o2IG5s|8DP{lCwDuo? z0ZhNOv8DaVhWz_X+@H(+`>p>2Fo25suYG8bl~ruE`0d}ofPDcRQto|H&#&dh_PI(b ziID=-4bj3%?ipVNwL61FrO`~%FQzV2ZP0Kyp$WtQ=Y(=9C3p`R7JKdoy#wj;$p<5j zRZ?rNHUQ_watVsQx~U#V8a0a6=bJHud;y|0sG3GK>}MxiTL2nU?#%vf!C+B_^;13E zx8V~EoVv* zY{fPw4y}0PV9#;3@?>l)?c@& zZi zr%e<+>9PDZ2T8Je((5+&0`WT!6dv!&J{yx77@d+2e&Q_OS^HR%a4Au6wnhh~_HXI9 z#^W-Jy;%-`J?M(z?ZmNEyf7oW;0n1;VQ;axM?fdA4$Y@4Fr|;su(F6iW02^i6`1>b zpwTs>8D6ZI7A4UPw2_+msL*+DG=RVg17G{pXQdt8fk_pAk+ViGYM%J{64SMxeP&re zG^xCOHgX3=Rh03@AWofRGzBcEBr8A@c?EEeHI#SqaY`g4)X)3EZ$N0!9_?-Ph69A;z2N}O@0bjI3VI-{o7Rg{1Co717 zSiDNFl)ajrzl0$Sn#mmWAzR3U<5R9*L7Hax->x@1^qhpsRs|^ z%vmZa$KA;YB!wDfo@qC$$mwGhU{)dF%Z98HzA8bU@_uc;(>yu?D`Yv2A6-@*pZFC# z(I=7SNdlYH>+;UUH1#BI-97+LMaX(chj6zj7EyJ>)k4;%dmI|{dff&TWwjVjoKqH( z4XOcJs^HoEH~;dQHoP|Hi=^;G!oJ$L5ZS;ddr_s)%^^(G_i1OhDjUr$j6EKd2|mHG zf|ANf&B)qeH0z1oFgIRetTXWJ{_%Upjq}s+BNn|+DbsZ|{KCuy3G-OB;_M{<$4Go_oGi5v8 z)>Ab@RNqtUOt1)gQ(IZpo{E^n+Di@6dJ%#){N?#lWPwK{*7C9?ma!W!=dr1a|7C;9 z|AddN5^+<#!RN><`IGqKQ-q#NwQA*Z264=I2MtmDSH7%mRb5cbyn<={xTLv#GHNwR zcU^>p_Z$igF<<-s8s19gQfj3>z^_`x@XUJWN- zdkRN}G_>HhA`S55^MGQC1T-=YCyWE~44V-dRMV=Bw)Iq8X&We#xvZTYUREpuYQ8hJ zTL##E``kKaDZ{&vypLuszpc)Fapc2_98DyctTIOeHPF}fIW~Zp`z_OHIX4h-*35{R zg+)-p&J!!V{eYO|RC=0v!;AbpDe9e=S8d3sWF4A*mVYVs$*Fkj7(Z%ld4&Qx>K$8u)*UI zTdN@p9BTKdc^p`C4us4aw~6aUZ}>sX!Fys(Np<`A z>?KhUV;ug*l##gf{(DeH5^y^!kwIsg7B|Inp}ypo6Uww8Sg@C@^Z_aE%*QO`re}$$ z*Ek13R?`MC)*Gd(4K1733a(k{xw+wjuOWG>;A3%zU1t|Rma4IXs8U&Tx4AtgEiTe$ zuw%tPF-uQ~Dg+I%Sw?gf8D)Wxy_r_X+wFA0=`+VVTgWKscsOQUo#Uk-dVu z#4PW>P-?&+qqkVv3L$U1hym0&IJ=^qDb~Hcg%eGu*?dHRA}h-VsKXTi!fFmK$dJ?T z&niNhTkfc2X;7aP$7U_|*&tx7ez>if1$#ayf~d5tH)%*>BiOU{nV4$n9jnNB+P!p0wAnF4-?Ny zGz5EG^<316;D2-z5pm2iTnl#y!ZbadX_HL>aW%EgxRc>O)Mk>Q&LY_Fg4MCbobN-N zk6qEbP#edB&5;m;tZhUZC4NQ(?)6^$g!aHshxSQ_K!^7pyiQ7bP|)u)a%`A2KaX(o z1uk_1B1t>hoQkdVBX>|?al8qbj9$kNDz4EgbaWeBEMl706bd5aQJBG|4TiE@!c{>` z*3{A^;$ih5e@i~0+zwl-u~IPiHYTO0q4)#g-7!sym>dzQmUS?)y+lu=3uM{4=`n?I z2AEIcy$J*J$yY(q)dQFueKA6YpBa-CRYk1I%c8LTyWUzMyUUd>6*UT_CVuUja_EDA zzQK6i>w-}Yg`ylQpF9r6uQHdQW)47Mzq^6;f#J6$I*@^2#8If8KBPr|y<8ZA4!vsj z^uNoBkFFsL*|3ViL(J*~!;&RBs{$X0al>Un?4%rI+rqGYFKY_DvK1`GP^E3_RZ(fBeY z&Y%`d01k@%333KfS;G-3`-q3q==6?a8I1gv6M3naYiEjx8Y*;+I7_1V>lob%2n=Ye`x&z*Zn>Jmd#jwHUD4{b1Ax zC$IG5T5Vcex?#x=r!<9cy$`cPZf+Cb%ew2uMLjJsnl5!L+k~50y+2%*eP28%ZMKe6 zaFBE{*6dJ^aY_m3B&t_?i{3cm4}jUk+iA!k$e8V$SN7t7>sH zkG=P+{UTMTj0;O%@=lkYIW{PNQ?-We6aH#UicDKX_kNz0lLx_u#lOf$h66=za z;-M=~-jzxY=%zcCFDD?D=Q!EXZD1`egm||Rw^dkQOcIQ*kv#Ak*%~4E1ct-9RVQ;n z?p5vUTO;=fb6*iX=6vu(!=kvW2$@_4*_~j;6jPU}&T#N`weA&Epm08qE>2a?>Zx(` z^G!ULy!?0-B&H{JMfY=RX=8}>@auJrY-6xh>zG{$P0DJ|Vv?`+=Gyi{TtcFM^+3Kq zJ;DvipQ@p}dgyH`-@S%%`^=Ae#>Is`=4~HGyxWbhi5O zIJ?Oyd&vBJ+Vb=SB1UGVb&MX24rJ~CfvH+0&;EqQ9R+%3#hRZXTUV^R(#tEai8mj3 z@BD+>*;6e+2)pFB^K3Gfgkb&SOo=&(cKkWD)LFP!30QYNYZkX#H{tyDCfV(T(1F^S zAG5Jv`p%`Sc|hL z!C7LT%%9&CO|M+Z7X>uMK*#uw=s4BX%U3I^xE@|;nC#u#>gGRrYLwXaM^CIK`a&VP z`4*CX`|%8XR*)8dDj{=WeJVYo0cm?ssRtr;GJ%j39Rx@dg^U5R_R2X&#Yov8ouz>O zF06D_frAfZAR+TI2)}O&XSIF*5E#fP;L;FCx!-RQpn2b!P?sr-aC}NBv14R$O^;Lo zIKx%C0Fzu`Qd?u$bLDVXW;fjqkzucyA#g(+a#9ArT8A;BbM=oFN6@BZqCTLz`YOse zZ36dT=0NeWnx%awt!#P;=;_+Z!jtqsC&)m)0K+ZB!O@dJ(@I2TSyLn}A}o+QfP}*E z;+#c8NT$s%-mviiM^~izqCpVdEAw!SVEeKPmGfiu(e;q)UQb@~{gOq())Qk!V%v}K zOS}GKo2iD^aZ{D~y~=Q4Xe|kiFRa0C7?y?}GV(3Yx4V5nkC%J^(ClM*OP3W`jLbdY zuU4ej1qou5Syl2ZBwG7j9>*pT0Vg++^l)N7$|i;UZ#3SF-06te`MHzVeS-@uElrJdv}}zm%qcB(^?$n9Z_mt<))u;UI(pWBPzI83jDV<- zwzZbE6Am>U1r;9UZ}b4=@A$wQxIp=DBbV{76^H*~*(3MS%Gvv z-QYis+_xm>w@m4O(yf)YuzjQYaHuH7^mL81xGfxirccu`(NVm$VPvEQ{JTWypI82U z7XRb*kpHP9@t<@3{SN+f?kuH%3;>6T{&(k`@+}Vh533{7;!ywL$o_qI_O}cDUJ3cP z3t8$}+ZyTFu(DE$@lZ3qwYzy+lfRkkKaXjDJN{{||9iXk=c4{Tm;czVrK6<+{2P30 zxZ15^WPvV_^irlVfj!VsHDjj$B;<+8GT=!6EjpGkm@fq;TDy z&H}5hh!Qw#)2RDVOZeed+bTJ-#ope~VK8Ob82gL8(^J>e%BFs+>hh}V^~{=jDocJw}pJ4D~h#bU4Oko>lX9 ziBi~XnOmhV1z$cI9}-y*PBtdbI$Pg!#mT*1>XTT!J{*G!nA;+rWoyfsL`xSLqf39A zS=pIb%Jz<8HFuLCYGDt%hO5UW3m41wAG)-xYs6O90$rQr#!4|U{!yW3Su>Z2riruJ zYm8Tj>&@w_?|eg;AGr}OJmhuKNb{_6FR~DQS1#+>{PX z{F1KArrKXCH+kb+BUBOQ&(^V}5Wu|9tY^!=2&ZeZq?nn7QTn4p1aY>&{bQ24 z0m^q%L=(MwJ{hpR?Oe~dlFA90z0^+7lmLDVMr6lPLP90%Qj6rz?HO`Q-NwzO>8dQr z=={~0;D)K3mVr@t@Fti+`^pTHmfLcC-i9TH*j%{7W1*l1z`51##^bLy`+c~%!1Dw8 z-Y_4{+Lwj*4L^Q~NwUrj;>XjZyRA!{tfBTs%YYA|7O9c*R>`6lT!PmK`p$_DsZ#b) z&&WJ_5+^y*&08B^vu?SaH+;m*NF6FUbbU&@&|--dOalqGR+q~Yxjr*gnK-C+#4K7s z%)H?c815u!1QyVlin9zm#9BF9>0&w!+POvV%TUud&5;ttuCmSI($`jQ;OkSjQg0$Bkw`MOlU6R_*YH-niUz>tn;oF0^ zQtS6!*^Z27RtB&XA=Dm|sPoOb14nA|!-UAXw3dblQ+v5R@TRNV#rxN4Q0$t#L7vUb z8!nbomum6ZQ#0dQ>ou}fwq>SVGm(z>~X^lL8RO zx<1$kpe_i&Hv_g*C4+m~u4o5n=vlHy;-XP9v!U5#svE|J1|n&Fr2X{|f}TDCK2F|s za4|}YYS_S6ukZAXJORKlsb$%sCV^P9UXf-2m1(h>X;m)vv21xTQ?w1+Qd+x1LOuv% zqT)r3(M2aYwjZOYr@`z(?kiY=U<84r-ud)(2ywFyJbtZY;&rYQd3uXH0q?6t!P@v_Xuk!cvU~qmCTYjvkAUqCfXE z6O*S85I5x9*xZ9v{((c=9CjmX)LyzI9;-BahZtujL8rkob2K6I)sZnNxf}PjXd1H^ z%F>dg{%+b093hX2(k#2t4OTCX#bYSd3^^r8h>n9Jy?1d>5l8Igbbx3X+7uA%?@gd6 z)14$*!mO5f-urdD$r75e#5%+0vbzA6vG!BuJ{86=0uInTCRgIg!N(!I{wBp`VE98p ze&YOchKfAj%(pt1Sl30N(V`gr5N9;_M-(1IZIbcGpQ8B1PWIZ=)ppf+`MT%1Ex9=m z7!&t0VLEw8FUu?_t*dbYFX42RP1Ykn1@92eW%Wouc94GC#c2hAGp-Yv?}rZrFrcC< zPU7PS@JpteXE4ed&}j~Rq6e=|BO9`?OZLFd6CMZdb|vOf2oBcPa29z#Cg@YrVk-Hf z`WQt8+lI5*HxBrefs22>$3dbdF+gS-gZwm|q!K$qu1c7WzlJ5C(GELbZn?WkvQ_eq ze^)D*4ie4TJa8F(>wQLv~VE!RLk<$=cM z1f@eHd2|XvZK{%BAn?Eve8!_QP1_qkMT6%WfY6wRqr&>Of|~K98QYgm4~!S;{LMoh z%MNRWx0TLpbHirb0_ByZ(w9wuxen}gJe<_j_1%Mw?Xtd)YOuQmeHbe?H4KMROv_CY zYDP&zLA2e?HOits5SyeOHKkv_!%X|5Jg3C$2U-;h9##R$wrbC@ip{+Q@bb9jL}q91s-o zLEW+HuV^ca*CkGo+kVRV8qskrdysa`pu}1Lth8Kn*{irb;aZw)O&J`F?;8puNxib< z*Cd+!9^=dr{>OU~F0s#_evtieyfI~N1O5Cd{M_V=vsG_d+dTHrIZFj`{ zB|^sAo-_sT3iwu81>EuB{9$gX_%Ogpe%ImhXz1xXS(}6HOjKT0dM88f_qKXI(=i2V z9%E3ZtKn9$fpp3ZKhJe|nl<1Z=&6})T_Aeu#VOkK3GSl-0me{%p|OFd@B`5$PQ9D4 z!rsATzl0;bWO{>(EywKL^OYz3Y0WZ+?e2P>g1+JU&{%WB6YEvFp+(}{86nfLS~q8B1|~@t>5mIk9)M#<9%*8Qz2U%1 z6>LxFNkO<`l|4g>?JoiI>ocAiKwxDhh$-K@lC&A+{mvu}@~Y6vM&=7{y_?9B<2QaJ zFLlRTtfba9qKC?QcS#W>dXMznuTb=)g4qOSh=Mt_IVq86uWVjBuvql1srj4D`&|N> zF=;pA!j_+h`UrHhN$TalS9WDVeTKKMrk2K1WQ#Heg*7u%s2u?9AZlxdtffkPoN!jGs3jY^joCYvei+-w zP4O!+{*?g2)R5Tg-3{gN!YPL}|I%P2@Qpf?4NSKGbTsw)^cHR@P+|7FuX{+%&m|Q~ zRES5Cl@ER{MkdCX@2crC!!ma4*7PuHN~6gkKBL1D=V2&qd44Poc!y)E(-X88zMQGz zwa?>1nQtz_qq0YzOTp+|g5~e;?tx*>#B3q2-qN@e{q8VHnqa%1-SLv%)5Yw#TW(4+a^dC3NZ-v&vFePqM2>U6S3Zz5K2GO2z1RpqzE#aEu^< z8I$Uv?dyi6!r80@t=w~9=jqkrXKB-nz|TC%C18QRwM3t+=D&8QqETZhPc;JHGoXRTXx(ITIRKV&)wv3LP| zz|km6(8N3ynb{6gIw#KCJ#qB}@iI4bQrAxsMgzJd$L@zlSbtYl+%Qc$7Xqm1Y11|+ ze(eP#j-f!G67CO3wn}Jd3?uG>SgoSl2Se+JO%50CunM5^Xx~BJ+53%Is{!$gZ(sps z>p5qq(U7Ul%xy(1d$Zyp^bp`q^Wzp;?a93x0=`JF@Uu5rgku9^&KZFyWv9*ruy}!B`2b zh`_$FJ_el3R}xqIbAI?p>}0ZhPs?Qkb2peN9L5QsY9oB)Xy>ZiL&pkMoJCM3F&(%? z$dH=S)PihfqWEl>Sxae=F$^&)%2jqRh{=KAqpmBbGepV{T*iw-0mAaxlieWO6Z_+$!;Ci7JpM2G=?E+%c2 zz#Fu{2aY_IcSHSFEk}1;pNPP&tC5a83Q&W32uG}~4teyop)pXp^Fs9<`Zc8Bd;g;R zHHdpqbgIIQDBU%v<8ugLUmg_B>gCdY?&cA2v~y{d#W5hK)S&lG5{lN~V1~YNql|*Y zswM(FBG8VnA0Q(Jst9P!g|_gzo!7}w#sG8XlkHx{{_bZTIn-2nKty`{-SZL=V*c1y zJs~s^!oiq5sq+$ON&)j;LMOUEymB^L6!D2_B{jteM@}vFXJY6ymW637TZDohM1C%(q#HEjfAHUx%>&P;Tn z=lscxD+;C0ZWM?=01;~OT4Ih#_x7F7;z7y>NX!hI3uY7Se47$8MTU>X%wVglxB2;F z1vVx1Fju!c@19e}Yi;!!2=p;dyXO(vCLHXT4Zy%0k*1ad6+U*@5Qf^kqomeulW>4Q zWx8yNn*FN#UZfA0IAM-$^6Pgm4UY!8{4;H9!yb^)&LAT)a`JL(^WEDyE{S$r06bM)h2hc)x1tOBa$M9N6Bm-$H>ep5x zkMqcoR6!fK#dwmyNzE${FSrjPjaEy?lH8hs z%bJbs4WeE?wHjj9!$y^C^7T2Sn{;)2KY1)z0tiRBTUqa=^VG7lRsoS)NJ5@(?2+WQ zl-tp%t2O!Vn`F?yW`QXyd7qiK&|l91+O2x`kq36EYz3#b8k%GYOR+mL)edbHFUxa>sQ?vqY}@u(h|d)OF=qxm~I7FT^5i|mA>8g=I)f;h3c=D#|tFU z(wG4J5K4vks6~}zwDjAO>5Xf-+k7~IYT^Ns=WpAt)%B#)PV55%OhhZa9yf(>3#RHY zLA8|7X7T+KN7+DG&6f2VL5MRWhOm`jp!R-(>Br_I zQjg&d4Cya-U-wMuO}fvtM{1AlZz>eiLP_)268T)6=d-u=PVg&8N0Py^E2@tnHt>(> z*==yzj`&Nr;{=9@FHhM+NY}}PBuW^f%IOsE2N2K9!BoP`6flk_Yx+7?+vf^Ih(_Dg z=4^SEPy8YlT+0=3$kbeLVw7$sDAc-4q(YVDyjnzVLr5ax;avgY!o1&m!ukmDE|_oo zMKdP?BYiZ6KDTN#{+1aN4{5kUI=ElDr49Tx77jQjMZJ(3K)0MK_SBj(@4(~ zX91iRuO*xFd6FS~(3W@#t)}xs%-N=|+a)%Fo=tE(KQ8ia#au?gjrWUQQ8&!GOj6zH zJP)&$DBL&e3^*>PsEF1q5{WhZm6qIR=H14?!Nh%hY zzZCeTz%K=UDe$jX;Gbt>ezFq(HyiUadYAr_jd_D8eyd0D`@moE{I7Wa_jsO$oQ6u4 znwpu0ikXg)lnU^Vi)uC-nb$i~q|5ekt%v zfnN&zQs9>YzZ8J@PsHorwtD8aKXLY-#m4@F zrfGCP%U=D3b^i&_|F5v_e@U$UA7|bFi8^FIXZmmIm|9rNSZe9$y)ouIHuN~Z7yqK< zbF>wZ`3>NIdtX524Oah+{Qs|!?f@L>zvU6||6{tH;cY4D|Axo^q}%C#JO105Kaby< ztp z(r}|Y+AqMM`Gg>O2XVhHT%PWwsI)k=h2rf%eh4ftGHJP;UOAkN=2%-+Yh_|-D}K4_ zBg%h$9O{Y29$QscPhU}UuYZ|JZ*{-9+8@vmx4pi(**}`fCd$t*o18gF&Q{%%{Q4A) zjXO&yOWn9gs8>8yj4#*1Ruo&+%FM>0ycJI~b5Jh8QQGR{Vdd^{Y*O9Wz{=4DQ|GLa z+SV1`%-r-9aj)-)xkR}5)4iLGlghU@VtupLxu%gRtVUhUwJ6Mk{rM=;zJ{7mhcACj zmwQI6G^z0QapwG7`7wfypOuMCo#o1-gTqMgo~UHlq4LR6>|Rl)c1?KWo*LcNOIns(TBvO^sGNKy>OifJEwJUxS_+nzy`p9}c52b$e2R@+Q-V#AIYrni z2Hkh^H8n{)`s`Cb@;oh(4WX`x65~Ax4neRf`0hJy*i<#8VFZ;I=VNuEY_T5-W8&W@ z`cV4IzmudFzkaEi%M2?)E9Jg@92Juu}qqX+>z+(rMKmfLwKWLDVt;|5+-aAJmVZmeP{^{Ga za^HF-`>C~^i@Vzu<#=$;WGurvn&qGoS!T;6PPjtb~}8 znWBksY0_6?B`e6N# zumt}h!lh-QX~zTtacvRyqSI|GBS1T#(3a6%Xe3K-Nu?EJZ+z&E4Y z+;C_aj7Wshv>PW5nJGhrUoohPR8OUKQTk$rNIFG@o*L`DT+y%6(ia0x4&VQkALAlZ@r9 zX|FZl7!HNT0;7bl$Xll;If;)6iTge&R6ubzgtAmU+1<&q0Tf*LwKZOw!ZfeDu#{xY z6eG@+m~F`#z7-`*owGga7Fdwc7GS2NXkrV7$}J&cA`g@nw!en3h3;ITr!!sm0Ga^DHNN>!`GP6kw7K2?=R~2RROxUV`vjYj3fyK13Dn#)(o=s*}x)A_Jx>8GB@fHMesb*6-Ml~2GC>c2przj8tfhE1Q6a= z@R|B+lIaGF4h0yR`)DwD=`e_#4T zl6{!FcWFxBZYc=h-FM<8cCVuLDw^SulklL?A>}12f6zGi(nInw8x#`PP@5CwYNih? zC7yAvs7h~g+Lt~kY1w{Y$Ym?# z<=L|XrBGfnnp8&1N3E^x=+VXj4;Ai`V$gJh@ngQL5ZfK6fTLuJK)JK0E0Bh!7~x0b zgq`BL8kji87W*0ojTt@hF}kM0ozu&%P|a}EtoK4|(cS89cPQt1cb8jSp&!*j2#ikP zTAHSi7OHJTox7VHqr7u}gxiG-X?CP!lASCt@;TR@rrW*qblk45$L`2&u0_oFm8JZV zUD_o-#up#G1Y2kz&$ubZ6wNFuYO|&5+bDee0^9ZEEYE-DQV-1Mo^*>DHbo(QSEfw(+jDc=e<(`Dk$k9lQ~M9BTAZi z!p&mDARfgR!Tr(`VLsIq>>9m-?+Io>cQLzpyqhS?T5y+lMF=Cgj_%W3rLuy$0wU`r z-z~thyCx;fH|S!BWg$U>jnos9cg>zCs2RxL6n+Av)h1mVfL$yMb0wdn`CaF;uLA zSfwl?6H99*17sTDaudUQm)Brbe2z}~40gA#w^8AeN2z}QPCy+>Xr$!>*@SMRO%b=! z2_D4MBTx#G$s*4#%xT!XTDSa?2OC<3$OBFGq+MV&HXpdt}#Y1ocKe zN>T~P&hXrp6hX-^kA$F^`1%I@Z#6D|_-Lan2W!?p-x=FoH1pPAZNpNY#UpW|EtIRp zGLEFZtH}c8C*Cji^wy=%d}r>r3^yXld8iqM1+pcM3Z|2IYsGL%PC2&TM|sYE+~dMX z9AazNymu|OI^uG$yW-U>;UABtHT>uRGyKp%1-$9#23J9f?%zuvsDBJFaNG!{=2BGz zJXns#$b5_)Xp#w>bgAGkGopSU*O^SBv(sopWRin^B`4{{&$Ap(r|BvhhJF(<Qo@mjwpeV%28GH6WNUHBb6Or=j2t%N7E8b-!zwX)oiSOx(q##SQFxN94H^+pds z=U0I&Q&XdN5a(>8k*9nLr9U*z8`A3_`g{T>kz$`&OF>R85S(|AI3>gHGBO>S27o0q zulo{Z4jsW92v`IT90o>_@>d3DAJmZsjkASqGZ02aQ4LO*iAW^h+DlmJVjUlD_Y(Ua zxIli>p_5{liV010`ih&}{Nc2*2tGdBeumRC8vy~T1}=53>>D@k_V=&NQ2DX9b8vWH zpt4o|4|{JJ97mU}Yf2W&VwNmsW@d|RF*7qWGs|LT2FqgR5;HS1Gc%7qpPqC2-s$e> z6LIFw{BT87L{;Ukik)v}Wvtxqde*`mQ~5p&YNY4ms5p?^wc_6a?tgtmLn%+?NS6bY zB79F1jfR^ny|sRV0zEZKrpgImtjUt8ydkoY5-{Qv0C}}XgpQ&*Fl=Ks@KT;-^8Qhl z!Ja~C%7*IYH@A(_mc!zTNXiQ!sc#z3!C!ALney>NZBc(h3>xVmR)F0l8HzD&q&3R$J}2p z3L!);sI{QZId)wTkjeY4VTsV<6lw8HIUXXTk{7{}0s@b{$ z4~fCL3DB8qqoGesQXnvT?C^mraP%gN3)Hc&jB+NoCccuhcVOT1Di4*sK(I06 zb?khcg9ab1KK1YOabsa^I?oS21m@976)t1;YFo^$>5_ay^1g5YM$CI+=s95Y#(vO8 zW||7x+Ip^`%V}#i-vB9xoQz2w0YPnv`bC-(L zqK?~k2PrLCGVqM>cLO3`zZx*Y#UYV?vRIj4J zSox77sB?xrdB-u9z_hE^=WtwvPhRF+4o>_Ff zcOP70PfwU~QHfgKnr=KKVz4sVMROgTbFjwtDF6hfob^PKyrdF6#3u}-dkr+^OFv+p zo70bzR6=lVY1YYojKGUoIbh#)t>{eUW(q!sAillqnuK933`cmIB0LAb&NTY84Jp=I9d~;bo`({B(5}lV^!b z8EG90Hwo@zdrDmS>Td2I4)&`O6o!h8U*0nML9QXzVwhP<&RI9AcD>h0GQec8!8HUS zrVna!d7Ex;g+35GdPaZ027Zhu_xhX8q4}HBRM55OG&LnAe?MmUO!-tg)uh!Ed{D%V|W? z`lQVCChhcTw9K-*SLx-CYp~HML1`Y+zIY-Th1QQ#7c_VW;ME+wXOLSNm!|c;(}klu z3|amNP_OE|H)8I*yXNb=vx8JKk?#_A-+4#}6TXr3%q(*v{*fGNyq4jY$%w>;ckcCSH66?%5=WmT&M5OQ31|| zlVJ=^yIu^T-PcP;#_OGq_4u`EHA_u6?wLqH3gW(aJ~iMr+~{}W^trn~af3vSf&*+R zsnoPg$J{N6SO^$dvi62>khFp}MfJ5>+|>$vM=zD*!E5NUDOcVmj_6^DL|Hr+5ac#3 z`Q7bcDnISUY!9TYH+(Dj<4W*a1)4pCE)Ch>IzJ_tX~-y_2Csj)`3Nr=TxTHb7b11c z>#vQbgHwuI9ZzdZ{@TX(*c{=AxxmC8Q^#Fx#hXym9z;IxQ+;pqU!fo|IlQH{}FzFG2vK?0(T+~mGkk|d} z;;r)eOY`H>jxC!k)G$V$zKF~X)r(jYaK1il^jjjd_&Ot}sGsB0XfSshQzA(J%*Weg zV~@54t>yL)R5SyahLW6}D)yjZ65rSVaA%tDswWyQXp~5NE;f|U=t2}Nsl`$=U>7d> zwzbW0E4xNJ5X;OUt-Dle`XCpC9*#SOhW$mw0@t|t#|nhtFi2y7P2}h(X^k=js?2a3 z^W4p;vFxXx?&^cO$C5l2e6Z)mXktCW6OOv!(VWL{g^N=&{lI`3R%~mWWT!z%bwaP%fWE`Lzx9gaw%50n66^~e#nVu1oRz*@e6oviH zyxWwI)1v1DD`WjNEr_eqq19ZXl5vzY8cWNH@sl7<9%%mD_kp?+HSnUG!Q5vh|6tEo ztNE4k2>-xR77vuQN_ca-(SSBb3pwZ|)^5ZdXQr!4y0Lc5vl{2wQVglx=?$2Kdkkz^ z-AzTV{f|DsYX$1YpSruU0WkwG$H_A)8&dIcidP;LC2FLMc=wYL8-i#heFWFPKGRai z1E#ZnkoU24!^nC>E@%qkz++ zFPwMRxfZT^93_sShNID<4mYJ%mc>hobNe-X8FsaZG!o^OTm%Lwt?&UWNi#_dN`#yo zA;K!SOuU@0ftvebG=L%$OzL0gKCi60b>`izRQ@ zy}7-*7|Ji$OvqTLWsoT7q4;z>TIs*Zbg+Blz`$mU;JVq(0^eN->?vU>Y0_0V;%N=I z7Zwfd?}l zokyJDV+Tz=aiv#L6ic?Tw=vSViq zFefLU=XM*HV>kG(l`$*rUQZPrh<(H z#jn%Ko>bsSFU4rWzN!TRrW_EvGgt5CDblI`>OZF7qsSxDcfr`2ehi0Ujr$lff=p9w z(p*=m5|QD!IeI7Bi?+(R4x^VonTB$~7UryAoI{jo{pFR{Q!UkRU~CbgMP$LB)ic{$ zTZY~YS!mJ_5nI`xa;?5k58R6Q>1F5JPOyzH#NOxx@660d_i$+hLrbTP%qZ&>FYz_& z`#q=hwJ}7!l*EGSLP2rsYPNl@pgxrxp=wQohbme(%B{ zi7xT&hJW3A{@i~e2x|K@|@VYZY+50-$G)?{fVETDLtGY?=*i{ScTzE zcRC~7pHw-UEO1^_w(7l8u9xlpcJZi^7iGh6GW z<3>ycXyD;sd3^p}TS2qJ7Hx?wWun2AO-xRg2a^AG8O}&Gb-INE;6N zZIu{CS78k|e-eOn5a@h13k21nYq0vSdDN{~}?HR)o8;?ptgGuW-Uo=Hmm(_1HQ8RdkDU#Jr z!f{y4N|ISSsIsb~d1!kyb7N`Hw@4$91s$%VEiE5nxo?!WPW$P+$#^BSIL%2N0VONNB*Q_|sfs-3^^Kxy$H&Vv?b=WpOt>C6lv+Bo zX+ES?9ZxEmXg<@DYS_%6&p10oXb+x_XpMJ|$}IAnwfdmsR$V{VWsL#P>U}(VjQ3dQ@rN`+T zu`n}n(6iGpvN1D$e4m|>Mwgk*kcLg4fu50r)rf_One9({-2cmYVZVR=PfEle1^y`T zM}a>I{88YK0{@NlxZlZAe+$$9E#?vh80yh{B<^w0Ftf26(Xg{J)6=jpF|sm!Fe`d&2LFMpxLDf! zBix1Fh(rH_k71{w*Ju5}UD%m9XgEIZ0ccos+4bpJnHcn$Svmg1^MB&`KMMR&;Ew`- z6!@dS9|iu8DDdy&F27-je-O|At>XV*EA@YE{h%WLl%ujUrepZCK5yjuZ${jlf_8=< zaL>Ox`hOsvXJ%#4(>E~WpfS*8W}so#XW{r*sb}Y)G1NEEW8g4k`{3E>|JC|Dz1~O2 z&cSX-!=P`-`hl+-eBkfQM)WjndJKj}>_$dxOnQIf`Tr9aF#JDjGJh2Kqre{p{wVNA zfjg@Fo;`zU#_rF`8XJPz1p!x4wwXy!j-2Vx_&iWs0`&TO~YX^Jvk6VJ@ zAopLy^lx(e;|1GqwEC|XznSWPlWdoFuyfRRkkPgK&CoNjGtm9F`nvs|#@}}Nf1Gvy zxB9yM7Upj=`0w>~lq1M#=be-E?& zKFEKY?mvgwnd#YB{tjmEQB$`j>QC^RulUu!*JUx=S_1LSC&GXWS+tT#fl*}y6kM`i zWMx;FRDBzh?^JsIJY9a(LXMDS?2Hg0yIg+WzFpz+YQ5of%Zk%{_T09BEBq5@_RH01#yiUHMrj+$8o zS9~fik6U6zcScVkVLZ#DHFxKZyoCbbQA?|(ZQ5a;DW3PeHY+BvDSy<)7CZIo%MEP))q#bb$75-Uyih}l%f2KSJ<*JDr+}!fo=}%WBX0vk7EL8wrQr9zt52_I9(P!d9qMQT}^)*rn? z^%D$%+8hGA#2^B)cv{G0!7L2ul%n9LDwRnV0)i2Aet%XmwU;=N_tjqQ;MnqPDJ@W5 zlv;ngFNt|%#G5k`1Nc6v2vnxtbg{G<`g1-BGU2wGZruQqIVBkPEoI4SAQ{-4>=J;2 zPAqfJo=escf{ZR3Fg=5weOBi#!pw+tR6&*h4>(ThPK$3~zFNXxo(xoDeOf!DL^All zdJ+eTZW$>;b%QXiASV6LGnZeV*@NnyrU*J_+@hyn>3nbts^J*A`d$Uv570&LBrtSxrZioP{z-C@oKY>255|KrKg--%QJCRtYBe1Ir<T+A}zWSRtWT zUh_1&z$H^yCgem7@<|>%31*Ja=!&ginlXr-$wweQ0ViFBHqi;41fM*>_qz*qHO@$G z-A`pqIiBts915s-Ic8EWbQmOYhh8rzwV|WqLAZ-LUHtS4>+%b2ZkNcP8+tTI@reZc zfd`v3F7@yysVmNxsV}U|5+1y4;Rz-?`j~YibkvgW)m2Qyv73Dzs5{>-dT^17X7yHC z)ptl>Av9T9L=V#IK3R(5^Pz=hfEx4+(~={ZCdCCGrdFqCA?PcR91yVJu2z%(-fuan;@(B85H9ls4%dqPhqa1*mQ?;xTXM` zA#+f5P<(6Uq2q`V&N2@#H#J{LRQ^ocLPuwi-l7yAvsu0BI66(7#G*LrUGG}lhL4$R zp;J`JtU_1U*1XYJ8M;TU=i;|xF;tDx>Gy^>Rx-_!>7DQ(a==bO1Yc7U*FuPkiM!RD zU+XQA9Zkhd!)Ws+X|RYq^`aKrikA;m9A0XD*e%4FZ_k6corlMjuWR$c_o(7#PU3TS%c8@8%@ zxU5|Dj+S&!YXi0j;5_ulh(!?%AAApbZQj#%+V^o7ag**a;j3pOg*nQe->N)aEMjO` zM$<9td?uO8E-doevR*E3=jW|M=#@QiCkqC+uD`zvzT%z4xxfhx)8F+Am7&R$0nLo+@wD9V? z(h?KP<+YK>*mS)V?@ik)U!){IGHpoGy4fcsw86o>oyodqiLr5;8d=E6;J#B>VPnzr z;bA`^8MnM0Y^5CXj&hka-@y$%u4z5u#)pOJpr=$D^D(0K1y$U8-`bs zjtx5k;?;HFi@-q49lGzE?D;s6YW{nR%0OW!93-g4{@>RM+DZo~-MG5KJ4`(kJ1p^= z6;^0B5jHQi`L^LLFJzEUBCyC~(LL0naR`u+3gAQs7MjJIJe6%mMUl?PWohQ(`F`rv zCC6B2GT6`w_bUY%F;?x?0ub@}aC&l?5{R@Try9RQG)8gZAik`l@4X&Sz?T0kIRe1~ zKg)9mDPHIg@L3`|>!sjegnR+yb}ozA`QW!Ei+<(P?46T}^ zh%RjW1cI<&r^~55fj$@4uK8}oT>dFELS-ddya>A3Afp0lZcTzOr(&x1a^0l?5JJf# zUz?$3_%5rIu>Dz1P?}qlpYAN=qnB$+jS@k(6D9i#-hnMrvvqk0kjzVl0z!&vpRiX+ z2iZd>k1*a{b!1z8+zX=3u9l9;=%W==b5`aADR z(zazW0ux;kne<$AY!0k2C+@v41Pg<RNlPynF5_Uy-dltW9Ac?f-X2M~#KnC6QTsVvckVus1W ziO;wqj?|LkZaZ;Y^ys6gu9ufQ{fQts;NmG$Hq*uhPfoeKQb}P=12O?iv`QgA^{o#@ znvrMBmy9TEi2c~H?*SK8OZN4=w^&=8^wjxW4hzMCo8!nh%+B=xL z2}QJyJQq$l_r5;s_4v7PDW6!Sf{scKUv*-`N7G3kh5HlFL@9pt@hV8xB z)o?5Yn$DsZ$dlHmmaY6Gc(7yZWk4g(Lob!{Oko+anLRq+>UUBC+N{1Ac0|1-Sglhj z6U0B>;-5W9QC{J`c*c&8Z|@p^4kAx%nJZos@~yk1bh9KPe2jvd^9Mmtk&wdiFCha1 z!Wy4(B#KWC^~x*W0zNibf;9CROowNj>)-iPZ&20A1Va;pA5Hp2r5mRT-YEX z{Sh|95}TYRZ9s^=Q6y33#f8Yz8q$SuM$HQBfW|SqSCjM02>&l)DMX8sGd7FlHhk2? zL=0=iUs5AWFVlK=;~|UAdT)%hvqQq`$4|o=Lml=SNKITWPaxW_X**U~Fyw3dk~fR&;#&g>z% zhfzAYi7JAP=1}QtPh=qadzkjn*fwG&dk;k16A3%|pHBrwBfSbpfZx6gZ2!t!?T?K^ zY6dot79*$nk~=kh0$HX~2r@lrkvkMzp{Nvg7tJAOXQ-52t07LNLcFxJ<8?SjU7aEf zEIY;&CYR5%qUF48y#c$=)T9r-`Ekl*80&wvokZ2Rm9$ zfu?CO8YdFZE|9G;JXduO{VaOSqs}vPdZ&t0b8}@0 zfe!JGg>%hYJ&W_y-(3RtxR@)v@Y#Q*8}}8^6K}JobJ7qsed-`7`y+pLWL7%sF^Tl5 zTC$yOk=84rWbdrbJ)h$3I@GYmeUtKpZhCxzr3pN4U43b3$`EQ5Ml}Si3E@3`3S$V) zHQvjJgs--CXQWjE=+ZFMm{g`(cKH?bEoZ+I^dx(`2`V4&a0IbaiM-k!`U>2gFrdrl zd#`M#-go4z$6g9((qa_DWGxBscJ>0@tMKR9A1F=(zB<1=6d)7EPb7&K9G)y3Cic+k ziuvB^s9;5lWTYdXJC>cdrRxjLUPkQn^MQCVh8=dl<{Y~el)NtbYYL;2j9XLdlifu; zQFo4U@!mg17+&;@{iu<}W+bU+(OG`j!7#yPWNJ(&s-h zf7yOJ$p2Nlob9(S`ENSB|1CfF_b>l#cK_pk?!QsH{P&*zr#k=VYM1|q7i?o_=U{4R z|4|bCuY%+(9DjMZ|KYp;_5LGW_v8J4l#&0v{jY-MY`^Vy-jBzBrR)AamVeucf9~;q zl(lDK_&byL#NFIlV=!jd`7QKG3|2<@(F@2Qc+Twn1Y@~xvX?z4Z8lJhXWI_@{C ziuYHMbYxe&UP9sO0xMZcNCc*%z0tI&fe_@1!uRdd=lS(kfJ^)HzPH!Y7M{1~?ajKj z*ZWg{(z$l~nw@Ex_V08(L zWK~n6yRGA%(|VTVSTDfc*0w%L3AzQ{oA0^%(V9+M6W|?NX!CY=+beFGe?7d~3qN(R zPq%&*97gtBlwLDWRlDBiC3kslS=B;OoFv&~rWE38^nAuov{8#hy|a<6Tp_*09kXKi=+o z=I>1ivTJ|-;BxQn&~R^9{4{O!Ch4Ui+0L;)KfS;0dN;gXxDIG~Se`AxTR+eAdLC(5 zaT>CF_uO-?a5gSVDmn#-59#MX*`MybkmB8}zP}W%Ms*p{46Ze3o^|20w8}oIWb%66 zm7mbA4Ms|=&Bq#gaon!9gyD_9so#k=44vCW%Oms7jtjZE*#<&X8+J-A7G#_yuWMrA zIIny!=-x5t%D*R&sxr<=J@JuiMSJ8L40f(QW%NYfF+3;R84_85)F?yL)XqS&c2Q*&L67vKhq?9rEHkpfS|A*o=+T;mm=1a={goF%=8Daxvut5 zFs~j^up_8qjvV`fy6Q9U2ITWWk(tNk?a|m=eVldgRUAk3iicBNV>%p%C!xB3~E0=x18Kb8egj(AkhCAo|!xfe{ z=kwa~)+1l;u2);ga#6?VvIaDHydS5jWLoa83NzdLqLeGVUvO1)?~Pw#7K11<+l9u@ ze^6>^oo2>g^JQ+=c`Jiyj(e-zw|TtIJ1^~4Sg&vF-{<1(?z5p|?2Z=ThaQ_tRWATE znH&cIXMCCNuJUtjCTFD;2M8vR&jS%L1l5(tX3JyFPjh$nT8@%2C8W)yBV6@zb`z?>DC-I!S zsKBH$@Z4ww<$2@j{GGHEe}qT^uV8oFdg~?M4Ik>p)Op*ZiLwl53_ri~$+PlpR^0GS z%;;plf*;_yHI^X1-j3Gioy&jY?umV|ou&OzR{B)@re(=@wY9Q^@!5RWhI`=^)%uX# zdaeEIvw-L?wrW>2mqpgkFZ5~Waq=V-er%yS2r=dWhpoB170QPv1cG}Ofjd8_)*&di zUQLw76BG|9FASi?0KtR|g{rOG%=8Hp~=n1HL{20 zj&D zR<9&PbHTv2i|AM~$ux9Dc3@NSE#w9`6evdqntd8%AcP_P6QW&Lq|#fL?*K7rd2DXH*`W11;A;r)pm3O%_5iiwBtJo9%6&|0RC~!IZ=sf}&x77k{qI?wk@CbpL#W?o$8h;dZ?7 zB0D)`I8-kEu0?Fe663NM95}^i-<*;fnX%6YpS}dn1bT+fJXEHK(!C_huhLKFdQ9QZ z_WK=Ar`9P78mL_7=LUPgKY7#9KP|JnW$5*RZ*Da%_{q&Or14jX=TX6|h#9E*tJPpA{ z>7zXC9B#3Gj-LFTfx0r$b;#fnI@@_NhJxGO?2kGO)`s_q?5pfN&c%&T8PT}pG9I6EGaQt&&`@u8@)n?&#g?`6@KbXZ*|VSY zV&rIbFWW-OvIXwoaEP}xk6(4?9soRw_LwVpEm;&5IzL{@tBGCdn=O)tqK}dyE^c}t z_Xk$1j3-d#A#{pFvGI7xz`nK|WdINoHPK_@T5zg|-7f6HeQ6Wv8{DaNAZ42666DHb zSrn^(s+4-_Y800~_}A|q4W-_Sb7~VA(-LA92^j3ifa~Jn#DCYEm2_Ic9(9pibu(ov zM}Y)W<+;cW-|I|7N%2z_v9QT21AKLJoylU*_3P}hp!M&fI1K2U79&e(6&b>8aLXut z9TP4EPw&s8JbuD*m;VA)qbvapD+^TZQK5fxl*GWvLD==fY`kZQ%EMREm=`Bq9+K<0 zbseS-PhZkNkL1FzO~0n8PeXX4#e~$b9E}x0Evkzqm@vpJcfim4!iSg^S2TzeK?QZK zmjSc72y>pfk3kLS*DfuQ_t*GwX&JcR`90CjXPL8c^yrfV&2Dx)R{; znmN876+rl``6!_AaZV41&iCLVcCvVPepR4#2!wZ(JHOdgRfKoKnz_pGq_G1m(Ui$3 z2Z=&|wyo?=l$`~S%$~lA;n7MG{y}f3fW33X6jJUt+|PC)&Y^PG_G9AO%ftFCU5IRF z_N-STrd`YPU}dbBaK1)WXr-)W@nRT$JkUNMFAn?ZD8%qr(JxAWU1HWn%8PTBrK6MC zclIv4E*BN^2&*G=>K5f-lmPXcZQh@DngJr38PxYnKu=zPZlE0WCQBTUe3t9Rj5RIr zWnn}sNHZwTvYVcUorCZ2{i!zMg^Kb#I=rO^1C3SWbC83Xn1!c#uxvsqnV`o?3LYjP z<Zzay~7W8zh4qOd*t*7=Xcvy=F&} z0jnt6V~+P&MXja_Se)Bt)Kn1> z5VFfRY6IU>>0l^4Byp8Vwku{TlmFr19M3A>{VssiW(B6AxY~7)&H~TBjIerCw}*_~ z&fWVac&Vkad;x@>W@oz4jQd43u#z0*>3&w z;-LWPsk5edNwpl6k&TfMXti!}lQsZ$@U#Mnvz&2h#Tnevn!((Sp9JbUKLok6Uh-(RU&ENEz=oX3z|)u zZbc>P?5U-^otTT95)%BHWc-L4Ql#tFO)o_FRMS;JN}&U~&cpBCa^a}OI+*zLYa4^@ zYF?DNp*Sbu$wCyzj_98SN83ss(HX!4S~zWdW8Zq_zu@hz!cjtU#PgG^{y^zh*Klxj~_ZQ&8)~DcLZz=MVxG(>JuG$=f*P@hYhih0CjLOo*GtqMEeV0J0OJhIf94$wJ zT2S^vEiNVR-rjXY-^@*&Qa!Z1;<%m@2B55DTGdPCd}XL-B6yf!@H~c9#5Q~-VqUPc zBXHOSsFO-cGIflMP&5vD&ORWd3ma@n@hJhL`PfsK5y1}`brHVG#H^&7P8|uj%h`~;DQh{**dC$9M zxFamc6w??bMd!_0@&VkDgFMK|wl3s}HQ(;5^<3KBN}?7V|2Hg@n_?&}!Zb6JN`^7G z{V#YO!2UjzX{qfeKb%tLJI@;nTo1gEiPTiS^#oMLtYb`?p#U#1t(@hcFDQAJQ_Yg2 zzAzFFrE8bfa1X=oM(QS)5kHqkfaF@=CoV-13OFqt3*jb9CquX< zTbnl)z!zOCYWY7Kz~=PRsbi}%JCc-fq1^&u5Ig-6Nao9abmlEXXp_e6V^iXSuVax& zb#0iZy=Bw_-qE-d<@I#nKsBwvTT6YN%WeV&1nXscFQzl50jG_Av%yZ4D$7-s;$077qOwQ(!F&u;%6tveynL}_0C^Idw#yk{ z2k%l-OvDW+wu$p6j9OjdwC4en`-Y$P)bEgm8K;2UkxDrrntc__G!h29O9diB1Sr)C z|0ICvZKeGCeD`$7R=nTg_O>z9a3(QC8TU6hi7K86Ng>j z@-q;CsMzHp+D?e#8Eu8`bE(MC_2zLbCqZ%_4 z?}{Ztux3#m25-npRqpMga;U*+(IMv4vY6(Kb6JOB&Q;K`Z@T8gBTP39Qq!JXi6P@^ zi47e?E>O1Qis{K1QEuO4u)!ZXj9Mh2cGBk?xl005WHe8GL~bXOZ}sAz++!NHFx32d zbrL$=5JsdJJ}ojb(2OJPmd){w1dyI|2TdZ&Qni@pZ;VP(#^%#Od7PpS=f_ z28MB-r9wOj^oF|SYH`}t#8PE^sl>2Ah5@rbPzTEUzn+Rx)`}X|81kW)gs{q4+9rCq z%vcI=@*iJJ*wsovyVi6o0J%FSO6C(45$9$K@l)RKc`&~4={zWNyoQVxms?TAag8vk zy!pD?a(+gl1|RUB;mY>%>%%CiPqFT`GmlQWN22fvPm_BbHRfTwK!nj0c8>U#l9#2E z{Us;&Mvnp?>R^G%g*Jg(kVY<9Tw-{dozIs%3cnoFSj`^zv$@sdiM?k!i zgu_gMY8;8^5{0^(oQUu6)RqBwLADw}iW?>c-DY+LUH%%q{gU09?#KPu4oK}_5)cKmBcxmq zssaB5F4!{7=n9iw{z6o0t3=624I?qb?T{YhkHA&PpW(sCajD6r`jfa((&`z;sG9@QJT^+h#{(;p`E`#RM7(F%vD57Gdh7 z&O&nRWZRLa9T&>{5uSQYfe5R4MIorUFF*AH5a%^~JvfXfDVzTIq)?tQfU8jc$c@*C zv*0P5W&PX%nKvpe+bADdIB^%ZXDKrHToio~SGi&HnFyiA0nrzj?4-WHlc=dLp2HS$ zxN|wwAd*=FG?lTtcBhLO8-wtqpR)EVjtCku0EmqBSpxKoEJ_PHZj0IeM1EBv7nDhW zMXUi%bC2=%3tsFt@M>w?^cM3SJJeM5Sq~wF?M6$Z97BNX!&M>}OO@l& zBp87)(;Ah_4~y0pF~6id)}N4OCFT`_n&$eojH0Wb=fNB8EhQ|XtvLBr(1$Y3^sUMx zpaye1lnj=B0<7n_nB!y_vyX_XgfQa2W>~4FwLSz^Zukdb@H6)CPsOt5Jz~~AB{y^Lu*9jywz1ML|J6N%uIbCiJn^zh^Ei&D!#!qv)$drFfI(<~q-eX87fcrDw!wvEk5E*WZPy8xV$U27L?5^kcDObNEL7r!uWIVsowGFXl;livdFls zkdl^LgQv!!4o7+1*_F?is|%2Q{q)QEFT-GKw=H8@EkDs_+}y5)n^w5eJ1PU* z2(MyZ2qn>57}|gBD0;657Xsp@TF=iOp&$^T%RPq5Yjpj zVF z@8_d=l&-dl!b;*TR(_nWU>nIvW^wV$Uf^g%Za;pXk!)0-TWt@tlVK>`s`0y~kR8h7 zwn6eUrz!72!6PPlrKOAxAT5bh=)|WK)yf|=8s2tB3VE~YO$Aj?eO}7^3X-1Nr*+() zK2po9aQJq}R1cxV>rRQn(|z^k%J)^H;q+XSjfNAvw0QWA!iw;FBMo_yz8@r*iZ-g$ zJuAAD`F_l6-YLm5gtC*td%ok<_}4S|Vjt=gp$<=X0`ZgnSa0^=m={4O^;qvK&imjY zik3J_QRFiyH4_UFK?UIThpg=s>ISw;yaq?*Wc?@Q7}b z$0vBCHDiZ-IOxMu-Utn05-xIjgYQ2~6W;yhWW`LYA5I_n4*OoR5LgOCE+V1^2)!^u zXg-I)I)AES3c6(qlI&-&cnxH*_$6sUn_x?uy=NJyi@abHrYkIfj2M!u-C_^Z^(47Z ziEbi~(|G21U}|PJ!Xs~IeR7ZK7UWb?_zQL9<0jkHCvO|XV+&SFEdkxqf0gsyjLxPa z3YK>zVh#TZMO6760XqYJkZa=rU}a_N&_)$`XO5r23zNSs3OY5&!H)q9!x-q297=yh zptrswSjzZCB08tXAp!ntcUz!MVE|+&UUi9)VBK8|h%g?k-^wUrLQl3mFOuJbeskmc z&#>ddiQc!!X7<;k;zmy-MUM)h759>@sNx^iV?B~uXJ$S$({ucqC3UGJTV}DWNVbAn ze?7^zpjpVa1h~`*%Qf=1QyK}%m~73}jkosN47Rp@7;eqg5A_H)b1>N^39UpY328ib zQ6jQE29zl8SPO3Yk6+<5eQ|SvfYPL(H{WqsmTEZNUaODZ5LdLxhw>38oCE?Y<-*Ba z2V&*MuTziB(`?vNDPw`-TQ-b99I3$Ej_NQ5jPMKx&W`hkk)n^I{$ zL*7@Dy#VaGPN!C04llhcPD_=s`)>h;E05{5|i9Y{vs)hZ+XW-RopPH7+xG1nspOWK9?60?rx6 zCD^fpYlUb7N2H^rd<`Jl!NpPq$iUT|lz4W}O?p=U{C4b5cX=E@S4JpUZxCEMFF$4! zE@f3lO_W4?C)}!Tp_XZ|SR8IP;(#($>ftKp$*BcyYZ;*5)>Twn7m5S2kxxqSR6ClYisThwV z+%>oRZbpI?7bAN^p)GaB^|)?EdBYbYnO4h(^`0ZkXqtg-=o_Ja1qu4~XwA?ngT-K5 zzpT4!_qTW;s2SpH-e*8dH`M~JAvQ>X=?Ck383%>~!y~nVEqcR+nLf)C5EU{)_I|H+lCpjNy9VhJX&`cpYv5{rOJ={urR)NgLX@p zy>$99(0b=rqrU*;RR{6)Jn_@p@4SdGFwWKxP zB9r9m=5^M9pCBMp9dlHcM+RsWKa4mdKe{IjVwEo;~*~R zR?+4)>5!dFUInT86%OikWcQ%G$%)uaHld7SHR^Jvnr8}?XPE+i^SR7zxL=5AayxY=ERvwIiz&Ux*xip&BOI(#71-RTkjXus0Ed=>izIHICYi{ zhXVnJJ)0xZVYKA}2Urt&ceH)UHoYm}4;A{!LfmXKk08&Ff^Ao)y9HaMtcQL|sYK-G zJLCJlQ(m+|0SPd#01qcafY9&8%^shoHv(V=A@DtN9)l+(fDpDp=)V<6OH!#6`lj0>e0$geZKr_>m!9!B9DDE3vPIJyIsA&@-6YmPQ`jVzu|j017D$I}^Ni44!3 zuEJ+=6{2X-;0e?)pehR142XS{)aS5tDKcVQy2zYcPuF6qr3!LsIAMY4n-jJ)S)FK9 zdoDsL>6!MnkVGQp-i5%bZQj*57I$d#E z2PPX?Ex4vG3#DcUhK%F;5=}cG?;BhLK9EBZ#4$(LdRj%-a=bCR7V5pCYe5}|{;M%G zQmCTs;&H7PZ_;}C0b0+mw4Ohz_3AB3udS6{+bF%ZR(j<@O0R5`URso%e}K~KH!Hn% z52Y6scGr`g@k`kmDQ1xf;e`J_6a1HAMe*4NJk+)U4|A6lc&P10@Q|FGhyRuBjFj>e z(}~YMLp!51SzDUfX>~FRsNE8)8!;CPSssvL5R|$4iOs{RQ0Cj5VjZVg$I)0ve|RXq zR;>19D?i#;M{2T5HGe%ONb4{;?XP*?hvIwYzvQ~a(O>8(|^bh!edNEk1a zYAqikIw0Rgt4=i?ACa`AN@ z@pc}&a=(_O`oMw(9vUo&4yX(`F|i)Fw^$xrPx$g|u`69;C37CN=_E$s zv{5>U;q9sP;o6zZBUB^Xdzg1(l=WLOPDS@!x4^(;sxi@^hsX$`cb;gJi3F>%V*A4C zwMfZqcLeR)8h$Np6IFKm3R}cRgVG{WSVcbDXadx0nZGlPHKhdnXo*=wLpZTSbfA%! zVQ4QcPGeAFA~-eXlK!XIGQlIkHlSrnyMa1AzE6~FSzETg|yUK^mx@6%#gp=vr;7cjKThjDMK!F-Nh91zBgBGK_LFsgvhHuO?loyxHvVMZKPvNH zrX*XwHBb%`F-DKYKDjLwydpAwLXY=Bk43YS#tv_?qw|~{oj*c$bP@sUf9bfs|Jnlk zUu%N2m;@!Ad{mD+L0Zw)NqFB!c(;sHxUa_aZx!WypP%Vp)~0{in*QZv`kzdHO9dAc z6x+%4zmw_To9sY7nf@o!|5npqbf4zvpKSj}W&3Njf?6Wm=wwSb!+55a}#33SD+5%CAxQ)QGfn{h8=L}ru!T*?P z$LV?GmRs!@O&%9#`v+oy;+W4^!a~Rci${Lyyh@Qcp*8wQ^paYs+FaP8iRd;U34ITr zBdLXRk*an@RF!aNBxNI66QqP6(7VKe_|+m9h<{Y4V8^s9230Y8=&XUM5_OvH_|-z{ ziFQh8E!v@$V_S!9eo$1%HpiKWxzH2H09}+U{UD)xE8rSkvM9B^^95XyzoSclPk8yY#IgN|2GL23R z-MC(Mqnt}G+jwFXQIWVO11hL}dT}M{4LohZ>443E<7t3b^FlcT>PA-h{Ti)>?#z$s2gNnh zH`MvYkdt*yxp9gn^a}lLtgj6Qua}u}<{dI28p9QwtdeFB(cw7SF7?G$BKTCP>#3dqXz!$2^Kb%qUHE32B9i5&vc?42-{|B5+0eg+&~P8|LTIb0o8 z{sZbV{!;4FCm_Kbm1(xx60j2G&!eq%xWJ^uiU6xT_!+nM`2mrez@K1E0XLaQjaHb# zYx%9Jc^4HZrNS0Gq-r=u)xV)s+IH}Ps>>}6Oh)38L=u>SQd`xj8-fa0Ep(lPy8o|? z!sVdb9>PL)JF=y7(5r@Du?LEw&{D{Y4h|Ns@+}Y=J%n)Lu&Mh|N;8UI93Xm`i!sQV zBGG{DXSgZzf?l0HefF;Go;}}bZL-!%``|NN5Lwpt{Mqm2uzn{!|DwoG7~=1{wEK4V z2gTF+Y5o6CZujRiO`Smit*Fsoifn>lS>eUL{B$Y3JUqO6v7zPi)J-q@Y2C}qN9C-v zSCuVl%TLlPblG5eF?Tf=5_)xBr18_`GOt`S?1yzP`$>Cs*)#n~>+u456~U)!rHr4} zy|j_yrkDM6dG>sB1!UbKN#icd-;nb*jGN{#Zu;3_T#@bYjOUV&sCio$x6MJ^HbS_S zKY!A~?C^`mZ#kPKfLr>hH2WbDT#*$bn#C+As#3x!g8TR)xT3US0%j4h&iOAs7#Ug- zc&f5c4);J7A~r~$7o&z2DshNBS*&Dao8Z~(J`bY}t6dg{yF&{eZTh{yAB^tNFFyi* z`+-e5F+aDf(^-WrG7ciO$I$!PEtPzuyl8_0OlB|y4<{NC0ak}`GtCz`mI(CdqC=nt z+esgjt<0mk^=ct?<8deGO5+T&Hv(2fu0}cQKRjA3Ul%5#m_Ld5ui(l0^aQ_no%Shpt}WI24}$++q4_LL(hQV!t8a06qetT!lC|yP1fU0aSaF5EK>tB7VI^_-R%sgvD@2 zip5<8u-4m=dtArGlsDA%VrT-^GNNDu%utm$*gERW8Q4vmjClf-^%Mu@S(9W@P16|(1qwAQIj__?;A`$Gy`At4 z!Np*s!==f#<#&*nw*dQuAQG&?jKw7+VC9i7F;b^85dO8(@D4naOMqi_72(&f_DX|9 zhhePD0p9k?NTpI_D{S517ClL0DoQ6~Dr|*xQa_qrFESLGgPlN-nUt5z8iHH*h;kGm ztll+7vBRgd%~ifJ!`k21GH>^`%>Bzq{g%*HKuG+W6Cx)rmRzA%3V`q)SFS_NUd&Pfj1*T#xk|KeBD*m<&;|e*ix(2 z=TqHCtf2JQL(gzsGPOFKa;s0d)i*fy#IBc9VQzIjd?7CX5f^NPh^%`3Kbilw_b#TpW{lx5P_ z;}X-7^A9=2(y!nX)3hU+C+Zk}6h5(!kRK$HBHfrC6kDa|Bx~td)>OdxCruA>sG4|w z_S+2%bcd6EiV*`=3Ft5!9m(Pu_>js3DMG+tL`8k_}L%w)- z{E**W{J3IoADi&4a90wgzG3g+DJL}BjjAnrYpOZSni5iKTaMC;QnfIJmW=snh@}D? z+L2f)Wg==I$&5e;L@=>CQB`EENNtSG(rBp7Yy{u>{8V8*pE8@XrA+hEa3<}>?C97u za}WgbM#DhTvIQa0GY0L}$3)^x$;C1+AV~LXX( z0@%yEthAXu`~~_BM3kc=Qc)5OGKCfT*6_H-rUB*zk7#DF-LD8c03$upmNd81vnHp( z!4;?n12;B~>|1(jOEo2lg{g?eDn^to({cR&+4qtxxsfHweV&3BK(x)n3F-iUS+7_5 z>h)ico9abfzf43_0r*4)r(`hb8xj@{hXV#PRZ&q9mDIut#O5gY<6*3#TDI+nOPiovqO7BHeiw2K~)58XYO{M5b=jm z30bH(MSMk(Fj;i9V@2NbR81|#vn?+%_iV3z)jtGGHCx^r| z%N59@$WOqZanK-&E*S|TM9j)iuTw=B7#N}{gaNI3BrA4xrITP7SWlZguxN#CvMZjJ zTO>l~XCm%1m7HuDOtQJg&rg7V)^5I&hBYiW(B0cmD*zley>(o*0VX`;FtrHgzPC|Q zvDDVh7UGd=Me<a4&-a)A@oQIQu)0fm89)N!qt|n=)ApO4vc8fl2#(c@GR`UnD zUSe!hJ(^H(F=pbF!SYAc#%MOcT~1P6RpdrW{+*Y6y4srQ;Xh<5X5N7v+s)ZXiU7Q5 z>sjLE?vsLjoQ49Y$3aaTkEQotcdJkI82Z9##vbmk7S`H2x8M}PgZ2-D`PvxWWD=vE zhlNqPi6BWV$h7LXrf5{)Tjg$7nCSduQp1k9qfM(CCBaOQWFwGpD4qt8La`Fia8hod z7a8bxYbuG7I57Rx?l`gDRz2=7dFqHS;yUeRXQe|0;cm2T?x*ag@i9S}89-Cg(#1IT z>SMh>w*I2uk@|Uj%gPpd;90eYrdAaeu>k?E5cp70pLJf`ssk-jHHZK=4N7Q^3{?=N z{AB}8I4|`w@wAd_QE|hXlSlVLUR?LIF!M?!^IT`cKKpiD-7?rk z#9fc=d`;moj8;7tirM5?q_JpVM*%hmiWQIIfUVb4ru;vB_=cpQ)M$H z>RUbt;!ZSFkEg!S7KNEy-{*4B>{T|e*`>p;(tjoZ+NriW{Uk>pkCCe}bntIH0x1i; zqfdOGOg}6EGKz3^w(U4Nxc6aJ1G}?{Uxl3u`-)u!!`e?Mu%|%kAZHrPOd6&QgnyY! zgG8xS$+-zlH_~#3AOfA%wHSAz=27**Lf4)MG3sV7L_)PDRN~WS?~Z)%07?A)B2Qo@ z!yOFSs2&LPkCs?*!-)TwIJafVDg0FIkDkF*!uzgDTqzlwY zz6MEt8XF+2<9slK7jkS0rIuZLfGTo0eUz9ACGlrREs1hS356z@GgH&8JX=kSMz`s- zK$X$B(jEo(P~1XHIhB8c4Vcy2w6Gk1Y6(#g5vK?!kjdXmbKBGgP$Dk17&& zXW2~HTc6w>#tNxHO{s@Q+Ihrq>(^MjlUrgLk8%`U1jG*_xRi}8!k$voC9^w-uS(&8 zcKteUJlHi=oJH$Y1e^k-QWRckE@K}hzG#g^cO*`-!;Vf`By+cl2+=HNYT5mmteysi zrm^*cuqg6mN1@=)ggxl;VucJs&k2t!R~Sgub?7=6h%pu}YhqZ&I@Uc0_Av64zGtrE zlH&&<-gQiVE%jl?OvfSF=%bB-3Hb_%4hkQ+r!d0ov^s61NC8?Nv^N&Blq!ksl0rDI z1p@ahFk4{{x0JNYeu^<@kWCbu>q?2EH^()?_5tY1+`EaLM&@PyT$~m-9L*z_`ioRv z4R&;sGFw=yr81BLJ0HZG(g3Rsg?k_;iorJVEmXgWO3~`<2UERPjQHI=P1V?w53{=; zkZiNG9#Nn%iw|a!St~`k6;rlT*@b(J^bUF>wjFdBjg$7#WJAAkcCeGZ1{p(&fg}e@ zL_7nLz9JvjW=;5a3|ra^qm(azgexpl^n5yI&$AaB5m=t$ARbWAFYJ8dW^XYOs^a+I zvj~ocRCJ+>X4h6;ZB=XAsK6qHUL!m{?7E{%W@V_-a z+YF64f&5+9#+-}*iNSv=hSsqkYvMIpcf1f1oVc-Ce=ecUkoF?%mIJSiz*PY%8j%#6%LEqSUYR_yu*sr&<>hJUApQ4$9Ve`N!1Ns_V0m82GuEW)&8|I*zU!%7 zHiqHH7s;tQR!5ZFvYUdlwf&PZs5ECFrPTc;DZ*xv<(Z`IP?G`Co^~SzrXc}3V+AEkJ}K{0KpXa7-lFJw50bRA z?U5*8^*18}LB^cp3tV=(lI>>w0JDpJS7JY3PhvDovO#R$sH1=|2#pxKigXdUxDopJ zt(cD);y|~^7FZS!c1+D9=${J}oqr}fpz zEF~y4aun2)Ns2VN?0EpWb6XOm3InR;pv)%L9#B z>N>rRSdp4q@#-8_0&aHM{LqD@E3f8VNxELwS1U=?ax*FE|NQ{{=>PeTf29xq@Bg2t zcSd_jb-4N}F3==R94YG zoyKhc6TWS8bCMpf4=G~8N%?fYbv=fJ@G-0@NT>TV!&QBuh^(~rMHUIg=4TQ*X?V=c zmevjT%ol6FvH#`bygq4#NoyI>PGUXArv4a>2=dfKb&VbBY^Ipttgy`f z_}B4fh%CZgz`xMMdOd3bMx#aP^n&sAjq5{=TzgYZ50~|RkS*;KYoqf$M~PY0*F&fe z(O$3G(w-e~ESx8iN!b0I`cAT4$LcS!W>C|cI>E+!L!D^iYS#0+@LD=SeE_g(YHjwH zUCF%?dNB%#%U1}796_X%7_f@U3BGgIU5SW|?@yO!xt5v6Oh=ko5DB4Wv*w}2uVbG$ z`$P#*vSRjS4*+7NF6v7cT9{HsyhD0%rA6j*1X)xw)gz|F)F3qzf{>zM2N{q`{cflD z>?CLZ%s~^YEMU9tfydnAq1)(1>l9e3aG99;xtonB%eBKzu^=v|uevOstHHLkEu6mh zcJFzPR2$_{=$bjLS+P^LWVjxtkgUfwkS*rH_aP4Zy6Nd4| zTuOouE;zkDK0{m6gq!WG4V(hh2;phHzqU3$IRKa>t32UiB9(x(Yl>o(VK5kBvZ^^+cd?a1dy-o(MFlt&Kn-@%~u^y4VBbYjq0s~#L0$p$0Q7}}gfo8wHPA#v;^ zT45MA5)FeBiKd~GXlWiK8o1ztL~ADwO{vgGwA3z0w0>}CEG(QuV>0>zht}ekIJ8o} zi$hx##9G9aDHW{tsZ5lS1!;uTlmN>5zX)$;nvjD?w#voHz=)lGl#bd8J_`GF!WB_< zOIuyL1{Q_5Wuc-r5c$~-wRsGdRjMRO>mpqd5@rGf3iDGpdB?`7D~fW6 ze${$93Q!t-Ji;c5VcCeEsfW88rK-|LS9uBx{#kvf9eQ4 z$TW#n3nZ5*Ga!CC{b>wJNl^h+%4|0*1T4%?$Dh_3C$)XQ<~zQa)e<{un^Q&hsMM@$ zffClNTdEmU>i(xSIqS-k-}aLH=I4(x9D2TfuJKfChoj8it2EWxN6~gFxcl0N()%4( z%H%v)q^}(rKb)(?-t(!LZEQAru?@@a_;RsrrZqf3BAL8CgAaTE%jawD>*xN~THBa{ zd$o2p<@r%-=Ut0_uC@DGa{KiB_+nd^-|oD(ZPgg-?x7f-+Wh+>Y-Tk6=!bR&LgjvV zS^*Qr=JH{oO5~gUI_tM`dtsY}k_k0})JEDXQm)0!{lW!{eqFITQmt+rCw9F8n|_@f zgSvRAA#hRt2-Y|q&+sT0t0*u#oii2*XmBq$OF)WcB_zXYySZ3IP+wq9t#oxMHHB+? zcHJI3nKOM5IiY^1yei)N6fxwV1mZgMh)r!{q)g=iE1C#RO;9R8^w6%o$;R$b38C^w zq6oQ+GzhFh1H}_$YXa}keoCYd9a2S77%&v#c4VHR+aa=$5b?BDNRiX^gGz7qSlK*g zC#~>IEJ1a|qq(@XUQ`Fcr=CA}i!*dy+crv+VTnz~1e7?sAGwg0gG&D~J6xhaaT#Og z*7ds?gWbp+bVJ;RF=*Qsk&IJJTeqTK?jH9%?4^71caUA2|o_VkC(dyUBks``5Qqpgj0==|exKWIhgw2Ko3Ofy~Ux8nmW=VZp%nh0- zW_5cJ2Z!xxQEV`?2{wlhTkq zvv$JOQ2pQ2kPRxOF5@gS3l!dl_@ZfjeH^cSpboO{%xS-jboL_C9HKE-jLGM`S>-$4 z*u@~9w>a6}%RyC|pv52&61XnM1aJFXgF6rmc15s%D^kTeE?O<2sFwzmVZF3`YNhpd zua%a|sg;H>_cg7w-Yy5fcPp)pb1SXHqn9Qbfzn*+r(Rl2doPW@q+XhOa@I@ZwBPj7 zYJbp6i(oC#ADUy`eZD0AW@3wjWQ3GbNr<5hm!+_hW>M=pwgSmNK&wW3 zM|(P2VUn2SrNZ&3D}k?&TQSHscHwnvy9SttvSZp{5IKt(mcR)xM+`EFgs%LQ0y zmGEI2l8`z2ZxhE!wBgKOH89UZQ(g0z-~oJ)rpIM_*cj`Wc2EiaM6kmhuGb$Z{*y37 z0rheu0UxKIs=`k$u%^IUU7mnw0?Vroy$;Yw3tQ()id1-E=AqGl_l|Z%P#F zb7?f$hG8!OMdC3MR^&(Ej1{7iGdTblbx^15T;lp0q0#G9UEWuW=lePc_x{pz zy4Psf(jd=rCTaaIS0wHFU0(hW|0Qk~oCrsIJpI6`f!8~$=RXY727|oyOI@}+=33(C z>)%fF^*q0l>5j*2ou}FU^o41@_xdlV`J}5X*0aAi(XVC0TVUq>>_l%jGrirP>iuH( zC~^RZmHN{p+wyi<*z|QQYUDF&uRLf{W4i~97u0}y&B8{e?QH~x zBhXcvbJ9_>mX^(D#@R2fTyx2x+P2ags_5}iE=L6$*sg8-w?|#8k`5?QcFJUCXSRT& zxrA*+FwwB&qhbq1p>1%AZ)h#ImC2$6>aL?C#JrdT=e7lkn^`-E#<;V!F*K7>QIZ~t zyKc$XBu1Lu{TjGbOR@|j6<><76!8pNw5s&!+={vHb}E8<4YcR7`MV;ubtzbUPRy(+ zt7Xp5Se{QM1mrMiD?AcohuNPC6^0-e?A}1#h&ahw6v0eiUiX{u&shL#Bzyf{E|`<+ zIh`wWblBfewxaHbQotfPEwe5~^)51~*>~EC>f0liSX}jwy*tlw# zJ4Vvz<373=Pz^YDrK0J@4M3r1f%iL@6cF!GE@Ks=2sJhKE^;#kLLz_jiL=7Smeln#=RMiAe3})ausscGi%sjj z7#-=h$HtfKotMJwZ9?E^x)2N*6fNSU;tsXMt6bdVZJS0>4a;_1Xoh^*{&3erBYKwW zq0M}DJ!ojIhe|roT@QSMALM%AjNfrRgnrlcFnCe7Jy7ZUdfP*sUvGP$%k;kOA=XE> zheQeM+#v`F1yoR;%1?T)dOmzI2OmzPBO!Olq*_}!YK7bK# z=9I4-r*j$@#QENdCy5*^-AWmb{mA>)l-Qw>HW(zUDQz$y7}IkGN)>5BR%&MEvWdqY z%*+q=!kS1kJXojpBlB7t`M6BwJoWk9H<&^Sg4A{XJ? zO<9Ow(<<3aa&c2&)c}v*g*FK_DoqcCMSAA?NYz~2#f=ZrmI++@aZ^;&0M*V%Gunw+ z-I#BZbCNk@uyeDbV@Vw78InH`o=!xqCW4C_fCU6xwd7E%~ zWZ@t=AB!f_7>*pa^=Chnfr&$A=75Ba?xOAK>%j zbvsz+FGAugByVdax=ytd%2X%1#r`+j3jB;rH{N%)<-x7cyh&hk@iEc|pF*jDuOs3r zgy#J$6Df8JEWZ&I83>e4rja&?UlSWM1H&qD6!Kw+Jvv5y0F3cZ5QZ{$mE^e2`#Tqu zst9`9t)i+_auJ_#4-I0jKD*tWALl9Pg%P!23|Yq!Ig(?*w&Y9kXdqynZBc1os`B$V zSls!QZ5Qs*GH8k|4SFn7i1tU5n46WjigMx~Pl?H+wY5e@ay%#$^!Hyr`nsIg*KHNP zVZ`er=_O+H#7#mFlvzqfy5Dk2duI1f8gIM&% zOuA#uDnv_8d@>ZIT1pg*REyb4VJ;J+-*h)?VN|Da$ON?Nx_Sfn;xoH%7>WYq#ZwE5 zzn4|l5;Z5%0-;Vj#=~UpBz+=CtWu*9jcKX+wwT;uqmoISm(Br_Dan!@w*XPQNGwoQ z+HY!?3Fh(Da^)5_)B>SM?Y{RiADQc$*}wB9b;J63LHa(Y&$$NH@Ix(TAhZjSs(4Vm z;cv|@fa|`9%^Zx%%5gd(-JE$gXQProRljUOCPPj-)@}O;Zb)PXW2Jz0_xHb8nG?SJa{@j5sF zZ>v+ym)hy_jD4?jnQ*jwjbvfk6Z^6viybRZV~dihwR=^q!gb9Wa_?g9h(eZ7EFH&5 z{cp?I^ad)lj~h zjL7+_f>$z1d7f6Z;tIgBYE3`3WsiZ9ORD2^q;+(R6z%v-QyY@&KPoheZOuG$rnXb3 zaqf4Gj}K0@Fgq4A_@=!kT02K&87(Ef5B&z}sJzFDY;G^Sy)1gY*Zok~o&!8iS@E}? zj`S!#QV~+wc(gP1><0(_>COoW;E zZcnqL*wPPpkVz)-lxD>VTb>*2`g^(@*JZ-JDK&(CHjuz2W(J>gUaxXP(s0uQSh-q8nT5PId9#Bv0H< z^d?M}*C+E#Bg^{=^Gxij==}1Z{<`_a2d)nEWAVjY)(W`I_fJt9d+GZ zzA(?!x{{80I?%j7{otBx^369M51D6hsT=Rix<|g{{rYn)D*pyAb4)Wr^>~GMCayU` zdFzvfS?(|FlG|UtFv&MB|8v$EV9Nd(>&%au=Iv&lw=Yigm2+lgy%)$kYA1v}!eSL; zfRrMTv8`*wa#Je7lZ~8teSuehKaW*AtjJYS>Yd{kQx(&=JodR=d zUl*9ixE%c6u13XE56t<9%cUK0nY@t4WmeCM%gU+2D!C&rgY3PH%NBNT@oOsK1?~R| zG=1`(83P%_`KqJOW6(LpVWDj?fYSpxBzCiWgvxcL^vc5?yyHhsJsn?i&fL(3ka^2` zok=}qNrsTwZANiq8j{LWcJW6%8<1s&K0%oo?~n>dMEE>+En!A z)CsqN(gc*C`;(GZY7v^D2ekE|JKQi<}lHq%;l zlQ~GTrO`*Rfo&}(vYjiouB#4HOf|GGQ*+Hw0g6O!+{8#0Lf*|gi2Kv1Z3wz&^BMuX zkvK-Z9X0gWGE@kldA+n^g0edcu7>sGlPhk{dY%va{ln*9112!Er*Jv4-m_R| zpb%-Z<`yHK&8g`azuL655lu(8sc2LGT3TW6Wp8ZX_$T6ACZ$6`SL^ktBH1rf$B7|V zt(qCt@{X*CP;^lD#vq6)z_z+-hLBCaf^fs0y7VIYKv+_XxiwQ~qk6E9NHQ0eG@HUh z@zxdy8_IInDHv2xcNGL-j)M-AhDLtIH7L6#&jyj*XP&4b#eeA7Of{xA5GHl!z)f8h z^tL|D@?XCZdN9WdrE?mi(sK3TekGpz7Tfs+R zzfL&x4OFaLj_!ofx(dI0cS(YQ_mwY>ErH~8Iy!D@op3P_KZ4sjj?TA~uSR!n5G5w| z2yU^(GkJ{e2gQ*R+$7hzMBCklM!?!xT?o$8*t=#y8I@Eq$t~V(Q5Z&euFMA7G~GrY zkG#POdQd6Wf~5A@bRU0P1@3`BGBhAc>%3;yQWmdWH-k&qp59rqASIMQp{PJesUFt1 zJ+8l~8RI#)>J+f!YCH;v{NP%Tq2ocRx9TuSsgR)~Xz@LDERY(K^h>aysEnkmXnMCT`nKJS~BB$>UA)Nc$#=e{yZyLX!=oVAs3Iohv^&SAM8 z-uo4hur?d5{xl?lbGw%=}$4dpgnh& zZZ9oxdu>QNV_sHw-P+wZ_&WvWkYxA#jQ|`$`1%UCKzhXm650f4QI|^_N@S2Pag9LJb@BnP7p*W_U3tOhIl-(^%ZF_;WIE(Q}Qk{FDQXsN+)r@I;~ z)?E#TOk{E}9PWCsQ4T>^?uQ_Z($-xNmJdDHh`Sz4HX4a$Tv{nb7$gF_BCMPQVN{1n z5Joqg1YwkTxge}X7laMhgQaxRgRvJbJs9PM5`;-Gl5cONs zV9oMYGJo`4HCR2=V0Xn}m5zy)Piin3h)fMeC5x%SXctXEZ*Wp_BsCa**Zx1$V6pCM zFsQyN)noLQ?P{<(cQshq#bD*og2CrNEf{50l!D2mu!k2Z!MIJS1gqaG1WSEor&S0b z2&LA}x$$$78VT=?QKg}qQ!EM*<|>zAOXNm;PC!lIqW;TauY#D}CD$lgrTONRS?xFI z1)+93Ry$YPB)^IR4=-==&P3tTs(Md^fyy-D(w>=aUjy0CHkUxzV|%G`F^tS1ry-$% zJhWC7%bm*`Vc`-`BvUbt?Tx3ist5rpPy1;YXkQYagYlXAeZ{%pHC2)*S>bwDrQYILZx+U!g6iOe10u^;L<}Y- zAr|JM%{)#jGdIg7g)x#&6cw~W0i0Ay3RME*WI2@mGTGhDCBji4UjeKxDsN zQ|-|^F`F_jPJ)HTe7!rPA?L5&nIoYh_nnSpaI!(A5YYvV+$xz1=J2&j#F)u+MEX4t z;fpOlr#&KXq{g_bDZ6Y0)y&(JUhn)|bRp)`K<)N)-su!q z%%wJV+i*0*r{@K2Xb?DZr8^HOTVY~C7qbMI;^J@*@UjY05G;4`dXOT+lO0KKYlBK> z0ICL6Nw|m^z1A`SLnK5#2=jw(hjSD|cT~OE9!SQJy5&Q#LG}ax1SF3$P_mEs42`bb zLGDJVmXh-&qn_c%!7RM!OF$#$4y7TX;dZ9_$uq z-6Z-6^N4CJ2jpSklqtS(B6l=ZXyYNuYCIb-&8RjnJum^vmXUn}k$>(IRcH~66yi*V zICU&nfktA_+@hfc2vxZgwsIg90Sr_+Yul6$BB~2XR_?6`>L|8hzJ!(baLY(YPXDVzIP?EMyoK*(LXYm6Z$o_uMrfEP*-V^ zph7!~8*h}2kGfkML_vF!%-N5VVJIKveJ>`u)>QgMD=i#>m*}xIS`C#*@`hB7H31aK zKrimK)6T4djyB=ex{;~0=)G`d>=-jT^@vrPChleu-1N%OIUAXCY^W=xcba{L({T?c z7rrlc$FdvT5NX7}_AreV_mL$0*#MW0{6${t2rOceC{mFZPlZ0Wqgo%V2QW*hS}YK% z=u7-kW0kE^6Z`t0aE+4=0Ug>gfZ05mvoMm=x8u=H)lANvi0P1W;>a7OVx|0#;d)RnWr5E!Vkoek9+W! zgG-H`K#*7HMiZ^Hu1fX+tb{VYo=A4;WM*iu=PR!=nYTLa*5XlQ0fmX78-K8}BfTND zAIE~n8WrNzwL;h;s>BdUxq0YXk#Qr?D?PEO60;~wEeJ9m#@5>o-Iy7@dF7BjxI7S~ z{F{p%pzf2!UyZmls}11HcI+T?jY5gLYl@7Uoh*4u!;bw?ebmhjLn#Q?Q zO@^=*+`Q7n*TBuW<*9DvDY9V)%!`xh47kwQpHI7PA&jts=9=iy)>f^Uw0onO!|9g?P#7;U3xCEk}b&9=72dKmSapZ$z?*3VcgV!`i3(kP}A zkKuk!Q~Th?4>mC{a#re#HsfwZA;S3^P55B&X0wu{8J8kJudoxH%VLDuf55ZA&R(W_ zo$C@-hC$DuBkYeS)Afxi`Y#B8R%uB$FP6wS`*QwSsw=&Fsw@BgR98MxUGWjs72iMA zm46-8Reyh~EB|9uSBYV*%6Oap{voPsJgKfH)%AetDiLMyAiQaOS!pV#tp~Ycx=I`? z-u2sc{6{cdB{iyR?>@a2mgA=LXuoV?Cb}B>xzbHuj_%#UT#IVx7TS|}_)*NmF~Jpd zQv8m>ywtW{V!mIP*I!tecR-f*m4$g}gAwgnnZMJ@ytK)rc_*kHDpckD6?q8-0D%Qe zMX{U9AV`_yGB=ZmC8@|H9V@}IY&Ja&AiIuP#MHWfQdpTQ4I)jhE2X|C)1A2u!&G8^ zERml^%0jr_ailYj5gtJzAn7&P)QKo>wx|zi4|XeS3k#Ri`2V zWsZ(*icl1SUu8#8JPF~P*P%!l}zfdBqbJVlyK!qO8hKR zqOUtxcbKqM+cy{v*GpZm{q_Q1`2J7lBv$cBoA!s0V+9{#impZ6}1agGx6?3J#TARn9ow^*qA&#h#_3RxskJx_IG2k>@LMRwUZ1}%yLFX$QCE%Yrek=Ch=a5eMRl~dB!L|VvOe3 zFh=vCVJjbuQG7%grLQB5#5@^e)GipK^nfwSj~FAC7T-r1jh!-L;x)!-Tro!dV2skw zVvIU70Ab{3D5Ln0GD@$YjN(JeDE=_YC?Awj`6|jNohhSqqKw)>8I`Z2jLHMbX#8}_ zh{)|8XH<92XuO&;qUk-1`i2L)btSZ_>{EZ9@;yG4 z?>|`iK7+tVDvhMXwMf0)6J?h*nZTlDV%>hJ>WsG7YwaCdhP&cWR+L4&)yy96gVf#8tf?ry=|k|FPX-z)#z zxiw$a)O>%{WY=NSyL+`BjMKHJRi^-1E&QIGIP_-#m8 z>Pezax539aIy{KniJv2rG4nzBx@!J*C}DZx^%?jH5|jB>gYvz1ngX{O^YX{@xB7uw zW0_l79t%p6okhwX7 zrY5>6?e|J4qux-1+9-JP;I+!AY09hdHEyz=#-VDgQ7GJt{xEclyS0+no=^evU)G$- zpHSn}dQ}@iNTCcTIfPA2IxfK^T83m09_FoCttN-S)2+)AXR1WRDz|nIXlym-nwOe= z7&!0@`WXHsWTQd)s%eAIlz1SJ>do97E=8`ENa`u+4 z9_C(s_!@kbF`3totT0nmSG*A&mPmT8(eF{Uz`k*H2Aem*e&J*`Te%%0B*@mM-j@$5 z&s8Zf?N&GU$$==*Rco1vjKuNTf!~etzkp6y!I<33A1g8Z+8r6;4h7enMI}fk4d$Un=YhEu1UMM z!Au!hYM+e#ixT%jnt2h5?}@M+=+{PxMuv_ji5~kLm5!*u(9?RBYp_PDdz}Z=H9cH0NQx?o zDt7ob#r?WU)2%3TG|GGiYyh@OfRt!hyi<-Wm{ooru(kvs4XdiSc6DPi2fjzwwJj=B z)<++OtMIXuBt9CHW3X1qRE!ETb8#5&7?y5<%IpeXFvSzi zSJyUey*46N+`}v#Yn*VktV-9yxbGL8ZQtO(F+0*iC;-OJseDzwObh&o+ho%teK6vx zwikgv(o8xw*A(gHVam*V<{_2 z%nUl_=*IxQ3C9_h5*1$6(;SpU8><(u zY!5N3S7-uolp<0=e1} zHhghi_W@oL{)Zm+Ht(L{W+R%{AWM7OHtQxF-W~tT}Ekcq6R<}I7p(5at;?J{P0pJu{K2)mwusR zY;P|_Bg1k($+1{o#Lw{6o012~4O$v=RR+&h)X!|%P#c%4r)tkLVE6T1_GOOrRDpbT zQIMSHJOb642rA!B}j%cE-6z*NmgefPH^4WOvXY&Ol zT`lHoJsvYg74}br*|6~?pU+a8M1+N2@=Z$%^gH)Xv;1yHC;pMr2#0uw@rWKEG6m|b zb|4wU+v>u1^55FC<1ZZg=(si$9tN8OgOZrfbzU90VVU$7lRn7IERM}K65SxyiNr)M z50_1(0uifT%hzW%5BB<{&ZX6TH#+2e_1e{RDdtsA;B50TBIP2nrM0(c-%VB<#}`4_ zcbE9Vl&wI4y}5vUV=1s(I9f{q0SbW%iG+rCof%iyRmj*?`9Z9d*p8<`X*+1Mtadg_ z5RS~sytp`BwXD?1tow*Sfl^<()j8eedQArH;l4v;WBNUN3(bB!zKIcwT0)B5+JuaC@(>V2pHEvG%&CfkGI{53!A0-mrg z9nYj9_(R86gYcP3mB%hoo2MKM`r)XE$KXaSxb7gyhe9XvFm|ka_-u#s)mVz z(U~QSvcJyY-Ug|t#^^@HM44HzTkky66L-E>z(W<6vao+&Xydm`p zRt!FQLMz#b!uim7M9WB`d>dqb(7$oGFImx;h|TE z+3J_7OTGes6u#A=F@32X^Ao)u{KXWsJ2o)4yn)^ji)8jtg10D?OdFs1b)%!PUMB!0AZMK9c2#2hH*t|Z`Y6- z8Yer{;g9J^qSUw^#0%yc!L!7V3>Yxb%$n1hsV_N<+^Bobf2tcM?D60l64kUC*KQl6 zR)3T%!)s?maw}b&VJpeb_^Bunrr7O0#5*4&>Q4}pUIUNC_<1Idq-7Q>ZYTD_Z?b4J z9wTNZI29@PwrQx!!k>}kfi~W3bW4OFH*S1EbEv8A0j@Q?=`}aKSN1nmZtF$^hQa&K zS7BSvU*^_07y->k1iHwjHicX1y&kD{)zpYnOLt(51inEIKn&o7I?t#$pGga=nJFeq z(}9tHdj@5P4){iS=}4M~glA)eo0PC~fNone_6m20ko6nOELD0yeG=kf_v7};9>E$R zeu`{rF+o>%6)Ed^?VqN4d33;~&|q0td` z|5S7t;|nf}7){VeD)bm6*M(u@s<{)M#*IozC)c_5^jEEcL*EJ_ zpvmWmpJFh=tRQP|Q5uz2rIjpfzg?VcaJjA6=4SMY8NfiheL&&mnt{6{c@V>IgM$&- z;DKQeh!nPDn!%8`#GVI5GK( z)wQ}+gPq>BcmVuhcX|5?!Z=Af6P6@sQIYZ0EY&rq9rv~L<@jer5Iv4l?#6umu&nDs ziU~R$EFYK%GvA&R+U1ApH=bA~tVDo{Wf*3XGQ}Rcv35xH&q1Aa6?RldAX##@{93Z_NZIl_!f6O+ZX1+) zt3r0#U}i6OjB7)iNLXzlFCS+LIycy6b&8M4NT9OF2VE(?a_{sQtEml4A1`S#yE4bN zsH4Y47h(J=T(hFqDo%Kpqfry;i&Ao8 zIY8=k7k5@0VgeIbf04mhzb@w{$~oh*fje*L2+W(gGm`GW+@?Wd9z>B8YJ@D_Oi}W@ zp0EFk&!E0+RFMMgn>#QgjEFNe$i@4%xGc}$g^jCxzd_6EzdoRj?nPKLG`Uj#6!CR| zgn!m6vC(lALr39$_uYiRm!B96PeSI8b*fJ`No7B~EFM=i%d%#{=PT|+s442l(<&DU zBAlP;MpYF)B-UI-z@M-a!x)U)$FZGc6ud6y8*G}4SuD6m^#F!nsqqTwK?A_TBC=7MWsVe)YEXo-p_@N6>+~ z`-C0C7UDg3`{RLdlVeKgf&2Z_wDVZgVa{1eKwthV0yiSIEbhJ1fUKv;;#cIYcjd_8eX+L-^&kj_NRn8HQ|{Kxm{19EB?&Y;4=1ttl!nU zBA_TAy(QZbTX@n*+FWZ<(piD(@(ELrf~jimOZ%$60}d(mfLcuW=l=YQRvN0XS=>hS zI?1cJ0os-(13FZ!R5dm+M;0$hJK8n6DeaG6N{VEIb9vB*2@ENA5N&PPY3lG_Y>%sa z7hjvDz2pHZ1F7Po$0dalH*svVbyB+ct*L_dCnr z^{buU`|kU^cFgS-^OkpGY{OEtR9-|f6}`09Gwze*k5K9kq2VcfJN@QBZgR2b?ef}D zUA$%_B_pu!!$t8w*6gySRrV>-#_#oPB?@a}-PI|Xg*NKN{)qXk7?_EgHxo|a8-;L9*|0v zaW=jnuRsb)R}0T@o3>H=QIA)l)-kE0mx0jQ-5`ZfhQh8(BWwi$gE8x-aK>WO-qzk{27w?gk40rFLgBb zxs%A7@uOzc3zeb00F2V`-pFpd0bQGMAqBH>h@p7ISB;urNvbG3+P9a@u3!ny91Wq3 zYS}QFp{o62#d2J?QOEG`)6c>Q^^)2+oehd@M(*8=tCO)+PM2)^-z1GldgG??tlLj8iXaYsBq4#6L^(orUPDXkGtL<<@2wOr9Iv0pM}9nc#- z4u|TejR#QKcI{!5hlIlob5W2qcZK&X0=sA}6#XyB&wbP-2MX-<|vqE#5$2j}E zC$PGC!0(|xdWUcNEVuB?K5)bB8T#p=m4D-4%QvJ=3Fq9KXLH=$Y|3ZPEy%mr$2XG; zZ6XAY4i;*Xr03VXexLg$ERNwE%?ki&y`^F$Vf0JX)iesEQfvv|tafd70hJ+zQ;b>~ z)^WogXx2@A@YSBdLt#6R%;ixv6uB~D*d=?pINhJpW50vO_WTJQ*=ekvvrIHWGLnx$ z?%4Ipg^ZOh-+xHH5nfzv>GOVnrZ}+Z4C^S{#xmaOnuD7EZ44%mO<~4~M7@u>_O#eI z)j;hYpAQZ|_F~iUGOe-giAV}ZLEW-uWWr&}^Dzae)QFlzIdm5dVmg_O*Bh!79MP%F$-#!V8^q)(F;yuM==4Ie56U8o zDQWgLtWVr}&xdj;490=LqV8TFnIGLhz8aa?Kg_yvIf{H}alLQhI6mx_rr&wDRYDe* z+c!LQq^ZyRbEGAXaR`w*Tv--Xpv+es%X`M4cz9dN>%N+08 z71$>Vgh?9F>Y9oX6J^zjRS1+SBl5x#gS}K^A6Q#VB z6GS+^!Iu&9TTdQ7o0i7%9kwd^=xnbr%sF%5dgbkzM&;IZ_q0_5nm%^C#48o{$3LcT zSZ_qDYQUau`JRX`cuOX5#z|*qiR11K`_{ng2eiJi#+>K!3O3=})45p+HdGk_Bpi&4 zI<`2P!y4F`%x%?a1gA2>6Paavx*xaI7TyMXpYF`brKY*`q@3|(v~Pu3Yxj|xy0Ax*O`fpN zpXN(nv5yxqjalLKwF$3GFmOzBfldZY>vij8s8N|FcoP5vnIQ+!mmB39a#ppE}c2P=Tth|o1;mnyK0)+APr(B zY8f!c)9jcaL0@P#K%=~moVnMlx9AOXj!@6&U5&_)ccJ8!u+frLh)KpNK6p2gnms?Y zLZYqt3Hq{kILs6LB+)BcQG}5oL1W~W$vmzlf5*fXnRTnAPJnU%u8l=UuKXHZ0#hS{ zn0Fn;O`a4|O^Ntf`AQ%HhQ2SUw|q;!kOz*4TUW9XRdMkZSIwehuIgFNE2)oH@JH{foo z;!28sl62gnDW!X_UI|8u(9tWY4uKVW!f)P8{Z7MB6jrUV>!xEwd_eu+?WdrK&S*e1 zsHk6q)|q{kX>-(p5v_v;^dpIc=5gP&J6oiEHRZUUb>7FVP&PLbptcX=u(~=vj613a z{!~kvoT=#Lotc%qnvgYXHhJMLIXaA2xchWdu)ELAXBT|QOHzB`otUv}Y?~F`?9n9u zZIO)2E?eQ76QjMsz}NM-(WS@3t(|>S+pKR(kC|ILCig}y+s8g7OK)8HX40bPH&W9( zQom?Qwk@JMjlquA<`k|K7Mk^Td+3Nj33|E=4#vf+x12o^3htgpc4qP@*|qrmqt58 zIw0Co5E|hZiiUL=!)aetichu*Ri~MT)Ap2-;zp~xAJUY;Y{V;rmF zUGhNlRKC-ycGiAJF)`lPl-{yK3l)mI2<7}5_v-aqF|_C#lo^}RSxV=Vy3a{CM+vbK zz*WZYQ^)keZ!Jb?uNErg?Tn8yxf25IOt$HrOV#6QDj_RDcRN{VW%E!tdKnHXFU{z3 z&rszhioBSuYijv%s~*|1Nh7T%?(xmf9rM6i&|vvzw?( zb2UZFt8>$X0cFaWnl39H;J7$U#SG28g&!NVs*(f&RbrdN+c{+EIl@X|X06bvOIE3O z@-V?=_HWZ8wMwP2LsJj5+OyR%+l5tE7Io&6Tg#%8Gp*$+`?QGKti5_&1JtZN?CvYA zq15Y`8x5%UKhLaLyBj~UR8u5>P=AvwS6}3UAS_M%iZqt+`XFS%RLLs; z9uwN3Li;n<_llsp5n(CpWet^r_1w6tw%9vN!CjU#T?cZFpi}(H(Tt~+Fs7SFR`uob zmQzNkv$}-FGbx^*YP(AL8cG_INvcV2GzWWYhh?!<=Qe2csO%i|@5#~!HDYUS0QGl$>La}o zzJ_$RdR{g}@#;{P5>RP)aPn8=%uXK^5kJLYzy=e{5gy2yl2j&RN6Xv?_E{JTBofi( zRUUQi0jL27ng!7I`YcMMc3q&S`943C4( zwYQ+7q4{*B99UB@eKmDWZ7NiH!G0j|soa z$s|#9mM+&{dutq$!jmHJVfzs>_UTU$;s)s*3&K(~@LR~*n4m(URnopuM~eC*$Zy31 zHPZN&w88|>a6BcG_JwEADM@ZtDe>2v2{4!osk2Z<{lqEc<7CL7TR)QB$gOFz>UF5F zc3)d3bd8}J$Qg#F2V{{A5<-+&i;QTbA?VaEofI)))kmoVZK~2yK8@aJeB2tzGDTa# z>0+awj5uSwxs>5*)(IoWS3f4;l;HzEEW-XG4k=1@KQaZ?$YCP)w`1i^=^ooY_Gabd`UCk|<^}z@FYPysw-OLS zqQuG1f&M!!w6D?0HotM=>s`-J2ZGGAF)LkQwXUgrG3JzH>Wtkd=_&JFyG`rFGOM{) zd5WCLXa@tCE)D8g3M2U&8|A+t_^}<}>4z(6qo&vvjqEd3@o$P`(&ilR2=e^&YV?+Jh+`kJ z^ie}N1Q*>eL-xifEQtPlzQY&g8IPX(i;`e>9+&F=o_u#V%B)z6%*fr@8#V>EmTlo{iEcsB2#zoMzClLSjYOM>2UotDP_yPZZH+gU>tb z-?+Cygvf|;__NA4^o)mgl3PSmW?2yi5-kNafD9rfUrD36#43d83$+)@P&{)+#2kxs z35$$^)I2fg&9fK56M=3{FzVaPb}1o1_abc2{L(b%-qFIr+Zt8~VIOedQ<2j|_a$audTfP_o zdNHc=5K5u2iS`H!kCscap80ZpPPC`Ys~TXra9#pg?z{7W91$*;-z8;lD) znb?h2n^e2S9NLu_1*J_)Gc?oAoGn^K8v~JvEeSP|hfM-a3;FQl=jgukVB+MEBYCOD zk|=ISH0(z8#DYq`33dqalWBe^X}ULv@X8*@OawBke;k}Uki63x%!*E|zeTyrorURd zj29jY57it*vJ<{DdEZ68IvEh60M-le(W48qIsaB3zc4jd+?LnAk-@+eAXl^b|qa0 zCG*7hioup+Ia<|6ryL!gF2Xs0lskKvK{>BC3BvBg$`io4q4fwz`X-fD`zl7Yg1OZ> zVNL{J^KuLa%jlEI=e@rYTf62*+Q@x3iL%(H&_5LLeBJ|6p)sMDortAoj(X`^AyQ>6^&m4NJHK2CEyCJ^vxr*b?4h!TdTS&c}xYy}b2pD^!4w-WNiC zM4>#>ysndi^+J^|56lF5o}od>XastXFRk3~9xU9iuGrhI-?Oznf3{dgdiTR*GZKpbWywf?KY;P@Iz-O6H^55F+I%4>&8@d%A@FsZ z9(K%pPJ0FkMQ5d#(QM5rMW;q$qiAQSw`DuRD^86ujY7m!(@DDB7$faok)am#V%kcr z`EsW6pKw$UHq3mgMQn@S)3)hTG;b>No38xuy`pa;61p z$upvQX+P{rJl(c0%6+@?(;ObTF7h=bWiRfNxcsoSn%K~dxa>FI)|^Bh^PeRR_x%bF1(2%M`gmA}e`X(A&hy zjn2rHpd0q@^~wF0-?`t&HNQ4%YgNf07D$E1F7n$zNjw1}tL_b8M`Mqu)lpxqL{n<9 zOZB~V`|uWlLMu)U5k8p@+h^pC=P@N;EOr@Ctr`dz9pkf zi>b&tjYf$&9nTDI=d)BYF5(5WvT$jX^l(G)c^>_VnMV3g-8lIz@NuenJrWomj`UPr zvNL|u+N9LD6TwG=r71NB?aW`s^b2t>zN)QSpv3yQ!B<$vF#E4T;}Enp`T(HL&`AK5 zU=DLWM#^!%hV;vkH);gd(?s^^j836RuWH`OR{_{iMRN}@1AX3vUbBd&#-U+x3&n<^ z_6E!ax=?f-isS3G9R#>xdlzDqv-PC&0;%sKAjEW($RFI+o4toUVGimW#6QZ*IarhL z&!nX=9*kqAblkkzIYtv*T{zK1$Fva-_IPBzWRHA45a#$aax@cbl{N9`6He;Xv?R$rTAv9`g`+xIo-sYv`*ac} zO?_$F9iiSDi)4&JX%BEU;pLpOBucm6>14CY-LYtqY+0dfDV?OIaAb;zuLVZ;4;nME zOn>2lnXhnCp^#1*7e+`Da4I7L@CNd^_X8|o2xoukSz z<57BfV9G*&tjUKjmR5n3C;Cc88GG)s=Ez+ZTZcS1Bf38LI`xfi9?kxx&xif@G5XkA zk@yFy4a!IO6W+%TZO1lLDh)EKpf`AANG`@k1|}`Ad6HSg4EOxlGOunQa+uK(hiZX$|Y;nVM`s&G+;`wXD z%*2hn$2Qh!v>9Cpmj{7uSbM@0KcO?IN02q%&%@=(=BaIcIB~5KF&y)+W^mER51izl zK^jM#NSgC&XDrFxGZQc-T%`Uft)-}aSpDRvEO3%|FJ(nY4b1Rix>?t-R63}d%B!!# z-L{1A=;Rw$8Y52$NHR%#D?R@)G|=8vh`lZaZ1K)^EiwB$8G-aG{g-XvC&E zE~MR`S59!G6|)#k$_o@>l5V0GM;uknPbjxc@KlSO5YUKp43$Ow$QPGjS z>zzL~&pS6U#2uqw8mr|PETRPWkD~_zI!qKV7~(+ole)n_9W*vv(X1y}*?EXUD}CoT z5U-(JyW51l9N8p(+}-N55C24Ffl?{k@I`{ynm9Q-LJVzwh3t$hkwC1RETk-?zkCN+YX zS=jQ?oV0e*kXo4V(P*&CvB=qrK+G*9Jslw`p7N^3o>scl-JS3lv`O;{Lj*!pZLhlot^Eu z0RT5QH)c0hW;;hS0FaA|3%~*bfIv*o5=>4Ww$6s`OtwxGzf}B9hbY9!*wMn?*}~42 z^p{RUBRdyoK63Ksbke`7Vq^bz<+e`De^iUv*vA3{pzsTGFQ(gbXoacmRVb;G1Keqz?~N;O`y&S6BM)y?z_;8-d>l{6^q60>2UXjlh3D0{@`U&wQMZ#_bnDe!l(< zP3PeH2TlKrQIY=1-j(_J|B257{~`GA_&g^U_&1;b#m@fU;`6LbtSqWPAU7+Jfd%xR z$^8FP^sj#R&rJS*CH(JbJkW?0Y;0o8#sp$zHDqFA;{r2rv9TL60U@TQY$gy>HW2u4 zH2isx@$YE7Av>!f3#$O z=l_jb{--j2Bk&u6-w6Ch;5P!l5kUGc(0Go2u=Bs@)Gr$Ui?shMjR*Zh@ZZt+-_!E{ zPfpAKgKl%P{8yO#|1AAi@B8;;{(qAHcYGcM;baAa+1Z)cOxReN*tiUhn2d~AxtKu4 zTe(0Jm)`X`d^Id7oY#d-v5cuvxELgPQ=dE`Io=O zFFDpqU|MmPU7x=HhuhGOGF~8I+ z>jFd^?d*l^+;x8W^ssZWlX9|wbODNnj?cwC=VSe~hM){kadvbucK%ze6Dg3B9iVLI z{Or&{%JS^vV`5?WPYReppg(l{%L2nMGyZfK68hyd1dy;(wF8Li{9z10Q%jqaot=f0 zlY`XO#oAhzpa1V}MY0yQR)4GOA9Vr<+c}y*9RDzuMfbVt#?Cs=jvUNfY^0o=%xt9W zAdX*QuIDi4vzmWe;`jy3pB9MNS=%|PJo_O*o=p*P0+arM#B-ry?#>b_zpC{dFQEb? z{iE*B@z4Gn#wrkJ9l*2W20#_!?yL(Ce{PUxDOFW4Dc7@e(JzctRoO^^zfk-Ye9p}E zR~SSJ{9~*J5C@V1|7_)7I_)8j&K3}-XEkynKu$2|S2O)tOeszr~5><)dk*f-zgYH|7fpkjMX^~(;*bvSl!_iI!2B55M;e>`l4 z130eEhR=fcy_aP1E=YX5T|FJ0A1|7fNYo`pnWLfr%oU)6je`S)M1YyO22ZJBI)*@-HDnAl*nY;6(!TT^-q z=4c{j9#GO;Q`?ZkS2N7ieaA3y^H|{9aL}wRBam zHLr@}1qKCVb2}vz5#cQXI&=94O?eGr1saCL{_i$@Qy_q~`lCmfMRi3b zy#r7RXGTq}5J_KMO?mi!@1O)HwEm$ae8~1wzK{QR_~rN+(>dL=hn2$}RF)ojJZ~(2 zX6w)P5ZXK(8z@0aLOUtRG#D7Fy~hookoe8X-mUAFERKWQ?>zlDBZPfihEhl0V)lY|tK_!j_4G>2;)^P+=vJXFhjq;L+!*miQu1_lHfq--&=nL^ zMR+Oc?p#aswXC5i7)5CGbTLX0S`o=ed)HqaGn9EA@S+e!U%}P49QEb)qqD33&yB7{ zb0j+TJfUXRtu}PNwhtpSewj-KB(sL_m}=QS%BV^DJ#q}FlBHBs*hEMV^Kia;c)w@O zQb}`gJwGg+h|{$;#UT`G*9oM`xmL>DU;y~Sj3D1u-BpOYN6cFm;(AYRLAi+zQ2Bo; zmw5BRy-wk7z-&^jQk`RhGgVKn@Mhbs>`s%xgP+*dGSteWDt6ehkYDy9VHuH2W3rih zr1G^R;f=$g|NM?CQR_e)t%=_e_Aq})#~^bxI7M@4Wf#G_>6?8ab~%3=?qU_h3Kp`J zU{nn)rvfQMooW?n4iHhoo>R{>``(uO7GS!63jGu?CYZwH&0DV;ROW*6!_c)a2a&v~ zyfqs&%1d)OU}x;@Fb^euRsSC78B@q?zURIB7-F8v0u6HFfLjo z`>ZfSDo#X66CCx4Oc}2u5;I5F2!ln*snibGM!I@+CHrp9x3U5JB!S8v2-2rQ_Lhs# zhs)@g!ni3d+A}^oWUa8Q^WNuTk!1J-yI1?A+RH1xXV%vrQd@OK($0c2OnR)9{Z+&y znvr2sri|c->odFSvutZq^yN!gw3XgLM^xB)_zJG~-59X8gP0gtTRVsW#Xc{@x5!_e zWfNbbOHR*#x&$;b3v0@ zo$eTXE!&xndAEbf`n!SkZA)M}6TjRp$uUN1`>LC$Dnul9-mme6lD+wW7U$a{l9U$R zoxM~CrBSpjYx^H-xKL8-&CN985(yURA-DQQc1+yZLd?Alp_h#ioyGg3#^z=l^du|C zPt_Cq(v=56cIhAPj~=%b1Ts6wTb<^UGY+;nc0zw7-@ibJuk^F^%Xej_FLNnCyjp{{vDKC$G0Siz(dxaY)XxI6 zI67W+nj`M_@w1~;y~?cbpQ3(_sw=n~=nn9#vxh?%Q8H2DZh{pra47xF~maMCjL_Dy3Dd~cl4p|!n3>4&3++ZUwC_d z5QW-nY_l)GIPrTs2V}}_>JH56ufr@mFu41}^D&(i7JJNu^_(IUeH{Ta;i_&_EHP&M zD3E~OWZ-;-t+@WqkNa~1nwb}F%$bg`fx=-6A3uqXRqQ3K0i1W$oiLYbo;NeiE!en2 z){No3Q`gj6z4fWk3S;ih^;VttD{lY;TvBdSPiD=D%Dy4n8Gy~Ajw)zPc=s}`RwIVX zF?K7wq4%U$%(X7!8k(eVn z#s(!xsJ#Tr$-eg7z{0-!D4qIGABg$$|salW>GDhmWpDO-v5?6Zq_m ztJ*RiLFIQRT~qHu=T7TcmDh{NzKC}H!a=c&xU=nutko!kNUZMZ#!9_KP=%EK4R zpH~o4Ya$WdaEXUagjS+tOGeL?J%!-0(|FTR&n}#5X=EJhg;aE1uft*5I}3_V(Pg&U zzizq0TzKoSoSqZ=`$-k%GC3qAWVYdx_i>7NI91cm1Pkx8(sRrur7}_b44jv8wshQ7t(YwC|s?SQhR`n(F9k+@Eu0THIy* zR&hK)PPg(lD*X&=JU$=JpKR>z{SaeoSR-M`cF4wpsrZ}=U#l~tA8#6z*0Dk5ym6pC zLq|b=rcje%cKmYt*Q{*2vUzuAo_AU}+{fVx%V!H0PA(i-a)3pwc2m#gK9QHs@v4lV z4nI}yT>C9JY^VUL=d<3w-o75s;M2F+w%LdArb*w8N*EsOWfw!?HtfRB8ZBtNZ7 zwP=X*eXg60bNU!;`81y&go)Tf-ceM8prD6SnAl4pSgb6_3TloX6ZX$HJX@19O>_-j zlcQ}h!RTEPfTu+)+w`@dMVyLBX zu&*nZYHaax5b-pqU=P1DIIE$Z;|IMK8HzF-ifE;bx9)Xq7$s&B&N82u+YkEa*74aS z$P1EP=|!6U{B`O`7hreho^I3kG z4ZgQwuJgRF&3$w;wVgk-;fq-s*xr*XX~3Cs)t-=A8kb-uZl9sO_o49`f!c--j%#;h zozJ%`Y&@^!UoPKc$Wrz&+P72Hxoow>5*M?%RGVmAq5l~(Bb+R8GMQG$DuR4sxk*vK zv&Vp@^ZsR}gJ+9`6^{i(Dmz0-xfI^ku1WyGfL8V0)**kQx$epx9m!;e*L4)Q)Dh;0 z&(^n5mGOuN^`-v_hQZ9dP;24ftZU~>G~2C(5Aeh5T@<|538oYyqN?GP#76K^m(K7~ zZ7;b+G5OFbBXcGPR;}Vx3U}XKA8@U_FVtYK$3QrY;CT@JuFBw8im;AtQvQ8;o?qWG zc6I;ZI+A!-X8+NnIOHgbZjrPFHycIRYx6Z?oY)+HAc9$~hH80Brj1R|BPzxG+Ufyk z<+AAaSuXiQ+3d8ZR-)Yj)i@^<`quFs!$Xc)Sg~3;$RpA5m}>OYhbECHROd5%x4C`t z};uCp>=oyig^;1-z%v*;$lj_H=X6M13fjvU%mB!~dMdz8TYyK;Qu z$>TS*Pfm}p>k_Z#w!FLp#)3^^=!L69lm=(7``}zrOk{0zC}(>jXFP|v?!||2f{#wC z5CVc1+l{?RY=h@L7C&785Q2`n^5C=qZ8j&f%-*ml8*S&Yqt~uJ!RTW#EvDS|gpD4Y zAubxY4>1>l0?5@F&du*S9T0*PHm*mOU#($v+S@~sm_T7kA$u*534OBF`zf7AxSBwS zymXrgdo_E%az=!xpk&u+=WfBz*^-Y|`MqPi;izLHU?|{=%)|QGdge0UL}AI!E9BWE zSK7AB9dxipC$Mq*WpdX-kgiMnN?|A4ChOUwViwfTQL(7*KgSr}h^{#QofH%{O;-~Sc4cwPRy<})l4#!0T~5Wup&@N;!b=pFmFewf|NT+~j_LO_;=}9mWk;G$Ko~-qfSZ?ZyV{J2 z0*~11rM3pSgNTx70nP+dQi~DNpCt>sj5MKbhs>Y^!pHOgaHr(|Bb(jH39+fPhSM z*UnV5H-R+!&&Watg<~_g6T`4|Y+#A1%wlU=)h*5yv)v_5Z)Y+^8L7}xBkh4pk{R_2 z0!Or$e8iA;3d|vs)OYC&l}O`;EQ5-mMhEklv0P5IIT^|ZT^y|)cu<*99LGor6LCr{ zl5*-Y$+W;J})_>i{j^ zU7G+>LM7%0@V?q0SH`AMk41*o7oth(zB;i&wZRSYB$tqJTZvHWAjgKEny zAjLu*d*cOJuT1Q714!|(qKVCUlW`4LPK9Ck?l2RR0s^Ve=XFz|Ug|Wrt1RYpJ_Q*B z%JTxKt+Z`m-TeOD;Si?YlM9ECAdGa?o%&ItTL%hZ5bGF}Id)J-?0a?#&=FV>fMgc- zLMtW9`h)5_HY3X5nJRU~#S>(rdAd-l^3jW`jM$hxdM=V7Lk(21BBPVSX|w9}?P?T7 z>aQ<--OW~v@}_1bs5J7^jeYf)5FOG~9F z1J)Zh$v8h+f?^v7%JouINHJD1mUN2kJ=i$UO7Micye-CQo9#UqzPLLF(&b6@7DLBu zfO9$UwZ|H^^X!c-?zLbF3E8~q-4?23O~rh&0Y*boH74?6PQplVzA)Eexi4p$>%H3B z9$7fhZX1gDgsGE}CKvOml7-uZAPF7Q;C%%2xJxV0XbQUlqMFUFIZH9bU=LZa zG~)waT$(F$bul|#U+gm%8WKAEEPd0&y_7@H0|q`RJKxF2wQX}SA0=mI zf+Gebw#NcxdU{d5@hwF!h_|Y!Hz%r}RL_W1m4z)?WVczqqcv4VcTvCe0>qCjK5}Qq zt`&1I^9(E|a|C=5X#Iz)@CPfmqNG-?mn(Qd={0k@XRWlS*kYCe^)%cKX4K9x(9y8& zxZ(H9xXj^F%jM|p=|9d?Yym^AFs?{nvNpFjZn-GqidEp@k+V?fBuR9>$fNO$(QO_i z@t#&OSc9yxByxq8rw>vF9K=J*-Z>)d7C9e>gL9(WF$k-VQte#oPv4FJQGRh(MJhiS zooQj_Z2mUZ-!=2%qrx3&vg{G;LXvupAPdeRfC(HHB@9WLypqw^+y1ml?grqiX;{IX zztJ?pK&MxJygb9*&5bw@5TBz1+SnnnIoHvK(GKJ!`XjbA!zM2?jTm%o1IDXsa{SPX zmm!1NO>!KpoTsxN3eqVFWw`0$Xk>qlmTRSCoEY79b{S9$F=%`imZ@oFc%fx(8#+JW zY~SqJEXn$L1FH^dvzmbI=$65dlgkUz!bn~ieZdde`TE*K7{&@7^AWM(gqq+sqE(Jn z94V-@yQ@ZT_N1aktTxFGoGH zEqq7$4>D{iVGMm9{Fc(t`kfMFe#xoo`aqm6sU9Z5@|$jJMYHQoQdVwU9v)8BL&70D zILKZXQ0XZ=@j!8Y!&)dvi0^1IeIAH)g3@B3&{4n2JNUqx?1!pA@2*;)1q8yh)}nFl z!R9wOjq{}XAAfV&JD$Ial_oa>^d~9R2q9$H?2MmaqAFOb=4h--Uh$huLLrCvQ0J4| zg{F)HP|5oFYo3Lr zxnV# z0$iQDM3NPIV?`(@toqsQo8_IK3fOqt$`G<3t1<%dDmlkr8=nbs6dc@SXHNF2KkEX1O+&63lfZvR@^|K`j#qq6aE7>C_|%C(BMB7k8EQ+)L${uGR&2p(xC~Ccq-bwA`nPcAy!Q zNC(j|_2U{AHLc{@Ba*K|GsLI)EB+B`&`>5-sj?k0lFEZRECOsYU}%;-*&WJzPI#3a z7j`I9#|G27xv6Z?;pk%fnPXhVab5EX;p@F?5J2AVHAgGP!nm0CP+n8iNyHi8)DPNB zABbl)tLv~rzYY^1w!mN*o0}o41>l}dtrn^ahCzkysGbZMyBVy_$`%&TVP)}nW;HD| zhx^d_@i*WwbCh&cA28g2j9xO@=5?>FLtLtzg75BXcAL%UkSWqcAYcpD^*xARu^$+r z5Q0{@E2)i8m5s&YlxiJsx*5v7cY^hQ_ufTp#iH3*UMZ@HNt1&pQWwKQXAM0EVA&CAh*ZCjovm3F z3B{u(>4ZOxpq_dL(4ca??}0#96eeq!W{qqfkbvf#DkSGcOLe;d;rbKqP@21SX_7)-801T!;Ks)pTz66Q`jI;wh zZJSA?d*#=j1m$tkXBb+o0NmxNrT-8XOzN-~rtCIJY#uL2_$Zo?mVv~_6-sd-L?vWk zNbk9TgpR{a%8P*1M6RTsbkJ4YZ=N<0F6oGBeY!R`0C4`yNn4SIW(N%mWV}+WqI)V- z=y?SbJg6$cDPI;Yqwg9p(9u;?XsHQ{GkY^q`hCE2Trz1&3;3oL6wfDAmYUd=qJ%k? zG@#dzx|h{;h1s!G8iGYG-EU$~hZspw)ho!HIUPVcL)xf0gDh1@#Y`Dv!0#JGbxv1# zBmc@=TxvpTj_6#iij6jaVp5QDfttQ_N*#yTmBqbQCSZ4gaBVO{7_$3ZuW4h>r31=v z+=39u1E9Wa)q(V#x;g#VZP~ojxVQ}&Z2<%{dOW(WAYWQ_8>v$9?&X2Wck>A=a2b5x z3RLuKd^!p`d{=gy{dp2gSj{mtcQbnFUZ{0zvd#wavZKG&M|LjKnFU1hxZvf%@(Ylm zfkjq+Ke}8P{BbGRqY^mi-@V z^{fGLk~ODMKune4o0we{!swGzucMkvmtgMWj@B%eV44dx5lA&_EFo?s^||+x%}7yY zyL9XG?@}i-=9yCG!@~=GC{hvOt-HFDqO*m~Kyx{@I!&5-&|BS(wDd=CGXSBGPxe#g zPAwx)85{NB;`+-;^Brgc%Ppz^Ue3Hiwcp*9gsSM|<(Z@=+UM|m0YKst^L5tvsM}a4 z#_*v}Yxhw`DicOKZbsH{7NiiT4j2NaT#c|>>p+JLt4a}LZpgNPbM)SmOkn~ z=v7s42|mjVDUrI5ng|x11+>vg%Jk^$r*DnuOL_q^54_F1x}kUX@1sgJ9|_4lQHThnC*g%4=e zhn`{63;@XFJpBu4-O$HT=K~?BRgx5VMHDetgyr~R8wm8V4M}P3|!?R-LxO;D`R6u+7$B)Uu8O9 z)#QWcIX3#}qr|-WvZmZ!YS%rVVWJbI3y{)|1iXlb2G^N;0G7%rX1v@(JJ8?r6(4wg zsg6ui%A)vK%MGWN%L_~%HW?n4W9;QdVYBy{X0o08ludJHYh$ zPtnt!{^BXeG-__9mA+o6Yua&P(W}|=+Si+4w?&i;Z4;V@McF_Ob1d(`sskP1hAuNjo6&M^t{GC-ycxF-8Lwk*4tPLMV=-$z3BF&a6t->IUbX>mBJr;;FDd2dIE6X9Q?&ivt4+xC0%{(qtw*US8B4vuvwvE zEH0&El$r|6o_z`sifP_9h4GIBgip?~_kQj?Ax#&&rjW`_d+fb05YzyvhNX#riIM!0 zIq?=H@s{xXmhk+R@cdtaApg5{Z`R?P0&fbuDe$Jin*whN{0)rcCnoS07|G9Ah5y73 z{E{W{R?olH^MBOyzedBoPHg$NL!N)mcll3S{{v$BSJ80)bo9T9hSSk{&2(eZ)}^3f zWO$8g)??J6(9)-7q0nJ^%{-xI)}?1*`Y*9?U4~bzhJi(!;W@dQ9!vA-b`oFjL+jsbz0&fbuDe$Jin*whN{GEFKmz=bJR8+swz<&gk z{lWtO!iB%p^KbS1U)1w-f2*GV=dJ(o_Wi4R{-3u0%X(g0mx-B~L6<_GLF+Z@nc=sn z=hqfZir3kRjEwraIy7_)f6j;etI;hC)HFJ}3`}$sTG}l1uWh0hM1*s^9AQKT>}G zQO`5b{T3DcXWQaGqMqrg|40!2ZIt>yw|UZ2|K0=ok2X(w>Yp8lxU}@tKl?p@`|z{L z@V5^?y9@tk9iS}Kf2#xZ_sjU>R{qaBK>wYn=ii0-Q_Q~;_53$_K>tUv&r||`c80!2 zL^Hp}LjV4(evg>`&vDSNfAhUQxZjhdU)w}kUQ@MSm;W$!ezA6be@Xth-T$gBl$QFJ zw$N#n?>0*UQ66&|G~pfc;96}4szOEPx%k(FtZb1{)3LE&NxtOAQZXN;ty?5+@>k1U zKykU@qa0F~ipUfa6HcCw(~c+3JeDnx6{Z#hT<^Bedi$GqZbvSkv>CpWn=5E|Jk3o- zXK$X2<~p`>-XHW%ob~#_ORK74eU{qPKpff|3Q<((n^sm`#+=!Bn#d_K@iVHv)a&UD z$gc0*Ym}|BZKL%o84fDd#&b8u{>)+(yGw}{^EH-ISG4;~QKzDUpwI1Ysdp6|AR4eB zON4qH%wA61(Sj5U9+O$I9?mcwm${vp4ElO3AU~MA?ru&RJl=EEceUf>|o^(#Q$)T+1N&a#|rdK*NdXu5_ zrhBFMQLib5u!1V`auXGq9DR6;=;NH;V7`Zq6>y9R0lT{{TsY;4v1=+aPO4>UO3L?7`-X)$0R zg#Gi46W_tJy`#hRJSDT9vxOiifQX)B2Xf`WPq33~35(;iq@L|Sn1wkXncbg46t$W) zb^YWR7lng_%qOS?!X;+KJu_+xaxO=K6il)d$K(au-#L3L2cwcvCrV79rhK=28JXap zi7gGq#f#ETTuNFBUMeaw8#oG@j15CB1vo53YVEf0vjM^XFg^zvLLg}!8J{hfJk9sM z&K&6M>q0*IlY$`EHOmp6I3Z2Tm?89f+&4i! z?ydr%vqrM{aY*6(mV(ol zoFA5HI~k-BspUy+(`%PMIih}YRAa#e^)?~a5VVxJ9+udi**RY-sY#QpSSV3D<)5{ zQzb^kpO$(b0v_P)+YNo~kXSA@4lid+tlD%1L3Gw?zdH}k-|5CPCPW#$S8P>UCYL|y zb)|8W!057xQjzV2K6%I3kFUKa5@koA@eU3Pup1jEMqC^fD2e@f?~H}V#@_y;{uI2p zJ*BY7%B_9thme`P%r-LgM1~Fc3z~~6-{C$)=7WViy!w_7beV6nr6&ZC*y*cc3W)Tn zsV%HXcEPd!G?ShM6g^3zVkp^?mN5`fJkL(Grt@dza~t+Hsck1*;seU$f zYyR!`cThm)E0Q4_Oa0bSsDZQWcEQE$H`jzu!D4A)nh8{(CRXvRj!J26a^i+!D-FP% z7w4TTuzLqx0)d_2%=OhWJGMsH9a? zL!+1N@NY^}H(?u`$CVXTLyTw8LUnhfQJ;3U0#0TcP#-?1qXQ3~8oH@RN!ISDEt!UP zU@OqKcjFdsWUi;r9A+ovl{en%VdhhNN5>}77X-iyNtx9#r>2E%APAmT4$)>h-(J$Y zYVQkAnT4_ohdK?)3(tL*vg37lvUI3_B*rbsVA~S$DIXrWbo-=VUwo(CJugkX>B4C? z=DWGyf_xB=oNPq965>H`^X%LgH9M9(xj11rI(zoA7$eN055Q37pbyYxQzsj?s8^BG z{$WDWZu%>Pvz}(H?isJ^TnYNKE*N15L2|sxbDPig#F1+g4_qh58tM!DQU~0#dzY8U zQ;8DeO7&N0YJ+O>C-bV!_h(v_jrsuV-Ras*PK%34KOVLs)s#WBBj_*f8(W^Z^ZGiK zy$Zpza7z>r6RePTdrvP7E;#tTEVdEwpePaeW{FCla5i4z?5UGkO*f<5;9K42mpM$~ zrs+pgAZk4)>67`ZRnG1oN0?Sqp7{d@H*_FumxK&PkT9i??bn}yip?C6W_aO+bw|O{?g6x!bo;DyjN&=k${HWb26lq z2s7_}rl%%&aRm@HqzvrF9)7i2=a6a&?ps7W4(=z>w??u`o*r~2Y6dEwPsdt<(sQA z26;nLD_m*AN~df@v-c$)sNg$5Czec3nr^jdw%e{jjS%=_<7&tKQIgFICJ5+eBl}Z# z56NO+wU44r5)tZp*_{GJ$zPqS6RS8W;u?|(u}sudjmhO5U>|E)+D346H`5y`XC&Td zg%wF`r|5FOOzAbj4Atl;4jy0G^JjfGK+3`&Qa0Ws0_6yI`cB!=7cCQa zgC0O9?SL`u?~{l)!6`<2mR6}5^EaZhHYORh3XC;KeCH6rW>p$?C=CLeCAawL$V=?B zoorVq&Z#SCwzGVWckRr!Ol>(5C}e27cJ5bHkL8UJMlZ}1#u*oPSUW5oTALqoylX#{ zD88I<$LE*>RTY#TTUdx~doS=!-wjkWbgGV!jHNb)}9Vb zs0VYpncOK5N|x7J=NU`-;0_oW?omw!*5WZv0cLYE*9~YR6{0NK7yhU#xOF)0_l-md zc$n0Lk`9K>?6~t1xSkn=4evhkfEBw1Q4{)b;liRUC4}08txGC>0t2E6BhxHYsm1)! zx|4+-(jC@=rhkyeyrwv_SdxtsUVsh5b%o4(Opy~HtfT%Y024xM9alszuF{bIbD-%WG>6g#M1GFu!;e@iGGFZS|*r#8Ubdf`_vV63zq@|tIrIj3l z8a&krC5=w^seFV}>;uX4;B0GPTDM!ACn?gQX7fA9{m1?FLCL3rjXNu%#e0X)bm{@G z{DFXp)u2eZAgE!Wa!Vr52(>@sE(F(PDRQY=*Z!z_Y^nHI8PXm6j(n=3*T2XKhDl=M zVWciZDXwRqSda)T0W{G;R`6@3^sHMjuFc}LQ>Ux)Y)!Qy&VZ40W+I?PGv>@uBO}aF z0l6FzhauESh>}`7pRJV2OO7b1wcI6SCz%Y0-h)&~>1~h*S@hFqFRSZ{wHU!C6urps z>1!KZDW{@Z(#7{EUTe&XI0$?oBBk`Mz*r>*171}8r&~4z)Dv|m^Ve2(YfT(E7pE#K zI639^msOvhi<``IHmV-dGY?!p1Mu88aTe`p`a{;D7u{W@XKzc4C+N=exZs{z+N#)Q zo-X=HLDYGrg)ImVdBk-L@y=8GjfUJ;Z^h^EewwxdY{IczbrE*&^dVj^ zDeJct)&!rAqfofTz3@R{nOr}ne|_SiDyrAEZ#9|Fj$*bjk*>CS+BH&!Y&SpU3yU}v z5{x-t`A1#SFa8^A`WiVjR>V#w1MtL*0-pw#>SJ1S1=alq^vb?_XUe+hh8z|5r(E6( z7Yxb3B&N|?*M-n#B&|BirU2N`JA?D849D1Hns_*P&u{=xg}^hxS9lr&#c%6np3eb# z79tu30NhBsA3eyO?B#~kagSaWz)Pp?K5mXQFA)T48_&0GjKsi;mNlfW(C>vb(4Ngk z1Ej=6y#{};)KC(E(^~S7jox++YWs!3nM4&g-71ojVwi-V&}o0XeFSST&?9zN-)7xp zEDW$Bjg?wy${SK2MMP2Xg`^(fm;D$a#pCFouD(dx>PxZGvZpg@MBJm7qrL|?l0z00 zg}zmCJ{T1>RMn9B#DprCd-Jg4Fw~g1k(nuds!gynHC;K_ZnUxE-lQy(cDuu9H^m1a zBa>N|peYlSv4nI9uc%B~lrbhrDdN+f<3w6o#UBS=te(>P2=G4ht+#jcd7%-cKujdq3xU(e2VIgt;5TZ9Gv_Viq(={ zJ*)JQLAk`$f{NJnBqH0AM4LiC2(y9Y<7rQ4p~vVGDv`-A=O2ZD@{66GS-A*cKdGnT zq^CdIx;o#>9Je>7GO=Cj1DOe(5ZIHCCIo|6k0Qccj)-rlob5mEdVQ}P*9&YcX6v4E z$$ANf1V^9+!XO3ZTApa`0OhV%jv2BCyL|TiC`BnqEaQ;$;`?#pJD`nS{vn3}V3)6| z58Y*z_uh-%_aD?HwR!d`=X=ijN}BmUnFc@rgRi_P;se1L>jFPA0>rn>?;8$ zH{ytEf2f3N67A*YFoW^gx0llOniL36OT8=3zRCy=TV^v#3c28&k@3cPvfq1d9Op4+x z1PPDvS8^WC6D@EsRXl+1$E6$MzqgBBsb4USj0#yzB51D_u3d8LdRNUMI6urJM3h09yT5tmB~MG7h4&M?}!$ZO8P!V^DCvP(Oix7w$DE+J_&H>k@106A<>^ z6)A(VWs;WKY43oy{SMvDgSNy&<^Y!4jTPH(DOhjx#VM}ZUZTzErf#JBsEmDii5a^7 z;rxfa3A8%NoKJjFSZnLj8H_IaiCJ1dTY*tSy^AfPK-fuIzo3J}=BH*1{-A9B^-h|o z@ilOsA5YjUDHCoNw?Af$Ty-6UvkEGZ(qj1c1|{Grh3mB)?%6m??O(oy_l0I%IjPn) z@AC9|e5Pw_@Xr7QbVpvq8Ep~W%)y<-QcNS6GUK{^{~7(}YHG6~fd8WB&Z&zTfLg-s zHXy{wve~|Z`pi@$;Te}k%Qb@C9+izQ9GjCKq6<(zBJ)lI5ELM{picEKIzH*C-w1JQ z11g%g;PAKLa9rFsqU3+fiu`*!zPX5R3cM-srofv5ZwkCA@b42PzY_s}xc&b@l>A=3 z|1v!M6%}|R#NX<9F$-Oz|6I@i+VM&Mw+Qin-ufSJ-@hWn|7rWbBE)s|nW*(?bhIfL zXkX!Qdi~cKJ}lbIIuvxe47yA#T3UKqw9Nn2ARuZQ23>tUeMSm8ZJO6XLOQS0fLQ2X zrvXuGYty_A6w+bTej~*Hy?XqAyVcuw_?rT63cM-srofv5ZwmaKdj6N7#eY;(zk$C0 zA0hr5?foaz{3jt!^Is9-H2*}1)BGgF|4j^B+QL@LRu7k&N=#4JNQ>9PQRTN&F|aUD zzLLny^t5WfGRQxV{KwV(pF`jO{n#J+pLhDFI)4ZG{vEy6k$5sAoQk#y2n)X*x zTUo_ssSnA0w|rhO1A*6N^)u0k=*Qg3WWz&wVcOIN*c#vkQxa)B61MB|6NhVZb9_8D zs#IHAno8z*pXyzA&L4*dNA6Db4GSSu?d;9Zr{gKDsx3-oC=c8EPcsUuswrcmZOzgf zBj(p5SBHo9i;x6mC;E1BE_i1eO{bZY>b{y}2)Q5TZszLAoIBFr&Wvy_z}&z%^w zBd(SuCA;mBs+qz@QIsP1sJ>ZvA1K4}>ZV7qB*qE#d*(b}JA;1b`E72`=Ug$h zxvZ+pvg~=r>Sm=`imB3gxl%z}#*k5ctz=!F>8h%@BOd4RQr;RJKSL6w1iJ2an0y5( zGPTBy4PhfW??^IPchN_m8jc&e43$rJpUh!;!ljEcZ$*!DP6iE7l9T%%^jlF3;&+Su zb+@eBM`IL&6HDEY{G#GqMFpATJ)cN(jZVfq;7uBoYR26V%lsVzozy>|O;=x}qA;-; z=17w|g59N*_8#kVJRseFlp|TEF9GGsokNwhI7R>@ovN~)gq%!(FK%J2j6GFLg zE)&x;4v_PG4gCiTUxlPXmSpRRM^BC|TJthuJ4PLLF#J?nDr{2TF9L7E*n+_BZdYJD zOrA|=gq3+Rw22bRkid^0i?93cuFo-FvW#;?6Tk7J1k=W$i~{#KXwao(W#KidgL@rX=<5A2 zwoDZ6%wQV$Ox$7m;YAtPdF;a|bXX%3ZrN@;ibh~m@Q|Nk84mVMVFQz_wXBsw(?<<+ zDZL5U5{o32%2mq=!5nAGJK0^iov$(7=V=}_(4GeyU(L16N>9BTuI_p`HoWX|kOcWPg{U9VQ>fb!k6*n(`qIwo@Y!*xWKR zlfy`yE9m!&q{2XwHcdh^$MrCO=ZCaK%(@FqZA7&Nu!~+Zp8;hl=G3s9B$ZE`lSDue zLdzz?(gBgOEw_8xKXOX93rK=mw{1;Wknegw#Z(E#1n{<~l*HA$?#OHBkqcqvHBb$b zlPKI~jo@xl^R+wJ1`kSh57xeXla$$Pxntuk%>(uuAK1^;&A-QHjAsQCm5bdfM)bGhSbm>3!I~ zx4*z9CF$8ID|Np7@lZcf_rXOTnQ@u&`hBH2ae5?+y&+Q1fj^3Ny+(^V!-jeFb{sFe zDHCjB15$JEQ@3%WJKvt^OG6%+u*Qs*3!OJ7qBJumnWCfK32vT5jBDKi?GGSz0GD=w z2LEZxj%i&>;Y2@DHL+}aXa2kgBn}`2N=nWWl)X_mZ!Sv&>{`F@xh;|D*G%$8k?E&% zf2!xN&iJD}+uKggASZ4iOdVkTORx^^cAP%PihxJXR*X0-na2c(gg81d7~-^Rq#Y;r$Gw)22Vf;1LkweK9b-p3I-h?q`xL1E}%eJ}pc zcePghmxhX#A9^(>&5zMKW6FNBE+-LeRp|xj6Vr_kfuj`M|<5i@lf)oQ1OK!f6YU`h^3Ek}|Qu zs=2Z%CWu9{HcpAy%=w&4S`JMTenUGJK%{O61tH&v)$%QXYQ9l@Po-4$SGFinjD}#9 z1Li1zLP5#hn8H?gN5;T22bNTmIg@ix6yLSSj>tFSC41D%rpWfEYGGkU3mshTq@(Rrk=3$ideERNR!K0?|ObgIbamF0hg>!76-h zEP0e-aF`FE;q4-G)Jf3U>c6f&TbY-~hYb@>0aGjSWyF6diUs76ksd@Vg$!cbI1G*? z5!x|AFRu%759y9?CR;X|hlJN5pt0|>w^)h1S{0s}FZSo03YuQ<2{-#00hsI*BJC&s zAGe6C#EOLOw6>~{SVqkNIEdiHgjL#-v?`A$A`1z(NHWdS~ zr%Y;BCL+RAdj6)#eiG8p16uB^WyUcX%J?qb9z?vBO&066pDkIRM*1naroHwr4d9`Q zijidlu5J<`&f({V@$^@8UHw%xqaEl4#l}yBB^b19`}`?6?CL1hAL+%#z38<~#Rdn% ztPmyxPfq8eww!U#Y|rMGES=a!73-qRWg)me>7jiM_%y#06r)G(`lY(yWiuwWt;cqk zRbWOY&ZCIvv@tn*^eQMVL%dQXT_s#*I>aCV^z$IK{_-@Ao9%Wu?$@m7v1@t$ z{Yu0Mk`hDhP?nT}v2=j}=+OhaaPXH8aMm1@#AvFVem?!vDMq|BkK^^?xN8L{ffPbU z)~AW=>2;}~5HFOwgR%I-Ff2NX>-ETGc==tT_1UtzW`pwft^K2IYSG3-ed%~lu0zLqjbq2u4mx`H;l zM?mO-Lp^q>ZkPqzP=6_Y3=DdGh(?y8KXz$!oyggl2GA|k3TGuv7Q~zx{S{H1PXC_% zL^NG9-K%fb2h|XhSqgM=JTk!%^2@MoP}pLf?F_BK$6OA!(DMcEbPfUHZ1m=LT-3g3 zGl77``ozl#=9g2Kmx3Rrh(Apc@d(KD=Wma}iVmfVF_EO>b;;P8z(_9o+z{Pg9xTv_ z&j(D7d4Qk-41^_^{}ty*^Gi$qTXNQ0a@N~qi?_)Z|6BL-U+@3+zwkE&-V}IK;7x%y z1>O|+8@}jI|LhO1>=$43XZ8LI=g3U=o2U7w^Y#x( zbN$cdzp`F`30D5s)+-GSGd;^M-s_=@k%fAHH4Q3mWJ=EfMdZn9O$rCzRkUp+R|YAlK&6y(tkpyQ8ZgbMXpP>0E5h=+ArU z*s0HB4!hyctJM}7ZSMA0=OYIm&%3MaPOg_f93+lTkCw7mQ?NN$IqZC}#M5zRItMhc zRL^y=i_rL}-I?9&bp0*D8MA4`n^KL)pz^t}-RvH>3hl1(w`nj{Y^s8EIIy2xpSvxq zgmiX3sic%mu;pY6>MC~Q0_mfTc% zP7x)D=EX0J8%DSC-#9)VYLEB5N87ty*ro$A02&yJ1$e$y^%{=1Kyr7wwr3XV*T6w& zVn_Rab_e@tdRtyD!Tey5FIc6w=}*p82bFzMJF5lNI7_RVhQq;SOgwRemsr_!baU?Z zVVR1Ps&9UK_ul#5*}e6n|MZx5DC)?MEU5U+gp@RYI5wAU6t%+zR zgVlCc4>tz(`;E%d6)4>1_CZ0afNb)DNF&jX@v?zC80(MOc8dKTkH(G^-Laa23-Tpa zw%vI=juaL+9PY`WJOyY1CN{xUbEx~L*N0aVtGZW;wOGbj+Yu}w@$m-bw~sRueTg(l z)SiKdmJ^y1^B?T9gK|4Db_F%Exj);(7VO}+XMbY@Cm7lfjSeq0C6RNdlyDs z@QqgSQJkGP7ZN`|I9K$6QOY7x-I`}5*O>1ry&|G5jI}s?4DuY@L&ppt&AvkI`pP08 zPFmcJuvbtVXQqu4}-Yo!5qau6dW^c2O(7 zXc;pyN_qzSg`#r(5DH&GVDJ{k`znUzLtyT;D9Q|=fnp0e zp+^srqZ8H1_l_zq1CP_kHHr1Bn`YI=Ib?j|;bBy2#QtbRX5z!EQUzidE`W82`EN=e zu!AgN$#wciV+)NAVY-I#e3NMgb-gXA;w^ZY%d_3nz|z+IH*33ruM#(qT5uBvcD_m% zkyMpNZW(vrFzQ^1S3>4MS|Crxp6~|`fLQ@6Ab(>aSTe29(4=))8$#Aj-BQpy3=E(= zSU7_MhyzuJD{=m|#+1!;FTV8+C|Icd&~m+z`D+%U6gk#kD}~ z6WG!6;FOMl7(`_jW@O=lefB{Nk-v<&vcpKEL#?K8#T$IozgoCa?dXLdPOX}~-n-jK z=4>tJuD;Sa_a;tt7j)`4tomW;>O>jkU(~_O?sUG(!#D*>8^MO}Qb&&OsVM_m#{;fV z3%{9^rOAAHwyE)AbbEh$IC4-t%!Gon8{b_$d|}Xia8Zo2s``90N7Ow$r?4BJm66J1 z6g1^>dD(1Go>}2`c6&kOBgJ7>nqCT1Q_8Pf92g+PwQqptx-I|!8n4|R~J4*}&4(7D98%HWm1YcS3TJG!5zRKHVX9c<1J-&xQfz_bPnLonBF`pIxo zi%%B)OEzY1#P_ooEKZM00;4@PuN82=pfti&$k@RV+y3r__fnTzi4kdR0vAwJzK~R%mMCk zo2lY|{!o&!M6j}!!uTV=XOd@SNN+}=J=Xo|b`U~$^i1dI=78%-t@+6V#yU9d|FQQ~ zVRbEQwglJU?h@QtES%u(?(XgoEV#R~aCdhN65QPh?j8sdLN0svIk$KBJ>7lJ{m#RE z;H^Gp&03Q&=bCF&)%eHw@$fMjF>KMi#&y&l_E+;~{?8BQJ~uh~IZ<>;U2Ae{N(Ey| z(OA(EXN_lc!#XnTS5z}*Y$)rP$03(|S$#jP*7Ey{5}JJ%xm%cMJCso1h|ZPR{Xn)l zc_Io`Vj>*#h15|5|f7&_{ z(eWSmix?|aIsBIn!b#xx-Lk^JJpyZl)5R{C9g61esmz|#>h9EP?`p)2Q8%O!ClZv< zbf_WQmm7>(DC#Y^^YMF*GE`j^W5Z2U5i0WFq38DVzpO2bAl-vE0-BaQ%djEz&bl_X zO;=T1J#e^3m_nA^e}<-R=ZfZDUYID(qqfpSWo9Eb)$-Jj+qt%b87Bb6Fi|~Oy5_lN zjrbdb!}mlF?}7+Fb)Xv|6kW>WRD^5)q`T`0I>i-n#pyJ_otlCMf9hOHki-ep>FGFK~6KO5l* z$l&7Dv6n*2QGb$WgJ1R7`K*W5Jk`#!zBvsh+sIR)zMGa6R3)&RItDpQ#2}#riyp*+ z+rNt39IMcDh@U183@(!mye}1;KC>k4jYnw~tRvgsV)Q&@P^j)!r6U&=7#M&%(VfU= zN17zEmYD3B@;hu!#L=9Xl`$HQ&4u2gjmX6H4}-<(s}Q;zht+;ZXu%h*=O^f0tUZXz z*NElCM5y@IIYfv~6>Zgz(2T@B@*60n#cT$0tbTITo zRHp}`aR~5ZvJa)y+4Xuv@fM$4_`)Eun&hgf+K2CNEQ!C3fNmh+)N!f z!J;H^;&Gg&S#z2BNzwA85M$&>z|P9yug65*cS%ti;^tO!RtUqn)w2#&1&-vi4gW$L zB+p@Tf$73_a1QVYlZChp3xktMaO$8|1MY~`s8bi{I@|xeuu)dP0(Va-!dJbZ9xhiS z@KdiJug{l0EpSnC7jd^tLR^Ix z9Ks&329)W-w!sAKOJNz+@=BMBd96Z`=Has95v1-TZ7Fz3WXrA5r%h07q<4I1-=%NM z7)K;ZqAP7jb5zXYQs@m@oc}O`qvqeCxPckN2=@s(-yY?c5_8>?HdPA-Yj*K_*>r()O5F)b=Gh?E=r+r5Ad2Y*4Q6lf=vV}4cnppeG4fn+<3v= z5c^^?vmwSEXJR?Hk`O0h{w?o&(n02Vq=EFzFdeIM|tX3D6i}__qDp}#@S8EGBE{!=nEhaVX z74aIxi6)LEtHo@nRRorYnuq#0zPap+KY3JC961sNQoRTbhKp;IL#*TOP zc2merT&YZ7&SB4EZ^B+7oo1&sVg~{^o3uZQRU*0*xh^_!Qj%;XEat)b9@1W%t&_x> z&pEuJhrdnND8R7Q5Y26tje~|-M^bcGcddqHpy^k;LW^+nPcn50oHE2lUW!kNAeYay z((ycIhR`)Oh+GD(%ZdKe+Bmqq-$||*LJa-rt`Qkyq)5%PiB-6iYTWxhnP=x$jpF=L zWeIJuYzxz=pY~{~76&495)hb%E(YFfG9C%?@`nv&gbm%05Z#A~f4vKCPe3;#t$Z@4 zBEAfec6(_~m2KYxU@+E;huLq((gvXFR^Cv&^cc_bI-t{&2OTU6);6^n1UZ_)`fZLu z8|s9~$|92qRro}Z!Kz$5xM*b@XCYThJ{DzQvwv)LQ+00Xt{g}dK3zQ+Zl1P^Qr(dD zvKWe?J6&0kV~z>2HxcvGiSs**i)D_N?ZJYa{7C-g|_A8S*dXLkGcvA{6ImJ>x*$hEqEEN<<_*g1BNOu=9@vH zt$M5e&f$Y#`&DgQ-*bnF z*r*m81w}AI@w-kvlPDqYQ*bt43&$GUaktDp<8LVu=BUsFQ*yqeQOCjJ%1ZXKhV&ba3XvFlvPTU;X+}46*%TJ77hYT}k?gU_}Z| zNyzBIQ7;z#!)oD0=yy=A#T>&r!-V%#3CNgsP%a1^VNJC9KWm`M+*s?var7+C;$SJ4 zFvQb)Ri-#nw*TtfFPej3Xlrsji*tf+!ve3s!U%GKlokVrzbTX*>%jU1m$Wwxh&E7u z{XJ@xO=gyNc0;4kb5186xbsv3?TJO9(3j~dh}Fhdo&W%F_BOT^_F!GUGj6rgcoI^o z5b<&&K^D*v4Ex*(0&{zh*53EYK)@yF7@S1@fppC1I^~@sJTNzXg#?e=e$2|zg&7{5 z=LjIZz^X#OM6V3gGRq%src2zzbq`q>D)h8%VYY?HhpHG^HfyrY{DebcK8#p=L(d+! zlDeQ?M0a6NZ~0E&A<>80&KL4`B7^g6NWO0UIN7#=Eoi2a{ekG-JxWR0bP5!-W_O!( zF^*5@o&K#?yu)xB<10y_uvs2nbqUebu9;9{xm4WusI&6Tuzle@!C-&X)beK3TUE+M z6{ZS*`=e2psGqzLGfmrI&~7H<#Pe-XTC~>)%%w$&IQn0sS4vUp8l+%W>s zRqh4cK`%{%L-Cv-nB+Up%+G0OXi>Xr)o0lBfPq`W=2su8_85Ol!}pkw@X6+mfq#v1){m45Ua}ZA;YRvU6}7OZ_OKfhV{gvh86 ze#Omm`UHQyxeN;e&@< zT@z}t$fK+OLN3~nc~a6*_!Jpy);20cvMrKy_3_j~?QyTcdwoN^0R%0&@Z4RXX@@!? z^?Q1TeoQCM+H|c1XJQn9K`inl3^+YxoVCSO)FTX}VkFMj{73@|-Xghl!f;XbET9hG zZ~W@l#hb$e8BO+s$Vyi_^iUhM4%`l9r`=(OzS8k-bKH+g7aq*)@|ZOjiU7;8Xu418 zL_$TPh+z4Hok4CzjnWG_RnCCXt(>XePG%X3NRjP1)KqDS_iZB~pGO(=aw%h6a9NUQ zu&Xmseu~xk>!~Y@!v=OEzZI|)ibGHc2s2%zJrlAld)aFS;6_;hmtq?(l_>$arm}ce z#iDXE#$^P_?p<|sC2pkUzn}0cn%uTNBtI5wp~!|rtSlfMAAPrx9UGyH-E01VOFj1q z!Mcm?i<8F{-M2jb6^37<7s5Z2zn7e-t4(+zM|wHX|K1@O+t=%>@R!68>Jlp14vE7yN}35h=|t|7}ou>Hd=Q{99P_t+5#hkYInrEVuNyvuXK57(c ztvyV5R9=3X(iN#ZGArPx+D8*sJD7}{JlQeiD)c=jVjFdwPrclLUl`|FbSq&m%IS6H zmNNJncD}K?=gwFm@owTCLtyuMnc4`tv_B)9q2??*&}{mpi|%_Fnbu@by{65_HF~A@ zPe}M%V0ju|pWuP?x3Hpc41?-}Q`r)Ya4Dljc?OTXGO2I`KO1WmRDxkDE3y zqJgPmF44mVxel-{5v$q3f)f2;!7Jdr58!&QQ{o?yez9-Y;FB1-nVZ>sJ*p6 zyg&C(WgS3$K**;>wz`5TWf>!uL~;w;(;{-!Is}J>BI{pZGdSx-8t!l@^AwBmHz!HL zVk7U1Um;{~q>l#S1tErft)v(p*ja9|I7x-R_pvxOR-k7e)h;$VCK=0%i4H?*5$3j% z?O(Nl0w(GE=j|g^(kezOf)a-tp?86ugi`j16Y- zQy^s5XYpC_gj`Mwle(=^-DfE2Y5h*HiJVTbyN~+s;2G2Ni@>5!*ZupUi=PDB4Ld^2 z#&EK0*c*W%P5Vc9Ael3$tKOhuWJNwLmPgmBlg&cdQAMRFeF@k-z2$a79D5&ZT-1Qe z1FYoZ$LlkXqIH>cMEzkg^j*K;0Yjt1%HBz@Du ziYKYDFJPpawmAnI^HKtR`;h!$ls6K*xST*Z1z7;0u3{uR*c2w)_AU`fN>a|R+ci!A zZG2(71Ye9%Kh86R9aVCqKz6Ie*inlU4?C_Jssk47M@B-X^};39l$QMsJ%oIhh4cHm z(wFV?qTOZS!FzFQX|)!{`)A9EyrRg}a`g!|t0Gsd&SVsT1`!lg=XKRFO;%Hu!D6e( zCFPE;f3~CSf!RFRoq8O_!W`!0gKY6(EEx*BZY7CfG8o=`7zR&~ss^Ne2}k;MX5}3* zK}iLnjAQf}-d*OA)0ASz36~1|K;LkzAvf=AXguNgEM;;LC+5Nu&gX{mEJFyj3dtkf z8fjOCxiUK+I?`Ku2)Cr~tCZ1VOC&2mQLvMJdh>h;)cNZ0j#6mqHuBbSn#a}VX;X*d z7$srHaz|WG3S5`5PJ{^OJ&G~&vx)`0E|8-2NhvS)AWoK*QC>0#X`aSM8?DX{Ja~{5 zf-u@e6^2_k+|t%A%|_fbOkqr(sgo}`+52Q}i6_dF*vaIGs@ptKoZ%d{bJ}w;h99al z5HXShi2)M}p$+T(@CnFNMNaUn@pIu_?wns^-I|VWLN2ptdVyMa;7+s}j6ot^#E9J& zcLpdm0k~g@KP!dpis`5ky&s{1Og^oDku?yB+I(kOQHmaN2Is*oyTqH^HVr>E%!uXv1ZtdMd!7;`$ws^TZ=7CDu$2cDYhFK)LT$M}F^L zYHSm=1_Rd7#J z{zvmejmf%Bn)%SWD+yB{xVv(}1}n=?t%jBrglVo|qTu^EWs`6SDFf5PUXIyNbG5Mo zZ1HelwXxt0FDRU&D{>37luu<^?Mo`B8Cx3S6W|jf-HTEDrMBhyB$5<8WE}I(gon!b zy~Ud$S@F&gX~bxyC^L|kE8cySR?^>%x3~f3z>GX~YWJ@i2UnGOF*0sC?}!<5_cKA5 z9Qy^7-{Rtp_WXoOeWGrm6`4#>!t0*C?-Y>Yq%(F=kt69T9}?jlQ{`|56il+585f40 zR{iV_)(4NTBnySwZ?iYlHo$DEW3}!HKtu;^$Dy!C!!2d^(pV?aQF=PuM&B^a!&#AR z$os~jmBLd>rz9N}2nJw83~qm(-ZRPZwx;_cJBMH3OF=<$N~Zl{)@$5)ZgJox$ZJI5 zpN;RjOOm+{XhRg5W}#gKwZEo}$mQIsS_obUMHn$Z1uN-7rM40}{0jEbLOUti2Pn~` zIS-WbW=iRyf31i;!7uHotR_oW9Oub7veKb|OIRWW6?5eZMX)zE%5c9=kC6@WL*_uN zlH%c+aB@Z3`kqIF#cJGsvll6(7h0TC4&mSSlXGPAectW!Ec0|#WOIR+7CH!> zz^SN?6cT+}9#B1BeIAvfWq1qu6X=x7l9S0>@zO>sCO_wCeM|T<)RT{PfMD71 zAs#&U%VT~ME)5(eE7IT^oiZcI7K8-kcWh@=fpgZ<+q%2 zjHAoIyHgnn7>Ue~IJ04$tU`QK5Fhe}=pYe5nFo=urhJ6B0=6f&3;XeLyPbZBJ}YNKVX zT`L0dKGpr+23q#ykUOHGgG5A2dhZmAu&x2zvxP`sQ<&T6v=z+n1X~CEsUo^epS6_* zxv?u&~|zU4425153$IE+>rI%d=W}C7#WjmK(E-1a0N&WP?(I{+9o#u<7#H5 zP;16>8%h`2Y}AB3tfa~tkH?y1-4}oI?g#xQ_mC6nA3rMg84o(nZ zmQz4)p+_^CBLb@M1~OP3FK7-;(Lmvgfa12x7Qd`WK9_c1m{chJ9ljN?Z68fJSS86b z`WtCTB)etQ>-V7N zWEnQvi2+W&;(Hi3CcJcI_PIl=O!Sq1zYjbCBdunvMRqbfLu4tYeBuV=gtA#MnTqtDh(m1cN*afMxtJ)) z4Z|?bF~>1p>n2k$BPA>XfwD<6v}BTRoZ56BqT>(%rN}v3_e}aov>oIX6op%vi{oi> zBwKVXV#(#`yI(h*OGzsNyVi-9fzq@fhdNyCT_XSo|r@LAc+z z&u|TA-n~1jeR=+mYLnoaM-F)+;$P>(@0nm8=ZzXICQ60b7PNDR>wfR5_n%sND905J z%k@MK@PW9~^B@b;kONF{t>#ENQ(C@o@p;~AlRj;${GfBMptX+-TfkW5CbBf^?TJd_ zab5KeG`C-wLbRV^9-uiZnj?;l$?0k|@=i{i`$FX7M%%*Dr&{;Yi;bY3gXFRkq!2us za#tegQw1OsUc`8&Uyo1G^J=S$4+v9?EIVg!D|g?VBx0(hSk9VO+vcqP0NJ~s?26cw zf4lzzw~z%N*w9vMxR2d(E~^?x1S=XvMvET|+SOSICa>`lQK>$Kc$C!I=<%5%ELzUc0Ow>36=R*`ZvBTS z0=p?nJfkisPI=ObPk2}&0{w;$%KoI$`T5~B+voz;|0l{JL?9;m4vxh19)j?`m^%W8 zx|^(58j%X+1**0XYaMW0lr#(li_lig^nRz8V3+OOvegW(s=qM+x7+uf@)zK5$kUm# zk7(OME8!Pd4$T9qnIqSUzu9Q8ZU^EU*1y^#X+$%}l~ zaK<89ue+creV(|X!41{nLs-%S#}|c-!z>>(mnLCxNC*f7vi1C~645E~2O=JZ*W`XUsl9K-S zF5-#TrK_-!a+p?A=YVva4oYPbZzW^IzjJ-n4=wq z@3y_JhL3Y%8m9A1#?(Q5anOhxqUr`Jyj^($k>X5W?>LeMy)(_}2O+(Eus|iGwB94)z5XJNd^HUt(?=u#_yy8zpp(G@F9E!;D zzP&5chnrm=5yJ^4C~fQ?(U<#uh0ZLo`T-n@Cae&=Bmg*D6X_&L%GH_1A^0#>@fZ)` z#Z*3dR^UVGt|n@$0DUBS+oi>e)-GmQSgMi#oy`^oCy?~T%D0e1#C64Ob}6$yO^cl# z9oK2Bl+$A{L~F&1xGvJ4UGVG34eQ21R24Ne3+%_M_e16$gF~@Qo0-4vNh(kySX~b&ne?Z|Df0Tj$00lS=vdL)um`U7u3`#3VL0~i zh<2loYJ1Y8!#`ZGF_3&G0DIdy&HJ zX~%(~DJEJ|pnMYrzoSa%p{MwD8b3vYIx@d+~l?iMz;Z;-$1&uiM|#*Pq`ns=p6K}4EQFid;lIBnWK ztBITYc+q3Sb>u=2QX=q|BAEWi)?TWNyJ?Y7Qn&k9&y%El-KBb6qclUQ`#!FW?V1DN zts|1~R@;3vrv;rlaXWljg{5nV2uyO8zVop(u%Fpa3o?nje$sEZR8w{@X+pC^L{7DQ z9E*b}Q1hC)@o9tC(K4!Dzfy|`YhIJte{9kb-J45uh87pfEg!UQOS#hK@*-95RTS;x z=l+t6%l~^$u-P=8m5RGZmU1f|y0C+h%A+oAt9v;j?JjDa9~_<Z_fq3j|;HD zKXfM`@!bXs2V4T7wy_^t)5WF{g({#8e+L{GbjpXq@rK|k20UiV#M(K@n=!Z#Wuczk zabGahgkz(U%=>UGLCSDqdXeM(P;?8c&%I;NM7!oAREo<$QM_Uyp1nO13#FhHbh-0M z!v#3z*k3xs4@1k{#mGr=wn)E(45`E~29K6u)_n3E8fuh(S0Bu^hfv||>jE*x8y0V? z_|RaT&QpwVzF@Z%g7ESqg-dEDYP}>U&@@IQ0`CSsaIPT3KtbkoYsVLn(z*1R%~Efw ziUkKgOZYOf_t#UNY2Wz7EeB=p*@=DX(y@JQ{DkZshbONPqW06;zV4Ad$j-4x7N%^r zUxIjIyMww?jH}&XsHSg}X0ht%i-IMQ51nS#E{E288&q*U*LxD22^7aMUC%(9<-2K| zuSjQYm5Y<0gTcd3e65H9CTtH!OTr!Js?J^y#Qe|sW3t$`_m!(YN2-T85mvA&(=+0I zKdP1(ti%edYl%26Zmp4PE>q$%^jJPB4Pqg(nHC>dGOioth3`*!H}nbZt+v4Rn^6jW zYH)g2?}u^mz223=zk-<#7}ya#xp__xsW(vxwG@e*c9LDjrC<3dk7`EuE0uwLzVQmegIel=`$B`TfS<@xt9f@BI$% z2J&Q};SAoO+ec&}Z{osrbkgEsv^b<4q9524-nJ-rQw6}jWc_u`O>}?zO40L*pG64| ze0c+81iw*hcYGJIKkpg@^r`#e_v&myFdV0TMdoNkX@EaSHY>7RMbxh}VPkcpH*wZ` zlq0JG@#Sk$cn=@r%{(KAxkel~bl^W-$2-Y;(_vvLJgE=cGiFOjwVF!}xKC`$gUF9hi+s z&h0-cLuP#|Lw>Vo{y*)RSs9pFRalt0nK`+cS?QQrxS5&%Zh*`!>}2}t$oE&f<^Qht z--~AcFZ=%^duAXf3k$m`0LTC^<$ASOHZwG2FnqODW?%&ZSvXBN&6t4z;NP$A^?XS? zXBR^|V^c{HJ~CHVOA~HGBUWQp7A|837LHeEW&q2pGc%XTtH(2^DS(s33}9#k;4uF4 z{I|H3|GI+sUvlKF^z03RHw4}gcthX~fj0#Hi85r?KWa(;RwMg&M)gO@=^yO6@+4o2}5_Y=!tb7o6-LfpJ!zUvY40vIT<+ESdAF~ zMgSHDLsNDR24*uOLsn)^AhWU2f6M2Yfh^2iTtGGkV;0WWxE6LUGX_qM*Xe;7n-Q}i z3p)pZ!-V6F&;LsZ`~NV=+dKRXfj0!+5O_o24S_cV{uw_1ml&15GpaxM{GWC~e@!%D z{lg>ZZ^ZwN&%g2c{~!6hv7s>wJCNO!frEpSivhrC2xKraGht!iVrMnxU0kFi|5eHlPWEA%~&K>wJKjgTasmV9fBEdI2;A8W|b^4cXuL{Qp43|0Rdt-r;Wu zydm(0z#9T@2)rTi&+z#_ydnR=`uv{`pZ|f+|0QSfjnBXF`G3IYf&bL{{D1EK_u1rs zwLbr!`v0T#d3G)%!&ku!E5j@Ge$~rx7#cGeadL4n7@HXxnE_3VjDd##?fN{YsUe3E z8#^0=5vw6H1Aq-+%3x^pD!*Z6;b39o0B~^vIN09!{J(^-{||$_y~E!ScthX~fj0!+ z5O_o2pW*X=vGe~sqxxfg{!fSgf9Lb;|Ap;8>z@Yx|Ap;8>%aN-|8LuWwm*I4Uq@&A z(@_48#-C2|e>DEIkpF)S0s#JVK>&YV#^0te|MDP!|BLMOKVkXX=L_5aGcf@FLv}il zgp2vldDwrS+P?q4?0@p`4|wucHdKtHOtuL$OkLbQRUMzQNh@@23Syxc#W>kz|;<*C#B!?)7F-a3k@a$^LtVzw$ zeT}NR%_=%+T^85NpXCpKI~D30MwL0{e^=hDJ!@v1Tl{^^J|(^ys%1RT@ZEcl`8VOO zuj8}w!{bcJ0G)j2=nY@&9oZNNfZmR$R)Gp43n;X4-Sg3l;nQlX}ISiQ_H)o@oB;D82=fo79>{lw9>EFfumN zZRrvTBdrN^+vKnW3*{t!N>39TLu+JOA#jle)gF8QXw0uMyQ$ELO^gQqyo7v-eFusCQJld%kcWr z&O=#8?vvg?C#zaXZ^#=vQP!(&GX61*@6Sg<(g{tfVl5PA#w{ED!R|sD!a>D>SWO&h z>K155hGcN<%pcIR5oHpE5X~B8Enue*6azR)wK{V}eh;COGd>9{_a7Kk3s-K{A0G!&wNPqt{8W9cU!?UnoRGQO&tAO)iF)Ef3kG zuTi!nqphTbBtq0!|KPMqC5=VBVrOBw69|lS0M-i<)Z|0y0xG4ZnZ36HBW3lvoHRb zx1^mRJoOt+dmW&uG@VS&P#U-4_1Xo^nq5q~aI|!z{HQOzD7TqM8UYoHN0TPQw}6kf zBN7HlMl3Y#25}-vY6NK!2@C4nPOq8jo0m!7Z#Ljw2q~N;>adWcq?K=lCLN(!6Q5^3 zs914I66Z|nmEIrLeD-k_gUfUxMtd-sQ>f3DU9=6zMT(uW8$=Moxs=~5-0W1Q)5*jA(zfOzS}wMdayssWN=ZGkg&Wkpzx(zW5oQ5VOl*$6@KZgYR;G zICcpd$fU4qxYJ@V@+csUq9b|>W4o-P? z{?w4)Fa}=tK6%ef+JqqaVb90+*#mCoD?Ea#%2*dUxrJ3d-yd^`^+pM`X!}%P=oGJ9 zqT;@oXhC$4zZPr~^A>)dRk4ZgB>E9Ur>b~3$N1PNQn4QqiGO#@KzG}Z&z_PEZ8xS{ zv0}@%pVA(ew|_^jg#@!~U$wjdL1z~&2QhP92b}N>l)$Uc2-*E91uo;aAloKvi~YmB>@jCZcdfz5G=rky$9@a91cI=>~iu1|HX=tYw*9k1> z@$qW$?j3YEyT&0=X!0@VxSTvPw?88?^PV?FP~%X%U6pbNhWvu3mvf+qXGi8C=FR&p z7!|jb!cJ2`Et5UNoz;bJ+;C>~Ix^--oQp|@d<xDm92#uO?yGjhBsU#(xJ;WAgoM|L!}Z1bECN-EV(m3 zac!_@ZNvLMrNi)eACifdO=T=10uG5#%rs&^b(3o$4`My6Q#r{-jC(K12jVl-c`p;U zfk1hc_{0x^L5|~AmpPUC%{+2UT;w1p%xe%?#LV*~B)AC3xIP_D~7s-84i{M3`rx553yFE}Aif+|pqo^=Z!p zaassGvnRj~pIslGYr-;BChLmkcdiT#&cVULw@Z59V_*lgZD$*kS|<4J2$kp--R)?sJIO1?ua@3*eb_yiAbHjmIuG-6j^_gS zU~Z{Pzvo@3^g`FV&SJ1KX~@f6@XEjdw_#)_Elrh!)FaRyC4!FtDld&&Mi3i{-lg2E z?Q$XA>cDp7D0;3Vp)OFC(Na^daruX6WGXfTX_wjcGiX2MMLXjbA!^%N-v2iR%sm5bP{UFA zjyfw*9(G}<8Cj4>htuQ@q#B|Ol-GxtXS38JVKPwx5c%f0^1II zs7nw?%q4KjJM&YGRHhODjLit|uXi79oW<4MCcrV@eXJct5}YjqF@Bko#of>+ff9^n zt>&+=4`WBjOX0#!_GT8&T`sxz(|_2Bv3s{brFnpuUtNz~9-r>anM@^aNPO%%VpJQ^ ze!^RM*5|8wpN}Rxw-QvMC~~;u%~oy4Ra$YW-T{0 zaLtd;mT|-ywpFGGg=~=Iy+p8DC97#9no^rA#TwKl+;==CM*L$&=;BiYcxv>3f($~% zi~-4~1!S3_Re^T8QbCzu9hmx}spBiyd=n?g)WqZPRG-d6(=#$!3w0Gfbo9WN1fPem z_8#95yCNHK4@h2uyhaF&_cpU88g^aP%_()Wx;kG5<4Z=1QL-Dq5)rHgPU=0=c9jr2 z5h6%NxeRnONGW}>&zM$(Yq0&{#EB&6pk4IvfWN`s7DV$5`V9dBpOA=#JBuvM!q&ue&d&xnj z>8HCiPA$PHe@H6jcM5rWR`9(MbeEgdQ*U{cL_Qg!(PgQgKYI$f?W(|h=tTJ>l;ugdAND65Kpo zxD*E;AhDx`9Y7~+4VGaF3M#d(ZxZ4wQA=QO*)}>0egImx)C7UPH8&*pV13=#4~S|s zLce34Q6}TxD}J@=U{5@hb5{8Mz<#LCe^30GN*@Xg~gpwBhCEWPwP6KVg%xS=# z;KnRW@Au8m$sd`9zjv|JaLOXkjy<}q29@{b2TZ!pKSYUjOXg{>oM{VJ!}vs|NS?Np z;O`s8)D9}L2XpcSaa7$Ep34u|RGX#VV2-OYs6X2DFH*ld)k&)aS_QF zs+0`Gk{dQo8Ta5A)Zf1irISa0AHzeilCil;vHa3nQX@)~PHe3x$Ne533_i)Ug7lcBMvFQOOM~p_;{db(OG6$mnuw2Z}{i{;z~<6B_*i24KZJNICNt zDE|FtKA-Q`RLk#nmP=Y#BjhZf<2_#PolU}A8&;&gMU!o#ZWUPJx&m)SkH|RNxgau0 zATLO>G-ifA;nYzdGqW1`a}Mcu^Fk`s4}j9}xlAy@$6jD4g5#`@%#2c3Onc8#VW3o3 z{2h-kMi=fs6!AzNi+?E6mb6SzVMu7#nk<>6inTMNC>iyr$BRc_nD=LbOURv#`)~*+ zsoGPU9=w(O zuws6v>4+No!6`Le} zua8~L@x>h#u2PmM^0cY^In~dTI;`YER@1Zj#IEzxuiUg>d(w$c3iQVP6Hs` zbuh8d6E**YqmKihggpf{LF-W~a9@7ImX;EQ?jdqAaCJ%ET3`L*bE!}J?k|1I&+_M! z8{h9CB9t%Yy(8pYVl5b9!dZ^o$G z;&$h8bbPy*<*>cQ+GDyIF>F7uUR--TC|e@^RWHuJvBXblQhj8EY=v^Ad*eR7I;VbM zIgbjPJQ~vD@i~bub`ydigBV}jjU_gEu4vaz$0~KRmC1IS2>)=lWS1fOav6QIYFk|Z zlE`KGcLx+6)3H4D>UH&PyrA#^gpXhEipixt(1I7zx9MBVC6k@P()7W`g8_=G*5~Vu zJql0Z&sc(RK@0N}c9`gz%qr)&_dT1E4#>=MX5Ts9L4%2^c)I)}{}Hygdc`;Yk^j&A zM~nck;%1-;kb#rgnDf;?gzFDw1S^2S$kdpN!^G5t^)=@9ztcAxo3R7fxR^N^SUCW! zujg~JG8h6lUggrpEX=H2Kr?nWz+1iI|Da^}*BpM^1A9Z@4S_cV-Vk_0;0=L)LJ#rh zuJ_+|xc{Pu_>;Z=#eanTPr<_f-o&?aF|~7X{$tbpZ~O0`988%M49!iMluVuNU7d_g z|2+7osDkO=^$>rGC;m9=PoczX1Mqi+1mN!q3BcbK60dvmuMQ}G^!amN{*T6=HI)BP znh92B=6_N%@t2MNf4OGjl~pow0Z2GG8382htQ>!|xnA3xx_^}(u>D0({?DlhK0ooeC+hNNW_B4lYtw$JIh_PwI(r{}}ag)>iH&9aZr+YdK$ zziuDy9?XEmHD-~IIWM=VbBP7J_DoUjnnb_$Z;l>rW@!r;Yhv+=u9v9sb(2*J=q{U- zQ{Bb2HVC3=s~Fm4Z!*+ViDNh4%P~c<_ovZ*WcK8QS7lSMFD9OMz^I^5Ep9F@TklCR zb3dErgcWWq*_KcJO#ZGLMS-1Vt_OSEsz7Q)y?WARtG~Lar%0xEVE=L2n9=+0EMldOEEMjnYMTl1F16$thd;LFy2#sR@WPO} zTlI0D&kQe$cu*-V*KY_OiX-iyuiqwptYJh6X?JTwKnl5k^nEe0N!rslcj3pUiZOC} zP3`0>=BDyWYmk^KKf7!dQL*@vI8?&=ML{)Q$41OGd+jA+O%rfqyX_O7=iEAa$nuSl zp3rnMQW|40?dmuZEK1#V3W+5+B<0ce{+HH--MN9iYddcJvfc0Ka}*`iZeI+hWe7hA z1Ws8@mDwV_&^i>drno%sewinjTi8_5P_qy+T_{ajj#}JJG*tmpsTfVefLO%yE=Wmy zoMFn%R>5BYV5ZUQJ6dF}Oa9uJ89t%4sG$}S+eoQTtWU15aw(PmWTLwd@>7alXqYby zGY`I`l^`o!!U{x6!NA9j!8>$Df~h}yP1`!hmRwY9p%mq{Eyv3#sSHeXLTYX@jbd)1 zud3=5M;c6rCH{=i{@C3Vbdw=?lRH`d;R zmRW2RjT&46W>suC_iADx!d2lh6KId1d>vhcY_HdX_pi4aMu|lIU+kS_R9x$pu94vG z7Tn#7!XZd-g1Z&&?(PJF1cJM}TYzA}9fG^NThNfpPVdv_-0t1`_U>`UJ^h3FRjX=N z)wkYSV~x4ieBL>a>48B{OW}X%s6LUw zBf*sd+sD!>(lE@xC|?;)DX+jb1OX)HF)Bm82$4EV3m39+Bo3HYjSTP&RK>7pF=ZKc zZ?$3Cwumvhc_2nwCm*i$Sy*X>lk`icjnAqA-`9*8Cu-?1L0$X%z0FBhgyw@?i=uJt znVC6Mv(5n1J%!X(LnDS);X2 zv@25816BoX#c89~RqW}CVeUp+6~W92wWg%{v_Vl4ZiqG38tRrKnnpAp;vT&4a6xR+ zG73y)6cjw~bpt+y-+G)t@>%b(>`vdoJ((i4O{Og9cQVF*DL-hoo$>c&Eh#HZe=q@F z1mYpN;4ksjoPh;W&Cu->5`Fuka?p1=cYpr@+qWuZIbQgZKvjBMr5Im&y6d)r^S)<1 z4209P5s@8|-)bNKK3PWNvj#zOBV;~H^<-6U$xZXNtWfwuva_oN%;Ekla6tAPB;y$lgjvYqv{5PN}=(Io1|8} zc0b&K0jk9?jWm;~Y0pK+3iJ6Kk_oA6n(;@>ghcPyLZv2erRl2C*5uC!wS|{1`onfX zwoU%4@_D|h=&wgqm&nxkN=W2R)=Gakg__QTgTu@)oAjM-iY`HBHZFlOd*0W%((z^N ziq6R!{a~Q}f}+wIhhal_)*&|1Y|2L*(mCy4{*7-e^%kO+LxbAsyCkIi7rR1ISNy&o zUixDP-bsKfyVRXpT%+NYc@oZIcks9kaLwadZFnc$Lmlml^6wl4X7bLhxNKnFz`SqY zt)EoI4#$-yMl>-&@A<~Y+R#Vtb{-iP+pCkpxJ3kcKgR}4vA>B7WVbLD1S;ix+|)0r zD7Zrkzm?JvWH9O`4DE8MsDab0NMP%0{Z!z7!gs_YpA^c!W#H<5Kz|KPJSgQGg0vFO z5Q=n6%ru>JueLAU*Gc9dunB%k*=#m zgAP-o!iyw%f#U7WWkUE57u&24jEy=-Z#mLJBTc+ivD7nc=7_BI`Ylqt@n-<&ey);k zsyI^*M+E@oN3C~@<8O|`qm4;*iFPnRSTK4KUcU4jd$mDtKiWkgQ3h+(qpF3Tpf%_NZ3#u)=4~9V%x3aGk8)W}f+Q-9wwD%o zioU1@A6M<2w)b{1`FdYgbyRsutacMk4^@eEAjvnHuPLX-?Vw(C%BH^fqm&YdJXf|hVgx6Q{?X9lW}U4KtoTyA zB8=?QX#Hg6(g)io$GXqx9-nmV1xDVq=iL*^oH{5B!%bhcV&XIbQ!BTG>>p!1i%V?| zwc#hVV{GW19iDy!H;=Rm%Gf6A)e`xC_Z{-M*IdY_u^70^NEr18=`QTk&Ndta3^~ND zrKRfC;?ysP#n%QVO~{iVl5o1AZ3||V)EjzyWQ~t&>E=XV^|qq$Pj?)8XU_VtruPdh zK1{b(h+&k>=}kK}&)l~X4=!4ntp&VB^ssgln6WRA^08dzO66x+u!7i=muioJm(Pzkn@1DNs4OV04m&@> z?zB(1E)!cx9AQaa6&zeVa8uAN@<1*l6(A4gaZxz2dPQTzO_sF+0j0!!PJFHio}~iQ zmXwh={AjH<%M6d@qxVVwX5L}by>9v8zP4uJ$hnh4`qTNDVRAZ%M#>qXqPVBtV|vCa&juO8`Dxb*I-xd|!NyQx<@${-arXf^u6ih# zWxBVDsN>I^VFZY~dw0?-siTY-@4!83{Zj{+RlaYI`SaIyr}r+-z&#_XSR! zjXjjgi-|;QecN{B6}^O9 z@3A(F;$K5t9f{1KOd2Cwok;U9sk(p7jNgsa9652A#p9?;%dN@CYtYdUWV${J-PY(; z&OE+4=JR$|sEn5IJ>5V2J|k*3DDq~pOS&>$R41)_$bc@Y{Z(D6SGWSqnVWr8n)XMy>-3|;A1|X6)lRM z%W-S@^HfVI(S>!zR?YX9BN$t+6-<|fTS@6eG;t`y3ct;*d)?HAI!eL{7 zA;(NA(td4w3=K4>J`rA?uTABzBTT$ZAzTq3Ay{uq4-BX~AF&*FxxFenI@RTL=hi|OQbkTkIo$yq0uD7*JspWNtspZ6)!W$JTtdXg#6|?p z20Ishsy$MGd~0SGW%ngPXUp4)gd!$4h~}$9rLcIeaz+FhLzi!lKn>@rPuiHORwB9z zyqwJ>;w89dArU$_Y&JTA&E8Qtt|Vmkwdi4m3fG>uj|Z86LyIa+L`NH%7U_-ksdmll zdKk3bxuZhV9uvD9s!XcJ$yhn?X%=s;DwTJ;zCVz@5OdCugwNn3Y*ef3i^_r*HpNx2Jz!TrIw2V@*__*B6qaW*V(EYEmpoi*&6yf_^W!p+f2nK zGTw<2gd~RF+%=K#+E((ULJmQ3%@r6oc?}J1w-FNpqE;gX8Hv>9SriHI8Q6p@MR)pX zfH+0Bf9(Z(s(5s zP7Vpw;Iq5bM2E+9rP{?Qm?h;vq>zkSLnto7Jt8_6VtoH*N<~XbVXjYhAMH~TE9;D< zlWUfb+Tp4gPd7j_j#T@`4W5{u9FJ^Vg3hA>;&T7380*9={C6pAHy@Nsx zFO%F8vi=h^&l8lcNcYrR<2oKSTi-W_SdLJPj!;}z9#npNMdGY@0}6ZZtb}SW-$299 z?F<7;tC$_dY$&HYhf-!LaSr#_6+u1&Y8Tw=Md?ITefgSHFgNHJv@>8rSe^sknra;y zRsl|<7&|v3u82meS!{ovipQ5weB&7HT$2cY?R3It`a01m+YZb>W!h>p*Sd%(_}K%wkcvw+qjD+WjV( z?~Z9wB1vHyIadi=hu3m+78H;(u&>FfgwF|(+)tEDtDC$Mcxn-C2V0GQJfZWF8(i#x zOzA{I(XDbq!AvFEsz%_xtW^w21N9-cE)@oTPPFP?4x`Sg$2eP|Nr>DB*Ja;Dp_BSn zt|;pq9I3^VlgSw7I`A_f3`2bnm!@w@l0El*jMCL|81K<=|7Yz&k+9GjBH`CgV8+y8 zyuu_1?ryAGcAOSJuUcZCwviFs8Mo8+)GRm3yy>@fh65iqlGu;qnxf@dO4 z3t~^c@?Bv?9O`Ezfs$a|!y(+Ql~K|LCa_)Pufs#zTM;f|994K@`r4ADO~|J4>hYCO zTUGM)VC>~CgV9|1_&@TAs~O%*6Dq;TvmDRRN8xp{;G*rsR0zw*_Z2E;)WopUvR@bp zq0?roYOD1dPgw5BY-W&*V!$a2-j(zhtbV}1rW#?(&{#5(MONq&K(Ls~w)-Y`nGYTs z#;f@eR_w$Z$Bq&Ilw|E)@%!TBP_R=P2fA@s*HFb?jwRIZOH?+do zCsnSJ<`hu6br9V8oSsZkSGPHBR1HwGSZV>nd3Xn|vW4{;-Nhm_@a3UcRRsFnv)la< z{YOh=uflms$#SbfnSf>71oj^dN)s${iKFi|_O|1FjFy~g@s|%qfgTlKIQ{5MMys!J zt7wIaFlwrx$F%pTb3$ykT@acZ5;@8_)gz#IJ2jz2(x&RWR9nX6R`WlD*; zyd7Jf0v;)+Lz)(ObHM%D?SJx)3KZo?x6+Dy%}!s;$WK;ghAPK-rGUwflNqqvkw(>m zFs@xZfQF^iCOrh*!c?JW!^I+9zv7Q=lqfhy8}yB^c$*8e(RygK$h?x}9F-XKVXY3$ zwx$oOnJuYxxL4(nCOazlYjFz}I6>Ez9*@;RdmfPc{g33yXzR@s#%3hp&K*l(R9#B( z_+lmG3wp2&`32caQ%Q`;OJcYcC9(d;l!>PYL$D0L$xQ>O*s8!+)u8#oR9BS z^A;MSjw0cgzv|H+#pa2++QZ=b$=|#xR`!x4Nm4dDFbUma8LYUR!S5`9#@)dV zYp^CpRq-P`=-JHJN%Egm%0y~zu;<-8Kd~FDEhik+5)?h}bxGsm4$WN~lE+glnAmu#t&9vjcv)rz~#U(=)$(?qu+HMI^nsgOk$y#=CB${gqAZEEikuaOApUvEBkJF zt?yJf^T*}Y(TJMW*nH_XvTNU;nM1B309@FBGWL`uFHYUap}hFlw@w9RZw1Fx-P1^v z;Y$XGy{f@hd z?y0Vlk2f933rP6TTAdzwI(GNf2I;Hs^A@77+RT~nWG)-|tQL39v3_I0(u91Xr0aKd z@3_hGMgacUA=)`>lpia6|G?i6Rp>))x*Wq>q<8I52A6XxApZc9=a0p9SGn~B%CLimwCejGzOldMEN-1*io^l zi`F>bSi2W&OhAN)niAU3Dd3ICI84Z}Aumd>(F3cP-Xv;Df^{;!@BSl7 zh2tOY^S*TLc<7RDVZR}+5{Kmid@v?vy1zr?*QQ$>^7X@Av_}44IC+KEp zU}$OVL}F}X#82%?Ehqs0{CM8@z4ZV5>^D~6ca-2iQ!2l*_kW;No&$d)OMVZ0$>(44 z`9J8w2mDK2`2J(`-x#U?stezLi2tK5eC+J3>;RMJ27E?_T+h@w2*k256B669-Ybk+@G79698f~V&&j|Zm(@*%whz3>B9H_ z<67fie+vEov6p}L8!rmHDDa}divlkSyeRM&sPkW_@E_#!zqs(f^TN;mxxc6LBDVid zopb))-t7;mbIxDj^WUj+&c6}moPQ(AIsZnKbN(XApHXGbU+D7x5H08aYiRke_58l? z|8%tcztN8Gmp;G${=W_^|5Kd&*&p!ypZuG9Kvv`#F9-a#{mVVT{M+`kZQyzP_k-o{ zhs*P8za1FA@7tgB>to>p{sUe{Zi?m0Zri}Jf-O6cOA4iAl zyUnAm%E`q$P;-W_`s4S;c0pdkbT41?sbh<)hti3G>3B79omK*8Yn=GrOiYl4wKDD9 zjPdc*^bd*BMTOFOgo6VQ4&ebU=j)-p!kwPwBM*M$a_fZ4Lt6N@CZ*X^g}s#h8V>Df zYHKU_X_>*HyAtKy**DdSm8sjn`hsL{#m&shCm>r}{ftY@-hm9&)MBx7`u$Jc=spY# zkZH&Hg$3U^uJ35(67Z?J_lYzG>Y2TJNI4tb{Ur<^qyO>&WChTd_oj&E& zuOHgm6%jsbd>{8#%?@-ek}R(#Xr(SJEvir(m#~xx2fu(?EuOvxU7_qnl(5mMt0Vag zn!om_Jk5ust8GSFptl$cP16wkI zjaODRSZ;5Wy@(kg1HV59@s*4-VZd4R#72xTDCVW+#TG&Mi zXHv7)8Lv45&EhS!zY=zI@dQ-5@_RY-Bu&Ph$qWMU_OKh?%`ZT~o}c6cOFS?t#aq^? zmVm@o-8h&8+JViMyW=hgfVh=S5=IJ*Sm3LGvV{^H%PFPHws#CTwTfzqyUZ%IqkU(> zvrA(*jRz(It?}J$X)Ds8`Fswzy$x9gT-A~Bi3RW+B%GFu_kFdV$(bwoXXeMgsMYD!t(1w6&p5)SwKGYz&ZzaDK@v& z9K{VnL~Pw`Vey$YW(}*nE3nzkG@-BeLCHKDky!@cFGe|C6RZzLh5`!N`HM4h?%&?u7tD8tXE>b|Aiam{IhJDKPIMjOx+v7@!G}^Q%?YNVAmng zVJUH=XMErmgY~VmEuS-%d|u2mM$coWP2d*5XRI4^hSbe5{iXDV*hk}ir~W&An55e( z)eF+f@)qWlbxjJIY*fSK<9kSdI*#@&TPqX#0J~YQvg3%<3tqiXt6KFLt2m0{xhD2n z3)4UVfntNaCGRNpg;rl(U_75^%-5O>WD}xJ2486w-q&CK7rr_F(Vpp4R<`ayqWebp!aTE zCivJoxkUVqIz8**o4ys<2mMTxUX{32%#YXSF-th>P2Lv6=SfSu*Ut?T-)`0E;eQH6 z|7jXf(``u09MxmEnNj~`$N`?u#+_*Z8S*0O?GG3!BnAlP<0$-P;_xD9x(|A$SAqU3 zPC*skx_q`t=;_M_A?J5zA8^r*aWtE|cgypm%_s>`MyWoxJv`w+?}|)J1w}RDbmm)s zFUBuxKCs>9xqFoY>T4GBnQ$E(o6^>=KRXb%VEO!i$tU3^YtCAmGTPCG{eJ;}u~fsM!}Z zAX#1iu=+R#YFptwsO#nCP9`A4IAx7^nwcV=QHqBKg?>4R4;?*Xaxv4p=s8$-W*@D3 zgi7z^svO;Na5k(NS%}-c<20+Cei&U)bPxl_sXfH20ry6PBm7xts5>f`Z$No`2=nw4 z7PCWyA?+R4jSN~C*Ojl(`xu@CDw-x44i+?nHM$yQ&B+8x`34~|#Bl~y?H}EjiinuN zIK{VpPJw{}+7oX?_$9EyZFWxg@!)*4Z8(@o4(PG19eZ1}U4>+UZMHgj2L)!dJ0+Ch zLdKT5V+XoMlZDk-S5JW)D{$Mg*4l~wVu2c$0rT&nn;gXgY1Mw5 zi)&~@YXNSw3yMy%R!LarmO&r(?_{6ZIa-OYv1F$M+t81Gs${m&9t4G@q^l}dzC1ci zyS0={M%5|nKkwHK=bOUTZ=-Jo$f=~$AaqGrI(e@)sG;dsE8^71^_r#5!N52yGjIHq z+&aWqe;C^bL0TetR4Ihdd{(`g(lnTdJ9c)>9i&^|6__gT7yw9L@hgQ`3|8!}hswU^ zvxSzcC?&Pc(k4fEbyKRw>;tSK+l4l;g+V@|%4{MrlYE_!ZOz)-KK;Y1+$7=~oA=P1 z#2U#ML?+$(@?!z!)JR!_jG!9+EtmK^YE~1MbF{BicgFEPYjK9-G6d6~Pb|N@)`j!h zZj@u7(G1i* zYKO6f3^}~5t|_AJcWd`N*10S%F9`6jFtD#K@9x32QB~4TuM^h!1DNXi?px*4ZefKJ zLoZJ;glL197P0yd=k%dSuwi#8zy_7Z*08KaIvV3;1#`}`CNCr5Oi@3Jj|QrhAqj(q z=h65;r{u0jtjH^Z>`FAv?vUxHIl!9kc#j#l4Dv8=@Vk?>(KoGYS3t*FzEF@#7{-3tH0#?xB%fl1yMELt$<$~Ab?vCv0BF1<9d?^CGHfxev#Rdum+hgC>jWgkgC2}RcIh|{T{33YjE?j{MEOWw z%GZI|Bxh+sPY(3Ss(wv`-)2~ixt$A&d&@4@<~BHBGCC4KEe}UpdVpg0aWe}&BbQO<=`nr*g6|zA%nH`< z@d7PgX8SP@4M5Ll@MeQbw>`n!Y-vzFm)Vw_`f$22<$LdU2Fos0gJ(?|V=`)6#6ilW z?TX2$vPvr+Mbr>tN6-)y$lJq->JTvPAc($?PWEHxfTzkhP55rE@%6HXWl`)VX~b^I zzTPcxDH`_T=coLt>Qyp?8qHYk+SVUyP$Yio5p!M=bF^*+H9I}i#4Bl;>1-P2?(G2o ztj31+$DzX=X1~&W9O~Su&wj?VIEur;_@Yn5lloF%g8Sf137u@{kSz{$ zp%_wJD4{J+w({AOdDn`2|YXAfomUE?~B3f=kOWos%J-A90Z-HwQ`0W1LJ>y_NScWvqh7UCu! zqw`%Dg(z4*$uJ~dQl6^21;j-c0Y1G8_Oh$7wCAa#ZF)P`v2B3M#pk>Au2cAZba`2-$SbU~8W^lNRggKd!&GGnEfvM$61iB5TW#B-#ARn9XZ;~$rn zReiVeM6xXIKG0-E0do&40mtaK;B-9v#G&Ym(P_PpvR?W94mc{UfZp z58wZNC{0y^_l&c(0fANKQtr}S^i9qt6#rVnrm3Dy42V5XjORnKk-BO**g#9Ge6Lw{ z*e6vtM0Bg(@7*Mlukr!zDWQ{KD;;rwv%Kw_S8yW2L8cxIFr?&l8g4AJ;sfyn1 z#TM)FRO+_6pNkv^XxOPAlOI# zGoZiffB(5={40C^zZlS8ov(fme97lu^7;Rj45*Qbfgy;Ki_wq;1bUvtY;42`1OW{h z4Y*7|h9DCz5GN=1pE01%{fXJQI6**0Lj%C`BxiO5PDTSG04JjnmjTFt%fQ5d!vy%k zfc}N+g8y;ozy1{ZKVJGj>4Pr{yeROZz>5Me3cM)r7Z}iAeBU4B^S}44{q0WuZ||~k z{AxSM@{-U0lM>cH{hF6^_=^HB3cM)rqQHv+FADsXeEuI=FZ?Z|dba8OZD_>5=kx!C zrwj1QoyDyDhn_CLFUQv3Jzapm8Mgqxj9b6`%rCdlZ%_U0D4&i0SvLb^3A9SItzpGNikqlaWZeT!IpWJc-*dRBePE7P6(N?=qTznsR72M-_Co>jZ5yI?evYb&IedER@_S*! z^$;_ZuFT3da%d})tTL|+fA}O7PqY9=Ss5>VgGoF6Hydjg2VQ2Zi4(r?*!d;n?dM~u zakCJSRMVW1J6uzN^t*;CX(NZUvo@;3?^%tNd;R=NSs6pNtMRceK*r%4f(mJX-Isomg+NmM~_elvUu! zFX^BoCp|0Li9ggaq}K(cNxXM2K$=W3*O9_u=l@o~5f6z)%CDeucFR~@;YS_dS~ zOrdvfH-(#OVMa2c%6jaaNznkkoU(RaDxxvZVeAyL4_nL0AzsvVb5mnHIgI&i`jGxZsc@By0j^V z0S8(*E+akeYc0u-=+cCe6Zr`;zzY>I=n343XG7POd{B^8l~cGp`ZZS|aZ3WS9d#<= z3TK_aBYi_IB^BD#ry+{4a9nzGpHv1N{H_vzx^>*#lDcqb=@KLvt#4{#4Pa=^pW;YS zWH~hOP1yP5--*5&PJnf~N?I~;SfQjmoCFown#BfUN6a;B1?V%62LUV^D9lpL;xuJ* z(rzW-Z}LV^W4{v8FU2HCg31lGJ{=@~-6Rbj`ew*Vv!s7n55Iey6av$U{rcQ%K55IF zEk$%R`RetK(S~;xK9yKP+=zz`<5eI_5;PJJ}x+WrZVKOHEBO*c2tLj`$5} zq+q(l8P9>grxl4CE{)Ay2KjkHNPK^XJ)G{~iKRL5np#Vg{phItxgZ&^PZEHEg$c!A zgox1KB$&)Aw{r?l8dDxAs*2vaF|kw@nOnYznvm*lE*JI*y+Ho%Vi9lqez9*otX5aH zy*f*DPiX>?Rahasc0}hOuB8mSsS2;;O&TN*rtQl3XCy3B5sjtGsfa}m8Etm%fh`M% zv7@e94J@8OI6?#V)%8SYKZ&Dtj^S!%G}y@76N@kzoN!y+OzkIchLAx|hL*W0^Mx{_ ztXCO5ja3qb8meG=^+_v~cwndnsVP}t?}XwG2K1>DQR1Ks>Mkd_3`sFK4n=A*W@ zD^a7gB=YcOwv2S2Y$M!T3+hFxLjtlhws$IYy;I69t9^l&)qivniGWaxF zJ0Bw)O|l5ALF#PYFo-Qud3v5x57T8(kF?&Vt($+(2~MgLwm9V}ZlvN>hS9V}Yl>j6 z&R9~4-7e4A`w`01V*a^}vDzy{5{0YmV7k+A`ZMBz=RqIjuj%AvF${5q8Uf;=@RrudB z*}}4RR%mGxv&MK%2w|^{_9Y@IlM-GdDT8~PK&lvJ4OV#)ZuJn4mvHvye~&=KM3y!7 zk9@4~_d3GuClALwXGuI4gVcawK6^mS(}XmBSYlA)*6!ILSnzEV8kd5C*MVs&LWZ3a z#lvY<=&chTH5p4nH1{=3HLRW0-Wl=Yms!{Ejx--TtlKVL*Ide5*yjIvmL%J{Qr07K zP#qw;| zERi^)CVlWmq${YED^~oimytUm!(!8d_a7^q9Ct=$UxD+}2*H5qr2#d?>^$neO!jVe zu@k|EzC#9EWA*ET7GcKb=peV86^So~t&xK8cxncH`B}z9>M}gMH~=FojKEE^aIwG`QSm6slRZ2W5a*In9ROr?XYuz?izh|c?Jr@ zne6kTcv^<9FYQk8O`k`bkJvR3&~EI0Z$JNP4UL8HN5Qc=PZclGpYLovY$b?vy+=4PLt{W|gKK(6h#ViM+-WMsG-4<2vu;&9GGq#o3rlvh z-L~xU-Ry87ha4$GOAx+ z&rTGlMf2qIO-n#s?|BwQ)j;D#+GTd##SrA7zcku5l8!M6H8^}Q(;G70=2eWJUihVAVgd*uRIz>i4lSHIf225|U6~U(u zHnNG;-<*Dg3%ZZTBM9sDxH4W1%_Z4rwQ*xvRwRFfEZIn~BhNu*aIf9k2$yxwXsgYW zv;F>xC_+RsKO6Px@KpvQ8`BET!H<~l({ce(K=~WY`S}1Xm=*ublr~JbUBmsWD1tR@ z)o=S~eZ?Es%}qCt(7*CMe(JJFZlnvV-eQuecw1h7XVRG6t(_XE_l6`f#k( z4-#I!ZWq1*DDK-v%oiB2SiAy*nraIst%S8fbYZ8G86nv(cv! z5v0{mlR)1h3rduPHVP;>W9PM|lA5kb9f+nSD827NpcG$PJUw{;1_XRG3W#0ACdith z-rQ`E2Hx}eq=A1+O!)-Fk!q$1QKVSj%v&rO4HgD}2Rk61(AJ$5nop2ysOZVl>*M2UU;w8_hm}_%WXz}(F&_^;w{`jHl z@RX<0Te3L{vt6<0v%oujZJv*R*v3p!L)W`M_4B*m9_)}#pN$~Qq=7qq^GMEO#U5#} zynxLrqhpWrcjtVk;GnVCxMUT2tLygU`MH_o`DS2gXPYUGSC74r#0rdO#hJ4lu4(DC zL;Z5e>z|U)#|%)CM*u)F2#1n(iapRf;nje?Q+$oHG9eFiZggVL{5U2K3q^1jSrNb(IpfG4=4r7Tw|?MmIaZ4hDLR2# z>}?wfrYP;9s#jM<(7840aZ^S7P7|tEL@`?ZVaC?)A^zB#bs}TmUpz#(2FjaZM$M0_@Qp2Xq7S!+tNn7(=a?!}6IrcG&KtS3S_>?`JVk^&gic^K*HmeL|Eg zX{dYXy_fKRlxQjRbI)528NK@W&Y&_`z=J52Ncev4s^X%rV60?0H)V|1@rbVguC0UU^S4KH-0oaunsQ1N<{?% zLCm1P_apM>ALPwjq^KnO7Az9u+(55NxhdxFVl44dwdfMeS?=) zv#;bkGZzHm$VYoxGAHg*R_e_?W*ZSN+fv8Ck=tvZBp%_`U^W++LwveJbP zf?6g~TFG*tD6nJd{J?8|Qt-$VbAW2|xUeW{BJCgU!HLv0(Demi3qECJam9kNU5y zu$I~KArHg~sZ5F4m`cIJ*O5>DH}Z|VujRmRFYi1Tu^fvY=VY%QrX1hfASdG ztz8Ws%Zx2Y>N^ius1547s`s&m66YB>+(Xx^DehhN{qX!0AXz)zSOQSJbhT_gy~?iT z+;_{rBaQElO6PJCS@D|oT+ZV^I?NdRMqJ0fJ^H%NoRh%}oH~Br{;Ta4Pa3Ls7zTul z5LZjB7aaA1((Ty;u==@+!$Fvwes}AKA|i5&M6|8Q-Ti=Z$TTs8w+b4bAx=Gasa);a zk5@zcdQZc5MmB`)?3G(%Q&E$xJMxL?GkU#`(-#M&4G?dMmB%f`lQRS_ zM2HdRjBqn-GNt0)m7UZ@Dq--;l&`sm6QPb*XYicmOH7h;JFq9Dj4~-AuztnbY%G4G zFe-#7zOX&&RIxug`7vzK14BWK9gQD;`zBQ?v2$J(My>oQ=33iBO4V7*{!D*cU~)y} zZDVF~j3Ae{%f)4es0AnpPsh_P`31rHjmDI4uL*(|BkQy-)5LOiqNpx!sc zXy{1|y5q&G_3vW*k}Ock$?KO^(%0NW*Y0p9@MN_g)%?K0{JZqZ{>a4kd<*5@JKwxO zuP;UN|BWU#0FafH)0pGAXQ?qaDOegDNE zgZ~)+N6@P=yMYNi$bglRi-m=Q@p$R)3HChd~Bh|HifcG4#sy3v&HC^vd;Dh7>5;IvF?_ zldv$$8XK7#ys>rD`VF__i+5Y>VIP-!LL32cXh06 z9h9Eu!Wr}NF^f0?NPh9W0s_opZccBNe%q<%*WW6!lKkHOpZT4Gt)Y^!lNR&yELvt| zV>c%qX7T4y5zkS|${ZxD|3;ZAE3=WX{tlS__6a*<2Pbo5$LFBm<_SFi4bLL{B8UZ^ zAODUjbNu>$eqY#s&7ZQfv2pyvJb@)mOIw0ItRJI#ti+}WcATflP#?hFZ}CJK0?XA2 z#FkLlWEZW>rLbum2P;pTTut=6`fJj%gbX@bYA>4|PY##R%avJVqbQ?|aX?Y$U}Q+u`T5nAnx%-N-Vez# z1Cs&p2MRC9L)6J{IW|`rX*K~#wtf=j&WJ=8?z3Uf6xrRYFR*lsU;JnE3+p1qP9Mo# z!Ps&wD$_{1>(t{Lu<)cTQZ?_C7nBSw7v1X6QL|JrATu}t*nWf?^nnAKvsFSFQOq;j z%p4yVFU*i|lGzZ(c{ketDev`I~hT)ywDcWp92;^ctS zS%EY}vG^wi`NA2AW`8uCRfim^E7$ zENP*dM^0-^=u9Kafgf8|fTXSJY|Us0$zXstS>Te=NohsiNC-X?!!{n)m7rEFGh(gC zd1d0BUQ~=$t!bmL-?34_q1IIZ5*lz3SuAiIH-Q@!2f&__G4910sN@_wEbi#0YUz4W zb?^66^?vwl+RfN0Lk*yEu9P|@&4?mJb`7?Mv_rT#1TzgCp3K$9l5=m(k!2H%x3a1D zj0XgkSe4MNt8*^WYsVT{lnoT27hyLXn-hKSeTC8&VN^x6Xszs|`-V;Ps}!|(`f+9F zLWV#}l5-zVmI>Vm7FTMSNjR`xrD?3!U?`NY)|z@IB73?P?cLCWza&tG%{o=Z(#e#~ z$Rp*g78^RQaYGWi&bVYuQNMq&YMH=xKiZk-m(%#m+dJB)w=-)J0~R?rvSu_8TiXNHy3f7VMvzI_;u# zx}if85b`>R+sD>b^dQP)+RT4nrVWV^` zm=N#%@Km_lghQl18UnD0kz-A?aZOrWcir&}{%e9iPL{+BWIV;s23;GiCIlNhPg`yq zcMk{-vDiUDj4yVNIanXQhah9)1NHV~`m5juO6l=e495K+0vI?Y(im;?6>3{K38JRJ z3(qR_*w6PSO}jWhmRT5;tml*|&$1e*R$^XGZ$Ih<6l`3vewzo2fU7pHT0l}hKk+!< z59xrR)E%?MOA}g0KB&b*S?N7Nx5@i5?9?ND4huGv*c05J@fxJeQ{Pz;8888TfwbBwdO3GfD}T==&__67!2Mcb43|QX|%eA%3+Ec$Su|^#RCjoTK$0 zl3(RMCL!ezhcj3YbdAwEi8Te5+92NMfYAo=FWXnlUpiK6>wPRXD~pOXN}YtIY_x(A z>8qAaCYGXDW@zBj@T2#~AiY+E9dahGiS3=}WMKn?bt3gtIR8>y95b~x0*1QO#};c< z4#u-hv1E{F{f-PCn^)E-lVr%?)rqh+VF@m-ldZx0m2W(;E(Qb^RBsoUM-Vj@5d?|- z7j(B~)SN{l%lTIV+7&AhoNG|;-zRH?NE?5-S^xHS4T2vmklcFyX!{17Lf_|3m2fzf}t^-`Z(j^M8=azN8mXk=uXh~dm~$6}1QOFGfj+@qWW^RDP%Z9| zvrWi=ZIF<9dlrZdXcgL>${eDvy;c`h^K+Xu)m->IR^JV}n3rJV(K<`88AL@Jx2m-c zOYR2P=qFRoo}?BQbg%P$b|ikq9v7tGvsM(kWH*dR+IfqqXB{GNgqv;a4T$nqU1I0% zCYSU4LbUa27c<9#xW&qLS%=?j3u+a0*J9j`)2tYOYm)LwRZULOT>aynjvdTFS0vEa zIpH+RuqhDW1(UvqoMM}$)Z{;UGbT>N0G*7s4jx<3R)DWem!sX-?XGjbsv6jk%{%(D z%L3JMw%5BD|5b*Z`Y^7pe^Jbj;T$hF24bQI-Rh-p!R>dwDNH*la=t3EZ;R(5Et{XtiuRGk`(WS8W(m?9iND2k z#5%7mH@%!}ob@(HeQWvSe2d}) zBNulFYHfV-PcwJ9)h}LR95ns4h0LEfYCX3IVmjtzMO-8Dk~b7sbIa=KLPfzweS}_T zI83l{dEO^E4iXQvkF?&o(mp~Q?Y^6ak?w_AOg?A5cpnpi3gVmMgl(#r0uG^Ui6xM_ z8uer8SPiKmx`@30$ui?_(+V?jNUH8QaUYX&CTv7#uuh~*sEXeKLo*N};wNef>Yt=tt24& z4)t#DULU?jV`y2_Q}11SvSkh1h6)4YuMPNH$KP|>(QYc8M(z9%3cweAlH1M;Q@imV z7~X^NyCP=8)hx}WemRUUxk6%P#qbTrEFk#`KuW7cR4h_D&9nM>&XN676-r(GwkC&TjR7*p4v7zW=AjaXe$a;}`}@;Qhd z9No(~$QE3IZp1t?qf=0NE0ALU!n^eAX|x-t&Ok00Q&!7C$2AY;p~Ay)8$>Xna2)J9GIY!2uKO@>0n{md!DIT0D z)Il`#HUXBikx)VwS9BRaeXAxan-T^=$7Dd&DYf*UYd$9Sa|6PLHvIWs2dJBYH}p@N z#(tYy{XV^UBbnYvrvHsiV{gvq|CqY@r`NvS*Ly?Y4S_cV-Vk_0;0=L)KLY=}^Z74k z;`cKBuWdWO5f;BQBY&kUSm^)IGxkT4=@(P-cbrvN{=$#&T3TA!+o{vNHqyUN3FQ7s z*OY&WWcsz`-;d(Ion-o_JW_rg>+gH;KjxA0CzR9w#SP{4^uFT$7vGd$Y}9Y**DQar z!}+z$^4sz+rtojrsXsXG`~!B1g^}(L?9{RPf~C4Jvd5nCHQ{^jvQ;!Z$Y>E&(o_kd z9xy)^1YZJnXi7IBrSy@tan_ln?bc-pH9bmFAKa6RnDO~*}M?CmLWx-mW&u(4%GefehkV6TwS*=0Xc8EdM5X8&QPa>dLf(E+7q9gBJ` zZnGr|t{ZIR7E<5UB*Jt>FwZ{X1S2E%4^SCRIaY`h@orG8M+|T-X4tU;V`1RRmPZ1J zr(*rPw5R*aA9ew}YY#?#FU;4VCCOqRy&j$pZimL6oJEQ{cZ{Q}!70h8~co zN=4s|jE$wLM67&>bAT<|_=uGldsEMClOFtDHuP7eKQkwh>7DU_52s;KY z#r$Y6@zeg=B6AvJ6BV=jG5i+MlENKU09k0XuRKJESTFUQf2-zJO9WJokCsFcf})1l zq`C(5KyeU;!M6Rq`YE^C9T&!QZd zj}}RXOu9Al$;4t?#KUapAtO|Vh~$1IA_sIQXVC}>yYen~geJc8f`D}n3KM82XS|h^ zwQPSK2gSy^z`Dao?sdwh`c)%CTr%r4(F`iKcvu7GVo*r=Dr0eMVdW^IW~m=qr*EN2 z0x#=a4--~KQv66p%{Re9>P=-}_HWZWee4^+X?bQ`Nk$gt`K^|OuIlU{)^?PRUH5_XZsDd{+p=Ok?O28Q9%X;zP-WWBgP3zV z2lfu|^25%-39Ph#PMBvk(yCmWV=EW8f+j;(3v*3tIoQh2A}Dtv(m+IePjh%Vseg4$ zSZCvhSY9E9VEA}DyQ0$^NV3e*`8%Y`t+bRija#O?GYd*{tP%cOC}Cwtll{AOD{t}C zSLG>4!Z!&0Cea%8!Aa%Q-2{=RakeukjI#NF?@_d+N&z6*P~PuhM%)9y{6Jy2`@HP6 zjW)!`$Fw0(^zM^RG{_@!BoE_}hmg!I+iBQENcZN`e~wANMCNz}XmiuU1|KT#%%nWL zKuEmARAuTP8&idQbdcHE5STz#;{}N>fZd3u?6Ki8E<+-vCITI}Im4^qz(jrZ#N&V< zn5;8ID{5uFiu9kL>a^g1NVeKr@|8&Wj?@sWOy2U&mwJGshrL$>K$AP>?E0{CaeeF_ zwM7&C1vhh%ndPM`+@+g;LV{CUCWf5dLyl_@Va__WHuDnBo zzd{$av~FV|)00*slU(I19S3FWw0on49IG}LM!8pWH*@6RhH?Y=(HG>85MKcZKdr0x zyS!uq2@1|28~8YaDg_7vN7e~$AUAC2syIq|>|~N*`N9l(Dw!{^yQKH6hagk*RuY`e zh~bl20&N#)ZT%$Qhp^vc<-zF!g92c(1wKQYy_0>~_0($!Kv~V(Ww!JG98W})?x2b| z9fK0AYZJJ5 z@5C{qj}aOPF{|4!wg_J7GrRm2C!<~(t4`L*bJH}TT!`cI0YC7FTRKkoSJJhs>mvco zPg{M!k|UAa0$FFDY8zB%kPXPcj}h4O=E9hp(esDA#KzHN$^ctq^`LwNd3km*4IVhw;7#SX7lC{G=RkY0`M2|KJOk&k@->B!eTPR17J?VryPz81mb zP+x9VPkeV#E{1)->6m6>15`a`q!gk&mnxxwpldXzF462ac&*xX3{jZ^pQ?rq$PNEQ zwmw!9AWTh*787TV%^vlFqGIpp3ESVs3vK?65C5d@9T>W0@k8f_3QyI=E!tS1Fm-ps zeQ*5zozbr)0h||biL)alTjlbu?uNm~N3QCA(S*wL&=7Hv>O-s95Web*wc8koNsqxG z5p^Zk+mTKxEZG)^q1NP6IVAnvj9jhm&;D9B-WY9B9t7G& z6*}l4?)YZ8WdPYCR)CidJIUPra#;YOYY2y>Nq_od8$%5YZ1hv2YQU9N zX-IQQTsB7*tzSNMyegu?l^BWqBbPhy7uPv$o=?_5v8J0~uhBFGAoxGd0g}xwhrq|@=quFf@G01{k1(GdLs5~tq7}yM3-ZRzob4nR`UO%4NM)vk8glmM-jUbK0}?2ywkTVj27&_jGX6*fuODCS=!^H84qT^bqCZm zjl;3|G}Vu_7qo-38Btj!UP)ZduGj@!w5ePuw+f}Gv64g{6rWHTRJsi+YbbZ{6*sPw z1G-qnAIANsn{?ie44XlY$?Z>?Z?L-`dOF}U62|+>TafdOCfXtZW*^6=OC@ z&hV(PE8Wi56iHBhF^y1|VAzPq`81}er&w(A#4=!f#1D+;oNAiARJtj$#p!7*t*$P| zK%1V}{i&n;DWkf@=L+pg@Ko@_AH~CNQIgsVFZUD(`mwyfXD6FG+2>l)2oDv%PuxrP zgtlrXeZOtC!uQ1mFi=kzR@oye3HoU$6@0+9U4xd*nyx50j=CB+k;C7zg(CFx*40gb zN%n^GF1W_5cB@9bY>*&EWWWc2tJ2lT5tT}Ol|~#=&U9;R_&|N^W1>M%Dc>L)JGy9F zH0Hon0$+) zKi5VKj+xS_K)$OAE`=?=v!F zLSeor7mw5fFR3SCefrGK|9#ccIiOawnCxr62VuDz2GWW?7iYdhMI~Nk9*MKj%Uo-h z;}I!8kd@OzU)|}bUV;M#8c;`yz`h(Xu;mBMXf6#9BLrlVXTqEUBdDWnq$=aamKpc3 z6_sS97U=ii&x~Q6h_d{=W$gK>1bXJmmzI#va%78 zJ2I#I*;y&B?Pfuq;{EE?`4Q1l_s2b0C$xJ+tmS;+=`J-MTjz9NcAL`fA7 zE-Uu+%gi79W48S2L$h!^-CcanY<$DXe4(Gfp61flA?8)3Ir~!&<5rq@Ke;0k(}jGs zG*-m?)+_g=&re-;O>D)Doc)Y|D?GPOO*Zkqio>}#^n>WQIr+@`*1jvDsZ-_G(iud~ z*82Aj=ZV>14VEMdSshC(y=oC7hriL56JBviRV}u}UHrOt@ zt&8<^$4(3ncZvlBi@Pz=h%8{VT-~wekWH}_!6WypnhqE)1u}SB?pWSp6X$Y&lmw>e zAQy@c7vN)7UI%Jmr0w z1TBtTQcgGqraIfQ5sC7nbo{8gT7=W+akHO&;M*}NqV*sHa@B^sOLe{9nwVs-&G{fN zKHQ^5sN5hr+KmA4s$a737+xxqDsL&lx?kGlh+)nfP^dzsXj4^l1@(kjz0%)Beor5> ziUZP;Fy8De=yV!kDiEV2j#;ldrnx;=4jT@xy}SZZmy15v?oPJ=sc7U&H`?aoLD$yW z)Y9bXF%#>k^LZ1(eDR`sQ9g2QMXSZx!Op{J{;8C?gLAo?d zZ;a^wMn?3_M&qC6Jpb{)fB!w;f4qPH^K0J_cthX~fj0!+5O_o2-;cmQ&xrnF%l<1P z`m1{XPc|AXe^9o))$?!l{GZrp0RAN#jlUiJS3dsl*=YRD_&>AJUe{;C0T`)i323;W@i=H~Ux&&G2ysDk&^WU>TUc8d+Uvfq z_&5K(?Z6uXZwS00@P@z}0&fWX`w{pT>iOTg+y11U|K)h`M?(gd-%J{QANW?!zt!`9 zQqR-5nE`sNdQ{8+qt`5G13gwMT~-4+DkFV;7Dj;4t2-X8-ao46 zby;-{8EBbUsaTDeS*cz-L4SK@U`R#F!pcI&%E-)W0C=nC|G!K%{{5HG|1XF64?g4# zfj0!+5O_o24S_cV{smjSU+R@Vspo&USo^DDiSF;%;<5ZvHvK)t(qH6E|Djl7{iR@f zmB_IEk}m!BG_pVTnP+uJ(m+so+M8d}=h;n4wD{t-Fwp+C{1GZ_;4i%pJ2JG>#p*G5%b- zk)}Vf)t7h|UcPqeD_CzIllZbzadpr4_-)GD*@07=WyDK3Dp}PvKIOh14=(A!E@I|| z`U~zJ&$?dQ`HvTb?@G#7f<0H5+KSS6qi9)TACQTbKn{QqXV}4K7z&*QP?1y#I>(~Ry>GPZLip-u0;Si2*n=t?-B@{fJ>MEB zl(uYaRZ%l(2XvW2y{o8QQ0 zih%`f%lHp`GMy4kl^z$Yve070D6Bdd2gs=OFC1&P(C|{oY_(YgQKwDQ9+aTSimWcX z`oC+Vr!5WTpz#79DrWc4_jf@XKs*F=8kE_I;7RufJJ9i=6rC~LiPN7Vt5t7^e7a!3 zuSoX}U$y1-owdNFx9`!b!s`5rX=l&r_JdX`_k-45`n8BtdU_xBmi>^4>N`TEG>-MSVm+Me^g2Y*(QteJyiq@Dd9*K~w8JLA zq@`nJhKt^b(cyv#?4v!zi{Pj11S*0fJfyI0ZIC9#*C8|OX@)Gk@}xki_UF1#>(mbQ z-IkqT#0ykQTqmzV(K1Z2yjf_FSz&>yA#HJO3H3^@S}Q2MCc`9P*$u{_>IC#B^U3p! zFwg#*Hldp`$^%T0mf4;kJ5})wU1dhP-gS#F6J1bzO*l<#CdTUL6Q~WIR~oy7P9RDr zH}fwRP=HyuWk4{~_YK;7hpa+qiv_(%BTJ|9H6c>MA+a60k`pc!uSFk6hB^Or0|}KW zlv0*3F2>!JuMSNMw}e-{i1tVzhc4WRP(h;kC-i0!rviZj(pPhd{$PA$$Z@4GTF9cI z9f4#t$sPfOf*mNgZN_7FXVe#7+an>Oo1=LN8j%r*ayGczf+b^_BzH*bFnFK9wIW*C zSnV%&thY+!C8^!2^@QOnc-xXzYKR|4#BC_36j9fu+7(2_G3MRSX;jJQ4vjljW`Ig} z!L4l5%HSVw0zne;9fWEc!%CWWGQOrbC2_YY27m$dX;`7P7#2(y?GyUvH*{RBRH5L# zR;`X$17Ia{;0vOrxI7mbYE4fYqSiz# zdmB1BFt)$kTvbXym`MnWuP#RHt02NvyF(uKTH8^k2pRMe@si!Cj~^nNJcQ-ih%T5#z9*-hQ+t>4RIKWu!r7^Sp@>GnM!Jhr%f~Dci~vW2AibF*^B|2b3zx7bP;%&y%|5ji>?-lnORT$bM(*1ZxX%w8 zz$(`5uRk?0O>tHTX7`CUyW=t2JV^*3)p<%?J4m&BUBP;YLZb8uVVh23`yTvY=->3H zj$77Bb0-U>)291-E?TY8>`~#%{mcCq&Kc$7IwwD*%fw-3fz6BC5Kd)@ezBFm?N^@9 zB@eTWX4Ui3^Y=`x;q@E>Px#zJ)bB)L8uqP;>*>cB&ml* zvf%?sXx$yZ(ByyOr5ReoDzX$7ABht0SQ%t^zY||hV(nCyHGhM22|0m$xoDs3iDaxP zfqybU6A_(nFoJ*>q?p;Gc|^879IJQTulH5mup+jO&^dc#&ZypQe{UCujFW>Fj#r#} z;0hSCYp4#f8*j+02$gR`v!W#o{>G=>)HGGuC^)lFYrPspf_Fqm$wlSMrxhVD_|r2Y znD#hj8G%#kY0|y#6$?!tlP+gom^`Qu1aupH_%n=pxZZ1 zJn)u~P3*2!>_&NvAx^6V0T?sxh0Pq_RI`I)UIU)JY-u|_E`-j1OqoruFW7~XP^)gV zpmMzUzJyeUk|H0D zLe|GR%X|aqB@5E(z>Jw)S*bgPkY>C$cL6nsyga0a(w7hO&WP`U!?X-1N9$JM-iSec z)-Q7mekXD)H`14k52oPsWIkXak7$ib#Dj?gUS*IA-pt&b3hu1-m0!(8BB;P&Zr2|@ z`3oeN^pB?UMz8jm;v-S8Abw;>DDyQb^2)*cr|!#8$WRBYbixC{+2OR)FN4ehbr0(E z+g$E}1)to7vHQP)s$aiH0VQW}Wy8lB*HCn8N=mN$Ed;|4bt$=|>si$702f-ULiey=XmHu5>jpfqc#QA*?m}ZZtOF@rR9-Qqp}6 z?_r10YYfV#@xNq*ACNm=kjCNxe;VYfhQnXM$~}tfDDZ3G>nSBY{2JEe|IKPm%I{0$ zPPAfkKg$vMhPfv0Py&X=21AG>pw=J3#a(P8iNKitW2eoJdyo__AEahh?7_8jOc=8( z5w`1jcIA(B0|l4%wpSxeq&Y-k7J8YJZECUMUwn5e1(|&lB!|Oo;&c%Cqp~^t!f{iZ zeyGat!6k$T0=1KwVzqtoUnp7WMR=&j6ED7N!|Xr*#wvuluO;0?<}jT-z?ii!wS-6E zLv?QFYE(?-924aj2&5eguii2eJ>YOUN8fXZh9QSkI!D)&nuGyT@kK zUBJF`96jXp$RTWdu)5|ht`Jz`^JEB4^Hm*r;s<>Siod zHf6bG0fpT{@4LtPBr>b`i-ZvsQP%4Q4bI%TJCoIv;$&fJf4R{k1@Y#x{8_W&etf}= z(#h?_G2`aaDhkBf16ck`3oXn*42c17D2r%UEWL;L+rZ-f9Q#T#fuxd}2DXzBykHLBPu{I4`wQf!bbU_OTNMV}Bgm^4ukc zF{(yO1FM~Wvjt32GrJ28FH=Npp+vW4`T+kE-Y|9dQ{hEB9Gci8!>gTSTW!aVux4 z(7MEB-!JRG>N^{42Y#WronP20s=t|^_^5zz%yS3*SQCg}&|x4oPt=|8<-76n^iL_g z((1+nw|8YcKcw*ZXb`2IFDf;xZtID_!P`E&)N5Es1#Quj;#6=s8^Pgz|Ct#dS)R9A z&DpVf(P#xOQ5ag|OFTY>#F7*mOzNj5x8hmx394yngb>Z~G*M1Q&6f8N3^;_gxrk#X zUA{J?xyRBubSH+b7+(NB^VUB8W@PNfdT(dXQA*aAKIhAwQ|iqi%Oq z)Ufx+^`?9Z#=Dh)iy-kfR2nvRD46U{NQF!RqaAzNqvbF%{NGnG}73_AYH#wT2Z!`M>8QKJ z52hjyc}92Mz=X=Rm3~S*eTWdbsYNB`kXPl^W7N156by;0ge6wuSK*h0nnu*V&7ro| zVd%T^DkX1fPV5PBjg^V!>&LOGkS~+v#WCmQqcg3$m@+;SIr6nL-3psz%o$s(XNC=S zvtPucQ~oL|mz+W&*HzY#two}Y+E_>J{js^gO#hj6G_De@4L=b8(t$uUh^VSs0cRm@ z2_6z?sHo)86KIRc5REMHFn8XNGy2Os-?^2~yRnjT5dIg6h}n7KiLdVJ zE0{nj6TzLzX=z;8=WE>tH~*&h+U}9=2o9AVR<`}jy&p?)N*(9gK{!{(KZPOtt@zGRBI;=H zI`Kw)H5)`sB6m`xsMj{LLVcRQ*tHL_j-E2$pX=e(rnDOBK*0TcU!qDd7!CAH8CKYe zy_y7JqGK6qFPXj=ZE=MBP7O7%qckW`ejPzf<;OETx}5Job7Es=XSYkEdI?37EVmw* z=2hK8iXqaqI@ddI{NUboJ-XQOXr+@jAxy)AS?0#HPRA+_EX|;e#|1mge5!rmfbYT0 z!PEntoGKE^?)r#QPMJNS2W&rbVL=IR2EYapNLK5G1`cB*Gc&6Bz%}o_LnrhURppq!$EzZg3NHxFr;tvsE+il)j3qIRCZ8^JmqtjM^ zEUR);b_xF4)V%UQ7w?!@40ljsFd`s3?hT0;U@4aR6n57S{UlCbR0piCU(_t=ij=i8ui{GX@VRl;{E`_4af=Y2SN z;EM{phwAmzvo=2nuC^cW7YX&GNPs4jPZWv-x%!ryDKWp!n)~BIS~>#m)0V}%OavNT zVVpn;MK;y6pIA)yB7$@egC9nCCtmMttnAUAD!sHGFKyoujY&N)U9w+R$J|x_{GbEG z5q+@oXTnC-w?=4NV;TS}D=Q5x9nD+g#Q#QNgrPI=>ce&4VVlJ3=IFGJbYCUzP?}7(#~Gj zQr}QifRn(%!Nh>=RRGDt3Sic!(gQHO3L|Ot4XJbu07g_sbPV(WI%XDHJ=VVnBj1FL z|H|y(|6%OE{}THDu+#soZ+S!D4S_cV-Vk_0;0=L)p%MC559^=Q^MBh2&A|Fw%k%F8 z--L~C_vima*!VXUHygjL;cFWDKU@9(H`McnhP1EhMHYQ3Mk9t-VIwo`Ybnq8n`Ma+ zJ*^%iqoE!E@DGhj^jR5<44If2sdQiSET|atjaYv3C}EHpb`5_+;0=K{1l|yML*Na8f2E%PL&Vx&E2`h5X}<)Ee=sVc|3kaYAEMY; z|Ds(~aIrR|k(eUvvRQ2H+)qdGSdF%C^kmg|EVapzij#U$;N-XXz`zkV*AUn z{=NtQW3t76If@OyK>yo$_>U+y00YBs%fHJO|8RO4ex1nQ_y6zf4p~@OS^p5d_TA0Q zZbc||$LR&yeIfW&sm0eSi-m%MA987bH*XO!=xF7?T*WItM`tV&!!PG}hmiKOe$U{& zHwd-0OWuv8d^Y3-NTINjlG5&=FsGNzo%Q|neJ}sb_|K=OP?#=_&{WA=H))tQlcGn?9_HO!KQdP^!xsfWi8g=MR_Gm=ZD z49z0#<_@<5fJ8;nzAIZU%lBp+sLL{YqphA47tF0ob`sg0+IyaS<_uB_ExjBB$ zLE}og&UrC-b-T&zxT7&wRMeqe-fl>J0^}dW1Mt?5{o{09NBVGGzKJtArCpVfKmWiW zv=}=Z+t~m?_;KY8QN55K)I9un?e1cu{qb=W0n4R{-SXP#G0eWC?dOJyk(Y;~KXH8% z^pBjT;z^P|6-K6>c}1tCoXki%@hPXHC6dE?r|Ywh$FFX4b;ZXSTnMfG<0!i4&sqZr zdy7KsuxJ`v4vTP*h%Wk!Dc@sx=lySg9CJ6s?vXO1;a1e+;uP<#wM-4AhU(pE@7t?> zd{+rRU6aUv1plb6D~y>Z+OPdm^x(b0R)R@6EI7AcJSP1VUNNq{@(2xUQ6f~d{&Duu zy!4cY3;P+ZkaVUvw5pS2m=YY9rhMo5Mz(CJueR1!+qmyK45aA zsQd69)vtdI{7NZWKxWOGO@`o?>KPlBqX7FBP+K9{GUSrx)h)5{V+=;{& z@m!(g$T!Vg;Lnwt_3X5~uAqOC!ex|^)hX7A-z9#R6PBc6Q{k@Or=^MrdInct8cj>n zu6LSw?$<{=WUjAQ_6mx9c5!Qc@5jhEr)K9?lBGktSk$_EP6GFHX6G@=NgdEs6Vzr# zE=52?8~5})5F!_M%|}_X_>`WiX;p&5Y@q)BGnPp3lZ?Vtn;eoD8z{PJ@ldXJ7+SjhEFwSnDHo3>wtguo?ns5v9Q@jOs zCtY7+T#?H}Yk<3%X^Ml=N={bpgTkmn?pLlb<1NTnUZM_><1{tQt#BU}RxPIas4clx zwKP4QwKdZV(C{`cHv-KTmzg250PjA}ALu$cFwei#rz!8@;(|>A8NQ4!zymW1tPqpT zfF_-H?>V$`wc{Bb0~IyQ%$&d(Qd{C-IwVF!9n76rJiw^>1MLJ|UGLH5l*86m%9~!b zM$^4Vim=$zLxrcSKWJisg&Isxw^lYnK4q|(3x|-Z`+W7}=9nzGHDiu>DRDlvdu^}l zo0Tn2f&!_@>&j7*n7?1DQ*?mMyX!ox*wJC7MTxCEO}<&4(3q&CpOx4=Gt)A!896yG z!?}kRrOk#nA@S`_aoYN^N%E>2z!@}pBb;UABLCcwzkFe6z84STI}?bn*p~OKRk1N06<#)@KNrKl^h)6V7dvlo00G=F2CGnx%X;CB*pAlpn<0R%i8>g0oe$u^jp=*}*E`>s_yT zgrX=aB3kg3UlRfyWa#-8I+rTboF*2qkR5CS0L*v&xw^w>>FCpzmh+o0FR@}98@nkj z#`4%+RAA83uE_Zu0M~U<3I8YOk?DY~!D{ELqpF<#yW`|-p60x$_ld)}^-jzO6*X<_ zQpSqY39j>U>_c;IQ$C#SqETC)aJgH=+vUa|hn;$t6+HQenJcvPOlFu6e#pLbtPVJ9 zoVne**j-t=E5;_OtrEN0Q6nJait!c=PD98(?Z11M5j4=Yw7gZ7*Uz73V1lhV-FasU z=TWh^A<%hxD<#dO0Tk*zQ6$?h!>qXee-%D41(|ckCkI({4%yT zdSfeq+wcPDvcGmoRdr6q_T$*i{A2tGbO=DTU+^>iC-NT_^Aw;ISiv)3)Hf|I%`W#= zUY>RmUS{%ntwGxB+coEf<}c?b9*#a(O>20DJ)`g|Z2Lx5&ETgB8$}(Rml@tx8}e!s+rvR7 zZAU{~et;Vocb^d9YFj`7*0vW~5bai16BIRjGN&>6=c;Ol%w)aMh$C}s##4l}>Gm~l z^9qW4Ax5zy$(`W}mh2?7@t)qV`;jYJKP#%aIZ9&BX68}z#I#p|$X8!rvz#4hJ_0+3 z9kjV!jN)}PlXES6OjBsNGPKUE123K|9J%r}%!sKr-)qte$M9EXEniZvZOi*)$_@E6 ze|;SsXFnN93gxco_zl!~V#OeH(>%M&aD##WaLI6P=a<}MS7!i?v>vfm=3+&rD6#=U|D7be(UExt^w zs;VILy7O5%+Qmuf-8KqxGO7A0f$4t3@)_z$WxZd+3P>AeO$jl8?7|#Y6=?qTT zN+KXCV0HTe!)+_1+iaJV*g5yHLx3D_o!+Gut>y61-$=TSG8|9prw-~!sMt{YI1)LU zmZ#VkrRexBh3G9#ijwE~JKDd*rg{qt*1~h~-%3c(0)nJ^iE5jYk6-47gTi817mQN} zVd*O|*^ja|IQ`aJA$f3gq(=8Yb)=--j;znhHtC=QW_&eh$|h`RIKt)2WA1cnqx+ap zMWalY-JF!ErzP7m&x$ADn?H3La_O+u)4WuWg_sWEpCfPPvDo$=wwMPi9%4x^M61!C zSGz?uFbg_X7wS{GzP02TE@mKub6xL43Yq>AYLPl7hX0QaKhqS^sz)Ibu_(YtQ=K!0 z%fZ1p(zSB9)0DFgB?0RSMK_2u^dwkwm{UPgi;coIZ@_%|4bI~_e#JiN31kcOGuXtu ztESipa>@s6znefYy+m7XCtwrx@w$;f!8Dh10+<;PQShZK7<>{e;Bp*%G9C-^Vl%jL z8s2@*bU(9Lg4(gVLGJt08|8NO)%T;xVL_YIvs)wVt;Xi5aQ&kb=R6US`MAwm3pV>) z{4BzAGJDPk#Vo3QB#^X~Hxehi^Tp&jRq`iTDJZZki-?psHo;=R|rW0s2~jE4~$TZ4_{m$R-4qPrK2_4mD5#u|z{b-Kn?WKNf0Qdzp(ZnvmyB7w8F6)hkCN|l zXQjf2baCv0Xh+&(e!zd0jj~XtN3Zmc%&DtfV;bxJpdY9=x=&=Nb0sC!$>G7DT;B-vyXU;R@j|EwE@pY5w8`BA_!%H+dkt18X{nVmbX<%2zdtJavUEs2oO~ zj~|>JWGgQ#qSgWh^vWm`sb;|^YVjM14KP=v)E%r)mzCL#C-xv1a?VwAPNXE?v`a0E zgxCh(U1#_YNB_%?8@17=*5&VJ33AMw{i5PAXi)5himQAw4VIV?>r!@TK;sA5;<%V2SxQo4valT>wHDdzok01jcEP*%Q}!t3i@cs zZJk;2I))IQ^vPm)R_~^a+Iw#Oq3uXnMPK3-2Ef4dbbw~V!UZ&Mbfp-3Sv5&J7TpKT z^pwg#Ma~0ei*N)kZUFpr-t7VDiO7TE@Y44N>i(ifAA`WB*Du!aKqeGjWk4q}?$*ZF zI>byQGHcFd&1(-k`4WuDT2MM_es~xoIvM59=Gmr&X9eInOK$Pzcxmz14&~wfVIIe2 z2H!GIPIs4h;tVR|qz>!FUn-AujE@!&#cAvPT#YaV4VXJMQu(-hjv6A1vKgr6B>S^f zoWMU|bR!<2T7wtwe&QxcCn!6@8Q8|PMKN&KmUjJKy>Y0QkMs!##5VH@WLJe5W!bbb zqEgIS$mN~y6C4>lN@$3xlgmrMB!_-AHW)-~%Eu?Z`6uED_jcHZ0QkGR@?Ur?!4wMD=vWC~BOvA#Us za}Mer8_V}c829dwqxRi-=V&A5HV{7x-niGM6Pe_(ULyho^d1vy%lLcVO%?KR>IV;% zw)ev@Q36A*QJ@zGvMgTGk(QFQZz3l#O6^?$N%DC&gb5D0QPq={B)|Rj$Q4zv+ z16k7akW&v!{$)36$$4h4hNP3$kcvaH6tv~%3Mc6jfnX2n{jf~jVJLk{QrN2W^Rzx& z73SmSp+>x<>ANdZ%0Tvq`6m!RZ1`w(5z!xg@9v1t`va9`M_pGDzwh-lfjfYhT(Dt( zg&?!d)`WLBL-o0x17jHWaxgK2`)q4UiK|(nn25!ghXMVq(1U62+bZ`=#L|8C`j^`V z;SG~8sn{6PUW62)l?D`V^v>%gLa*fphZ_U!Bf2KlDBkfV$bi|r`R$FsNrPf7#9iCU zbep8>_Y}J=W_n8}(@CkJDGoO{V+Ltr1$H3^wz^cu!a&>$s|}WnwRIZFv&3!RECE6& zcN4wM**?7>awdJ@i~f~zhNSYT4W$^FsN#0-T4`f*_YR`&D;+DnC_3>|2E5y%D#-}FLd)z&g z{CvI~*Dlk*^q%c8pKs8?)Yj_W%oA(2gP$Aj$R25yqDk^e>P&Qp*{pKJh^bIapebYl zeVPrB9*huUyNoH#=)h{mEn$U7w?kLjN`T3CQW?Un`I*a*rX)rE1!H{5;gR(=c7b?x zx;i>#_QPStsSdS+ilk2!45yU?ExLB?`#@%%@2O?UVI4SW-_+v3s0NEa`*{G>t>oYz zz`RR4-Fs*xQ0tHFCfS8vW9+R7Bn~17^pl0DRsBK7^AVOk42lc1=2*>@L>k|DB21nH z^ec<8lVE~_R!aoYltm_8+ICkdNnKb(NZbtXC%^Q+$ozm`X?L+FgCzG}a8&Ojz z@(4B7Opj3zWdx4E{>aM001t|x{|FFHFN&I{#)c)c`VM8odX*UaT2>58#kS1DDQGWIgQ=a8=KP9R1Bh$O z9W9wtIts{&ey)-`Uv%wk(Ez`LQNDXua&mhM8>i#L-RGTNZ2VKc6?b5a^6MUg8QRA$ z&`~_|8>g8`VIGHB&0ZX_nhJ4l0n=E@6Q$v4*FK9}9;0{`D*9A}b}NW#1 zWx>)4u6Z-QU_venG#mfO?meswcjwt3)^!4EC)OdRH%F25xiw81idpgixVmPsKxfo5 z4%3>T^kRqwnHuGU8*Khy&So`P!dX~5&zaxsv?wu^VS(rZ*W z?JOEz+;C@Lio;AcAcKq@EBkXQNY`=mQ|x@5-=;dX3~s7?ROOh)LET0~-;`rL4w%2N z`veZd71PlOaCUpG0Uo{FhqIx1Qi~_MT>qJP6m7DcTxlRt{>!P<{L+3@K`sP!!xMOJ zi!K~+>iE>W^t`f<-M&t!p605#>ArJerq-vmW6K{h@BM6ic2*A& z96v$5RS88<*d{hY&%^DpFH3GANJldEUqnG*M<<> z-CYtK3JQndF2UX1-7UDgB{;#|-7UDg27+WU0xufJ=bAK?#G#jH8T zeCDLCchr3D_tEY9c!kUWjT=Bvu=!#4Gw88b+{qH)MEb5fjw%8-X|IuWBgE z(bbf&4g;iN=IgIov|qPTWn`hYM7fuXaZ)-4*OEAXwWgIIMq#ujd~!%z$0c6r9nNMew^-yGXOQz+%7&o4x(v{b zd%Z%5s3;8`P9&e592}D?vqtzy?hJ;tTV7?;k)n${e~g=jys2?7LB!>Yz->!bZVCWZ zFPrjw{Gd&8rkBR|J_qu?nCxD!@b$*<#%TayE%h53*KYKs--)4PzHK|R;*Zt)t=qiqsUuqk zG@f7#LYZV`lLHkN111kzdMdC8z_cPXpE)0O(Ig0LOV$AuK$Q-Xq`K!$w%OaJ^qO*G zznLvT$7FYupw+YI433qlW(YAxwE?(Wxg~B&7H_`G(RtYATrlc6kP^$A%Z2;ty;f~o z9Vq*F)cm0!U#l;^*dclQgzw){BW!s=pXGqa>AcdS-6fM;a#{@M3D+g!0B*}BgOY|fpH%N;ldpnmSs7nK^8zGVCm~k4nWQ)(#^ct z+qXV_zVwzz(1KUkaPf{AKI(CNmdBx!*VqxVVZ+2y*Fl4pfY?u5_nI#tj8vx7Q7V*R z4DP?*JM{UkxTHkbCLTivPK&Vl&L6=mNb0+~Vaof*8|7xjnD=*}rQE6IEW`Ws6{6~g zGbnrzuRBd#;4)K2KMqR}8#`C6^>kloQiI-#sUi_Ckj71Hx+U)Ts`BaI3x(r?v*0kpKyl6(;p+rAnbA{+Ns;JRp_$8PAH| zpOK9pR5~@tW6^1wtX3ECEhDe)>zVXX&jowJ*lB9`q_=VS4ifR88b_TYfdc@eq;3Bh ziTD|Atx0pKBon{4)o8D*=vm%KGsNk|4vS(x9&?Gy)#cLn4OFHo(SmLGQUueYx>z>b zr1&-WcNr)#IUm3*H_Q4|bG5u*%Nv2wU}gO102}qr4Lm)4U+mtEMMYEE0m;Jc-2dyz zgb2Qb=n;=+jX|{vZ)uGN&q>!CL3Izv82yFElEFt??_mj6QcOJ&h~ilZU#;d=@bgsG zFY%;P#DSi=Z5{V6=V?>TgWqmHLq=aNRHOq&J%gfHHI~A?>3iI1wBWR z-=eMeZ!W6p7qqxy%Wuvg<>f+tQE2s#3KA*8<3d5@HC5AU0;WNg#-(};l_bQ4NpTT; z!2E8S{5lls87){8f#&4pD)2z_@M_7$0?8eb8V9F;mA8 zt9|We|BZ-bo`S0dVk?ejAofky@vVB-u}edBbI;TpsYiktT4RNss(1N|6_LkAp+jLP zvy9SyO3%^yO?WpE9R?+vDMUj8{O5Am5b0R6p|7izdsQ(QTnZVcSC@D)s&PUfm*NL4 zP8(76ZVXuF+wBWyAwMU^Qf5_umwM$l^_{Ge+%@VAf#9N4KbP(P$(w|a^6MM9 zXj7`tv+;|o?>!W#!9_~)h|`B;&Xa23=|RA2i{{1|yfuR3n7s;&Tldp+5B6#t zWuz+6hamUD)Q8mKdl=!t>Y=oZnV&mM*j->+5QnW_8)Hf%SvOZfy*n(l&hgTNTR5$g zaVD0@ipSo#1tpqPmA>?2HlS*^S@Q;Ah6<|CNa8-JH$;u?Hpuw?;&b3;=t3& zhedT(Wb&;DjrsU0K@SCE!tF+kBZa(!_UI#I(N&sP$?JKx*+vKa2(hTqhykHG*idzT zmNYTq6u^|>hly;h4ra=JfsEkPI_>B;chk_?>??_i8A?w5jSP&XT#79PEyoIKXCCqW z>9hyL`(XZ2%C{wQHV!0UZeLDXIV@9jN|D#Yc(TLdQ0I=3d7wea*O?3rPnu3EMHczB zx6_yi^IJ4^JysL9JZV;?lj$Y=hwY;exow*yCe*u(QGv)Rhp!&y8%7bV%Qm%ERW|i2 zt1GL7#WdCF64eXN2i8muc?;%CmwTiw@z&z%B=iwzeGNoz5cFJ0yN~b#61--Q2m?7< z;oXBezt*XjX=tH?J)vn)EV>e%7hnHXA*xqGzf~r)MAbb+H&nBBr$8IseXRd8X$Q!c zXjuJFPj>oVvX(E?alUYG+m zBL)^`mj5=9Ne`e8U}V>)Wn?vErDbMhWuRp@&|{)yGh}9CG-B4(V`kO=1upz&%;4Yu z$}h9(F9m)n@JoST3j9*wmjeGhxbT~){ddFq-@t|6s`o!P76LN-NZI*)!(a9MuX_G3 zKxF2Bsj<*MZvDsA_g{g_|FHdEH5M{pW@cd3V`8LbGGKiv>RH*CY1x5{thB7mx`w&{ z0Kf=f#QNXW^Gpl?7DFZ$AT1j!D}a`nT@OI3_hUU^1Yl!j2I{dG=;|{3s^|ax)%$4N1W$hgUCO-6Vd;X zunJ`ONjLu>VSnPwFAo@h&s+sE{+_w|0{y-$8|40HnX3TCe<^eIxB2|T5=GL~%A8)% z(B47)Wtu;xLa(B#M#REG^dk+{%F)6?^S3zJp9e+HZ*6B_Xs7;TLk7(k2wUGlor#f^ zj+KRok%^U#89>C$%0%~)Ey@UFpkrp%{1f^6n+CrR{%>ce|L=jXK!(3Np%&J5@;18q zhFo0q0`@FKzhmh1LM{#>@(wTEfnHu0k$=f){i%qEJb;Lu<>wjW;rS85sxNQopici@ zP?%o9(8WQMUf9~o;pH6)3jb2z>yMrOJo~@x)W*=x!PLbx{S z;cNx#@$*s3Tfx~q6}2M_d${#XuMb6|96BaT@lc_GQz`MgO25)l^f-5D*47x?cE6fY zmZ9TWaguprX;v*UzV9`9yqX)+;(F(PzGGi%N08Oml%%vQ2i=j8nXg zXq(Dr-OkSkGG_2Vb3B)?Z@=<$D#WPaec6rMY0li{w8@!nLLj(xohw@L@VvG@4J(-( z#1(~i6KBB4zDR)kV3)e$ObDp@?yAU18S&+QI z)rlbGaX5-^ItGP#(}@xahDWU+;7*5~J;A_!GJKzA^%%(2xtwYZN!J%2)7G*O)B{Mv>X0kX=I!qoVk{|1xh$z&Be)cO%B*$fN^t`>BMqE6GFT&80S}KAB}LrJsPZXr0cx0MTa! zTT7`YC8TE)TP7oHG%w407t_=7BCAlbD;9FL<&rJYm}sRFIi%m+mbUdE5c`mf#uIxL5vS*t`x98Mh_UVU)wry zN{k3aI$oz7Nxw%TQg${ii7VW>P+A(^I&7+eyQB zmF$m&m4W2<4yA_DtTNrYj{SS(NFzqYIh0+0vS+B) zZe6mElf@p7MHO<-Him5!Wc{f=Q^@GF@Jm=Dndox+!L;HV&65y;lo9=6G^n6)ctFC4 z>0L!>RjxIHEeL}?rkLpO0)vaK&W2JgulrQ09N-Gx5 zkYf6E9XylFE{-qoP#Xzcve9cQ!hNVq+;e97w{5uR$OcC0P}BxlBiZr6vIPAyAig)F znM8OCCC=yW^`XPPIGqPR&GS^qXbY0>k#CS^{i{;iyX`eP00l^S1M-_GV4zn%AF!+` zo`lM3kM{ImJl276E?U$}w7Y^TjjW3MHOCaiB{xSrkST0o-+rsdfVN=* zbKLtXKV$(xGL5qC>P+7H-sy@#)=g4@O(-5d(};hHI5b;C_Y`73nTWq+5+aotDId@_ z0{gmEs!kC1#Wg}8+zVHLM?9W9Db=WktdMse=AEWB$kTu}9L|mr&EB&+SSDOpV`300N%y)8@zc9WQA=?L>e zlrloKL4l=ZgF=I@NdgD4uDrodkDg*F0dFw5`T9Bbw5r#ub_HVKs;w!O;H1uU?%85o zPqQa2d8jr03XH|?M%D~_y#frb+TT|L@eTXS2o@%ej14Up-4DGaXR~P^&B4oxgIGvj zc^`Qt^wfIU(Dtn`Cfsso4=sP%K9z7hlO|{?#hRG0z;>p?wcR;41tne@JJNXBy#}PG z!*-4bb=u}ZoOD;4H-ETYy}pHD*g4c@5RFEARB4J$cD+sO9h1w1+@NW7X@x#|8Z@({ z@S0uurYVKJ5|ioj_=<#u2qw9vshS2%jLD%;$CqJXbAMskNt0$WS6Ig+fRls&`h+Ad z3k^Yu?hE)@kmRE9xXmasIA~3riiCZJ9O}D5{~N`w6HP&J&9A*)Uz{M!bLxrgKh=wQ z?CJ_;x2oQ(8+93@Sz!nerINj^Tyu=a`q+h3LeAQArIiaAGVUk1Yfz<7B=|sMMC*`H((K!fTM3K-t}qjotvYR=;G<(b zb6iZ(c?v;R$mJ{qA;OUU;mcKV;d;|}lDMFh?v(ZqB?r@$5poT;Q>y2~pF87&7+T|Q zAN4N>@2zM@ig{8Afv=A(2~f%Q7DD&7F<2M2#f6=!z8lRo>K=OGV}8^}WoX`?W^tmy ztga6u@1}V>mEU4C8Ec0sPizez6yE6%x*kv4x=RL;c%>A!66xmDoWS7fcUk;WHAosQ zuf7r-@$l(soNkWFy3r#o1y*I>+K|)4i^v7pYyeKKSl5}Qk}h>{<*_l`$QE>I7qSMF zKD_e-z2#ltFftVVwpwVd-4={LPWa$m))qnE%H_Rorh9(lT)p+pjWMH_MxegrYojc9 z!x6U{BKBtr+Ti0{J6yqlPcl`Ub7)y25+V`ICI^SG`_R4O#+riMR(nxf_zxR7W!^-^ z`eluAuH5}gHG0d<{oF|_k=v9Mg&{uN;3D6*TMcY5s=37bbHC_?fTSZz6kyX5C_GUTS9e(ko|oZ#zW(d%z!>FDpSVD*;Dh1bb8i4M$!Pp`x?NMxZ)VO%d-?o4clx=eQ&d9@GVr8 zm)$?YfAWli|196)@yY_7NDIEQyP{FH65S%H3MXupF9#f4CPK;V^rCv&-vTH58*Ghm z!pEs6Q#uknc=Wir&}?8BbjN2AeFcgq_y_B#@9zvhC@A=Krw2@6*=JgjK$_6ypl57& zXMJU2q`^HbXTxVUlk%Su$a!LTFS$yYcYv<{UI_7BY#XX9L3n@gD`f#x9S5_Ip?9Ll zW)ps22U%~RzJeqOjdaZge@kOm>adXmJ)uswM&jN*eO5W^2OYg{&v#*d2wvtoXVZ0& z0a-37UwkKd;nL90#M`wsu!cn^BMDU>`+OpN4It=RsI$22X~;|0QqH&8hj|ccS@Y#? zk)JK?0dk2``B_epKB0c9p69p8YW=3b#iE7A#MA$Mdt5U-A9E@p6gixP|8Zj)d0Nd1 zLpvze7>(2}MUYI!fHlXB+001 z6e_YqNV}g*3Xcf3#*9M0?Sry8QN`IU2mHX*qp z;THIpKm{8>Cq849Yjlj!ps06f%o%|XVid#qnp&yA6BMK{P6^95fDhJ6icFH17bZCg zp4K~^&uhJYE_2TyFcbT->pW|P_fY5$4^IWRO$kc`qy{>yQ87NgXWx3UKE3m$C-{yL z+W`#-VLC&4bRQ6?bo>~s=SPa1rZIA!XT-n%>HHE*QZ6E7gE1_kyYGbH%i88T+#7lx zJM=NOLXagL3Ut+_jIz9aH!X<;fyiNy;2B5uM5A1Rowlt~dTguQ^394tU>(4&Wl-+!~^>QV``x`@WSKhAIc9u|axaL8h`JF!P z4W))GWnF4AnAwD1l4-1I2DsRF^fHlZp7(>Ku(~&C6wO#vD%GbTuCORd(DOkfL+3_3 z#6ikqrz=G--F3WsgMJjxA~)FJg319an9iblpJ+#3S-Vw(e@_NBS5+O zDxqlrRx6!hVH=@zDBO|BTh42^?FtM^NQ&cKyG%pCmmqB+HdviwP&N=-{$Px($VSL- zHWG@6XkY1OIcuAij%F;r6R*~%Zq3VOIPF{JZ>L-}rJQVdCac_5EMLH*e)fXB4B}R# z!afgfzi&9HGuM*nb(1&-=egkGKRULuPRclMGHk3)G<#MX)i9`PI;tz~O(#;dFrK^1 z<7`u&{K`Eud!Co)SQCyf z00R9yZ?=x3pOj+*o?&)kH`OWG`iU$S7;ei}gyt}Px9DmsapX;575@q(hRG~NN9g)U zB@AM8rpg)oNo2`asT;8%9Sh6_846l!Q-80y|PPzg}! zB^UOgQx;K_b?7~y?Q^F)aJ?d#FlWxdd%gXH;+%pMlDUSjf;tCSF?fE2 zrY1DQcLsNml}atw96ne=EG|y?H%9U-%PFFySPd;~_eDib#pQ2C+b@H<>G203WO(y~ zz^nm~VG{<1;9#=q1Pv`>#tM!l2Ld56uNL}hzRWm~eUWF*mbjegYQHO<&PJz3aP(e_ zZ&@`ovRjn|=9ufD=-e|7yk0#em?}SZhyCImsIp5;g7dH;^GVlaqbQExefQN|jp{rR z>0I)+u;5!{nmb8nL?yPT55BBjo7sU;b^$_GJ3wsfYI0+8XKWt91JJ0gDj7fw<|r0H z+t$Fgbd!~RV;Xt!NG{}RfU?;4)=tC~pvR;aYLKFJ!_-mieUgZdUdl`Kvn|NHHraqW zJB54mA+O1;+{?ELX~{#H!euf$auX|$usn*R-@pS_R;*T6jxo8sSG+mw@I{>Iu$Y0U z$7iFxezA_g@Fm9TDclx3TU12|uVy*DH!`JIMbtyAzy%ID!&-R>~?mIrwp@X>Z%B=dNLodf>$-~dh zL4`3zj`4ab$BT49NhWqYqgAVf{sb2WQY30E71`3B9wqe-h`Gre2kDTFb(-{1qi?^* z6iWnk0b05D7saB($-uszYi}FF6GLYdrrIjmGVxlQL7{P z05pK(^O!3=#l$D#iF-V5K`D2nrS&RT&V-bV{t=N-qnB@%z^dDi0=6hi z0%2}GR)k}hO+Ev3u|qn%5-O6>*gj1Xmh_B?1iHAfkF$K~1v^sQTdjF0_%%5x1O^Uvo$~Ot)qPLguB5Ve*{txpiLBQZJ2B zs-)=F#cRTMth^@G&*P4s9D|MfX0~bO6oGS$4||&jA*lQ%HS@cJGEU2HHFrtx^cPDK z=_w^=Btze3!){aFiA$34tMna{@x1dJS9;2 z$mq;;rWfA@Jp~toTE#j{d4^_~?4w36t@IKL zemt3mUYJL$`rwu;wC0x%GOXXd8Z$tWBG4yauatj{Qi|{(xB2GiCGgr0V>cq+QIA1J z>a^xG8+uCi;FC|P8#js0CGx8{*%29|WJnRxi%1d5+h=4Q5U1=O{=b487=M|fexB;}uUi3eD z<=1`aUkdzE;Fkiw6!@jUF9rVh75JyqlYjG-{O%$7qoVp@H~CS$|Jf7;Wc*V+!!O9; z7v%64kOS~vf*k&F>p!l({|a*WhwcBWo(C|##EQJ+AJZDW;LEhk02aNMv^5|bt-b-X z5u1S?8}KD@?Z1N@3|JW$7z|(D%F6sBt&Q10pO)Q_iJcap$HvIU3;+NP8Fha_4*#PS z!oT>Y|NW29e{sV9_RW7O@JoST3j9*wmjb^O_!l6DpT6-c$&|M|h0*#0TqnVFdAUgG3{FS@@#G|bG5bgXPdKxT&jr2C&}p8tH- z0Y4$0znyia7ybSjqy+$Ep#u^zvAlpSL`}#z zFw|u%yt9*4z;u=M`1$-oC4SkEN_~mM=&=SisFP+Bi~iy{{Xz8gtst+gNS2S6PE$k? zjpsU578qo6 zC7}E_4O#3R-i}Rf{J;%coJY~15fium?!l(>8p2zzE?6Q(ls)3&FO0w zyaVb}uZot*MYyCPn|8N%9+_~Jap2ETe3&dc%>cBCQ07eD9$f1Xv1F{*#Je8noGY8( z9_^akEily`&!#xd_1@<=J$HTn#6jJOgO|;1X-VC$%tsPXDcJY!S%9`eb4$v{Jb(jD zDJ>rZUjOpj3I!E|LUGqe6n8%s?cxy!+iUvwG77kgbKw5N`D}2~gpJQelS$p#;Cftskrwu@eN$SusNxq-y|VK}dXD6#eQ zbgA_mbb+j|_KJvoR7!Te`1wE5!$&mpO@7N@zB?Ix;)!>Fc04CJ&C0}&U#h_4MuyHe z;y3#&i>eELbRskvU_Xpgff8z~g}KUL9a2kP&wW-*p_(a+pw5?MT391CiIlS8DJ~F! z(kupsO3HFm$WF)tyqMBAJzR7^Bk%`(x|y~d$A~t zomz;9zsg065E}*e>p{`1()LZPx^t@nd#KeVN zqNDR+PLfj4JRdn>x>F`nEZKtausACyIK-O^SI^dAlyH=mlS3j4)rufyEXEj>BSd%w z6hUI#kEDY7{9~|3Ty##@GHE|gal{OmQCn};_`Y~c zK4p8yX5-W1p%V38M|^}DYWNvihi!k$LY-t;M8E_w9~f{c%R#vELo?R^_{?yt=i59XDocOniuqh8KgcsSRuEl%t`u^-L8l% zS=uvM!wMl|5@7I#96}NFHm8fK#bhSSq*gDGvEo3Hr~^D3o{g5)PK7;HN9!Yy+`kqD zEwny(napKYfWDYmKRx$fj$!~78KG=G8zuBC&@_)DzlQ}q0JoVkW6j8z+A6lFZ^Ejv zN|J$H_v~$N(W(S?&EQ483*?WzVcGxScdaWH~QOwLBWJ;ek$y0J05(pe@NV=Uf z7N2fF%2u!xHnAW{rQbU5XEYH#e~B}0F^WqVkkk8w_05eD0OGtZod?+ECCBUn!vTbxzkmx))F}$;{Z^!4}MdPdL;lof_C$NtPUM;^bnNT1h z3~4PugMM#KOhf_W^L`M$1D>e0}v;F zT62FDB%SEzgP51!XuMngiTHWVEcyxq&y6gKw+w|s45x(0u&XBcW5)TbhYB@6oUKlX zYF1n^Xp}w#(+c4z)aX{(5?Yb_l;pSs%xiB>JCG6xy?f`;)3WiiTR8FWw{Sa8wvQ|Q z?Bqn}>+B`zbr;fN+{DnLXr@}#4T?ABrZmnb;r7$S_#XoBoDO8|h#7CpX;` z?LhUkh35KJkUl*?w~MRkXxkR^2}xvGWQN(*?G9C{JJv-}#X`ecryaExQD|2T56B+1 zQn`ZFAk$<{4y|BVDYkrUQ2D;nUm`1V;4mO-89$rld%|rg2IItUQ?6@(duV<#C&5Us zq6}#I9!@38q7E`ICIz$VRCoK}>kV{3K|DHq*&uZSq(j-8P6vnAlzYu$C4_~~q~~FQG$)}eCpf&@U`ditSSV2P=)>z# z!Y=blzZ*@`{Fb>RyUy?tEYW>J8xm_G zDvhXkYm~uGnpsjV#;rZG)k`0ah=h=99>%6*bg-40bAa+*@_x*Y!R<`=ZW46t)mzTn z25~YC?&oU+7};66>L!_8M6Rek?si-)e-o}IC`U)ktgjjN9HDglebH;V86B21)KzNs z@sjUVpkk%*pn?sb#Sd{&iB!En4$lVO@Hv*zdGjcwOFOl3DXedBO4LxZFDBp}LB(7Q zbrjwEL_-=>M)`VTVx50#;6;x9UK_v8i7nhl%pb!S>?TkpU7?26!ZmN3#`5t-?*>ja zI6G`4MAkKDRZaYo9w!04Eqn*8?l_E2|9h=e09c$90aYd^Yf>I=x%4 zVm;7nrPw+Pa17Q@2~}rDYAEiM?9F_=Ygn9L51}%Ba22n?Q~AtVk2{zv0&HR-7VK{O zFepfqRrnB1#j@<&)Ig4C9bXy8y5z=!A~unbC&`6@dTr?46lXGF3s5SJ%xTbkFUo<$ z6x~9}OwCiR$6Dg4J>s_vFqC0;OByb9YKrq%f(U-UYO&uuDb44N?7)4oGePV_6$;bW&DBfl>4NZ2r>Y>N~aIw&Z2Unfp zxqoHV>mC7RzNR&SfUyDB)Fa_di>!;pMjDK`_F|mptrjdLMWhOiodOi;xO=uYWkP*W z;REAy>T&l30|7x5LJ;!QE_f$mRXDOU44pocb|09Hj`_m%xp9;Dr^k;|0X&B6PBk|5 z@si&UcLYhS^!=!)ru@ik`5kckgyh5nB^Ejjo@z+~ijgz5ZNrxkHm8WgyVbOyIG~L3kROCiwpbwK{uNKFZ7NZ!2q_+$ ziNvwJ1EFdO=~>gsr9X>@cov4U4OxRtY3^@6B6tXc#@&}g?83+KU@%;c z?%!M`$a5xdKAeen=RURM=$P(5D>RahT`)RBZTf#%xSWtU^ST>n5F3$knHhBM^Eg`lU9_($mRv12O&~}p>XkKkJDeI2#>~- z=SPG-;N|jZXJ_l+*wTtw*s=&^WPp!g6FqRJPcAu*wJbp_e1oivGHbXm=M5gzVLa&U z6<4;Cdiw2xNe(Y{t7^bM??D)!unc)uzh0zz7vVeIRZiwXPFLi8T{mrhVZh}s75yIY zm{zL#lXtcEagJVGX82@+U$93&BYEe=xY&5`>nvFE)(Et-(*VKxdXWXmf@_^&3VB#e z?}^LzIc_!j<^$2b1Z((9OyA>&zzFOiZ2LDPkHu$}>_Ir1s1QHb2Li-S2GT?sZCZGw zC%(|ljNneQUk30{xpc8y%A#*YQKjpvW{^WluA0AxkE=;*O=Jw0edS6Md#fmY5|ZQ! zo_D&7oGi|9w4qyYhYGEibQFqqr?>cqNC?}-;1v{eTQsPXs4bf?I0GG)g)ntIx+mtk z#D#bxHQbQq#`vHa=S#%ZTphv;M zw(S>i$1~>Bc6j3u#UxUtbG)Qir?@&KILpm1fU3iTWltf*>{Uz?0}77G@Wh2M{P18D zUgzoIR*g$;f z#xcpPkd7XBgSiz*$%%JKGF?G*V!J{<`!wS2;@fptR*TBp!|%+~IpcOlj^|C#9IBk4 zzWuI^8++AusuT$Yl4v$Kd`+2p@)8&AP4mWx<$;PeYKy&5TmE$F*5C5N=N-SvToioN zL{h?~a?{?FxS5n|n5DF9tp{F*_0Dwaz{5uUMaS58jBU*$d?9TZ)-v~Z-Yx5z{V)+_ zRN@5hm>Yopg0FaL1LuuoqnB83$p#o2gb(8^D}D-6c#Qh?=2agd-Mjc!+y_|XH6~QD z7$Lo}48QL@m1qU@;rAKN;Y6C7CtbVkJY(Ejl;5Qh;REBO+@d}(c8O?ThgL_;<}5*y zyUJ~dTyZK2?#V~l`=TwWVtRCHrB|5E4J$P?H<9gH6udInV%ojjqW5ffv^`(A{+e7q zw4%hbKyfmXH8|<_etnNNZ3EQ1*__JleNO=~td#cEstW@m_FAmUu?`#b4DNFWxa!rPB(@ay3savJ`a*9 zMRaCMCVJ)Z>3P;!g3p3pbLcCaesobF0E=Uyfohx;`ks-){#`h!D6|$1M(du8p&JCG zv%*Xz)_YGoT6KsgaeWPQ8i3CG6aE~x-rd|i_k+$SfVk0(_bWJ%MZfQif8}Ba{Hb-! zAKx;nNJ-L58d@1Um=FQj8Gyev$@#;*@E@I4{$|0^Gc-1};(l||-2R5h)PVbqGEj;^ z%0|G@#8lMH&QRV>T0!5s2W$lR+@jwoDhfI!s@#bTKkBvVTyXk(MqQsg;iF=*sHKl7cs4q=gN=Y%(9iUXC@U}5hROUm27f%YWvkt?$Zk1Vy`H~Wlr`A&Gpm%d4XIE*~b6Uz=v z_HJYA!(&M;b`|{)_s!B)_EbvP{Xwr;cBEE@3Tib;(!HtPbVBki3yUiD(LkR?pgrY$ zIb-7W)1!9FDFTp|N$t!2#DJy(rt`R{zGNuq1NhgX=CGN~H@jg9h6)uG zI1llWwkoX++u#Mm`xGz634LMOp=C;0@)65y0lJGq#|U#UBW#>Z)fAF+cm$>Qobz)S zDG{b?J}vmxsY$FgG25%BT#IM%^T}{ubAt1wqz(cM5F&T5n~t~VbpZ%NkGObd6@3=< zIyj}LI-eA*!bwq@#E4I`)*@He1lDo%V)0@=tH&Vli<0G!(w>a*ILV*a8A!4ATBX)K zHb84pj866-WlQ1GTmu8+=jSCutxC4Vh#;8^kizg+kTAM#029&hd5LewU7l^v>thNn z<4(nvs^IGqoovLq1221W&0|9hL&YRgfes%q4 zwYH^|(uRHS1aaQPSc-o>>%ei&F^ZjETt=*2m~!zF-GEZQWkEYe@@nm3Co*)Gv*@Cn zqMjwYnOYcd7?|oGkPR!|hpWW7R`w@5o93WaBhTfyb;|T)!^M5|iaw@W?QAJWOl#7> zE{8f!_2AH()&$bcG;Ti9l6OiYPa?fe&uEY>VybF;(gx%6;o{Wt0xT;86(&mz6cY~sll zj06d>8^BoKc*LU5F@r%uD8j<)=P@|>qgI&aIsr4eMYKvd%9_h1JQ~Pu4RK8t0To9D zcCVH($UmYPP?qV*)G`(T3bwP{8dZFrxt%RB+Q`aYzk` ziLYbjcUI%Tb9O;yA+)rEY6dUvYq56V3qjH67(4QJm2AJw?*MrRQr%z%{RxBu1DN&N z@YcsaM{5ulB_5KD)_PaVA~>{MWdQkZt5*wFGD7t@)SF-Wno77~*y@TtHp9E|X&vH$ z3^q8rnDuo_Lzgx^OBfKfx*(r}gd^KFk^x=5_~A@d&p>JZ#z71-!=tly#!>O~RvS6wdDy*KoP@%bqXGqP&_86aV#r*!A>N@2u{SLuDzux3nqov8CG@bKyY6IF zs&UD(iI%`GY_Nn#yN}L;6(h3F+2_DOy}pd2{L25-;;5wG^wFjZdZM*m{lljmd@+9$ zp-mAHPlico=KctCQVxHYNJW!6hpTHBrLCI#V35PL-Lj9(4cu;y)iLuM<2C(P8l%A( z(SX(o1$VHk@h%efsWhut2>jEUJ&o z*b0K|S|drUWPBxb=JL>FG2bE3A%PJk`*lj14mT(vU5IZT-p^d0&z|jt@SAb4yFcc! zhzje`XIf2y_o2!;@^UK60k1y$S_akHrR1x4TfBWjveKHIWRcvv^xc$>k{JxC9C2v( zy^iMgrz*rN7$CkFA91?0zs{33=+ck?Pjgo%#m;p&BV@4S`DBS+0B)pAGABK*-xEjzLsXn77X z>S?smo%7h{;NMt@Fm3?J(RaAV-F>J9j$Fu6{GVl056d&T2}zp-Jk0Owg3&j(#>;J} zjKSu1Xi;x`^Ux}cjg6TE1rcJ#t2f_8lPgUe#lS3$syp$|IUcX{4xHJkdSG!V!16I? z&|}o&3gu5vBPicx;!2oi*clJhul2&qznz~fC1!U_TDn)`CO40Tz88GBAxe5=S~(Fgoj$Q!V-N|IPrqHnh-(ghW+)ORkE*pX8liA z=T6qC0646TK4sc8E4$x8AGrSydv5_%$Chr5LIevIg1fu3ad&qO?iSpGLvRloT!Op1 z1`F;Z*uc zA#+K>d0z=p$0FVw?9D?eeY)SRgXXrKE8!|e;qD2(>D*cAYpY$P%2Ik$mg0ODr^O}( zz3?$yb)OTW0L1#~uxkIyn7DEUdMf*(4?a@Z6j&Y+)T?xb#<>A7Pi`aT&s)f9Tm%ku zr1sYwlIJOx5MwxoKiuCgntUl_3GEzBYKE zCSoDiL!PD;UUq=45*@Z86|94(eZd;_F36u%%vpmVdFQhF&5}jwV5eRctO^Cb^oouZv%zq>2lzx|?$webkkIV>%LhNF=`i zs?(EOcx|m(#cRW4^O?m+94!NJ#lOaXj{4nUxwwQIxg=_h3ekw>QeQ(u=rym<3)7K< z14{#k;F)7nyeK$`ro>%MrR~{{JWBo@EZ4;xH}*yloAoCF#UA?2**6R7#izsIHU(u@ zbrKT|QF;Jy6E!T05@Q!f$*?4z>fn}0se4QN<&oQf`iPn<*#;f=@hj$a;T!N1y*V`! z*hsDgll!ntZus61ANp;N)KeVf9&Y^6)t>fD*-^*2wPp82f$Iw~KrJ-iQ`KCakUNe( zk1ZvzenXF~!GzJh%h(7I^nF@4EVPZOX;kjpr(I9UZ3vL+8soH5hb}D*g=bo;|c%quXx);1j0N=b{eaRKmol#wQD0DER5W{KOYGecs5_=}3 zx!XLBVSxeW6bXbi7r|>Jd8z&Lc|`6<C@X1N*_ z`*3h;8U`Zh;AA}4@$?L97jE9k#R&EZU+gw?X!J_Brd7SP_D`{?^@!uTD_?CB3|>UG zgvHdvobQ$P>Xm$GUMYM7-XKgN6fPRhN6=6<%pcg}1s5O=dSeL+1|lcO^5h>qDq;Ak zdEv(+h2M=#LCXZ(gODzORA%5X>Z4g|JeWigPb+D z`WszpVN3l;)KcqNn$tMxSkcf?)6)Fi&XSV}|{*saSnI8Ur%} za{~)ITVMscU-q~9iM{=0IKY1Z?#%GV&flE2{+BbFzkRv>KQfs=-u|C|hWleA|EbsC z2>eFiHv+#A_>I7C1pe~~{CP(6k?{FW?)=1PKHk0m(#QXkK=CI=li`m(`hU!5KKB00 z{Z}9VAHCAwSf)oF^xMXd&VHa;5a=5K{0-m-*$M0g|NfgtO6vbaL;ueKj6c4j{8QHR z&oYkyCRS<|AnOV2;0FL*0GL^*fjN6ji~wrJU;FugrilOQ;*7wK^1sz)WC6CC{~hJ} z4fgLR{V%Ug%k)P|3}c4(7lgm`g`qPC|8E>jO90$`I~_P1Iu><1z;=rFN1ts zZ2vSY5&-P12bu~n(9r`M>=_wosp)|9F96u;|LZ7zGBo%Aqci{z3NT z;|1>fIr``1H-MIb=_m5LUvt&_po;Q)-0 zAHHS~k*W3iuQABo1hOu)LK`{BCe4Z|iYcy~f*1qp;jW+bUsaqrIB>>WbXt12aJe_W z8C;UIFzoAbs8+qdzZlX|PfGT1$?F){*t@#ibLE)i3p_e|O+|Qbn%

ooirF1ki0{ ziCWn(QxMG?F;7S-MD<|3k!h?|P>tbIsFo?mtjnkFfEzH94Rb}hj0sYmh#?yeO&qA> z)ms*;^gk|HRVMTtc%o1xO+Wm!)|7^?G$v3KR>DjbQbGhlJ!~l&xiRFPT7_f6*ZoWC z;ZO^bF<@I`&KUbEwj3+Y?#g;&{PEm=0ufzyP%kP3tvhd&j&r{d+}md|&8 z7Nn>%pFlE*TQlAd8KND|)uN|n^)It%xR51^`ovHMjIfCpJt*Iv$E`9@zBYaN^8*oya5&w7thw07HR>fB-+t7d(_!B>#>tnGjVIw7zEWI`L=B(N&1 zw8T@8JWDjJ^0gxa|H9Q+O-{`7!goWkQCvZmdlQEcmG3(qQKJEY+&0sA>P+$s7gJOxB( z7{Mqgd!{%rEkh(Njd4em==RSd2+IbqExo%-U=__@^=(0qy)=Ad5+d_HZnC*86H0H~ z0z#xD3OeC+rx=;;E9zl3gTlA2mCZyZPr-^*5kAt* z72B?x;fE0Oy-!nwd8bD~Ca0T4Kvq8*2`Q|is1G0da-V?G=RPn(1%opAHmc)hw}ShE z3JZiJ5qgoi60{a+ZX`0o%Cy%2K|s=5v15r?T)C^%G))XdPeEC!%tExv%S8M-zXutY zFV%*AEj^G}yxq+23gi~|NiES6EY1&e5`#CH`fjSwXH=5AX+8MWRJ`yj&vlJI8j5>Y z2QuYN5cUQRWm-wkLgSImmwkdUiB~GL-^0#glX2q6vU>ei0?Ze~tXXQg5o_!#?zA_- zMqH@qR@4Zg&0wYxGs!DCgN|}?zXHMs+I-WGQA(nDUk5y?yYPavJqtcl@Y}MrF^QJCY2aH%>AemPNWloV%4{S^m0>5ecl z5F3$|^0+Jj;L2AikY)I=hoJ^b^etr^Bq+XJk z+WT^y>SDm=`m-tSxG;`S*M=~HH=+-#uf+yj=S@xA=M>YY;mRlkDk5(MTPDfA6b{SL zlZ4`GBqD;BD$|oxJ05K`ctqTOtPc0?c5K?NMS%~1&P#lCxn39Xa$}|x?M0MP)Y=k( zs5_tjna^j3*33o7j8}5B>c?Nvfm#Yi8ByrW;urcf9F3NoySwC{2$7{)9Rl@wF}ZP{ z+Ln4!*o;nqCNi!QeTwx!pO$%YjQ9D~3SMbTgOf&N3C%r=0Mlp=i~bO@HIs3%Kkhn^ylm+0lc`260Ne<8;qD^_=c*A`oBw~j-S5yV+-p%YQ_g9YAN zJE%`CGX6_G$#o^GmU-)3tqqoFu25XxJxvcPZ~Teg$(yDKM&eMIH@Og^r)d<=ZBr|6 zC7?5)^6^N_Lil0kklEjcbE&x|WaTG76`5DmitALpmae|o6;dxjXp-`rU1ZX=_0agV zu7-=b?MGt>_bE6TA5Z&J^v>%Q+ls~LwQ<7&13o;6Ff398hahEhvjL;0mc(!sop*fm zMa=+0f8m+F=Z6y^Vc-&FGi(0rT=61q5stVNL}~Z05?y^TllqZg=>l}w;_%!~4+W^) zn?=e(8`{z@ZpGAk=RRb*G+pzhphwgb;F-0_jkeeOh(<+^56X@5$J*d9cInA#s@M?S zxRX$P)q5$n(g==4Q76M=0|&;=u=@d|dzU$Pv(%kUba|i;T4)$@!UO$$mg>mk8QjSO zG+eI#mvlQ*&SmBMi>{h;+NrG&%T#)<y2ZWGqxUYD_`YO5#Ea!C7be@y_$n5H5DN>zED#8tS9GAE*$D z6|7+d=w@AaQUZn~GbR&b*=<4lNaJ4=5S-zlZHi*_Y``-43zw831*MwgtElT!tg{8+ zH1gAFzkE<1Dx2DWjlV;#nU&9?%d_sWtk^NIGG}lVjiJF6k_xjN_nnN#&x?yyUJ~G$&J}&c$cA-BQ^4N0g-*k$&3g= zNBd^&*aX8@>nrYDFKeP;s8-|&h#ehI(3HSsh8;9>DxWX%9~l55N~O^J}8-0zbR*wQ3#{GK@1SLBPdQ70KBS@mPEJhZ0g7nE_?0?Ra@0C zL(iE0aAw9zARf5CHrALI$@5VOUoN0r!)&BTg;k9q6OJO7yU^&Tf20Z2oslWl%~V;Ooajc0;i-H5*wv zysIG*ylld-R#^EEmp~l%$iQCJ@f2rp%R1HulciwA6OgifxU$^fK^~BU*%-zf(&-P6 z8w&rD0JZwg1UBuu46#Ls>i!;s*UPM0pXez*(K6DFTw_D(knn}0Zh4~^sZ`gODVovh z%)1dreME+L17;N(Ma;U^Ei(u3^5AT7^6>bz?dpxKU9}135C+Ofn?$Wjr4Alr`+EI` zA+lf;rQ5`iYN_IC5{4%dUU@21V<)$=u64dqVlH5$h$UK$ohc1%#0w5Q&vR-#@*P0S zec!^$QxCCmJ^5t3ZMLX#OauP*s6f!hILe>Ey=G$-peI{>I_y$9*LgU-1Qof2H)FlB z8B9nnp}jS3XsP8lcuj2mbw;+1x`gyS+B5PJF?|?wSxI7FZ}*iTvC;tz-g@!C7suv$ zx{ePFq=m$4yv^>3`aZ|YN(pCs2TXMh2^PL-tA_C~gQGQ={?{qh{ehMuLBhA!?qnNe zbm>99_^Q33q&tQ2#2n^^=3E^k#udIsl0!?ahp$Ox2|O{YKIU&n<6z`_po@rbmNy_J z=Z|fy8tbZkJbb4pL4xLs2%g|?UePEP)+|V1=l#WTY)vx&?PTKE&t1I!gq1wk+O@uh z#JHhE6YJ>ZAa+2)8AWlVgHiy0pQ7_3-zb^y>KMLCP_{enlMj=3P)MJJ^R^(a5b-)+ z-m29eUVqS6SFud+TfMw;+8ZmHJ1`6$oZ03JwsGBL7xA0M=UzM0h>gBt+AGf{(x8xa zZObyPAX;IT(D(2QNZ)RC%aj6Jw+_>*RvAXx+9#Bc+O9VL&?atP zU9p|rG&?XAXtx8+ZIM*gkXzy+yQlZsKoPSET8T9Bi>UWRGD%NP!4(^e(fKO93@i)p zxga%yXa+w5L9=-*Ov)s@i?2qX=%x>~<+cM8pJ{$p(7I&2t%O__f0zp+hMkHvJ4pBg zM#ug*nd57lRX5uzk1l~t!b=+PO`dx2%)aia!Rj5gK?Ag!a!gMt7^P#VkGC%o%+ooqa$nK*<32CX}UlR|doni8Aj zG0Hca?YWDjALTxOn4Wm{X@npWclAv^^YS|~X=X$N51&mwayY>aaf|wV7Vb;F<+C+w z`xZ$plC<1UlNHexW6v4s)tcc~#7%vva^yd57nhKoRb9cV^DmtTI;;}lIN%LTmhGp7 z9vYTLdvdq6qEBva!%AffH14-voVvvX;;Xvo)Uy+^Dp39!s#WyRD;>RXzh3J~*B?qUz|k7vZbnG;@DHF4{vD}??HyN8ZjY0n$Plb>eSm(!rs?yy~H?PE#u*p2!z%k zl<8p@MWo@prEPJ7Uu}<~5LBzBnQ`}<=+&)Uj57eRaG4BYyoC2?=J|?2W-z)3cg0Uz z-z!3PAzbgd#>FHWaLs(iI*yH#H_N|&7VSUlD6s31?6Cw}xkZRIc=)2Yew0q@i3jCk z4n~+pJv|>;+fi)uY0t8yBMq;A*2W)h+=uZ7jdFccBa z^S`E2w;&GjD5IEwO$~S+i_|dG;$sC1rtsR*=Zi(eO!>zVZ1ZhUpe2^*Bnev5BsT|@ zxhK%M`L;7pwFjrOnQS1udR@wxfcZRMJ>`?FP+~TSZV-1eH^D~a4yl}zn;1^V;N24k zt(g8{5>(z3q*96Pkc^T7o7}0$u_p$*`N7Wt8GVNE5<6o9UB?3pJq~OiCL0`JiS8V7 zo;rw-s97up#`)&7u3d?Y!V)OWg+&lGz-myBR!1@qH!Zg-U8@YFn8n!8o}|eNo;=eN z?Cv;+%!^VAtBjI;plStCh%m7HM~Vi(w`ZMy_qgV_qT#oq;eS)o*zX?gzeoV^pXYG? zqKW*E5Az#=-w6Ch;5P!l5%`V3e;$E9uV{E=@xI@t|D zqmlR@W=k?UMg}x;2DX;=HhKoO-x$I_$kD&`Xajx-832z$hCeA%khin3*Rzw+vH7O1 z#RbMa{d+kCk43)^{4Zy2|3uUBBM5&3?%&~UX@uqJaaoyuYC+bMH?UKqkr5E0Q7~|_ z)1VQuw6Npg0Cp`i;j#jI$AJnE;Py|=%s)6>8X-EMNZ|3`g}xo~kInziDIVyuPydb7 z{&rYNetJ4!(gN`3@5A}2eV_4h5qzKGzhHgo=vjYaeb-dgED3t-!Hz#`$uQa zOdwGrPsbQyVz8ed1Yu)*IC|=DYEqjnLM1vt^zi0EtJyaHS||`*(i;Ty3FuD#L4C4q zvn^GMTMc_*F-qUN%ZO{+yyZaSahx2Z6B8&5i!_A6{t9dA* zjj0M1VH(2w+GYNtCWyAl?Ds!_ zT}xR2HpD8_2~H7%R4eDx7`ctSjgltc@?ofz9h3G;I=bweS9*w5Fmz11Q-j4u@ip5G zXXRne$DDzJMrDNY`d$LB)e_fuU5BywE5)Hldb8V;;!o|;G#OR5XOU3i#0n5z)baJ4 zzP^>1FLuS+npnGq(F_Y)63Of8mQKAHgG(KvuI{^;bFhUsDjBST(u7}gPbMovV8C!& zfFPpMkzfXi^Sm|9GC5fCpkeZSt=D!VR10AjKz^~s(43Nd*ramM_Fh%T#Q%g9qtm@E z{tn9hWkIwRy4+JK6O4ByeQNh0u_mwCO`cmz1lk{9yjKx*(-5CpbI+1A&)_cs1~QFEGB=@9O!P zmcAZqY0HMP9jAS+Q8I=GpqUu&9Y!dJ9X!fr!D?!2wKI(nwR0M^;N`HQhEFaJKkGfO^!jWo4kOMvaQB*rx1&MfK%j1#23LRocC zym%wbd`(BG$R{3>5Em&w4#qRl@rcA_d+!XXR@1z9Uf98N^BUm|k!WJmXBw$nX#?n_ z7&`FXFDlc_0l^4viPyw#jCq?K;43We3;9Jb9s8~M&4`1lEUvQ^;8+%uR#?W7roq}_ z#!HM?DD)Gsp8=VkvvL5WdpTWR!$z^uD(Nc)gQw-DT@(tDgNUJ0K*M-+?YR+-y--Nl zAQXsFwq+)fj5roF=p!-4e)}%1j@fU7uYNaunnhH@n}aSw!*Z6Y_uGk(t0^ZYhEtX* z)Co$`xM?Z21R^RDqZ+A#1&VNJ-YF|1emqZPh&%Lmy~8^Y(57i8B0EW_$eyH>Uc9r? zY-H2Ax!5a1{Lr7b7t9 zO#%&Up z1enx!(dVyNr&TcFFKcyc(jT-*`G^1qm)vF1+>y#ch;r8}w*Y^|p=F-p_m{mez&3L$ z8K2dZ5>+@7kE3wb zZ$KH@AwNbmDPU6!}6hNg~0zt?R}v}pdK-duY+9TL=<`hXC74*(~1T~ z>>MDrU)ZHn5{x$oDjWep+KNO?5T~6#Gqk)&$^f&Vzd7aLv~&D0Fj`uT$B&+yfeS>p zC(MyN`OEswhWnJaYE&-FyZ|3)nr9gem-R!0Q0XBEfjHB$w!SFYY%Cao7NY1}&W>5c z04pXx-Y2KtAQ+Zh=>E!cL{1eb9zG*mwv8T22St9o!VXAxW6RkABqF=?OXj1MNZaAm zyb_hT9=58M#T?KAxM2IlgwjP+Yxor+)!TjUhUp0tn}vc;8*K_M#hG>jHZT7JDh6WwEN^IeW|Aa!q0Z z(y~Enh1D}P>YG+~v})IW`C0?(n!D+P{2O-b*hJ;I@WiM|UQTu%p5y1f*to-QlPLT9 zTsF=dw9?%6++3~OEoUYBh8DbW-juDAKy)%7N|+;z)4TN`+PX;NfrUTir%VhO3%iUa zVX|ry36>Oa$PgA{SiWc&Yh+#b33VVGrxOlxZtQ;$IEs;XolWc7V`^HNE4)0Rj~MW= z1$A63QcF)`%x)c-h6NFwUy4EHufkF+WFk^Tv-m8hX>A+B4c~m67Q&$ALeIo%M}T`) zpvXb}G$anRx7YLH^D8JmHF9huGUT<9fL9OKaWw`|q7huOA=#vgTLcJe+>kOFN6${* zYiLAR(@WsuK5x%C7S+?WCKJTymK0I6MzmVW(iN zvqMbVl{GNJY-*%JM2-_AHX`Q~7asi-KP-Gn0M3~^E!!Wh&~NiHKkjXbo`x3{M*qs; zp_)_UkYn&&ip2BR-EebfI@V+OAS83(3wo0kXg*I^m2bWLvA8UJr3gxqKcsb9()4hB z@@g`);n?HA3Bl-631Th;Z(jouV$OvN1dYZrNg}Gb5_Il}XjSk*@T9F#Et|m>n7TT1 zpI|JBKvEC9yV<|H4oY(8i$0IO!sC}u)~O`$+Mi{|pTYmSqM{og3A^GON$-Y`7zcS7 z83bby&2y?ru_~ut)wh4QfIcgr2qu@)sbLyPjUFcLaC7~cz%GV=9bFe@_<0iMbL6YW zxYU{Rh32{PK|J*k7(w~Y@Zd6{CP{}go@VJhTrx+tZVGwYR!LqJ;daM6H^)qBMLzFA zU#P6lL0Zr$$q+fHu~N488H7~t;!2Wu&v`{b$ zyovXOj{;jTbL&372Rt1&u}SX(-!&JPYV&5)-vp2W(qoawvUVr$_6?Nul9zKD=yUl! z=b+Pw;AXte&C}DH;I!xR((RkhxshwOxGdsZhVXhcT~#tSu2b+wG*ImJ4z+F1*Wic9 zWaPedFP|)!2C_giY^{NKGi$`ULTeO)*&ckU{gUH}33B#y8zKh)Igk`!S<=~(qPX1@ z+ai7i7eCbQu&{9y92xHs6EU;;G9Sy=+zhTe-$+TxUTTJ#43oi&e9Cyt4>5(LXzx?6 z;ErLa1%Ce^^K>G;F}X=jiCp%9Ip3;yOlv^e$TWD*T?@yyqKAs>;v!C@FwxBO&)8BU z&g5S00b?G;-fvJLL~&mC*Kr--uAk04lP+_3h!1{fH_E}A)>%KbSK&C^OXEk55O0V= zCDDq>pn2=L6MGa7btVNJuHGV|&B-PvpG{jG*tI7lz8ZA__GkSl$OR zE8B7AY&%uaW97tC+|F2U_)m@+&qOa%2NJX zssePgEs6;j%^XT6I`WaCmQG0uB68JP4lO~dGaxi%!P=iFou)R@TIs1kz9Q?Mr*o(V zOydGvV>53cq?IXg@t^Z2Q_8CdI1q_jb!e%eJxiqxt{VMV?tq2f-jlFyTIk=k&cvC^ zm)rm%5AgO^-o7k<^EJ8WYjZ+>ZdhzVmzv_+8}*$#PNbC>+MI8A~IG=_;_p(!WC(J)-Fy*LBD3%MIEIE!1{KC0)2L*#Z z;xk-GysLtjmAgC;en!NRPZO=57ZD-~7cq5o5UJ^l-R$r_IM3+guc~B4)(QQftL?o{9^h540+`UXB;=$Ks-U3`y z<98yTiO-Px&;svH;w)Z7lqyAQ$ewiL-0m7G;(n>{un`Kf#U3%MuSX4Z?yA?Brj`Ny@}2Dcxj|x(%8_K>;+AkdfCn zG5P7UQI9vemzqMG5MshFL~LG@OcexeXP{@NQazMzW%{Mrf{{5CxKY3s%qi_fK%3-a zT2T+hTZ&&bK2y{0_9eCkZM8B(dqcQqm_Y7EP*OZm6NMf{a)f_o>x#oDMjgC#ZX{u7 zAR=3);C@=j+4GDXdUlh}%e`P_Y`90#%+VIF7-iSq@Y9NnoPiga@+2&`=e6Z4Ewp;Q z3p1PeYvC`tPqSFiMPwXUj6XYSm(WCP@ROn}Nxs^E#>@*V{vvfG!QXHT(%n(P+yVHS z_knt_$18<$uyHr&BivDY9pF;9Flvyj`Sxuq>v_b`Du$dvyFK1D5+cI^p-!lK?n~)c z**#*jBoG)n8FqQMZ?0;B{N9M9y)TD6A?0ZH7WtCm{^sNv0PtFJ|4G*wqSzGPYQ`z8 zqIzBZ?LD27F^==82PpQUq(Z(CevIY4LuI|+HrP;CfpU{-xFvWOL>^dxz?*IFJ035eWM7D9}UK^Ni^T0H>Y9g&kq4cz1PtG4k>iu)+QK zyv$jSxfTENtxB=(lTbh2S8Pt`m(%Kk4xr*7SYxdOMhK=l}noek1T3f!_%HM&LIBzY+M)Bk<=bm{NLR1CBVbaZSCbZm6Y6twhgw6u?oUEeIS_<;`db_N2#zntIi z{{Pk9KUUwL++R|XO~6vm{+o@Lr~oIvy}gM(8zYN8BLjd1K*gxfq(cQ@*43qA)nU@7 zVxnbYHDF?9VFfVi{1_eZ{h}7Ob~+Y%27i0M9+R%V4if_l6_WvgnF^r8piiZ%Ysf;y ztWU4Qpr=bmr^ouo`}OtM3@vTUb%17OI#yO@CVD#GJkDrwjWYglzhe5~e)Wem@_#5JW&ZbMq>lsp{-x%}ca{HgzoP%ci0g;@ z5P~p)3s?TcASkupd9g zBSQ}2a2W56RF14jywke#xEsV1PC(NbRfm2CMv1KnH{@tRxOwY8Vd%gRZ_(knlpM}I z&%7BIH8-PM9#4g`iJ>TGYL}QDiL|;jsEL9?6Qh&`7ef_IDO{ZY!g`_+W&N|VSAoKKezAm?#r)nN z4EhV@49@5W^oJPK(s-}@^FVNNZKZudh-!MeBQIacJ}=0?cX6wmS)J-a4~Udv_URs* zsi{LAh{k}tVsk*tM{MgYl};l_Z^Hby8*D0;=2SS+|5%=}!wpnO#DB*i~a*-}jNZsrnNEmrl}Z7wOf z`A$7@42(TiXFh8KYahPo{$yYNWV=I1c!ZmgQ?#7Df6m7KmWHh~nrrZLl%%K_hY!@X zy}LeOhynU~jF6&{o*>?o-4EHxNm`USaE=4I1cRyEzF^OIO)n_G20C7Q8kdGt0;w=`@{1{8R&VGLOtmI{G#KV=MkvB zW@nnUbN89!ch4gtIo%YK_DVxl<y&6?YY z-#m|Kpbm1uuwG3~6YsZIguaJPdQmBj_68fL$WoNPHBC`m7oap}Uz{ZAGa^8$TbU2a zA|d)7o&rmBI3`wtWmHkn(>TO9m6&XeVvJ-V8Mv+nt!CZSbveER@6M-!`pa2#y;selT;Ek(lnRP^BX)q6 zMq9eRT@|=9_)p0` z@eZ+k@`al9`&v|$4RODBU~cQSpx%B`oEZ9nvbgi^xWdR3u0t{9&Wx8Auc!-0hcuoN%N%#s1ER}h_-y(l85Fiw+}b<%`jInW z25wyQsZ;OaQ)h8o=de>7h6ViuUcP`9#;K-5RF@X(U@v^A#%vqar305lQ?gA$X<9dE z^$J$hl8IFM6dNwOgqhewq2gjm9+pOVI^{b`DBzLAeKtW7F zD2+X=$xPH^%ILDBZYw&UnZ2Go4cHw}o!`V}?i;t7av%)DsjW>jlSIjD78Tg(PbOCP z5I(DRVt-Sw$3z0?z*BGoVtaFh|yn6Iec(Jg-~;tLiV;7wKBS+Lr7 zvw!RrNurwaLiJOn$y?HxMQR+jxAUEyvUd>Or~L16Fb_oiscfz~a3|p|E+}WOz>nNn zmn1A0BFBhOkf&}L+I5IV-gIf-MYJ~Ptx-`bqIk5@4IrcCeAErVsglREo#u6L;x^7< zWSXuSL!Ys(9!uD(Wcs`%J#FG9;EXJa?X3~_E*vdCvU`I*ax@{`VW!9-ucKuYolQT8 zI~XTXuwBq0BKt$?vGY!)oQuAm#`Dsqh_Cf3$Djd#StS;BbHiY@V$rV{t*t+Nf3B8nq@hKQnqN^T!yzP+}r z+_r#Ecixr+X086|4PO|M-0{kOBd9!dddakDF+y>`!lj}h>=(=h_|CqF+qr0VJQZ7# zplOAh*oJ|gfr(D~vvktIiKs$yc}=IN34f^uKquvy=E+0l$hi$3{(-L6AOZb>es%-s z*LDAf8oSqN!9+?IVC>_aM0@T6lT2h~Aih@k)}Mr|?1K6aOhn`HtKWBN4)wlF-Cq{2 z)s-C$bcMkeK)=G|L9Bb#h0C0&xjGuf!#zxX5e<&#z0n-Cgctn9Q~D^p@w3@j#s@|C zPZ@Sf1YXR3#~J!v7^48IxMW(&WGRJDt9vN+BngHSb>w6yl$w0CWvJn)oGq?xyDShe zWI9M>;Zlx>+d^yhN7*t&`{Y{0l`BfHtfijN>5>ihYnnVwE4Q$q;IniYH_?hvmKEI7 zPn@KZVz(T8GSad_h=|-w9f_jaC)fO1brcb#HPw4uF)B?r>YU4kAU2*M9DUa54f2*w zDBjc+2C4CjAtOh_Fn&k;tQ^-#mA|UKIPbhAtN*}zu&?VKcffERHAKld4+J8(h9n9; z7QAjGX_>qG)k@IXa_~uCutM!l-;^m7mga1Vr+sXoVB{eC+j3nhpP7+~A>B$>ku^Vt z-Rj1-;5!-_u_wCu ztggC<_gS|6N?NlZW5jpL6=#%hgF1_hi!JjCZ6yxMEus6mR@d?zu&W7%C$sm4vn4|H zFob%WWr_0ei&swJn(T!5EqQq~tf8{aB$sPbnV$53_9VFSXmJXodxaNu9w|)M!b*O%Idh7{KoW*BHHM=ZiAb+Zbh+?d1&IuqBH{Oy zzA0ZTO_Z&R!Xh$t%LZH6C1s=U*)6TOXzT(#w3&mcHlXS!{I+r}i>+oj*~$k|9bZ_6 zPtC$dg%{BqdW%R|aVRXl#yYW~Nq!Y$&703D1hnuo3 z+$PSAplKKor}(td?2^sNfbtYuQwZjWe)$Du|EDD%ClESY5IVIe0i5S_3<7%vU)Bde zN@y!f;9JNw2VswnU7YMWGwul+lQwc?YyIVvp#%y4sbLd__K%b?!&T2OPs#R{o%=l;mNzHDtmc2*&3JXgXWG&dn##XCr1c-4dLUj z=W~1uoX9)wP8(1=?`@qfKC?DDoC-N$+Izs;TlTciSq`+{bRT`igOv&AS1XB1U8YeP z9k8D2JM@quJyx{7_X3k?Lm7T|dWKso>YMrM)QMYM1NoFUy;iKF9e>EGrqLoGr!^H< zsrgJwhOjlUq&1CyIHZs=!jUVjA>0ItSP?{{VbM*jnbZA3M)md z-)@^=HQ|me+JO#%SYf|n6^m^LqW>(ylh(`ViJVy+4hW`)BKZ|4?$i$a8|TCvmjPw9 z;Hg_Vod_u6Vm6lhM*ojRg(&&3O=_`bUr|tQ7tdb!L_qCV_izt0iYUy@UAkV}Wy@Ih z-cN*N%~?`u(CowQv-7j&FTW^0FV2l&J|&8emC0O&VNcI2lW4m5By@4vby##>M_Yru zcQPj}moym6^$b6|uQP!dTAAp@AJ@lV% zQ=${yx0-klIT0Srk9Dp}Md3P5-BEpm-gCE9$xf4Q-{9QWDxtZ9rYnuP+rJ@jwKmOm z(hFlw%#~(TGjPUO^r!!hp)+dneQ*{zPh=uE|Mtcg9}cax-4?clMz(IU!mz!-Jx zo^MX(WPZ}u{;op1Q2_~2O6~R4)2IfBWR%HVKvKo&fz{^KP0+{oI7C1b!p%pGV-&)2@#^)A!r-pJ>-Va!!7xU4Noyf1+K10Vt1t zFmJ64X#QW$JWPK_y8Z zP{ImeqW_i@L(jlS4TK253?xo}WlSFt{1>$!!TWcKVtNK(Ob{-BmG#>Y=mCt>^z^ul zbU@bl*R-I=llCua|1xhT;NE{`-gLBd)If(HdPaI`I-s`@kRt{br)OfKrU(3z&-1uY z{&aC5WBR9xe=ql=ILkM>`X3a3T%3P-aUd@btoALGi3vDy`fu4(^bGXW%)iY0@#FB< zmuCHzL_`NPm}2?n;>7ZZJ@EX~|C-G7_!0c;iv#BkoG~LEHSj9(ed2V$_0Rn48GroP z|MkWHm^nS`AIpseC`rJj12Fvka(legd@uf&D--^Nuh-)x^n3mPW4Z)7pl=r=>%VgL z`fp6U=z$+u;6?cHV*aaS;qm{6@8kdHk_7+@3(HTYUHxivHkizjZkx(q%1m_|RBAsG z1HvK%!@I*qij`Mva0+3BD_&tS!mdWq($Z4b)MC$? zIJ*;+XXKqY#X;dKl#rpT@6Ddg-(43h!lurzuh!HUq9#_b5z=^YJ<>ZG$VlE7(~8$+ zNR`a{66BlEl*1=4!8}S!L83^yRuJ2lc%_tog62`Cco-y!MxjxOqj04)wWMXNjHZX4 zv2`7UQh1ZK+ju(AP@ZIrgD%O?+(bsUkdnR@Ii z=_QZga%VLuggebK<$)22b)Q~IA!YUJ* z0~&hEtR>%i)Baqo4&8DU0pi!Vk{YZe#~?To-SDfvctYTK8>77?ISae`m3gk#XG$0v zqXNytRdxl;U(N{?UP=;NzhZ>_ENx%pzb5V|eb;<*Y|81PT3wJ_?|RpZx{ru^5%;2K zW9JiNx|rA$EGk1_YnF(63=`^2YKuID_iLMun@m^!Etx2Fe&#dH=2!+ILA?56zxP;06-L>TN>6DszBjc= zG{=cax)8|rk>%zA?ckP#Gnf=Jr4#%NO5-YtL`;!0pT<-Nv8|CSqRS}hfRbbs7aA=`)bko|~Y!jw1c??vobSC6Xn#*nlW zqn_>Ds}li>Nju_m@P}cd_KeK>sQMU93dRyH&(-PjKv|Z9_*G=HuCP#1x3WoLOlhDK zLU&B5By^44t+U^WSM;)M83YN3RxI%sWfF>s=gLnhx>eIuNoJKgow5iZ6VTuI6lmuY znYn~~tTOS;d4~e3LQosZc>Y34=!NEXhq}=%BcMk3V<0|zENDKNGK#vnK>sjHOi|WQ zm(Bn<+RVhOzD{iiP*BrFINW99i0vIp)sHTpL7Wp>szD&V29ZiLNWLqU9~nrHy?jE0Zi@Jr~LbssZ(a%6>^r>{BM8OFwJc)X-1 zKFBgEWl(87Tx`eh)x~>fkFg~LN#Zixb@O4+(xv1KyUFE0BY3h2TDC`%pjpl&t|ZA! zbepZTP(|ca74KnuSeto}^`=Ro!J%O;zx%fHPL(Zo!9VRXFqp!|O5|X4QB06)BRqS) zS)hT!VsqcB{{YSBlA&?$t6Jhq_wdFQjRyUT^Y_c9LE8tSP(=yF)2mJLK(Q9w-SNu< zxY$kaJkbT6x1%}5T3iX(?>Z+YUb}YKUJeiI`Mc1U#U=Gc&T(;a(Z4~#iP`d|?3qb; zQNVs5FQ1EdM%AZ%L- zg@}0i6oaZXH=L<>A?g#)xB(;70>0eXm!T}dPkJYw)&yKq1>!_%S--u3M%x&>7EqgB z!Q&k!Im6zVh^R{qaWWxWNG71RV-H(Xh2G1U%0P(0{}M?_DfSNEGXF(S+H_AVQ|7gh zO$(@rzQai#V}99jItfj&7DXgQ*PEus^x9E^>5$Sl+#@u6mClv14#=Ba2(x#dnib(7 zMW46UgQ^yF?>x1VS6#i577ZVWKLQitI0?p5GI<&^$W5Qa28QN)D@(I zggNB#zjLCF=r2So9q(fjrD=Oht{HX_qfKWFU&IMvW?$kWaV|Z6aF@N%EIhpve6~U@ zT=aSdt)%o9BZXN|DXrG7d>q`BJ$XPJGhORuD-0~luSKG$Y?jhWL9zmxOJt|bte_OAsOATeMp)@W&U=`V33l%;P)MPa|9=#|Xq z4T*@GJMY<3ZTFS=D+u4sOf!&_8QqBl-k#`$qw0-XcBZg?o#jSx*}(DTG=eSN+;E4RJUSoNIevXA`1$i z!?Hld#Dkl_Xs*LGd0${nO8G>GzoOGQlYA#^%!X6uHqg*aROO^db*X?L68d5 zfjx@37p8&%w`CvTqcITP3H8*YUKW4!dn)ZepE1!fE+Pbyx}e`Pf)6Ijq5(H3f3)y^ zJb!mx$4 z`3KCqSyph)FPv^DF??JRMW+BmvuTdLR!NQXDvcDv<7%NY{^vM7<9&#ErV=#|J(+fy z!ht=_fnOXn40RL~S_PkBeVAYAi!lAXtZc_CK~q8aBo^d_|G_Dw=rh73j!`_9LI*Ue zS?EX$?uE}Z=Aru5R{Gw`*xTeM(7Kjl;ZK{hqc-2;Ym?d}Si`M0D7D5P6&A4gRY)b* zN3k01N~L_jL!_wET$dQ$qD7xa7y&zj%xdBeeL4`@Kq1&F(O>P(PADsBLwJ6+$v9FE zupR3zk}%q0bQvoUR$AYY%n5!EAlUa>SoC%VU-d~GtuvMaAzY4N4SmDT<w7AQcN&VZfZ1d4Z2&Gji0 z`HtsRv1YU0yfc+1lO2JMcpI34=u1Mv?5N}jwmVjU+>Sgnb6QOI^SToxc?n(e zUFWP1);4(M-kU$wSAT_Dj?k7nlTh~C(eF;Du3H&8dERb?6$v;i-`CB!H*e?eHhzTCSQBB26RsdDdz4)_zEHTNP*yOuPTEHrFLJIFyfZ#owooR9Y;tP6~>w=wqsL?d2iwqao3 zNL>HoBcJyQkN;yA_Npzj${mTYm~{ENz;5 z(V0S>kREV>5EMhOc-8_s{ee}`r9S1hwmokY>aQ+mqF;SizcRg#ZF!OFk&@b`J1bH0 z*|zYA=U8k{xZ6{oPAO5X3gJX+q|l1g)U)4~e<0BgVUi=O6%%<9c4>1VOKN8+lZzrt z_Aj~zAT~Fsl7k?G^T?Bb*Hl_Kq=)B50KQ$R+rMo^!hK1zF}&nUbS2Om0WCbyzo}X> z;7i=LpqD7!jSkQ*U)w114!Q@vqY#c z=N_$mnmDeSjYNj)@G(a9Aec}{TiK;bXAj>OhHo}fH807Ia+;+2GdAl}1!nhgYTPC{ z@L7(g0xjm6^(v0ahCo2!prfBoRT*`{1H=vyy9;5UhJFg(k|Q6 zW{K?XhT?b$RvLS(@0!-l9QOvhqd7M+fgS7Oxq-X|iAd0pavv;*Nq-GH6jH{x*3uS^Qgb*2KC(w-<&00`C)IN!SQmC9S4G5#H?{hBE)3daL_Pc z_?N!;sE{dBr^OM1pCFK)B36}2_Yhu=71f9Il;scwK!@y4Umu<&(IWxE8NKifIz#^2 zPkzBT*kx?{u6{ZD{29IuM|7Zb{wRJ9o{mN$2vP`AL#nW=Uc*iF?31#_XEmBCTUTgd zZ@AU5%podTI{0Wd3y&(@XIEtgjbuaYa4q22CjWpRcud0I{wB0Q~esR-bnRVXNcg zs)(ku5~!*K`{1vjj7YjTCfFsqO2>21Oe)l(nA=6lDFg*FKer6n#I2U`U+acwF6^0r z_E`+X#8k`+{#Csic|NzbiNlU7xcU$R&7NT%k@wv)-uNuh3b72Tc4$K-;V01bNw(NF z*Q8u0^$03baetE;TgTzoHs_b+NW^$oFBj;#RwX&)VN?DaFW$5!DvXvh9_=F0%aN_d z_MN7EV33H}H0e;EJ<@zbLij{D9JzLrs|_Tj)nSVJ)_RDMlV+Rg$?Ss~3jrVP6cQBh z(xhX4;Rh(lMAlo%?|HJ7>bxku@UYRiWpXd%_9{-GsKTolLU}lPe(@Bz%TZc0v=*ML znFzqaPE+p?&|w3D)P-n}zdlb-1O{8cR>wo!6C@YT$W z;m}hHe-fpp@fNpm*j+0fz`igwD{_U)0hA!6ao-0^%tV(D^KvM;gggc(y)-vLfb&Wd zKgAHjAhPvF!iNrtxekqurENvO?RJ68k_rx|zX{?p7f~?(e1Loi?8ySaXzu~n#vD*_ zpXNIi#wFxtS|(t{^T2;8+4(}dUAditA-=+SHx8Zoi1O4n_VETYdP#GV1LlUUD7JGA zmot5ZojCI02}bQQoAce8ULxdeWWsWN2QMTii*VRvD9+e)Hrso-B+sjGZiMU5fr)7i z%& zLofVR;I{(575J^dZv}oU0Qt|Gto|V5f7_=2$z=7%?)}eMb|E_J6ZWvHzQ0>X+@}*ERo}E~)=!Guj{P`P;Mqk2@&- zsceTo6#Cl={$(DD|FRG5@1#61GBN$KOtAl9SNo5ai9g=$zb*H_uuL#<{JH0>Tw~3C ze=z2kWnz9z6abbbxD^1Dy*&~=hUwOo<+eO97LOSVvKmXK_jHYUI(>)t1+N{iHmjM8 z3Ci?`VO(uP4>*weQh9r3`QS^L=Mr_9ThZrr&|TS^w&!POs~M}xk|mq>``%I#n#s|Y z$Mk6-&)e(i`TOZ8c|~QSNrB{zDrMYSe;K1px>QB0MpnV;p=DxzNl$f4JBQQ5%X!i9 ztsg7rq~|VS#$X*a1<`}2XVI>DrmOXAz>JYT5%iw5xAhE$5yPwJyRND?DVWwbd5r2a6^X*>V|3D;o6X*8GWT9_PHIYIUK$x?&9qx0V4(g@&r+WWVk_V7)wzV9zZ!@lV=vSr5vIUk!nJmR{_(Ltvi+Gm zU$HXw-|e~h%FkqqCbzWFfpcP4JbUv+Gu(o~*7740SwZj1vSWm?cK52fl(48(*)z7) z)Kf@ACxApYj(NIF9YB2wDQ8xXFeX!56dUd)R>*ASv@BE-A>zl|)Ep<5);oFAQkX{+ zi-v>iH9%Jxt&&+KQc-*|G18V9fmOEeg%fy(n0h)P6TvT){6;XvMx`<%QP1)NND(iX z=UP%?`xjT52aa4Zv*KkFsTs!{0UI8ejgNh!7Ms2)MR2^bgBn`K|Hu$4km$x~q7l#CW$Pvv3+$fv9r0J|nVoGc2SGW3AX1(LL_l8x zGXw(zC^4i(>~=Ki8=YS1E+S< zUIP{aditSV+UPQdlv-|_!b2XJqwERDW||cI<-7W9DrgJDdZbm!Dilg37vk&m{F4rF zZUZ09SjLRyWPMke2O=M|B9Kf{4pzTznsgg@_O?9fr%)F5y$4^$k=NN?$QFIZBl@J! zfmKpE17&VJY} zew<16kWWOCKywXQT|!0&Z{HXlqRL!QXA)Z$kr9w43AUv+TI&QUZC`B)Jx&A zFEt^?HZM*R4l}ch9@PB!+WP|t>hZvlBLQ`7?olCb$_TdfGm;nJD#$ZBxM!_Uz^m1@ z^){%vv1|2_g=MPnRb%pR zCB7^(@X-R5uCLod(YcUylvVB?$$TV~E-t-q_KSi<$f&4Rxx8~yJ4B;NXFF|X{uFu0 z9Sd8>6Ja}M{!y!4r>AmTzmT|9CSux-(BN5s`k>8JrP8MDoJBR;K^89R3HhqS%EtW; zU^iD61_Q=lr3<=puf-iL!#X^OZM5fWIgXD-HcnH}@aY&GXZ_4zWA0U}&s04sHgt`{ z##TehQ}*aEH(^6|79caMkFN-BO-8epHeGipMu)yxzWYTwF$*iDEHy#{3hk_7yaUZT zK0GL8LHD%fdLw2%RD6)G7m~WLY^&zs1RfF_ilkNaq$X(12F(d^d&dB8$uElUWM#4l zX^IxC$AoC=v8N6~^$OIdF8ZUtt|NH0+T{DKCFb(?Mw2OHv*%*-KJy3PcO!y|3oT5& z>FTUAcY-<7AW~{O&g2w3`mroXW7eVFI3zqkx&qza7GiB4fUB%rHoHk^Kq_IjZ4Lv_ z48z{c=ti0xb*2@r0&UxDrBDa5q)|kWxS-JQ`wVU)&iCJ)?BK@?1|AMkpzBq$>KlR{ ztSv)282E@D&Oz@+Lm85s!5LZm<(7dV<2P|fi(z55qk2Id?WthSO!70kl82(Hed@{1 zzEX*d@LRrBr`$Mnpqw50qIPe`fB%qN(pXy9)BDiaW^!xT*b)k5H}BroxhC9Moq_(Dz6{ zWM?dS>0N-?7}3UCz2ln1@Mxc2rGa;-D_sLMzhsy6kg%eEumv( zYQ*=FhE(C^s(((wcNi>s384g=t}GH)bi{LL(6S$*eP1o|dp8*6eGPSifs)-VD~K^U zqyBK@iQhCLwjR_>B2s)vzgp1TIFP|UsQ(<@5a2-yleDepS6ny*B=(emYJA*sgtydb zSFZZWWo3gqhLHV=)FxS^>SK zk|{tqO8_nB_g3>mnV?%D@GygMcy2*US8{e3p<89upT9gee*3eVD#z3wX5s?o58K%>K3RHqqtZ(4;53`tb?tE0)45OZ!6zRZ^LP`RJZ z**~qw1Vv)fkMg9Z#AtQsBBSH_h+Ym_SHia`1Y=&cScd(y7i6}}SHVrSbfuWGLwXFl z7xbPeDhnn3+^T7>tFzC|0n6FmiCyL7TtP5KzZQ39BIu8B;rl*5B`4mN$<@~eIw#mS zSCI=BRMB>Rz|EFk0kd|Yo1Bc!r`}R1-{bqWQ42iq;&P#uHV~jvKM_XB1pzo7>Zf=J zy#s*I7>p)7=s0P{ekfpNWZ#{sRDAZ#brK=fDZsas&p_!|_34MF$d;6)P6>g+>$^x9{Hrt#Tyl!*dG;V+9U5j zLD#yk72#oc9V%LVGA$+wy5_=IASTz4Fk$ty5m-0Fv+)i>LcaJ9csdrp2tbywzPHNr z@%B^{tf|~ZYf9+r%b6!POv0^)$p4h(6a2(pn;-3gP<@tG6Zx}OIHAMHo0n0Xm~|;5E5Ke0wY2vLHGCW z)P7gpHJlk5`SJbJ9c$K~n>Ae%Z0nG*c!*wAE#HM&cje5qGN?Ffm<^SEVRk&-=RIoXlU z;jP(Y{erNN>*bhdgd@!B*e4bVIF{}80_-s<)r=j{j1M7B%X;OT7*gPC3}K9NSk^bz zFTCD5KxkEp&ptNzB5+}b@vNwwksRZ-HewkNFC9<9Fdq~?kYbxdw zv~QiH$)c+Y&jMe9U7mtrPpM+If5e^)AW%F{JS-5I@Q!9VrqwCD&1(3aArK;^jWYoBuiYM?R zr=vag4e*ja?LyD99Mej9)x^^*+U%f6p{H5cSRCzV0J1MjUQNN(kH$zBZ;wfcHVxe=J z*xG)AaWWzA^kP3}V@$W+@+5R9Y<|8y5t~gfF(1A>jUSaS-ic9!v>3u&9|~Y*fQ)($RxIj6u1_oIbj{bm zzPv7w$+2OfMy{6#AZMFd%>nh$mE=KFyXrYOK4k4VjRnZY`sY~)X5e)b$w*(6@Yf}= zze8Fk37GsG1d~kE?_FT$dE5V~CR%w9k?pC2zm=S4_ekj8$NHG)z4Ux(P6#r?ucOg> zK?KK<6WC6i@*&ESTr^K_yyK5KZlfwBYA%jf>~ngcysw2!#1Td-E8)wVCE=Y%d>$8j zo&1%X2;%LhUi2r0U;3`?3lHNIDX8kIU)blR2yjaxtr4-rRw_QhQfbFV;Am@^rn1n2 zdlQg-q3&5r{k)c#Lj|l^E@Dw3kIAk%Ss%ULq%;PIPFBj^#{3V-*VnFGjO9??Pl03w zhyF$j-#l`VAN&9y^2$)~>UdwZ>wx2Jrbh)zWO}0<^{{|0S6954*h*udaTXFm=(;$R z-$LQ`ZH3jpD>O|^kh(ptQC%n$QotD@=h;-br=;!(`h|zbi8$gY=`|59OG=7F6x~e8 zCk;sv;+#IbrYeYv9u&M+0_8UqK}fxdf%U497G@!;;(YNt4Mf|YZQi~rC#-y~1Ahq~ zZ7@aX%sI8H&rah!D4E1dE5?&J>HB!))T;h*re)%PvL&Q-_9+?Q1uwdrM(|ak50oi9 z!fiGep?@>LWJ@+FYMMv1({DjkMz?Pq0KNo|(INcj$&g|F;rggS8C`Z=`r#-1SBuu8 zEBdaA%cdY%#6*wxgtz#2Ub}Pj6pxjH7c8R7JUZU}G`jrJaq%v|Z%cWXc3Gf8FDE6D zlbokVQ8R-dv_(&IcVX$B&Fma}ey|@m%CkJ!)E@LE6lb~PfVAUT&-jyh+#;JC8**F0 zfSd{UMk9Nd?bq$^BVP1R_qScRX57LIluL7R7`UGF07AQALXQxouQ`TZa>Fj0)|@X+ z+P$w{M0?A8+WF77CHP5uHqgT-$0CAt9znK5o{GI8Sb=g#sTThE*I~RH==kv)DrJ7* zHbq{+x#C9RZ^rR{j@}HXj#r_E7XUX)`=P7PvAZZQ`ld&OA-t>96kP3bS%`wV(%9z( zKwYW}`ZZOWC+2VPXxW6G4T*O}W}KcJ-h}?sXe8kN2ghuw`p{pg{SvreFOQmoX>~l` z@MUi0+*0Te_x9a`;cWVLz7v0Xk~|@1q3D{>_~(AN^KX(=xswYDTosprQgLDo=glfM zB2Tch+FD@Lql&OkD7Gy9eA=ZtIk{?#6l<#H;p5@*W?=qoIJjjjyvoj1 zjT4${FnQ_Esr%C9?+@qyGb5L%2KWhh(N;tNtnh`+hb{Xi3b|4jt-mAUmRUNTf`*3i z$Ixi~l1Syxl4LWeY76q?fNeuDy*g=eXpQ$yehF9TFz~%Xbf?>Sv-R4`X67%TT(DZp z>~d0q^p073xFV?f<>bXg_h%=D)F1QGocBK==mGpI)P?^ZN&gj)`kSQxZhbQ}Gj{uP zkHhb{lHV;6{{puBo1Xku;I{(575J^dZv}oU@ZTWme*n|}m8Ac%d;b$jXZaPL@$cLD zKa=!7Vn+T!O9aPXG5f!hbdEpB`aem@6>S`U)rH~H)5#ban&}DJxN838ZY;l=4}RrX zurvS1JcU2*{I6o-|3s?5A5Z$PAmV?Ag8x1C{VNNFk%i+Aqr!h;-+%Hm|6}&e$j-}8VO3={30NkBR*~NRc`?A;POOk3*Tv(M& zxO-EU2S=LLxUmifOIzB!3QoeHw^v9>twO;*RZ@de-Gp$NOPr(y+Po!0%I<_F%XdCE zC65LjT3DlE%Nk9ZL)V)D4VudvMYOvNXzEcj6sR4I+c)b5H2a2cksYrE%Lyfn1Gg?J zpC*q{AvXyPje#O{R9}m^7FrcP?&yp-SO^xu5N(VP<_$hrc+ z{GS{B0nrYafBdv?S7ke3-s1pP^&~7w2TCAhiPfUYN8Y3|QiH(9!Q_TTO${3XZ>M$Z*&@!qojeuA)YT zywWf;|YjbBktFQ>g z(^`LVLh3W;S9OdFiXlr;dy4)l#>B3uUR3o_aI!KmUAsMXDLWb{lEm7t*UkDQz zD8QD{JSrZ;F80~BMdUG1sB;6kNmyWiF*Z2>#;RT9ab%7e7b9!A(YSuzQuv`0Zb~>W^Xj7ZyNN4F-^|&4JP}f!E1s&nT?c~Ys z)`FT|L-Mf=@OaE}h7ZK(nNXNSk%Lio#GEo@AgTZ-W+2fTOzT*@80RKJXhHG)qDhvj zDc(k6BhA`Ek{Zla_d`lof^?GJ=o}Rs>P3}6{&GvwY^t&p@c3_mK>9Vgo+AF;bpC-h z;0@{lp&OZ)?w>mTWj#Y?U6{T zQMsitzetIbim9cH7dw0|MB|uFlZN07{TN=4wji~DU{XmRHA`_Y6AvJ#(&Ti|qLxS^ z#;5cbkaVT4rJ2ZSD3rpGw-BvUr1d}-@I#Ug)?Gyhv;bg?E0fP?a{ir0q1k?(fUCr8oNtE5~KRA z%vS@4eU}Gx=QOq%-Ws?9iKQkuje``oC}v{Nw~*QJB*l8%HD_y10L^?j1&2!T4!LX6 zY!ct?qlaJ_Dl@?PjLggi645p*f1TfI7Cu?hyyxDDGfyIv>aXFf1Y*u)e@lo+JaymS zlQ7A4(cflEGJ^4`>O%U7l{FpLPca|ry2~+3?;crn0h>z=YpdgZM^+6IC-&xJ#aS3g zR)9|#b2cYja!3B+1FQv-L|}qxC)%$~beHA2SHi4sVy>F9>}H_x@HM{4%N5y(2Uhl` ziUu*IonE<=Fd-fuL|{~E3;pHHWLPW;MvCmVJ27_(>H8U5i_;nZ@H4{s7R?75t|^olnIUbd0X6&BAtTNuUMr7#P;teu7I1QDs&JNMGs z5EPwiRU*`pPDIUt1mCqTzeYKh1P^@Jv+2$?jO_T5rNGNAu?X~Z9;FR?eL8pK6MBqM zMT;Q+D*SwUlfshS*gd+kskh{pBHeSHuB(6Cw)Yj>Gf#I=09c{bJq2PT@mC-^If)7) z3bfr0gpnn4cc~v-P`mP798ji2Xbg3*U&K8PtEFSp6<;xJmX{-u@Tdz~$)8ONmeD@` z1dYfFMbaR%t{=8vlMO&56NHw&iGoRH-OOb+VgpzH5`rOQ4-`zw-%gSwlTwU~^@HC} zVl11K`k2KfTCIpn+!E)zE3r9-1$SBzMLP!+y-?K#N7aesaZM}64GFE|kDYmSHtLj& zGUsi|`b8e>il)eph?sC`L+1>xA)L0;k)&Ziv+cZxkG5wuKMoiV%lerPE@w_4oeU#e zrfCP!y9dr?om5yk5;ECgw3)i8JhWAWCu;Yavvh;^bpXEllDG-WFUK$QZi&)YDJ&Um zq?zAD*Typ39}DT-@K>B-C48B51pDJ;Sbz07&@alNB*V6@kP)*U>Xcs&v^wYuk+EhW zeP>-9e3SKo%J7AE7k0GChqz2Pq#Bq$WFU>fFX4ecjT@dR8;kXBC!?_diaWa-zQ;KI zPH$;?up*0F;6y|h#=SIJcT>_nj&Db5#QQ}=DmX z`OIhy0$Tzmuk_85$h8D!8C86Q+8kIGvZwjbZApyL-ww;wIqx%JSLaFTr->ck+||8A zS6KSEXBQON$K>P3WEwAwZ@!N~wk&I(SlAm<)y9^M*`t9@n^INUDS4J-#$=vmh8b+AeXa0L9|~>*2(I=7oWp8+iTvG0>}0B{hiufqK||~J z<&q{wsjOF-nj(wW!yDIaIs{0SAFft_gA*Q9>0t*{6u_v8grlL>a64YMK0ND4Y}Qxi z47%%d3m+a;jd0W6O}w;CVFkX=1AWfk$c-=?i&i(o=4Rx`^bfXOs|Ful>Js!C5!*6@ zO)wcAPRI>aQFj??5>JZ<74B~WG?zzQEqvo>UyvL42I`D0DbOI-^I~*6h(tZ$_vGhc z-&9YVwdtO|KiD>#$cH|aeJEbwC50_)n7e5<=Z@BUNytd^YPQrOHzJjJcoVsbA z)Ldsih~s`$Ac?!5h$4GwC5VfuB%7Mhkm_O&H|D97ys)fxG(0vL8JoFH*G+Z;`H&jZ z4wo~DyD+w+L0@z3{o$N-Aq9;Ab4&v4D`NjxeQU!kWSExa9$tZMZ8MY2816M{#+{E> z-2Lr%PuS~K6JC2oXwaz@uHv2`TOFY~ zk@m54nnlG4?xLR5BW7^OOs|+z0d|CKV!WxB>GQsP^}ag#*6mDz5`-U(T;YAkCOjKf z0kjEkZlwZ0k-h;~3Mqa4djy2zFMi>_{ZsI7-E+TZ34hNL{+=cLJxlmMk3fE(di_@5 zw*tQv_^rTi1%4~=-yk4=9Gm~I1mut1`+p!He>zJ469M^E!2E0U?;jD6{}kB%*G%Al z2?6=b1meGnfc#TafxpVL|9u7jqf>$ZBm?=?FaC>x{GIu~Ki;9gN%M~x2op00^PeJ< z_cb&fG(`}-ma3A69Ey)!FOw(*QP}yN*$ARdSH9H3g;EbmO4P;};=faR?Rsr69g%9v z0;#-JLxGyO(|TrQUN%m4uH$@7x*HJi_}A!FH317tD67Fe^`82ag2O;y=iH9mWX`lG5W3O^q#fno|!0r5~o@?<0>m|63Y2dp_-(vcJPoz9Hn?r%6J z#g4sfxg|>ihwkiMT6J+b{A{dxfR7CGaHc_*Tr(;FzYuPy$w;zrWGlL9N{wgN8RD}Ui3_*glZEPe^7iPbR6pLU9d)H2b zeBdLX5ekFdyO~$id?@sKbAfcQ1}}#_OR#WKeuO+3-yXXJWQv-Z7~~Dpksn05Du(b8 zNEh&^y`RwH!(dWJ&%_Mg94~sNCjc(BE89XsSnN&uT)*m_1!P))fW-UNgr2P$9Sxw% zz)JlMq?HU%&JvZe&>Qosgc||i1Eb?|uqWu%F^!qR$;g3#s*M1!c%s*UDuc2OJvFc& zXr%4oYl1DLXu%(W238>aGP>j)X&bnAewPxPNS`cetpWJ+D?2MH7<0WLr+<{ zo`l9fx*I`_v_z9xu0w*~U+*TNhCM+wo-K131J(70JlHPHYlBVJn09DzLY(v*?oSAi_vf0^U1c?>Xw7D3} zv0$Afv6G;#b@%G*-PW=*;}gBJ(Xko2km%;-)^>mb#=3+CP0chR#+_oPs|yYC?Buk4 zzZ(h>8s-KDRkmxKbV8f>owrWcYU|dZ($?%`Q4i~#E72c_pj;-~Z z^hNSu*cD?d>kd@#BkBw4rhn|s&0cY95RTd6+eDhhvg*iwPViOCy=7w1L1iYU5e~Aa zPMlz66B?C$1JB+NkwJwR^>w_8kER5AnbatPZAl8JXG75!7-;^68k6qX%dFC(qj;IY z(-l5Z=`(burC~RZvoe1jcz5;)w!!LB<``ptQUecdo+`TskB57g*VIzOZ}N1m3ES*= z;5`Sxw(}GWsvCxTk|3P;s`26|>!Eh4Q#*}fv+4&}?RLBZ0-aKZW1mY2Kz8Y-1GT}W z!()*V1SxT+S(?v8Awg)kK9RMkN43^P+O%L^TQQ@S56Qg4UL%?`_SX8H5JVmD)~t>X zLmYan7(3rMOnSnR-Ao?XYlpmv8M*0&pycmOG4jo~8A^dbP9%*TT|va(ym7KV**!d4 zxf+^*Lydfd@^jigFP5_ryz~QvMv-_eZ8vA=9qgIf2P=TpKB!-2>PUOViRG z-aH7xSW>nop(r>gjKFoT&F#XvgObJUB0LCeGB+78G6w2;pPop1mCEhmH$r71HNYK( zQ9>YdyRe^QAU$P&9=KvSDJ0Rx4Eq#k)R_qCwsm6E+GQ>RHtv=q=X)&3&aVv#Yo zNUFYWUhSvY8`riZd5hn`RLY95sV#FO=Nv#t2&B%8aU>37ULJ@f87%_gI>8k{Jkro| zh4O>BTIq*uqpI|{8nOfNh&zLE0Ner7(})Sr#Iuiw+w}AgNdwhAL66Y>SD`>Q7b9nu zk087AjO>r>>R#A`U*EUsf<@sIu!dbjjj#3Rg&84P_}{DaI(10y1>M1mP!{x%Cr)mC zVd@axZ6?z^F1a`hMWL5^?ub~uuY2J!IF*fi+EyHm~w2~Kv^ z)A58Y#*nvKaM|0oT9@>`0_DwkITDjhDF>$7KksGR@kL)_V~Xodq0)v|Q0uN};A4J` z7qO|XG!!^&O-CzF-^Oa;b;xgVp(xMQrDtMQsIKiC`u{B+OCO}mDg%- zV(X@}124KtI8|j4c4dvXg5@o0w6d{<+gvlv+$Xe#sl%%(8@QJ22^l%69)>5TfWpOw zDIOV-7EU_FGC#CmUzK5-^1#RarK0sRdn%?Pjb)LovVJPD_Kw&Ah`UcGFoW_PGZhYK zF7>#@WG>m_JzT#oumzqmVCS<>l`o{VpsJfrH?5EidH<1L~6` zy^|lkm`X&0LjZ6mL=*8@iO)sXIt0aA$?(wA7!R1Gk@=}Kon1?J+&onXn58BxBqKvOwAP>)AtYIF zUd4SRYn8KiMO{aoh+2JcnznFF4cHB;&5xh9nozMssaOfun2!1x<`x`gSm-~O?Oj$i z5GG^pNDjVm=#E{t4W&1wjeY=1fwHcI*9N&njgYs7)^|%qJh@oZJ2R!L_Uc3k5^ypuQkq1 zOr+iym&QdDRZ0zXR3Pe=+$qNmu0AU9LLm%k=WtnFCe0uFRy05K=XE{_b3XLV{Y*HF zvr3a=xxb_|7YJDQ9rde32tc!%$Fh)_bUIQdA>I)mYMBi78Dzju9TpZk0Ft4?v`A{L zsaXMZVly~-f~TEIeK!D+;6P9zJ#FTuv?^-vbJlU_&p2&~<8e`@sb4pnb0AaUdrXPS zbYa?_+^B%`9tG7T4WcY23TlO$8@#6H%*BQXvaj8C)+$rDn|fqo>5ew(+sG}aNrPnJ z$rg2|nJ*5uDjAvI`AWODU|?RFVYP<8u36M{NaT+1T>{KVuZ@1skNv=Zn{5+%OW~Tw zcbW~WHG`t+2wvZcG8PxCd@VYn2(~3*qi6%+GKA<+ZAzV4-F8Yb#uYCkFs-=AV~uXo zEn;yIndGA~3xUV}*o6kb93JK7XT)vHyM*bthuL8JR2{@FqTZ?Oukdb_9 zcr@k}5GS?^&xKT$+$cYb6qG`&@zmJ5QSODXfGBFWx=EsLYu3K0WY-`0z!aOE`(Yt| zydMGL+J|hKu@Rw@vs-L+C%k71UxhUh=EwN3l3Uu|WS+`?`Se-Lz~sT=Zxiow6ENJ- zt%M01tL?FeSh8Z*SU_dv)frD*>PLST(#E{RR=!t?Cs^$?rNN3Od{r_`xFf2JGNP5_ zQ<(V_`vC|LWZen;ccI&#e2TxJ8zUo)y`eFU!*A&J{}Ocj-IDx2gui~DbN*J~w*tQv z_^rTi1%4~=e_w%rKEU%2PV;x5+us^5|FX0H%d0HRe;NAu+jW12ZvVyD5i9+F96MsA z|5uG2{q@d&TiyS76zBi{*wJ5~^xxI7w6RyR)iW^S=B5*JV8#ENlyoAlj$%rGnWg&m zdod*j{6D1o>kyHFl98h(oxHFpowAXuqc)wWjkV*itCW@hS>*O>?1+{A?~EOB{E3zR z7s!o;_0P!dTw^U3s|n#(&`+-b)+>4 z%BzgSMv!eLmFu#|_!hG&lEOUqG?i>r!#H%bIx11=Zeq_~zVcG1nqiq^H0(cJn3GK` z_==sEl07trR8%JMsZ8)0d#9K#VHz+pr)uB&mg;IG6m)sdGAmsOEk?VtSQNtE;mr^& zB;w|<>WaGA6>ON6KWbp=vdC}ernray^xZ5gGfuru*Hi8!D@p04bfS*6B+EzR*YIXd z>voo>-_224z)W+f)If8PU2x`bj6zTjc2RJO9S%hfW8^ zAR5Am_B9i?ZE3`G?)CLn5^IA$y2=UT>qkU64~$j5+?Q7}5A2ex_*sL8g0>~EuAJwzt#W86g9~;^6}w8OMfFQraL^6%CGChPZ7llxvHIoEAP0d8=*Xk)FqrTZ~Nw z8L6<=neR8YXr%+NsAdh3Jwkj}_6?erq*dSO7!xYn0nM+KGzN2B>?UyNQxqyxD+$9+ z%(Yh=5tS6z>PL%aGcngz&u1j70UGR~T^rS!eOGci8I#MMpy2jTp7k?4azf^vQ`dU1 zStTk)o~58&Qlq@6)7qhxgg$Z)K z`ugOuG)laIJGN5Q`aH!vmM9*+{OTr^*hG!EOihkh2<)kFnXL}QOhvY;V@qB#XVkYq z#Asy+#mdB%M#2YUYE{MeznPU^#>!4q%D(=1*>3|bmce2mHW~cJzuHT}0(>GawI+%q zi4-B7+ED)ksaVrug|(W5+aOi5XrvO zJw1Oj)x<+{$#V97TaLvXuW5~cpC~W*10jUt2z|UMmzm~C!|d&XoT1(7uVPZ_%az^4 zacM4A(uXR^tvXjuBRg!>XI5xyyLWfLU&W*+HTvL1)K%FMmqoD&hpA~ketC$hDiCYt zE4p^X5=E8~Y6;=yJ8PMTdREfc?5;7`i@{r>B5x#8qEd~sAr+IKNd#+iVo0D|gFjMB zn3vYaftW$@+KKk zds>22AqH5f44wDj7=Js&mG8&hN?y?W&oCF#&#TD-512WueVVdWWqV$n$n^tsSCXS- zbtDANQw~I^+MT(F+S$e&ZZi!@5|*!|xcs_{?*f}_Q>AAwXA2J-P7Td#tbppKYui}| zqP3pBnl($mR%_m>%Qn*b9aV|Onze0k$z`eOR?i9ebNfu@!I2iPo5k^?Hq}pczUuO8 z8_Gr2P&Mlk+f;K+z8;*vIRMmEwaaRZeTh=fqp}$D^Xn}G;!GIVc+`XYw+>H%ep+e08@O=VTqW_b<*Hi+i4Gc8`z-C05V#hAmIw9Iwz z$U`3(^nvkRcvI7!5lmJFYcaeTRA^#J7F(UStZld&i96>0Id}nn|2;j|;>pnKSddKM zb=6PzYPdLC9VHQNsg_9C*y~V#qHB<$MkXE=1G|T~*O{cQA9;GwLYqI#Q^Q9M^zUo; zp-};*!XGNwfyiaM!J-ij_?nl?y_mf{+i}EpfE^`!xGT4!E|I5eJ(`e$x}88IKAtAb zr{1Eq(9EbfgJFt35b%ex5S2bmge>of%;7VHaZ5Vni*>Q~F#wn%AOxE6bOT9$o(a`Z z>SN|VvEN{t)dwE1d8-S_)t>`>A?5K?6gF21n2|NWk>?xv1#sL=sGAo9s(F+meblt8JfNK7NI6^iq-~ z10PXaD_I82GYe6Kq`t(Q#BmfP`~V)K8!M6#+Cc#XLEv0kDAjYG_e>O7MTS+KF61j< z5ggkscy2XbdZ^bl`SbL1- za(Ce&ROl$aJ!Y~n$F{J0;33t+PNZ?3w6;JeJym*ZMIpwLooEY;Mko~*9eoOS|M>9IW}l zhdLJTJ77yjTO5F~BYip80Ms`#mAdo1qB@LTd`&FZ?d9@uWL$j2hkAJASH2A^XRC6e zcgk_LixbiU^Cq>v6kouFV`1m34vOkOm*;YI(*nSOHx=hy@0ErvB(@&9yLr}m5H;LMP6IV($YoB$+!9MWZGj zjw7Tyd*pV#=Hz7I({_;yhp7n7aL|f|V(IwAy6H>fGo$AB3v(_f5|T_`Y>iL_$GVws z)e39fE_)oA+*jHhyB=1dDd}ziW$iw2bzKs3$12z zoDNae%x7Ga<4(bBeOnP#3O~Avr(k*$<3%WDNX}m_ONExXr?Jja0w7g5l{};qtrQ zad9mg>$plr&<4c8d=K^-@@~RwiJ51=y^EP5Qcuw-g?SR6KKCQaB9>e7D|%BvWK&O% zHENMhk1Z7bbP^a#P=dO>l>c}iu`U6lpET*Oq54_bA1s^+E(RqO?kj_f*2`0f@&B>+ zmcel~36{2~B#X&nW@ct)$zo;(i98uLVIAo-Vl(e0O;>Gd9V46B8iZXd^&MkVfGNppgSD zoad^3v=s$>mqAPBz^DW;4Yz5)>^0}>KpDZnj$#JEz@7)JxSQ0kJYy}uC5{tT3f8n9 z$RjV`!uO74IVz9Z%Dc8~x<^pmP!1tAaC@&dVg-DAMeklSq*$v1=z`_a_-Wnfn{IFL zIALFVJBWye79D=2X$-#@T zS6sg<@UFnS0`CgEEAXzs-|v?D3+?$Ua{6D*dw+R*|Fc_;`48y!?`X=}(b(G2;SX5! zU%2I1>Hni!j+OrJY1Lz;|84oA`$zHu|1$Fb6uA3)+;V?;)_>RM|3127WBg+^{>lh> zdH)T#{&j`^I!PEgvWygnk=Y?t4?&qOCB6OejiEpQ z?HVcnNDHhLyKPqqiynW3lpShVj$h7Sy~3N2jEt`8J02~Y>cjYOx#B_XXESF$!4B!-I6=Aoi%GgqgNk{x3yG72_2C3+PY# z=P$>HrgE}nRx=MciPXie(%HHrKlY}k(`eHtCqpgk%B#^9Ax}AxrGio>TsmWXp_<8N z4+2d?9I(^~OW5EtXw)P-EC)m3IeVf415nsoIK*qCi-3HdC?a{H*iZCP-$LQwQmiDkpAt`fw}Bc z#G7BL7u2idEWLBPkqgu6pt!@kc?zYYv#6d`4fzmU-6S`Qq}X9V9-2Kp{6?K-&sq>+ zX#u**q+h>(tlIq4O>8|;QkV|X$jP88^j3Z9exX$Mk9G4MTA9mK~uVe%iQX3_Rgq;DF+o5QN>of^_C~ zEM=ek>VoUkpoeZYqh08-qQj%jxCvPS^k4|)_3MJcjJ2U&B@rN2F8wgdfg4&-bwLuw zqqVWf?9l1EFI&=y3$0r-aR6;@Y47aLAK2a5{|rQjhR|uH%hnXpr&r;?$9*~%Zr_`T z?pMwhJYat^o0j`Qd-#__^c9uP*!MyS7fux%GW=(dG|YFK4Ti(*<$qr(!9{M`kBdd4zcneu7*8yKCQCj$^@oI6O_B%&GE(d z>!}|qfVh$FwUX`>4V6+Y3R0d$WrxXykR+#x_b0VidH@8z0WaROsdE@pu@5wmGO3C~ zNCF|MNTB}^h!wldD3vxtm9l>GZs6^h^7 z{166xHt!<42wD}VVw>~AY-O<10&nJ~?jt!a7JVufBI=IH{xX7%*cfTaVtR^RBMS8I-PdEKupN(6yhP z&6liM4ExQZdLJhrQS(5L7eyF*qt&zLrF-$1HOY@#S|9qd+M_bDW%`9OGq7e1etfE1 z`vlKFVFZ7XQSNeN;?UNSi&%I+IDY0XHvWA7X-WQoena)^qd|Gl(%KVEnABO&sc()z z(Rj56OF7%|_z9EZ1#?*c3ptLe&1dpHGfgG;36E9=CFeMNu{MB^@Bnr^j4O5^nvxHy z9S+cSY%8T6wTSgSB&b?8U``j$mHdj+n8B5I$5(h2WbEYSqUvF!KZdOspscaYYRBTYNb8a85}m&|wrPMQDP4 zNqvjB!&&7{g-`=7ku3p&sv8XR(n$+5>_X{8_3;s`28vulbXP8+J-ZSi*9n^D`vHv0 z_FDi+z#Loal7nRKMzRTN{| z@~5OQuGp|3=@BK;y@w$qS|3eqjsimNkD{Jrr-LKj`X|B)1qHpkU6cHQJ`3*fXOwT1 z4JK-Zs#4B%n;Sx(4tT0G3nSrRAL@>e?Zj?#$36Q{?i5vTajx6$G-;!4cRV(TD#aLl z(r;>v9eHbx2Z%e>aey=}c?!Q6Hl8_gZ#Ik)f{78KBfzAz!BWT>wJ$3h<6(-Xb0&QW z$^S^nPVSM7<|HU_Sn8GGSh7$v#BdSp**mgxoS)~}1XYV23q9($%nw!ju0bX;YC5_i66M{>+!y5D^hD&O$c`aYHGU%oC zWdTQ}aH`i#E7c^lEMIk?fw*J}_s>{9JCsY!mXN=tS&C&cRbi=p;kU? z>sIM)8RL)lYt9Z+urf=7qsLVX7gc!Y4F&U!pY@*AILo38Z@A4>=a#d9bLvhTho~jT zZLvm%bda@)A%T1itH>uA&T_i&Dejc%ekkHVZW%QGV190{`%iE7Dx2Mel^dzy0}Pzbu>_f%wgPGp&{xq zC_ikTitZnEi269DAc%b-ko24nbxu-J zx~|E+Awvov@gNGb?)Y)S)awxkSll=X?M~F-j!;7VnLZQjyAQ5INHJc5nIvCZiEZ?a ztP&NbNg&%j54m2ticY0XVQQcXn>`Q^?pE$OnZ z_N-cOhVlIVA;mK6s9NZC7=Bj#BlY!ejgdq8Y|nRC2{I*misg#)c{d5p;+yCP=BQ_^ z&txn(WrnEsvexHbXmAtv*3`q9UM5HlpWID2$@bl4uTi95^qvE+H$j=UIre7U*qt73 z??WZYc!?Sg6MvM&bMje;DDT&K8o-o%cw(l*P^!iyujvjNnkj-VVO6U%1tntvm~drO zPM6Lk8=I!4U|TR0O$)Jvz@(3gFrs71-IV%nfavp4e zzyR@utMLC#viPUNsPC4*cS|54BRwP2FF)YBCGb6m^Pf-N-glGV6?j+RU4eH6-W7OP z;2$B2f551}f~P-|#lQO1{*6$vF#q8<`*(8o?_`nTFRni&H(O&mIek-OIt60~8z*~1 z<3F$?!*2^9-M=-Fu`>Mjto`xBAEyo#{+mF~e?yFlj`mK5j&l0;e}S3I^#2%i{C(5E zPW)ft7W^&3zYF(IM2@WZ9DhNzf4_5(jr|Ytf5YJaP*}$Ldl~;a$^RTbGBY!<{0Tp1 zYDgrk4ApersLmqVGEbGj0;CtCC&h_8u#=5tXWT>L;6H|$^zO5QEv9>S9c#b5y%?M5 z>)V7M$d$;eOR1=wtM{L<6<4-sS90F1Z0OM8M&I4(rev6Oou>ExeCT@nxwf)&hi6^C zTwiyAR(I0hL-7^0sgAN7DrpCgEj%_&Fldueb6Qur%3ShvZw$ zj~VH@W#WPi4 zZ)t&UC(eh|HwcUJ3`d>qw(g2!$RbC$N@4PMWfMzfWlD$K zGD)X0)26y1O$-;2Y~_6etv-W1HDOYDeC2EovTJE8B&EQ zA4a9iMaQ+2jS8n*h;W!l5~T#Z(^%{8eZ2GUV?LMgnQ9DyjM^y=KP7$ z=5sHp+3If@H!J?|h**yS{Xqfoo*N)9IF9A#e#t^mp818k z!?+o&$^)IsF>HJ!7b3%=VrmD;HwY0ECZCeY3Q{B+aN(vXC&rUXuC$Zt80}x7i3r~g z?>Nz3;p%l1j!SF>E&713V%TJ7zn=v(kMJdIvf>*2gi%I%Oj;sxLVCiN3@}5C5P;%^ zjMU=^Y@Z36he|ax<-_&75>z6CN?%x}2A*F)qoh!Wk0Wnh_a)*3NJ(gIB&#jq@tDRw ztSjVrs%qNInAWzH-XdZzB@(zVeUK;awt}C;Y;1-xw*WGNuMChhwePBkEf>JSg#5^Q zs@D;2xgxM84$i-w|AV%tDtd{$(pu4GN$G}3 zE7_B3HdI%19sD4cye5L;8ziy-5}l*?mWd}-;HKhF$imLlpdh0ktT8Ht$9C-)Ge%Qk z6^GDjYeS@xBM46Oz`)%2uibB-uMd2xh%8K0@Nl5$@!Uu#e`~?goa2FYjIU?v> zj6VG6*@)?R-0Ic*>GL|?{nj)Z3$LR}XlibLZ5MQH+p;d6n5(C$keC~sjDNFpCpO1h zUn<+`UaWF36V}et<7&Uybg)*lGZ`bp@kLs2NhEm61{}|FU_3G`W2t#*o3`+aPI^__r*m1KH+CdT4$0Z~>q*N5IM6(^SlUq=|nEn@ayBWx1#T85oa zW^qrEOaqHqoNJ6-%C!YhXAuXNf-Vm*^<9>lsfLZxM$i>iix%Dd6hceED2Tk$9_k!w z^ZiN*sgdYf-rHJqo9I!Vu*=>Ryp2*V;s?pHc_v0SMN!`I88ypz2O2sBI#Stm(_Irz zKYk3tQe3svSSlZv#A~JDI6t@qsrWkgG*2FqHx?dW4(&q$mg-J~>kZ2$VlE`ja`C>x zp$v4{ZcLa$80)2Lx6nG$B|Kx3g|aFcmq9CBUi%yB&y6c?l4+}tC2(Zcr8_MbT6nI< z3$M+tiqLYSyT+>JB&WX5pQh1FZ(BJgDHELQtFRoI@?I%#=i+iW0J7{uj28J6L{ikA zD0RY09G4afPT=W@v))zdDsx@Vj9c{w-|gGH0~InlwB<)&F|#UWQo7GF%BVO>nO#S%+1+9rzK%7Y%Bx6TT!Ar09>IS- zlHV0%NNN5+cZ59$eh3Xb7kH<8D35kGdZRHzlhPC7ab_0Lp1* zHrFt8+Iol+OQcT4?ek<9=WQ3P*%Utuc`4G)R?Td7Bz%MUX$!ggpn{+SYhB*2Z$(l! zw&v?Z8y(z_qMyD;29J02`ELVIZ==

z=(DK?oNAukxqFDp@?36)n=kJ19p>%IwO6xq8<9V2yqJ&z^u{bIs;ydr0N|I9+?!{c zZn5S)govOmH7T-Eac%0*K>gnqNSy+e#jJ-#neU85u+2hKV^5PAXXjE*&dt`UuhcP% zy%VzGAUm0Th@(sSE{ygW48#Hll3x>5rz0<63ujU!O`oZ022A3C`gML*EXX+S1_#EY zX1dE=?+;OsCekRdx@=-(GTN6*eKkS`1Vytv)SODfU3J5wNs{r8sG+*Uu(zD8@!x`k zUl_&5#6#ilt|Bd3P87RGf%z>W4s{61a`@)%mxLqc;M$WRI}}$I_Ca9Itr8aZN}4@a z>acx$BAHZUcfuPn|MJMu!e`mGSERD?)VZ!8j4Kml$ng2@id?w+IY9_3&y3+mNpn!Cjf*8i#!I1mzn+sV z>+*b65(J9D8KS{@IjOtYL@el&jEQi%J%n-@8AzqvX70yP5o(;BR$andlj93}c#Ld8D z;dEvk__*)Y$A*U=J1eayMtFaAy(-aazv%OD82rst#CW8bHqkn7fL~;|*D8n7k)dX( zFOk6C%il2#Q=ly$Po&XS&T7qRC7pXp#3C>`{j4_UPRifnrdwNA3)b6YGVQ%&&l1w4%x1kiqp$o!8tqq%v9(} zjt=wZW+QlPL;^&LIN>t`U`V9DC44N4F|?F>M8Ib?~j z0IKS_pK2W=`1`Jol&fxuZG7ZO6lu?~B*&f;v-7JWgxZ)CR^0Q7vks+|5Gis=Z0&Yb z9D~PKBhnJwp=vw#g`@Yv%iNV_nL4iS1w4%;cp0Y&0Ozfp3TTCuk?RAUCWxZ`EGlwsGQ5~}HF>;ZnmG#)NsAD>yS40wi;lizVc$)qh}f`l+I3dp zKjThgFQY5Y_pt?Twd&uG-sNv0jI)7%=X|6uG5vTUN}K#NS}X|C6(NG8O|9jCqx1z1 zNrrNFev`PY*dU-0$Entr`D>sUhV^|yl(2UA?wqS$$PY6^&w>-4aWsib3agwYI|=(= zxyM5dLCZMJHI;Siun!L-d6#gv?0AY>BA7!OhflZAo<)rSjWj`*SS)k}J9pksjp0Af z7c81y8kVvigE71)l4_qB@}i%j}T?B1=xp1HM;yykDS$#d)Z^PD@*;?mjd}~AAdPB}$)2KIp1`BDN5XU?=1^86Q0b57>egZw6~1`1Vq*p z6JAH><2UhbcACWWu79R&@bC6 z{Y65+7TBpQ)!9l9JI@cHW9ilo@i7!44-X2P9b`9DS+v=m&m^_B+4%Cj>JnQoOJV7l7ZSA9)ewajurRn ze2Jep%7%mBWTJB*;x%+Fic9;Es#gz#NJ8R3(8x@L$YTT{A>qhUO&AmGw<9ki0n(6d z&CEBVJg*x{%Sd`Tz_%E1DSO3~8K-q+=2?>&vYJDW(qR~tH?GF_05p~EKzp%udY zq^5dD6B?;`It0EwN}zJ9uq_-Ytjv!FD+Md8*iumCN==VdlcI0UAr!>h@-x2QRPSy# zQLWcg;z3q0KCG{$%qB@iL)HO@;)_?a=ctPyA>sG-T9j9dvq&vNS$})+{?Dy}aVdxt z2Z!bbkGd_#;a=AWfjdeAQ4P*Mcap}0ZnIV)_IvFI&<&v1a%CV1RWh38_d_Y>cTZR; z03RJ*{FTHAkVgs3n!EzM3<}sFhpPj7qs!>Q(@QC4VMSthM(JP2MrH&OdXq;Y1fJZK zupK$N_PM*_UxpK`2K!Nqiph3fZaR;egE+fbby62T8B;~`#SCmY?64anx4If*AL?S< zn;j4KMYVYZ{0fB^!^R;&@&PhGJa7rmgdtD++0776+`VN8@`7(?&nW<>J9$q|VL8lK z(Eb7-0Vu1=7ldIQihxqamOh0ISx z+9UoJGH3Wxm&-dce@Ev3FCz1IGwweLUcXa{cLm-Rcvs+Efp-Po75LXH@OP)^{so}^ z6_)-9ng4!!|C1T_7is-dJLLb0(Pd@$i*@!tVdlThp?_lLzd`&jY|HqYwEuDO_XO+y zXYicyAA{$=@AW?g&;KsRF2kSBC;U_UE&m&QPAAHM|CjR$zwr963kKF-O_#q8E&L_I z*VfqH(cIYKS8A_}5F;Z8!yn7?e+KgYWu^aHtj@&B#`Y(yUZHVfzcPUGv#NM8hu=cb zqrV^7lr%1LS!R`;A;FRK+z1~baY>GNJi=;EHHYx~RMYUuE!P1xe{5c2!MNFJ|5AT8 z&%>zyK~7~;o%x#O+#p^~jE(BqYDEpgNEgi zn6lp~3$IiuGTJKSk|x?0K1JC~)VK0t8Ea^^&krT2?mU?C5vNo|vY6`_@iK7Y1XW1R zvYl}yMPFBJQYdOD8q?OOB(Jsf8XzfDpdIlI`VfJ5662TK=lbFL&h3|xd+tl-f4U}djWrXd~FPP0RR z45T>zVd%X@fX|pZAt*hN#9aqcRH*t4jtPX`2&6R)Rh?}Gmd%2dv_E_jnCq0jRNytZ zGV4;M$oqQq1BUu@ITTEhQks*pa_JbFvT~V|2P;nmVVqOWNk#48$)c{{eZX1ayh*cm z^7GpB9;o3Em-eO52hKX+!|>QWm3m-M!X;NJ!D(WAa)II3oM3t4nNp2|MBF16AZ)8SQO!(i2M-G*^1>*E3>t`IviMkqT z@0S6UfIWaIi$pW@wm>C+IBw`S0ZqORZ!#QkOUsP{^XRp;h8G|_v1C`=MYoDm){#s8 z#-Jr=g%gQWWHjH3fo)Mec-jOs_H|MZLpcpYjNDNrusg(`GyPkH;4eEbul+qkyub&* zRq1H>LkjN&zkPR4I@~WmZ=(+fv<{FdB)zbiioljFiICu!rG)=Pg$aJ_S4@S_{^)Z= z%${cuvrBLpDbMNDoNO%7a{JeM%Ps$RnMW#@^t`rfOF8GR!-5QGIW^xh$pJPS0SDh7`_NI z)6Z*!X!!v{#8`YHdb zACA>Zu>Tcwj@>po!bfa)SSE-oTS-rNM&=L+*C6J>M^Q<(7Yh_KwgpEq>G;u+Htmg; z(ua;R)yJ(uHaGJYl289SimJKKqe7?gg}*gd-Vyn}6*3RYAaWiJjGg?zo3)px-l@^# z)bA^fY7Xp}lp~k@a5SFHu3W8iFj_V9-8e1p1~u8st?%y5h9lSsq6B={iI%f&Zi*m5 zxRmh3F5-v4yKgT9m{+pwH^F%PSm@P8)5uOv<;NlqP*5vI4e8(0(_4UF?>y@QztYJu zATO&+GHhBjS#9YBW}*mC$pw-)kZHkFeE9(jFk8D3ze4zCL+6Uh6(|9-I^Z;fo3TxTzz}j~(57Qx0z9p4^<{SQ#}Xwb&B0E9N#d!=3QMVXPgU)G?$o{v4KA6r z6OAj_)uU0PA5i{~p!_)&*}|R7C-Jg{_N&%H+)$ZV%>J|=6Dr+zc@cH4rW9C-)L!@( z$GYWinE@8!MTlm~Y@v4-=x?6t5iw`|1ARCnut7ljcg)0Dnv*Jq!&) zpA->BQH0?R47YCn027|0VrSGAe-erM4GtLWoT9f)PSI@Aw?oM18XdXCe5@)s8mfysq}Qk*Y$xOZ*q|Vkezd$bveCrr zu0YH!|8&1&X5<+U8Oo17lLdlydJ3&EI;6LxB4{G>-az)hb`^K zS7jE?R+{WBsIDQl<~Jgee&N0GU~KFYhE@IN&kgW7sizbaXV_qwK8 zmVPQ#tf5^**;B8rPKJ<==O)tEUORtKTr8SH>8(pdTHCH^m6LUS@^|Ci9jeKMXU7cP zeB2mxX>mZgOddQn-}pRqx!zTR4079AaFVfQ*TFFqC;P_G*~DLg3s>;%00N{d;c7tJ zd!;dh24E$DD+lcVSSSI9(ni+NqkAu!Qg#y+WgEng#dYv;+o?mGAmCw^ zvmyOz$N87OF8ruA<}kyRNp=K6L^3N@8gf{%IJ=D-2?6&q1yfnTLyE;zt^Ly;Cr4RC z!rER9=mgVMlP0<0VweS#e_tC$g6?5TaMm$bx z{KkS=LE~Jz3ErInms_5*7U>p?LPk^&_}XERXHKPK4lQi4R3&-Q=%eRjc0Ou5!DLqO z#>EFv89}h=E^A@lm^r+K`Gb7|^^g7XN0&Bv=5zv%V3pVf8|xRAvwOvw4NLPUG<(jo z88LaU$n-fbJmAknGFIgALTY#E4)9K!k~%uGHza&oio@NVEe5V+)rkm7*E73`;Xh-$ zzdoXT8;HCSCLJaGssoZgG&UT0Nx#u3TSZ&6Aba2_Q=J^~I+xLLlJ}Nq1AHTc2W=p$ zVH<%!j4J&jE}g7C`g(d!Yo5#>f)tgkc~GD{KUsktbmMucNSSR`lvGrMUT13;C=vOj zp$?-J4^fC5fx0`2MbO@FV`L@AEF|+)<19sT#K%Zsh7uJToAKSLDo{?4q1j@3FjcdO0rlvOL{@TD)ES0971~ zkvOk9UrY`m{!D}RnJ)Y8<7i+@jM0T0&7)yDNsJ@&n<(QIN9K#K=p#6e4Ix}lV?LMx z671A;f>d_c($CE3@Cj7}2W{(@ss7}nw8prjPbA5%ZFKwUppcYk;d}(U>K2Pbn0Es6 zr)LNBAeuDy0R}&YyjM?dnH{(iZ;IT%}WBIXwnM5Wb!N;YR4L9qwSM}{7MXspAXUIIx7 zQcE|GgIgdn$HI%vitq%b)}93QRS`Ek-`WCQOIU`HJLL;D(7=|R8Hf)Fx`kl5IRFWq z*Uyf`$UI@3WryN;M3slK_iP-xIj^d}syk666OjKFbYy%_0DeyZma+MNIsy2-y7L`6 zzANyqz`FwP3cM@uuE76q6!`n0<8Qq5e?rIKZ|{GCj=!1?{-#ft@xMv{X8hk0fEoYC z5`ce?{6EDL|BmX;-%tA2`~OpE;@?-@$@DAw_ZKPr&42%+0r&U%{I{f#k)4VCPo%J3 zUDF0@5XJkTDs^xTL~A0m1yle?-O&<)phC@21OF(XXR=X4-JYDn{Hg2d?J0|?4lSNB zs*xPb>|iX7<6(ss&9p7##6>j&;%-hg;tnsTF(RV;VWCMCe!-oR-QLQSA(c;xQxeYsUActD;l)MC8+ccrk;?=Hb?peLK;m)EXZM??5V7}|Sw`KN* zB^&(Li;^X6o62h2@u+3bZbCNIw%Qu=18uXB(ytXR3lD(y^`S72JH@)shNkIfHf66T zPb+D5IEcpL^*T^OJk|3_ckp}-XRAMAVaatWS6X^sQTP-L9}zL#F-Cion^`vRV?f$1 z2)gsKJTiU0eRf)!K+gW0IU<(0%uzAjCpmmC3=TqlcXJ2R7~qQtpP(ehdQidntFhCf zDXSwxc?Go{x^=WZgw8tmIC(qaIJSc8Ae?UzZWb%hli@=gRS&hLo4c6}62`G-T|2Xp z2EujJ6yWQ6b;Ch!M0*37PtU&aOF=_gxb9@YEs=Xo`wF-B>N;;p|8Zu;r*NV3g*5pE zi+%gUc*bSBNO?h9iXT->eJ*b=WKI$EQgDs3Bc{S3>8hbq-Lw-I0n4ksgjoy>u0sdf zDi0YeOI6iq86)Jfmzdj$OKN&-cmUvpxbt@Ba2k_YnV_$fMMkNdXNo}wMqC*@U%;U0 z6AioTKn($PLY^|smeL^~5>FOuDo-y(g0m3WCwYeq#*4TZHYI9CD`TbCp)&POa0g%$ zx~XBg^ek)#BUORGDH&s9C9`Uz1zn~*^r4PiAs`}Vg>YR!>4gFJ`a3TnpF0IdEy^1RxfB2{vGsy+1e>Smwg@ume1olRorXc8 zUR~-g9<*e%`{FYDA@Yl91gArZaHP!J%me|zM#&Y1;FZm-bt;yinuLt|Ee@iQqm5A@ zT&!v)ra+;JLnmrgsHxhpUFx~Wu%L*uP`&}cecAwBHlv_%!_YYNO8cy4 zE9f*CH5!v744lHcV3xDlC{Q=T;L)W~+7ao|*c|$gn#~yWc0OL`uAXiYdlMAt`1=xE zkP&RzhPkV0Ntt}Wfa4)5rh$O;uN9X5O3Pm>;h5MnYi^h0ocX~uZ#`zht&?-m#}T$P zahp^Jy;ALv3C>_@k*R&FC|9aW4r*@Wc;eckHc{oWWvLr1!V*+})?537!x6m~lb}4> z_6aK?d^(lmmwi)aw~f{M4+_~pp1s<|3~Gyu!%qfnZ9i}Q-tAwhc(p$lPMTajPo(MT7ZcV@2GrYjn+2K1Jj8Zq z0BNAnkRphKJhePl2T}8@GZSpQ0L`9Ajgi*~JUg0kBM7h>$F}s1=;6I$$Z<&1Q|!@e ziK>$BvYdBV#Z``<-3i$oFJH)qH){|z&d@&)CpU0-JdQPZ_<~(;wU6N7nV=lBj2bK>gBHa4{c}fcEv-0FJ;{ndDs$Jj*QJ;sJsa0W?9vLjgvE@VDv3#i_ju=Ijav>JfIp|S7;=Gas3zICpxS*y!z z*TW27uVRVB337k*CxZA6tQ_|2k6j-wRz!_4z`2(<^I-EmIR&li3!1~-_!k_QVmBaw z#Nt>4P^!+Ctg3d0m{XqNvX$%PNx*BMK&k0DrNcfoWnJymwUjSLVw6FLob)J6mRi-N zJr83vE%&xd$?2Yb11HBqzykS6-e>Y5_Cw6FEHT+nr>m+fqsIDu zo)LZ`8?{DXy>5#zt*bA|MfJai{20z_Ft@s@r-%a|Hp9=I^z4kkj%6pfDib4G zn*XSDD^$Rlkset$Cq~bY7oP>q0ugPI7TLZ{bdGm?uy#jR$ltMHZ^%U5dM6e%J}s|b z&gG`DSOPz8r_#OHqHF#1pojrS4L-?<4Hl^|j-yj=cw^gt@ujgv-c-vHi@DY91FM7b z7k>i|grBZ5u-jk*#`gl(?b2J-G20>(;rIwsvLgzZJ;^i%l4AYrJVzxnpM1SZw@w{) z*5cB%I2jdrjEYQZYtoPCYgJ8Wk@@cZMstWh$s!#rQR1%PRw0tWxe6xmt7S7`1fswK zMqmMy?$(f>czt2|7w1s12#Y?0mE%kK#Yd$#i+wfWl?KNKGv9=t5PGwl_F-S2k&Bl( zb7p3}4&0tid7C8?!h18fSnRM7xf>l?%9scHSsB1^Z839AU%j-h*Xhx`A_2TbFrK77 zbnBpK+{s3I=YR~GbX9_t%1jaUeSz*oyqc5iAQN5yX@&Wf9avd%Vj&HL+$VH7hb9^V z+WW3X*5w;vPrBH};!BVz#(3+oz6oY)PMRa1!{V!j8`Ur~LnE$RyZ-TnMC&I~#x;2D zS7BC#VnlT!_EayPqM(W@BOA?MM-H4?49XU0ZUWpVcY-MCU^N8@YB?$ZbTv_`?AgZ> z)s3H@TLsBQYOFKtRuEiSY7WH3#A+I4+i>!-p6(huam z(o7@YIb`Fy%D{=6vw701cx~S}^ts94xYfK8^6s&@3fy?{IbwT)f7yR{-6!>i4)Lxd zdog)Bn%)n?p0nX~z>M>}xHEkAg}gS3I0>Pn$wZDn`AkE2>Xh(|dhH0o;mNZ#pe_b! zjmjwbWA|yck`CeN5?~OSLr?eyKNEma1i_h!izKxp2gCsfhNAhhqn=YchGoo_`tvip zkG4AYYr*x}9G*)I{N6$0b;qjkhjHB=6tVL1jceFkK5&5^SHKC!G?>)H&GVUQ^}N|S zZcS#l2LwI#Ho%k}pN~Kqo_!45I>vWpknE$Di$ADfX+(fU($5m@*TP=#pSYX;`pWR$ zM|#|%<+J%$_w}Y}v0LKC9WR9R9V>fKlMUUK+P z+Cusp!~E-yvj2@q{a^j+U4eH6-W7OP;9Y@t1^$63(%<{ye*1_WvQ6>vtSJ!`PRD*9kx4lzA9^fM)@5otdQ2#Jc+JBHHpJU=+1*5+# z^LlM@6|)qx*3Tu?7$fmKk?xJ_cr?4RXH%9VmSr?#QY+FHT~gZ{23iNr^P}|bWcxE~ zHyfy9*c|vzU!`zLk}B;=XZ{*2YTHtcOKZD1k0JY8MmNu-Xz!F9Rk9Q)Y1KjSqGz0) zYRW#XR%+tSYvVfyd+zz4Dkp~3%h8>?45lQq#1SLg;2Ow`-d) zSD;AD6mCQruvQapLP|E}^6MKYz)x9zXj&;#U<#npz>Q^mXZ-2LN|qmR z6)s>jXO*8dNz81HY@dIyTTcb7G;p3qNwKXeR0V>h-$6j#xTru~i%m4KfT%N6-y|ru zOtMt5qXl-)Jc;osml; z0g;eig$5$9+Te$9Abl4SxQ9@|XKzOIH}>Zn=4nXVYnII!elFh?No*dhumTeyB7u*r z(siD?L|?Oz7rK*x2HMSR`kPLdiR(>$P@(~$%!U3ER_28q(;qUBIffbI@V_FZq7$sL zT{}x+UKI~cvro3et0dc5V))b3)$r#?5JE1iE-P1-E6H`$^b&b66&_#W!?~OquQSNw z@;o#;xH?95{x4gMYuD-U?xHGd!~(z@PzwsLP*#(GPM?PLYk*Sy zeb4-?QtsI_NE8EYj!E@xLFx{F-% zYa}_!%R5iF|Bt=14yvoo(me#%5ZqltaCdiicXxMpcXtTx?he5rxVyW%lgpR+Zr}9W z?t6Qtda9?U^4~td+3$Xz&8gbw`K@QI3o#w|bQGoi2OKo_&s&36iUP;fUX8&$wmZjX zH@j#y6@tYMpIxk*d%#dQQxKiukJv&Toz@8G9F!`bTpBVjLQaBgzP8qKWTzi~pe^Vn z(%Sbt<9n_6u53m|jincB-;i6JdR;jkSDN%g-)|4L8#32$JskL}$?>gO)rC z-s{FiYh%t0pzL~oS0|)!T;Ylf#2d@QCT7eWBZvWCRE2?VO0f4dd_#e~K$l!*aoLwG zzLX?_kfNhikTM93KP|4|jJp~!l?K2j>clMSXU|k(IRybG1()Z+4jhdJzz@Ui{xU0d zU-}Uf`G}JOt#dJoc{H-xeB;sZLlBW-fj^ODN~;9B=-AmN+8LBDJj&9j&g4M&t`mUX z8RNXW&et==jE*RU^FH?QUPT1=P0SwdmnQ0Sb z=tL3WtO(XtXkwfi$fAg^zRPR&z_n?qhQrjOCY9IcR!3vC%)0~P?N}C3dt;{uLN3|3 zA`_uT_jFn3_^=QX3i7!3-xS}3$+VPzIQi_c;+t-%lNxf<5_8JgF!0!QOeIK_;%V!< z>Ig)T>q2@4fiu385AHsFJzFO713@fG!ZCAjY(SsjgUk9E$!k=r;TGA+!4KL?ueE!x zOy|!Wr`bR-R6piX;Z6xmg1fRCo4~qG1F?E7NfEpfiaW0kDra5{PI*Mi6(X2s?7leP zKAD;43y;$1eQsj5Q8gWdg!V&@l*a4+eq%0wH+eI9ZOn>pbA#WiiT=U!4cUmCZ2&83 z6CS^LTFjMPgA6?6e)1^HU8%s#aAeiIu%Q0!_+%?IPEw>P%0cFeD^F|_ZJE;31cGm@ zyRq^+J3V6T^IaQ!P!5(FmxC+b19Q?3ys!<0I+Tlw^9j9+gPsB*sm0kl$cSWnXHPZx z+IUm6gZ=bqwXQ8{Q3_KVqooPkzSpswKuK08CRCR(m&9j?x6RBPN5sz>I$NHMqW}%n zW-ck42F!?42ai6B@+7V`^JZ9mi%eE)nVNXuWBi968c;Ma9GYm)tZXKS=yY{(=U-eZ zh@XErNn}3UcUBI0mJPk6&YRMn&g-l7rW3L$9Cpz0twnH3JEeZAmmM50noRG(F znT3^1M|+aUWjNSe^Z9A2K}t?$^{S*60HbS?d40*XilAYaGSP1!O#Cl-vn4W=`>5v)wuCE-*mFu^%@yrb!+~v@B z?o4j;*SAh%A78#6>2Gw)gly|pd>FcD=@hP4tz_(BW9Vc|$9##|Tt4PH!)Chu2z^Vm z^a17t@w685lSFjo$I(QpVwt82n#T$%_Nyu?u2H+W3%QBGZFF{n+y>lY7;_iotSS!C zx-7~&wiR*KCnJOHgQL1tskru4S+`~<6*gH;)wT7_5%-$YQ;i2lxV4v<@Xdqwe&;QP zrOW=wi%kSCs4A~95FIaIFp&l5y&`Px7?Eb+L^$)_>JIWiY_}#bvjKp`fRJsw_vM8< z1btWuR;!m-&X|Az7lZ!tck~Myo_Sa>K?q-Zql`B?LogAvPiB6j zVAf8JnbWy1KPzIy2sA2fk}v*9Z6;q?^c6M)B)kzK={YoFbTat#(=O6W*idKjX@ zv2IkE#5&kbf3gAu1!#|gjrm*Pg6@y1tv{cae}jwP;Nrg{xcHsT_$PVmzrCX03j9{! zw*tQv_^rTi1^)F4{Nve-pH}yukLkZOg!}pQ{!2FF-4p+%!Sk=+;%DB1>TfrMqx-jR zaeo=2M)wnk{Fi`>U&^HZ6_e6_?(|=YE$IKi7Jm-D`;|A*{nE$p?@vdgrDLN1HJqVr zVMWmR>8(PmJE|3sKc>eC7u?!Ni;7aWw?0ALyVczqX*EMtO;Vr5;%7PodPt+3&@2P@ zGqf@r+YUS3ICPNun5IQTX;foa`NP%7;!9&zQf=w7RO#)h<89U3QR)1?QQYD(1_dXF zq~sNiWkd}8=b30GnC!?`AjXDcKdQNMAzeGMqb)fIFN1X2MMtIf()lYi_>;1b6#!U z=0h4{gW=gzvy8ZB`AQ*QUxOMlO!wmg`_f$4>XW^OxT>fG!*}T|+ea`2ljVLW&UQ6XwB?3RJ5| za!P(_6tHTK4Y|7^qL81KwUtGPjjwCi@pJha31=Gshp&~Ml5%wn*B+TC(z5p=7-y+7 z$e@Z;-(=WOs0r#>zwgyM;`1y+%3`M!?oHOm_YV;1M9_(F=U|6 z^$O}ix1`S6zbso4t)IKKX&h2oP?XgGgsz}ZBO&6me2utlv+^z}nn|o5VmE*osq>2{ z0MrERJ(5n%96VBT;dVszE{v4^;t{#q63Sxv(1pasLq2XC1#vE&O5{dM91Wk4ci$Tf zH^bh+Dq?VE=*M^ofnu06X&kr4csjV zG#JLM-BXMBsJcA0xwI_b*o#y6MPfn{^J+Gly68##sdL@<1F1W}A*=Cb4Uj&!{a}7v z)+`YLg%%;**G@9=i=APKNo=R5HP#XU58;S|))p(tr%A!E4jE1+HgOWGX((B^liqo*a09O%fWDIGvC<;VU0)g`c(G?mR*!ihc?^odgkvB8!1*?+6H3C@Sl2+2ZA|%$kO@ zsC%LV-(4#@ftMq-WIs2LuxQgLzQ4aXFNH0VzT`k0Rdg&VB?Hq=Gq^knUwf#TM?_gX zM{=x~Xf+8sDRV3r1_dItMqd1sQks_N%T7O`f@D@r8{9>hXQ$X$+6djtK*i)K(!r=P z-<)0Lf(7zR9d}NZ41HyV=CR{j6hvX)6E675C6%KpHk!+h+ljAo2ViSnTtPFTc?9mK zRuV|`Wg)_u&x}HT9MV?}+qLkkz|T2k;{qy<$a<5k?Ds)f3w1(fZ_lQVkGEb6#U@Pf z9xE(*g6*{JN^c8f=+61Bl|`TV?nG_ELaUqXj8|a8)A+Vqm?UYj(VZ3_Ucw0CEW2_z z=np~Prm}~aFPM-NVI!W`ny^uoG}J&o@gwzu_kOM`L3%84*{!TSctf7a*U<5Hl8WhR5lc`ur8 zIp;Y~b2?W|2THqcOt&&CR+Rg)>fc=FVT=v-TvFz;k77+m2ifmoV2_%9>#1KI1aTEF%v=|hf zNZQ@OlZuchc*n@8^LT?#SiYH|GwH!~fe6pSuR3|2aK$bij{W!y12KU;!qd1@)~F^2 zv8gw7+1QFFqq8htK}2<=Z%@xqRHHNM+d!0zTqhJbX`gp!hZ&BZLKW-X0#0}-HY#!y zh~8Y*^c?zcI@G%W&3#61KRO^KMDbVo)Y|L_%Exq5C~3%%Q6vh0&M0fjjW$A#lP~wU zdpTVnwGdg(9V`|o(&-vmPoJO%E2KxK?$0D~Gm`_d$$rq|D1GQ&AD7b{i%*l$`bg<= zauPxD%G5|Hx1@0NoOC!c?kM_1kl@wj|L8?a^L-FXJQ+cJfrfa6lsGujSy+SUC{)Xs zIt5g@D#4F>16P^SE90E?$T8>oPSceVb}FMrk+_q2_NAaF)4QMR<{JtXecOQ>P=2ys z*$Uqfk8J-iH2-G@1KdgokySk7CwExQt*-W79~^7#0cL71Um&ONJeb?uShmvlb`J8u z22KVaOu1UVPN9$6N3fsb-wUe2*6r5IN>T~#2P$E}JIe{AnC=jhNmcszSt?I(C!5Tlo7MX(0ys$=;Jx^y(iDj2?As-cE$Xc*#X@R7x>9*sS=POn0h)euvgK0xr zZK!yBUWK2&fXi!A-EyjZLNhX(cengxP`fiCdx989wYT9=>-`X~kOuQn%c^e+PfD4K zb2X`0jWbuS)>S!dR#lw~$syBItN*PI5vQg&=(b_^`THzur-FiewCk7CY z*>mtkaSK~byQeN|#$B@4tZ7p?c=GYMb>_7hd5h3Bhy40l9bVP}caenmDAjesxx`4A z=`d4D^ssi`xb)Sl{tPfk-*tzX3!heH!|E%c*ZEi^Wpiiq>*=P68fDW64Dsf3OeM7i zqGWE`k}Xd$gxP{od9kRw5i*v_K+v3#11Qc2ee!@)FrP!0{Br5F!x^`Q4Ps5tkfRjF zYZ7~B_gwFuxJ*OG@Za*p>Hnzm`R$3bu&_{3(^CER z#Q!^b;=hNa{Px6uEAU%^-wOOz;I{(575E>cz<)B9g};`DzM=Ksa@ zwX`>|w6}ZrVd;O?=l>l~{AZIR+5a;nh5l!EqyGv|{O6hfyt;q7C;kr=^Z#{2Cvz)X zIcps~gZIfJe0Geue?}vy1f1=K**)BBdp3C~(X@lBzVhNy@b6 z^v06qLE>F!P|mlsUJYC9F5K+m(%7^)JDN&Vtw?M^0i2xmiLMHa$+hTvV%;j!yi*SQ z3$*Cy?Nh1`2EfB2K-Fjt!11o0PZCp94$Nq4V73F9MD4@iK<~$M)x9@cPM_i7;9#5# ze|pA!^1Lt>l4?zHPVo{E$Tbk6+Qorwci&U6fjC)L=eDoU(`q-8)c#{AFB|Q#{eJ2< zw8>jAz;KKGXtc5TK-~}}89(CaQ!+SK*jK3(F=$$u85D(hbnY==Df$S%(QD^o#xO#> zAzcK|1nxXsO6h{w4w*pIyWdR(n*@NvoSfXyfTPMo6gxm;x40(tZI7B>VdRk%*AJ?E z8~Wb&!NqR;_&%;!W{QmDb8uZ#X_dhOwRzrE@i#fW{84Oa-#g%4)C;n1C0uyCc3S4L zY}r9~OtQHIBv#?7X=t!os95E=O;P9v1{p&oVPY#ZNozH*ZGXu!)y5A6=tehp;9c6G z(19XE#S}5pw65dgcv$7ydNJsxvDiL#;pQ72gd7UP?wrjNKJng@2e7>+*2|WOYe-$_ zALt>z&|yZ(PwDfUz@w?6_jwm8&+fqaA*>Rx`@e!nPrzhS-iqkF_UxSVNeTJKk-_4y zF5x#ZJWs|%e>PoGg5xIcCY*HYo1Ri-gb@$vN;W*CUrrRqKy%cu6z>3l3yM~%#-^Zl zLAR_GCo%niUxWmJ0TkQ{xdy}2cW2Lx&YFCKYY1T?h6jF$|H*!+iUhFr!?JHZ$ki4B z8hbQ@9y#c}xwV)gaYTg3Ywi>ns8kkhQ!L~z}pBk@qq1arW1 zRA}`*`4IVpuZcU>3i3Dwv}$r?qJjbzf(KieE#R=uA_{1UbVTO4_#Q*CldG9qVFd>D#Hl! zq^r{6Mo?6x>jfRr35LCHI4Z(o8rlR}TU?Ya5=%*rRy$PMD$Bpfu>|`LL*I_LLNbz` zP9<8pL?)i=8%st!7*uOgoJ~B)(MQCf0Y_504z#|rwv$@$UxAY^75H;02U;)-;e zo66XeHBMQ)+0nK--&!dGrsY(b7Wtm}FUAlv6(U>Yv9IN@QO!lcjhH?Z%TS0~6UZe{ za^ouS`{k(-iU>nF69dYSQh=0;L+ElELA6>z%`f{VjI~otO(6TI$l#3%CEwbKep%6s z>9n_-i-kwopPo)ClzwtlNi3a$MO3CDSX>Z*hG}OX@qU`c0}A^F#eMcABTTI8rp#6s zL_}krTjD(2sKj+7TY8oQLkw@i<{-KkG@I8I_J$~~#dE6**mWvLy=f0o@AH_CWG}xT z$Ov`F8G>q&B5nx73<5}(T;@KXVJaW8QqqE z8wnW3Rv5-}#4qU0NTnK8NM5xpxE!ygtAk?*fW z60LN>KQ$47(#Ux5d^q6I&+KS6Ej-vpl*}$*0Vx1WS-BA@z*tvPW2)er>w$*|$02RE8lt()D9oX&5~*-r#Mm|j67Br|Og)-7s2JTpxJEpT6Tm92{C-P+lL7r}1R`I4xv z%REFw=@U@?)C{|L7zARj|Ej(qvEMk_xAId>f?#5p`U0D^JMakj_{*_Nm}*uUn5$HF+NgsVf}I_Sq$)brClfi* z2$a+vUmX4V%(vet`Neyo;_C??7M z6WV+PbW*CgD6WMGi=e9M1XL3n-JGPUak#?a>>|;Q>M|a~@^(kK2u-~Us$R^I42>V) zWw2(Z)gPl-)a}MDJ!68?Y;Rv-Uy%i|RiIU?`$1@?dI#q=CI-u9c11a^+~nZb+OAC=x0hCC{N>~eumks!r~vc606w-I$CmE6Eo*#re<>U zo7#@^h7)u9`zRI^S%{Ed5$p=qhrOAXI1_;AojlkEYOv+Y-;isB=;Tu)BaZ+_RXq_$un+?m`tH5zl0e0$v&d^iZ`#vVVW>3rtveD>&U^;uu-Sbt4I ztS^m|+Ot&{xJy0qkhtZRV|YU1$)#E%%*oBHeGQ-R8mcPJ0yl1IvGll}Ui~m|0hiyT zUU!51V}34a{`Ce~Un1>HHPz#gPsk4FB1Gnx!9vTTcN7Rmnd2Qp|O9 zjmq&{Uq08|zw)-}$$lhz(vMEv4V}+wu+nHzVoT9H<^S%sc8gxjEL?^nr7s=@*rS&? z35SM0f$<&>WPhAzO~vy9IJ#B9XqN5yO6f;IlK=rJ z<2locvUIoLIhm(2&k#&2=gswXpl7W|gSsl$w`!}Lb^oQ~tg2sL^c+_)>gbT>& zHqlgA11jIe4Xq<74fyPPW#)4qmxlmO;T|lV7f!ViuGT$b8A0vQ8lU8s)Dn*NvC<|^ z{h{P>que_}T0Fn%w4DVjx$)-@KF?hb#BCn705(Hy3SKlt`@Rl>CS`4Ek46Jwn1Fpu z5Mp^q?`L_)6Jl`|N8iR&cMk;Gi<5y}inOy9{@}kc7?^y|e4yb@&4vlf6pxFu$(=RU z4{rPl0ZTMt?%3Ofw{}DGlz0YDaAP68jjn9uM9;jU{mr;cRX$M$mN?A@7W9y_zx&|^ z8cco|3$|5xTTnuuI_5ljz1%`2Vq(sQB<4PvGkm1n;?3WnL17-7j90$l2U!=uvU~CF z-^3KZ)QtQt-T#d#{ySod-=+KiV~m-9(nkK%r}@{HYyPJ%{h##4-wOOz;I{(575J^d zzg~fV98>%>w*NG-f5j9(EAoG>0jK|?4F7Lnik}Yr-@_C?ZTSC#DHwh>DEhC!6hF`W zUxg|Dfw>CwztEn4#asni>UT)N@Uz9!zk?LNWE%cokb>dYjzf7W7VmQvKD~`;RpXpP zUeujaal>&ZruPc?7a?!wR6X-#o1~SC6UE2VziPdDc^Dd=I}_%|EpvOH85%hm?WHWH zEN%}S-g&-my1G<$JRRv@K985ijT}cEkKVpMIbPq+Q;m%qlB{c@-CkPW>C&*NkhjsA zUg{{ez$f(MboS0H7Rg>^j4b`pbVw1$C}WPYsLz&hAg$5#a{0vK`pU4r&5F+`?bOvJ z0%d)rXqTide$SN*XvA@?PtdUZ!K1YU!M1$|&FM&^)y24_gxL3Dod-i>RLRsfmAxlgSUA<1Rt1Yrsbm8YDxJuQ})>C6ZdtPGa zM?p|!k&dSO-1#C7B=c6Z=G>~C>fgPw5XGI7%UF*^NlUJaMqK!uKs%14zwbUrSfFOcjvjs%UDj<&NlGuMup3gF$3d@rg`eS~i<3%^6u zV=#eUY?- zo|bg0X|V94lk|FB(6R&g-Focno(YQ?FrxB;3nerzYYbo`524H4(8Q9le_jNABUPyoCU}wMy5x zZ&F4iJ1}uEak+`ovuK76n3c@2d2%+2(nQi&x@;4ygZ;kVeo3FT%X=-R^r`StFm()Q zbH^@~s+_*^r1d(T0O8vaStXNi84fdNOn~GQX8I-)kgP-zE#SN72Fwr{XG5pY76>@48xPE&q_EwqDGg? z3KEn*LP@hDJ2=tS9C?t*N<=Rpx; z_;8!Z#EG#O#fhoU1_wuo3783!MP3yRX|ph2#2w|?WZbIN8V+dCr}3N8#@TEXKuAp7C+PC<$#+Bq+=CF4sU0i^D@e^Iu*bi9!6>t; z7{jY*#^s^*5l?D#fTH^X(2aI&rhl^Z{a28_4ndRCIzHAuXL-bAyrVVQ4W1y3cscsWto><9fTLPKp_F zLu3}={j3npo1(mPTcS#Su2xE6L0zP?u=cp$_#p+iQ%dzei!;Dh+z@(!Re z0~qxa^Bd)jrZT=VyM{Rs-mA_FV$b3U!_&onecC>{o2n@!3_KB~gO)8vVA|$?Jv}-} zvl4j*Zy*E#rMZ@Y@#q&0w=Nk-#{<0uTopHs6 z9|n7y6X6dyhBVHnnN8X>Hd&p}V?e$d4t;&>QwUcA)C7{^kdF$PRa;LOE+}S!;IjZqIn%)gRL4ltdr{J&0vO0!@Z0TwfSBsXS$s!cV3$${TVdrwm5mTKSZ@ zm?b?P&84cWQgj~B&ynrt5@_aM-Un>r1HHna7&2m7@eO|<{yc050j4+Cv8C`-oPcdQ zK0_|keMZvByb$fAtROEY<8orm+ISyzN$B)OYfs9{*yx48@kx*EG@I*oaO|cmWBiGZ z-NNX>>(rgmvZp%ZX(G!---x+xdX9K7-h<8T+U~BWFTYxz5CKV0gH6pdiS$}E^2jvPBF9_RO@iL&X1Al=1|puudp6RP z#GA2fvKhO=7-h!2#3F(%&MFV-%#1CIZu3Sb-DLT5H`4~-Kl45;TadpT88G%lYQj}2 z3Yv>iRPR@$pG!Au#@uzTW2pOSC=T`bJ^^Nx>`WZ_%#(>Eg&i}}bFG$DK~ojWC6Tc= z9%rewJbLjG1U_?l3=2Jre-g6rs0DuPDmzUqusWN)N<=X~!{!{3wO^lcue4$^ZI`LU z@gE)G8d?-ioS*tEehj53#cJz|TyE&Gx=+D@(0Ec)3eJm7e7(1)=^W^%!c z^SS%idAlccJKGzC@Avba>zpei<5X83tAqnM3m%Git_y@&<1pw3=}22G!~WghYB?wF zql8>wvn_;@_ z6%}~G_Q2u)Q1F)10YG46Og@GgEg|Os|yq#V#5|6F?LpwXmBz zLIII~?E9eZ7IjHsTxpynTsY7yVQ;Y5y~)>@E8=@5%cT!bkD!3eG5QbSer6L$P@@Uzv>9|u3F#vcbi3C8;Y<9`HQ$l2RE z=-Er_*#29VgPwuvKQut$=Y{>d?<(RZmS$A^26pypw10*_lvPx585wYC->rX32Xk|c zf7|uX-BIyc+3FkEs{L`z)EZQ>272~t^i1@W??aXuX&5LOnQ`fv=_sigaT#fuDQOrr ze&swr#rTI8e?P}RvN#Pj6D9Nesnvt^tkl&bd-$$Zt-6r)BpaK_V;)G z4=?_{&imYHCR$3GKNhE@d=ItIGBf^PJO2fh{PPy4p{9JF20_P6`TjplL&HqTLW@hw zK>IGkU#tELKl<0iY<_+^{=D!1RD#8b%kqAs-s3obJ90xMNQ3)+TmBN)u{N-^H!-k# zPv}VS(bBz-cYpuv{SB`5^OOGP<^FxHMMuX(`%CzyS5+nIoojinYfVPc`LHZIjiW{a zx+!t$k}WxtLiiv8BRVPSejg!J63V> z!`SkrSWs!rtgt@}pvqFFa6p!>^_}7u+x>rn#a+N)^bQ)>X&M)*{SJm|Wx> zceZyyJCml6&xu~1?5~H*43eSR)%yKAd~EH)J-5q8?z?vg>9&gp^? z?hD}sLAta`Q_cYyp+kn>dJ%y=9dXqsA*)j?0x~5UOTzoEeTyYj7IQpCM`q&oiL&0b z-9N9KUwSy2qj5HK*h6<*Ca3S%sWT~_ zLCEc=S65hW0rUFBIFB~*!TB9>^*YuwA@xE^6qTVHga*>Mx;8{WdYdYs#p!yNbu*c= zX=J{Do`sm@rWW|dJ|YHnANoF{kPwuXer?Ampf$}j8K^T@Qe>S;!B$afCiX7DuFP-QcT&@C8!1fok57jjcfEp^J{J3fid2kCN>;8e%THr8?UrjEh&|l|C zyf92zyVKh~yB8UQZ8Me$Nr`_ePKg$QgP~EJeAA@YU>TSI5Jl}TDsIy;hgj;ZBWXjQ zdLOBg=PT(_JdtNo^jDN?e8*kbw0~O9t)2olUB|kCOW|~fma?odAkZk-)=$*1;iz&i?<6NeK8KafmYd)+N z@T=9Z!DVzTMm3)p;yR1d6KQ%=0FiRaP7YFUbxoXTKIHJCCi@TIFHe@Knz_c|P1CV2 z$Ha7f?$fQY>&E5DL3k!oCQYj>bo18203CL@xPbl<1~xv4XlmfFNEz#TB@^@si(S|s zND6aPR-n4mAE@e+&ymR?fC0^8eY;BOs`+He@u+F`UMW+q153_C^B0=8g4LovQPzqj z3zV_o;^~oFeG!+zo?4vogv6U|>Qm<6r$BY`I2*LU7qecQR_crA|BS z{2)U6YWlcOK?!m;abq}8mGcASM;qB@s5lP!q~G^VHoI|{s0c24 z4H3K_e0Qx(goLUUk?s6WFI`Th5eK*M;8UxbBdbapT!5}DNp9;ELt+LbxA{w_9^g!O zk^aa-vGd;eOi49#^i>K{oo>=1ms3N`!|AcBN5UtbZPE=%C7+5j-)5AZzD;Zwq#8;MJO1;!rO9(5pxd=fVsu6u=g`m+FU=KSRLnapaDU2=+s+-93_D5Fr zUHbNJw=_})kvnkp=U4iirlDY~qfJDsZv83d=-M(j$|Kj)oPV^3Tz1_q&*a9HKcqdT ztG}9yFddGrRIT@c!r%}kt7Q9+#5Q5j_Bl`wqM z(LHJQ;y^afa3)%;oRtG}7@w6Rj|nJ2-%MHQ!s(rukE!>Z%E|rGif|gNRqF+f>*;zC zBmOm|$?9DKJ&!dK3ZPt2K4rV`BSN>i!;Uvh*cM4%MHm%n+F!+gO1t4 zHnpXGnu~qlaUZtc+=dI?u>b6XRO@>HPEj2gR}eBNS{tIaD+7|788Y}O_Pm#;e5_5t%i0K{rWsEsjk>!Qa#Nzu zs4VEL`N7R_*lLn-^VlKjgLIX6$c}=O2To8a8qulsG3Lnie52>oB3b$4HauP(DYnK+ z%d_?mzLuuvI@1H&4SKNMk9C%I;%E4n_PlRC-=Jw{WxnzwL1xeK>au|uM4qG9W9dZ5 z(%wznqJa#XgnpF5)@Y%c`IyAznO(6TcS^Q18#cR#MPnjtYd%2)-XaDkE?HfqtXjbs zHiY>Jdk>%xIJ3+unA_aX2^#xO6PS>oqA2Gg!A{1N?FvgP_FQc6={(T^a@>^u5{K04 zD1j=JNI2b^2R^tFZ5WS31zq>%D{Y^_{uI3%2Gt96*}&%@~}U>e#q7-UYT!Dmjf+XkbxPOvxk&LY%ZK|vNEaT~%373LY~>EY9o90Zo5C(pK* z^N@M&H(07;TFr)zu=#fFfN|gv1`g#RcXZ{=kDy}8V9J~x_{vQSMp+<en@?t z*xs`qz&5uR#GvXA?$mAp;iwKc_=(#PQmU2u{Qyj!&p+H}bOk96r1(d@C`}!rQY*Qx zIWu2rh(Sl-t8yN1o*z`S`#6+I-S<3R{JP-h02PPtKP@)r;RxH?8oH6^f2|}Mp4%{! zRz08=CpEQxKG@1)d8K(VuNqIPmuDFd&5C}*^F;29f8i*0Cd85X;YxHWQz_dF?IbcS9{x&3i5$A2DH-6eaD(!yuGl#k@FkVxFzOS%uPwP*dZp^H4EN9Z+=-cJew^ZMDJ)9R^8C1d4;h^IFZ;k5an^oAY!% zPR5WBX$zZUk3)~yfNUev`0}2zxsT$}9rB3#>u;};s>>7m6*{V|RBuBUTey%IVbb?wma=)Sw=Zr@3Ju^mNocY5hArL|XXy<~dHJoF{kHqrOtOL6p*} z6P&>FfN#glMeTwxHZuwZ$Ja(B`lIKiNTW4P(eq6wH=E(~cM=$OnZmHV}%T;oNgh{-{Wr#q%(B(xG#>u}>&WJWzy z<}(~>mQHDDq~#T7UU6?OSC$U7aVTF8L`vsaWzBZYc8A&raX3+-!g`Vg7E=eH73A23 zS81>@1dxQ*qwjNpzjp&mt?S++JVY_T64M7l-fpu2`>vgZ| zLB`muN>?NdY|01u;a%eRwHetYVpAq}v`i@#60$$_*od8@zCNtpqSI7kS1^HXAD~rq zvSF{j9&0ptwKxB8pI`Cn5WCMhe|f!PbK7z6UN`Apx8;1vylrs2FK?t#9QAz6(5Wuh zYiakM-w2h8=6B;cLl^${P8%Hm9TDp9MA!cq)A*mXk^l5* z{`FP-|LIHrC%y5v0>2gbt-x;uek<^=SKuE<69h&_Ep|786 z{HKuFdyhI=T$caMwWy?Rt^RJ8y8kae`{P7^m!G{;z4x2*ZyCKmc-s4Pm-p8{q4r3#bRf&*f3mfUAAh!OYs_6L+lft3CqTv zF=2|RnU3B0^Lwls%W})1g%lNX=pqqhmqEMEXwKK|Q%k#Q_0ySiFE7`(-PflZtC5jW z$L8e~^B)&MTpS*@wogfP<&@`=Z}y(HuAXP{iu))e#UdG|?_@;7SiF$eIwGQ6VSk>a zyoKFlY0lN5gSB|lRxrbh)kT4$RkhL)YCZYLu~s!_vxKbPHAt`|vC&1lz9_9?xOQ%; zV|8Ws%#eugZ07jL@e{g6Iaf(v)V>$TWCcw>Vfw*KvH1e5TBE`7xfT_2{hh{?x3gnM z5!PJij#L@BSIaQ_$L38@uk7mO{S}w$Ephu(7*!+f`BWQHQyfDc1= z+@JvLx3$*@6R=>=50f$s{RQ#z+q|MOs})j|l8_eHPV@B=w6*6c6a`g8*Ll>0^$~U{ zIC*}D5W4Qf!POR=--|~(u@{bw>rC8lZ^SX$0dTbrX(e8niZz@hHYQG9KKaFM4>Zh9 zZ|{%y91e^ZN?9r5RLn1DuzEc9DyJa|ob4l&GamKkSA>W*M$#JM`-}N_MKG#A_jnai z^pdkqaDK_c#VVoX@a&(TCUMG8UG>3_n9IIq&T)7{J_PHT@Li-1XY!OPo@HJ5`-#HH)GW%Xb8`SOsbhDc#;MK7mI#fU; z3=4=H3*Y-1Qptf&;@=BFQ+soB9m%G;p1IkVll499HP-X%Kysfc74;fzY_~PelzSrg zc+z%Y<``1>&BY0`F{VWaLHbE(CTdEa}fmC^Ek7k%DdWkIjZm=K{2chl1)$K1!C+=~o zz;vkiAkeb`PS}DWumL#byD^+L@JbI`&fkZCF@;Gp4&z3mfKLbzl<}|cc8qFSoVnb_ zAd(Cd`-|I9AvO}y(J&Ijjw-I_I9Q2#!cBW3Y~?s*cui5%@zuJ5I{=$u7c628&&l!h(UT(3x?b;J~Eg z4JIfsFj}vjKPbQAIOq9Xt};w<6sHs?zYoa5J{yRA=>`2P3Dw`%ooQ3wrH5^pn z3cvA@pkX;O^a~X%0_(b8p$!!_SQL{cvs+O*km^f$|{t;%2*Hm6+eoEvW5#hv-g? zso{VJ{d2#ft91xM)Pf70Gr!Hl7J`<7C=Zqel#6U_!NjxjTZJF>bfe*sfdsmI2gW0b zA!0Lb0;jN?oHYy_0#%!KqT4;^xaz*tR`lZf?&k8EuGl$=O|i5P_@gQXIez_7lvIra zvT<5K-}>?B9sD=F>p83Z=IneQk59}=PJ^uWO_=0^D_J}^cNH1G_yla!eok$9G9i={Jk!W@OJmY72u3UaplOQXYgiqez28WW zi3lTm9DbUi!v%n(y;XdE`FcAAo zwfiODXNzu0VmPF4Axv5`%M2P;wNxhggxMK7AEFNQk<|>tZcA97ZD035Vd09IM_9cG z`b<+pj~RwEg+{;n3n0&_!WGUiq9I$4p=f+S>LeE)xkrtpnRh@%Lqg5MBQDT8##tV% z;L^qe;C++4k}fX?7bTts8S=MongEU4M2Qi1vH*)g&uvH^k4iT0HqUz^@dts?*!2Lt z**w&tRMX#yiw(bXyL-ZMG7~i2N--6E*gqje9oqB3n9a_AybhXLsOa#9PUUHv zsph3kxHNVKPS3ugD4IkYbANnUw;f#CkLBA2d#N?|@QMQ0EJ46-U`}nHs3+mQeF50x z9JPbggWRiNJ}gWd8MSmt7!gYWUeDlo+z+!#aArdXy0whs;*+VI!+iSeRaxU;rox50 zl5VfeamkKj)dY_>XX(;R$pR_MHERz~wWG~)cPEMBHqQu-ME+eJ>{fmqDX6zcfbVjFE%<(5hp$JmC)0TzrX<#-snsfsn*Sp)?hCuh!DFNsHx#^O z{Q`3d>ZE?h@y(G|ilX1a=d>fQg^tyF-L{#Y#t)4a1db!UoTMY~e9k*&zY7$sub!~E zYobO{;v#FFqwg{nP>l*njFp zX^G=VNJM7pW5```pq<1ZFS@8`YUj#TY(i9=(loFO8dDo$CCYCF=YpFoJ@*ZqZp|~=qP|o+v=8FG(~G6TIJf3!}*9p=b0Wp;=ht z2ve>tM%U_iI%*LYYdryQ!Q<;8$_u)q zg47!=kO`jB#Tz=}4g9e4mT3_961*V%)+|;ih9*Z96~{QPV@Gw~Ri_sY>^HBfA8I!m zzq|^p_b{8a8`x+hSP}T5#uNNz2V_Y?aYnT3c+PCq>n1*)FFM9*MfWrb4#9utN52%| zu)NV{L7@AT*mo?;7kp?0i;4YuI(HMFj5IkIVsYHxzm_;Eark2`){E;jb98msRU~93 zogbOdbgbvH?_=D32;J{D;qZKkNUDXtX)p&hY=HHq+;pHEH{tA2Uey5f8g8e2z9_$PV+tpr8$ghTW)gQ`7 z^x)kKgTyJ?ttsiNeesCTTe8(_l{j40_C1`iLR&u4F-g}s? z7WS?3emXRoh^&(?F^kmg_N*`B4Z6I)Nm4y^l(2fdW+ey4M3g2RMGyC^cWBBU?&6xV zc$Wfqh_9+;s&&$TufPwr zp1j6*$V(+!DT;E?ujlr~?sMg5WM;1jT_g-tEObcsSv%%x;HvC53nNd=$qAfR#%u zPD$#)^N-lYz+dw&PF-ySA;OhbUS~`$l%?5kA=7 z=5=UOXbPrZr9X)7$=X11F%@8(7soaMD~SN77^e2ID2G2bXI_#^k{FG(jr$PI4j6dR z?t9h;nST59l`sk$508snYE&UaMEb*|tO<&64T9OZUS%Y`Rs6j3{wp70dbCB>!NM8^ zTBHv>Me2DGyF(ijn_b#e*g*cu-LmlbSPypoj(LjS8YV-9tqM^E*6XBw$_H(DB=gvG zmhdfQwe(wMDV_E-yB)c-SelZ!6$d2vq*Gdnv(&~&<8kvZX1A~7_t>M^y{`ISui9(} zG?LqZEoNqSUy75$WKA81XnyE6zGF>;!%MQ}C&21VKF>;@QV{eyqDkYk-z6jW&!Uo+ z)3vF8&EDTvvK;ui(s9i(h44cHgFNc;=qN865)!@U4Zw|!>p^8SY1)J7U_RK%C!)V= zdDWLr@PbVf?DkN;5rNQSL?LhrI8bUzeR=_VDlJp0?#6D(d!AAUWO>{Oaj!4<&{aaq zJ^gTuf724+?9Iug0=Q$6p2OHeo;e7`b@W6!xezXzkqatCBA!BsMTUU6lZQX@a)>s| zaM?(D$w4!WB5HSW)+s=aS9FF2LCTH{v1!LiiUsO&IU)uY)ZPh8C6>pA+&sLs|2A=r z;x^A8HCuyoMbhZrtg2K0G#n(iVu*FenaFiW^5R@~U7!khu^Pbz<=Z2mWW?ZrMjw+E z;e=mOsC)oQaEEGVcpDYxOtrD&VXR@WG#=rjRh(P$zNa6{+0Ed(mPcp)Z2;_( zwhyjZEvj}bdg0v}sN=z6gCjoKqSChKOpaUfD)t*9Bk-Yu=ku1)a^v)8dH_ctea(Hp ztRVpnkuOuK-Z|`vBS`*?9|{>_=)D=rUjqs_ew&~0`#YyUfO`#D8AcgnYg0!vG8PaM z+rLd}_~SL^pD6dgzYR4oHZ`~Ar9EkBrzJBt;-yt*lVy^%6*e|AmvFZ?R(6+DF?6>y z1R2rt^S$74<#Gkvf{h*Z$y~u!)(%{*ycGIIHU`FA&+ET^%}7D^vxuW5FNNT5JIFL- z7085b?2XCT8JGZuOwS-Y2Z#a4!2~ieVWB5uVPausd~PHQ6M%_{iIlIU23kBZ` zG9G&)6D}nYvER@3yv0jl=ICh4#mMO5;=OX1{XZp6jG!NhLN zY6t?ba~c2vK%gNfKp)5k0vPGD8L+T(ny?$Q8~)L{=lYV?4vzZPhQ@!^H(>^HGBFu* z06>QN>;NE(5eoohz@ZP|02vx_0NL1044HvH>l+zznb_Ea^&Ox4n6|c7=7#!?<~G)h zwnipDcRzn}J!2n6PKL(ze{?pVa}<)vm>byZ+q;oHPt#|jVEnBU$@qIO^11)Y_-6<9 zdB?wa`PG153j9*wmjb^O_@%%v1^)F4`~&g)U-;bo5mEgX&;RbS@<-s$_Lr%j{{j%^ z_z9c-4UZ*`f1`*01cW($0>i%@{SQ)@<0mQn{{;wh{KSYE{}Uk0#`KQ?!avXcd(Y*c z4ha8U$*X_r@p}vYF;|`cXNd6g{d~SX|BeVh8$dm8{}n{|=Z*jUTK=}>4iE(5{BxYf zY~>jdb|Bj^^Bl> zIN=tkNynsftLdLg(y`D+vpwE{SlinR#@Tp005KUw%TVD{*8cxaU&cZ#OxaHd~qzOmhTsP1m!qyBsKEFAPMFk&5x_{D>s9 zHHLPwxFFGhP?;=7*9IG|z~k00qgwTGbGEy(+4~v~t9RxkyuJ|RW0Y%G*{y9VJE$cc zi_*DcFWA2`U{9Pm(sDKc6W7(vb#${b1aDOlAQ@MILhdc96`D?QV~YFTDH7>k-&!eF zP1CE-T*#J>780^ql*}eh&Ezhq)Z9D+zo+h0CH(E2kOPs5kfg+_U@IxfX@)%PX?JIP zCnbrvd!5Cp{-u-N9|#L*1-%91U3*O)94#j+Si$uhLD-*dEH)q+ZkyRs3DLh4I1RRI=>y`tiU& zjk)%5l5Om~e^x9~I&yiJmv^!D1q@iF%*l+`_KKls*wO9$jq|9EWug)a&ERX?%t=D? zb`XAg!F8lEHboh3zIDEJp7nlDuM#QY+r>@A@Qx34*jk>Jr6pwV=e!J=G@WDaAL7S1hmmi^&7{Gzbz84EGsb#j`G)<^%rH^-t~S_T-NWw1oe7m z0aHX7{=``EFbaT|;(`KvFaCy0jKGyN)D$azD7yTpSfxYHQN7#hd3t+!>mZJ$#`uz1 zW{2&kI2sH~EXmykpwd}_s%$Xc&~Dfode4p&^is#3RTe?*yG&1xO-EnOrlC{vTtMJk zBvN7y#M}a}qK|QnRcWU>+m7I}7`VJZy$I!_+OecO6eX8DbqYoW#I7_Oq13d4P2ijf ztlU^BjKClcvK8b~;=X|fIPe3i@?yChPoqZQf)Y$stAH;9!8+AG!z}o?u4#MP1M@RA zn{Xe@jgYPMYb-;5l@G#N62rE+nTS zL)!7wb?*gAaP7cmq&-g6G|Gu0LEzwB1Cq}OG`DGL_zA3H!blKpy;Z-|5TDZCLY zc?o6vK4Xzo5=cKEAz@y|LwS+rrgIe8qHUP9#T~m1PiR!ABkcw{);7hcxw&#?H?vRS zvNoa~8xz4eDaAm0Ofn8Ac!-&&@^w}b?Uc>CBV(sx={H%y?gUVZbXpJ6N*DfE zsmq}OT)49Fd++0|ee2InnUOrpQ~7CLbKB?cfxHVApx6bW*2SS2i)M`+i;_LYefN5L z=!;MQ&AT#n4vXppawzlGz^5gNr~PGju7eCxMNZ!KOnUsf&s-j7GHf7?c{HVKgFsYT z;igf}_d1M^-@BDs?_9a(t7&teK3s@kbVA+iqjqQFciFygR>MR$CrPmeR}fLf3PDDVd6? zWrYV+#ZoXiq>6w@e=U$+$~YZ9X=A}QamqCftB3TpD(A}VYqL-g1vwI$GNRT3l-sr6 z97SI$M(0(W4}K@T+T_w15@ozB!zg}3?X(j~H$RdHUAdA?ezoFaVhked43v$-V7n3w zCqq{oAP4`eIG0P&hRlpGO+!eEl~UdhxG+0?ds4_TlJPU>tGQsESLcRKekkI26Z^wv z$N@NheVi-`ULtEl){OVuzLtS2M@QM~N*!-PxX}dXbF)2LqopzYDNyN7bUk8p)Ys)V zTNkV_TI5+V^w$>>s;O{P3T`BiKS~F+% zOQx3(d1tOFo2N}<-cms(Y=LQu;a}c}BdDk}Q%|NpG-j3HM!uCEV^pj!FDOY**M6rf z#lt^H8fzD8ZDAO7c@c$Ysp!JxCBoe0!q?TF{y9shY=(oyEtlO!-38>MSuB^2W-!f1 zH#{T8RY~rRmY<4u@5kiB-0lq@zW2#dd=|A=grWDL3nwdX#T8k_EJYt#@O>*zx-zT- zww}Avl-1Ob#kGr@j*h9- zaKbeS28rD~7RgT<>TYUjPb92xs{+xhzBl&Q9T}bBpBKO3JU@~OmbGS8>5`yccF@Eg zg1U5O&f0ze_yrI!mw)1WS{OqXwtU=49|pEmk96TS1f}${j5h7mYeSxdpR{Fc&8Ocv zG0Yj;qJZ% z=JgJ8cUL?lglu+2Y3J-_y}Ie@%TWOM^s%Dt!TVwqLwkGk!Kkfo*ao-8djKawJt#Z$ zD_^^UOASZ*U46;`bX3{mqOYEjI8jPSOG`_vsjsR{JzHsx@5k#~!MQU+w!OJCWP>TL zSK^8Gt(4N-&2_C?TG3kiN=$X=0$&C(ye^KcXx^P-1RA??esrZ2OK`Olx*rjUuPI=$ zkGhnMVuF7bod3QeVYLp#2j zk%bXm|J^Ncxb>FH)HT)iLVMoW$VR&Bc@~Gj=71*Bl{fY3(PmmyZ&MO>btlsIK+O>3 zqmYjxylS?lW0}0QU-9z&-g{ruSKNI|NAtJ^t?k^L1$^kWnkYVle!-O`l5<>U945p& zxPO6s*4b6(i4{w&&rp+^(=+heus5JLi56Aza2fJ?tCd}$P4F`LM(U2XY$U6lr>_Gp z%C&Jl%Eu(yCqdkn2^aM{IlR|sXZLHg*1_fQCEks%H>~H?wM=qCBp#XK@m1s1K^A)2 zTz%3oKE1X>N?zW4KwDyH_*>0JWJysst#3|}bUnj;TAy?S(Zu{lhMWQW>tfoA=Xht2 zVtkUH^c?k5c_(z*dv}hYJ+{|dna55J@n;c4DNl6ODM_gPYj{2uZ_ZIE2`kE~HZ{xv z1}KfT^eMOn`P$6Bemo@#nuc@?bgNZ#&Rm! zzHN#MU)O|#0pd7oOfRDQ(j_$VdGku0J>XiVQ-GY-iD0{^%-TZRyYhnI2V0@z*gI6+ zUY?0eGS+QQR~w-Q&%az&?YxNrnD9H0( zd_5;Oi{8Ah%11JQ|IQE4Zg)9=BV=lHdLzlSsXAjuPiWdCI(|G8rv#0X1*Qx+ozk@Si%|vTakHKK zT2V~E>Y8Z;%nf_^!GlThVGPD@v&2a{AyjL=H&3E=k$tr=<~>pGFbE9%tTg^vrdD)} zD1k)mE4$;M+ILK%S9vu6VP`!f1a#|I!X7WC2fX+o$%~Qj9iy0uA}EYq{c`t;382rXuTj?Hia(FSd&2ty^R5?~ak#TFuR5igDQ)4_7Xr9?bhsrNJX) z=)_Cg5wJLQjV|}`E<1kMSjrBLV*Dcl$V4&WsAAp{q$o9IF1`{Znb;@xN^_(Fa>Rj5 zb83Mep@P}up-t^2^@})NdY=|3!%_8*(fGplt(WXna^9@)M;%)C(Pmp#s^o>DFR#~` z&ZjZH-QZktK$$g0c12&k4~Sl0jOGrj$RUKpj9yzryTkTm%dm3~Yq|WKaxXd5%5iPp z$x#`}7g$&{3CYKR3@%+aNobUQr8CHZ?k2IntA07rae4luat`%Y9Nfj1U@dV?9x}6z z62{>U9~g~GQo|qol7VDqfgM;e|0ux@p^0Q4kR>}f=l~O7Hse_RITMbrGX5~GGFs^) z{6>YYgA||a8lYl3$Y<|>CJ>l%dea%m+hXjemA7nH>KzTE~ds`(Hd7Jpu=Vm)B6Kvz{O3-0lFhZALt-CxPJ0WW1;T2m{ zbj8QU%_9$V$n)$9_oN>;9st|eC7bSa>wL)aLP>ZEp5RxJO*l?au9%AE&)JGQ`HZ~k zh9XO#kgN_HA?nJ^PnHn^lN_4$D-V9D)n?hG z?5fe*KrcN6N!t`pgv71)$;Kb~I$*|I5;>?-nh7&y{bGq-il@VFxJ8UD_3UH88k?7W z2WU$3yi&&5d!%wNDbjNPcIi; z+zAHE%n4puj5%?uOlp(9-qY`?SIhYEB*%CgoZvQTGmRt>FL}M1`%=Ox<1Ja0Dd!x=DGL3v^YXytsEBY&iFsQ=ty`Oeqh;R$l{i7|DRQr| zdLdTc>^^Z*)`Uq92^if^uo35@YsJK69{bxsv-7D7$Vp-sI}PdBU9pEnH|sKC4ZNt+ zr5_&P^(cssj5LT>&cHpD;1e7f!)q}oS>bMXl`or|;z_Tqo5i(7kO>bqb1=TN6XQ*o zj0VQ}D)w-;AxuBWz^E}Hx9kh}UOPiI2j^&aA zN3sL^B&5{(wYRt>37&@EHwME#JAj9iKTvOx(yX}#rDxIpb%-k({@b|Rk8sCnCuLsW z3P)#s81Lnt@K7Eohq&3LSuM}S2PLVh4$zR{#ll7iq7sYhM5lN>Gl{^r8$V*eDD1RW z!UAlymRJ?so-K7 ze&pc}E}_HuaDQIsTx5UJM{5MNDiW9!>PYRj%Cn1qGD@%-09tu#tL@C}s{bf%8+3AQ zepIB|6;Daq4uMVq9CK$LYRY(efp`kbfFaZ0a1eXY$T5En>frpvUjJgRWo`afv)8}O-2O?7$G?7b>YsEW z|Lw#4Qs9>YzZCeTz%K=UDe$jX;O}QOevZTc-|Y3z=>0#i*POrD>tFHw{~gc&B~8u# z53$$(x%3~T(BERO|5N$D#$Fq6avF28avB0SnDviNH8$oyOx^xK%qZ)r|{%k=u&>hl?%=lK1{ zjHb^_`tv-)pLqL!bYT8@Z+~y_-^Q!iSUH*h0zBeQs@TYju(;S-dZyU{FdN zBW=&qv{FhmW~g^Fm_FKnt%#;>7pGBST~Y#S1?5_=zI!0@sw*wA-ZsQKN-NHL`4XUd zCBZ1Fa-5f&HoO6h(`0KuNmEOc?Pk@wVqX}p))2qqX1lLgd}^FvVDG_(89$Oo8k!2$RdPrm#prm{2#vn=tL8{gV3<-?#WK z=9n+H&9wUrpfm$%{K!NxxoIyUNMxeXH(<$o2q3o?1|}!>oUCi#dtIK35%T5+dFEl^ ztMNlDUI0hNoM3$9GAU0lsKyOXja_{onLHotm5T0GqiqkNp9Et@aK0 zyU92vCAU&QlEk+mkClXMVKiCnPkSkx;xG2pI{a?%M^ZOo zeX?3=ZTuHma_?!pWLt=7dRHX72!KF{NFJ6YCJ+tawjXmMzF19 z1~a2UA%0T_xCaa$h(iX)R&6&(t9h%kK*lyce9o0BMwvUECy1r2wG^~%za)c~v$kAo zvq3aRPpc1&hUtor>)52Pq_ilxIPfVkN&Upvj%eN}Rd0#42QU_aMHr7(@t&{Cl`qH+ zQ~euQsFC`N5PbxSF3U7bl5^(@imlUI(mKCs(_~jE?$L|wRGwl58u{ufa3sj%gaBO0cUZ_L2aa`A{qDR5@nInPHRHwx}~52i3= zCv5P1{qFiGW6z+nnF&&BC0gUlxOjmLt9c}e23%>OTRm(%y7G%&m?NP80m-;3gD@sj|vQJe&#=*GEbyKd0B<^Tl))!C~CFnemW^7(c&W+8j?#N(Nib zqAcU`+HMr5a2$z)1=Adr+ql~H6p}Y4dvn^Vv!yq>)QVVzO`AJ6<c`2<%R~`&CliQJHdamfexc2x@Uo?~Cs)sz)Yyp1FnWrx1$p zJORC72&3Yui!l2LGjIgtXnnaTM!YX=d$pn96w`4Zr3qAr?fZ!~us&+Z=n_^+$-RI7 zfibz*1G`wEL4LyTwfhV9j(P)`f_o4zuc&NfbujZ3ik!~*_pmQ;BaL841N#DN3X>@;g8etnk;Vk z1d1WYxczVp+!wjBbVXLcN1Qo-Rgy0_(^9Q@^JAL#J!1>_wHss*{oR?KTi;`)iT-(4 z8nmw)r&FCKn8VWkQES@TQfp9rn76SJ(e!~VdA>@ekTlLBInLVYHQ?ND6}6mf2$M$u zkJP{1)H3ebP?|QRh73PFen(qG+TB0x3P^7qwtCeR!KN^6ev~wJ^)5FUFj8*8dP`o`9z0V z^xCbq(Z|hMKth@RLt1=VNnWz+TnC9&W1V*osblm~@%!Pse3V@JYduxf@|V?*OioR7 z!pWxq5acOhu!Pc0+G|8RW$GLq5T$BekVVzyNVF6gSmJ)9+Z*GlmPLp6T6wR=r4FbP z;yNHs=ol7!BK~DSnqIQXZZ4rT965ye?Yv^pI+F+1bt!B(Sb_LqTuwz?9_pO@)^kyI zv6^WGV97!iSd`{yR>3Q($#zirslJMa0c6&IRfno6mpg2&cv3l2oKv|hc3?97LwE26 zOoHr{pgi)v;*FZ^D)=T-3kU%7?`pGz-*Sn2ev}8!_60IvMGxr=Mp=h z`J%N7qKE;a;tKl`B$?8L(3%?TJy4hb3B+F5k#&DIsxn!X4Fn`W@mxp|T~14-9R%^b zREWD*qd;-wsji3?$PM*gPX2NhT71=6#}W|6(%GQJzN~E9Yl501t}6V(0axleUthF; zr<5R8o+WYA9kGrgwHMPOgcVjzi%EhF8MWW@qO!axfP$O7t}}zz-cPa8Afq>-pE`~sDv-}cng_w{aMy_kQK39wvhrM!ehll6p( z@7`&&6$Lt$yjX-vy~3D*uF1m=rTWt`2BtGFGg52*0dJn}K~0l#$ms?EQuQ6W`GZ~b zObljDB#P)JSJt^%$QZ?|WObsFeKSVB&Zxw5SW`85w;F7i%Frn1rxfmzhfOUKP{o-R zybXKJB$D@1I-91{M=z-Dt}3KtICko(jEQCJ;qFxK?)SW} zY?coM&UNPR0*rK^e58Wjmc;gbhG}m?UzOjpJwQLs=5~v|2$4)I#h?OXLW}u)m5a+K?sCTsE~V6zExJoTb%AiV}$0RfFm@(f`EM$KeF%#0}`OLXx|k4Rmk35;H&iGP4J zXL9xz`Y;73V=fqzSRCqXF5*}1vDRasWhE@qKgVUr9F%Q(@!=c9Hw>1VCm;3BTS@W4 zP+ol`BHp<38o~+aNr$i+wbk}prNp=s?N1zx-YVFhcG5veQy<=P!hA9geei5!S$2RK zciv|}W;?KGdN-xt*$}(kunF~XqG%Ha(jrRk(%kKf6^r7h)XUyiEYM+A0^`>>rlLAN zV=bwhE8C6pK{vIW5s5zr`hjt2)f{`G8H8<+gbw3P1n8hui5Nih+PdO2AjsEPT(Qaw zzF(v=UJ%ozH*;8v1w9-+8*BV)Y7T=FEooBq522uh9`;YEWcQ$R#H5Q>v(qcKYquwj zL8b(w8gI@`X$CtFzRsf&hx%l^hiC~qX3>EJN9cZqIFi_No-4XLys$xr4(oWjx$wZ& z_nXn2SI#U?I@ncRqStut9>tYBw9ykScA z69>t0g%BZlv}|OEQA%P&-qYtY!XTi1DO+Q-L(x~x7frgtZ>qS7tL&_`U)*7ikP8bA~ylDv%cSJ0NLkQfigs_J zNLefZ?L;^hWpln$QJ&@F;dLRiywpbCEf7{k>>o&R(H+#)bw{>%x_wW&__UQ<^87T@ zk9#!`X-9ep4w`gxHa4lA9JJK$`M-Jci?DA$lgwa}V`=w!^4?zm(hYvu#brq6S~Rue z)%VfMcbbp-fk`zpmlez78=pgl8!u9(wJClE3}RZ$cVT<{6K3>^rHocsu9wypx~rZ+ z8QFnKdWxie1w27h)a&5FVsTAN4q-m&ZD&j;@|;PDXzjR+zPjyDN@`LvdFt{HS-~7k z+76il0DD~Kgf=5kxRwx)lh*yYgX2YQ^CG?JMZ`x9s7ntOB0KZJ;sOQD#t=Rk)eH+x zv$*n_CeMdu+tMcdwxyCgc-niWgO=R0KCBj=Pp8Ah>_E)s(Y4@byJPSU+e$|| z)=!gMZ_3i~wvP{Gz<{E?6D&uZG(KW6K!kJlYRc5VB2*n( zG=&HRaVRQ7{}nB!hIu7+!fv2Y(kh@4eF#`6UL|*zw4|(ZcmW-T7Php%o=0Rq8_q3h zlr+sK@pS4DZdLzzLLVsrFD6=btq0y_#>ADCg>GG`7N*eHUtcJ8Bln%VkQsU4a6e7K zyhQS;AiuOs^{xL1Ges)p=&}}F9+Q{?mX|{q`a<`XXNigUdzt?5C!$uPn=@(zHFWj1 zZJP3?=vyUjQje|bK+iRdkqIm$s5+%9$wT7(FB)9MF;%(^_tUsi74iWfQGxk@Pc#qy zt;Ie~tFa+@T3TY#OVAA^i#DU(!_*4#D>&FwL;^$6Dp8rt#Upu93L@?+8!_vPx{tme zK^jyi=n|u)Y zUFMAm2_}s1)9Ox0a}KhS#qakV>z*te*+_Fs?!tFpcW?T9DBpW0KD#-&m-ZukJkfJy z(6O%jorpT;qz|l4I9;<=SoNe~^N27tMC`%AbgGZsF-JE@y!HtCv&F3A8*Lm)ThKK> znc0|> zc1TbN!48aQk0iP)+mN7{94H7(iG5q)5zVuyb!U6=pq8@_tC$=>cr}@NZxu?9UG~9$ z`(Yi}8z)}#Y;9ft6r-|VBA=$_|D11wxOZW2+a*CzQ+|LmUI2j)Ktau7DCSP&uBHTY z?T0KJHrF|!>5h;8LL3U-Qlu$r&LwJhLhRj%^xix(^`KKo+z$7iM_NvXlH|y1R7qkA z^Md8IRWwy2$SN^=1bt}I2PSR2+-q1CaVrg{I+^%D$&%-;&y`m5)bgZ4Oh)%(lXOYSH-kNF0`abgB5Nz zi`mXX0i`27`Q(Kfp~3q=y4M?o<$>6qJy$O^(uTikb1TKaRnX@#L+A_8nl^4B9Yj+9F*p^YpaZ^jc_$mv zZSj8B4iuhMi9B^wr#Q;GwT$^OtCOcSQUYRO*efe44NG-3xrN|{sxO7iL+AAjfuP~3 zX= z-xb!9k;@@=`x_t+wm(=cHNWKx!nDyw4dm54&H3>$tfGVqLn0VD-)E(UphW|41HP-B zcZn;enJ&@q(%@?>4f^&vc>oQH%{!_$;IX#44#LR@4KPlS^)}``at_`$e!G@O-F6g$jPwe-evKgtFNwWkwjh4x29dGAg;&x zM)V!%UU-McEC^_bG1Oe?ze>0N#cTFoPK3Xl2>&;xD*xpy{!aokehonWQs9>YzZCeT zz%K=UDe$jX;P0nleqs>6BM*P@#lO)JzvCJI?nL+-xAG^;@$WRuPh8_~I1&C1WBlnv z2>MB6JRcqOlcD)<8W6JoV-U>Glm6cDe>w#7cNq}=l;Kb5{t*o3|CIqDkmWZ6LeRg# zOV2sVfy_U*f5J=uiIDm2e*bX|cxq*@JL-`+Re1E4_xar10g!u4L$M9)zjio6DwL z!e=d5P@Fc^x$u`~>RHtI79Ds32jowAi;tVsp2%cu|4Fr{$*3x6t5!}ThJo2 zy-T3!x=e{AIX9BtKQ5V<>hF3ZP;9b@&#`T`W*Qw_hC4CG;4+~*;$neWoQ%^@AHSdy zKFcHXaBBahhQEcUD~>Ri!rM`)t_r+ZywF`QYth2l0ve=>Jz)SkS)#TZdO{t+cPUTq zuG`)%MIQ4`B@EnfEYx^~f%*RY(fXJbz_t-9rG-?(uxRi2z`vkOa+C{eY3YfWgK z-h4*aP}nn5e`Q8p`auhfd|Sp`0YwXS-=kOA6DKs-b=Je>CJbG41Z)Vv=Rhp0Sx?71 zwKQ1ff=vCq<@}{SkzH)}tMnTirlKCaWi&xnyv|OhPb!wuWNBRPqw!GO?g3H$Y)O&_ z2=s;`&?l5-HIjg6d4>cUL_J_LkeQ(7D%0{LPalO1NUqf|XB!$vLoq{xkz+Y&+l5tO zv49?cv4z1M_&8x!$$nV7TL^bzGx7*-oWwZXH0lZ=1a~f_-HcYKd+Yr)GVk=1|o7huE`NJc)PT98{%Ytu4!yGlbK2~A^u(aDRogqdjG-II4* zH6D%dK@Q_{5j4%S7hzxpC1-dpa&(xB?ZD}|3FZx3zm!AwaEC=Xh8!3(NtLyQJ&&}& z$ud$QG4#bU@=Q0U1_tseo4K*V2*P4d@QidqM3PxPmU8BN1+0}kqijO!25+{>z%UNS z+j)}-jymur;o(sBRzL*((bszeglAT!?lWm+U>BM4!sj|M7kc5+!lnjl8pvl>#%Rkw zS|pC9S{Evr<(?}J`Ue63T!ty*hqZRI)7%+sm4ZZ3)BS`V6P-n??KCoz-Wlwr}J+iPH6CKS0ho)hD|3-^UsAJGknyTihj7)7p^J* zIL`F}{QHr<7~0nr=Wo-z)S9WR+hWdEWeN)1`8#J8rG^_$V7|+FUt^`wQL1D_Tb)wi z<59fiH=DRT6$HW*-|(fa%sgo9xwC+El)ZX=p^V5ErFqOu-%CSRIZdL3)atul9r82u zOOeRzx~Nda<6SLbAkhQIis1U6~JOfW&KQ6WGW}VWPi}T)gkp)Fl!_Hl3E^~JpMfl+DC=aOh z3sCb4VBDxZ{Mx-`4!rhlARB!4NrGX7@z~VTKIk3K-F1=0S7;KCHcCah!SE!{%xWG> zuGyMIHr=+kts)%ULGR6D-IY^<+RCER`=hT=WkP{$Prk>DB|AS{&yeNFSXmLi*i^oj zu(*m(Ln@ltw-|?B=IxV-p}?iMb1Yk-zp41HTk|-6p1Xc*%i5SIhb8GELJ;3$ZnnT| zp0@I!Jb&kbJem>|I1$jtz= zYCw@~+Z%z4Ynb?I&Y`3hNl8@t%@1d--ITHV(Z@1I8x*bzr@k> z`>Zf{HEiP13;8ns$@wlVArYEGqwg4FuTAi`3aM!(>5jH%FcPW5eS7!yC3m zhN)>Q@s9}*<7YFIGS!~9)=4}m;|$toALaj*l> z0@zxhP(GjvFODW11TkeVwCApOxPp>H@YTTNM|p7d2lK@N^J!CEhv+hH(-*_b4C`5g+jS&cY~0wVwlW<$zKPB+n1y?tyd(rsQAIH~dqTgAygtGV&J_i! z=&U!8S9LKSZGQtY9AmFG6x36~XhiUl2|iL$$(P%4Ly2jRY?jut(h3GgTL{TRc0;Cp zV~qjDE!%7LDVNy1m!6FwFgux~dQXe1R9haY6PQX(X1RQr(b4F)p*(JT=_=n@--*Vy zrr5X0Ypx)U3R^ex?i8YrsSa1q!Fz72g8uqzu|<6w?yb)3v`NxC#MLjER3~wFNe{Hj zR*q`w4%OpueVw+;@fKCz95q0cOw*VqVT+51x2Ckq(t2H&_=tC@OT6L`uaU-~ztPi%9&mV-yAm|7kD`>f{ zKGo1H@*JL!Uhfl(44N$NY2StbxfHWY(15cYVej6!3M@W!@#a)vdDUg%RgbCCErjj+j?QwLJ$%ST_T{`UdanY0tZZI;X1&`y4re(uiC<-Pcge4N#l73gx!e7$4-uHUbH!X$f;^CS{m!V$E#R7);hy9D zgK$NA@_lU%*4|@6Cnmv|D7mOv3@49iWw7H5|8GbzttqS!GpX-U+swm1WkvnaDsl8T z4V()p^;)YD-Pfg|sJT(CcPC-e(uB%n5P)v3r)I2Bg`~3alnRj(>XP%<1%Ag{a$`eG>KVrn|5Dz6}+(TVg8C#Ox?QAjk|6kO}Ib;w!-0 z>>x}d{wUk~Z05Xv31M>!agocqJ2ity-}4GZo{ry-vtZmYqfpiNKGl=pHe5bMXguYE zz_ddqZyR~@+_YYFPC7o+LK3d7R7eO!Wq_lFb6Pen&F1=Wh?*tJHvkI@ zISiLDuG(!my$YJzcbwM1GhkVU=R_H{?qnI7N2_BocozX@J3rP&vh{YG*C&_N^33s8 z_$3M-#RoB4sr}ckTqi$Z&T8zpUs7C_*ND%^!IAYfaksxI6Xrw+!>EUCb~t;)&M;%m zC>PX=2#TYjv&LiRn@nYp-`uP>ZPWxG3EV-<%QIwVn1!BH0pJ~k4ki7aEYPxz=r9Gi zgjwLr{61mS_QW0sFTp6|3cy5fpFvdv>m5t5>3Pj!hiQcZ(l4(ego z1<_8&AjjDdri3o}r9M$=Z3=Kuq(#kmS>0Qq^?y(pC1~5`RXf1>Bn&lxicM^P=z000tthuXsW6cPqOn#Icy|q#CxDp-x7~V zmP(9AX;=XzZz*M9>0aa{gm}8$AsH;EW?3I)2F1QX6n)p!49vC0(wQm|D`oGs@&((= zq7}T};@Z>TQ{lGHNA=w#%L6dc{H`!qI+Z}m4ZqJVE|1574|DYzQpTX3AkRwg-5X?)`C#q~i&xbE4rdi; z^F47*>j;YfA*RcU`;y4N(RJ$Lu*z`#po-f-V!hWg4i5f9%^syCoA|8O6wPuka63ip zAOSdv39l2Sl?JEphvQFt8Z%(k`*O(G%k@O=)ujO=+TEu~Ro)}!)!cClpP)Zd8g?%qjBMYDK{MdP zC)*P#0A}yI0sn`+w+@SIS=W6D1PubmLBNcY?dSy9IZ5cL*Lxkl+y9-2=fL zg1g)fnRBhV=ALVvtb5kk`#krCKVURn-QTFUYK*69)cgBN>v_=?{PHy_zEk8KGwTUd zDl+cV85oE_{n5DBb_1?k0Z?9~h?n~vU^Yp{uh9mEWu+fvPR+$m@$dy3>$lrCD_u!c zHUKk7(}P$Bi1k}eP3Lp1{59O12Xh-(H!>#9_%nwb53qV%avxp52|_(k#dGF z=U|{YxB#6G)|ZZ)+%~Yh%Kgl9)yY~9pYj+K;HWDyxleUE-9Ii`v6M`%r0B)ZSwEF; zUK~m=!xp5VO_R;lIp3Ctbv`9Jr(x+vmWO%Cr|y0HVT_J~|4`#CFJ+}P&|ef|MA%oz zj@{S~uMV(A+Q&~Uy@<_6tE`l9luNlw%!06a6IT`Ak?e5gZ5MQXF+Li!{p8cOb+Ji? zXH?Sh$A~P(yq>V(tS)%I-bncn!+;Qi*uX|d5eIEI-pFGuMN49NsI<=>mE6KgZpYO9 zv{vuh7sr8F+u9)}8E+CAIik8Vl4(HM?aMrTuHm9;mmu(M?^7;Bed9UVaI(wh(LLpF z1cWG$xdn+XslODu6LGPh^CI(m^b&^HpxfGAV>B4qtLPBch6nOXJ7ScpkR(>Qjp8nj zVYc)}w)z$=kE5_AK?%Y2fQmsZv9io$N4);pT{y95u1 zkdrs;h|!id0s*guF!irLJ}gT@)}V5Kvyz2ys%32Pg#2QGhLizJUAl)&7V={7(f|)j&|;;2suuZRM)*7V`sXe z&$JIbrMblspu zdPEzD@sm4=!u?Cn6baY%jTYXtNx7|=%gpD;nauz~5^xCVO1Lnl_4&vl8ZS|JAJM1~ zNW>i^2hy*1-8r~}g&}+&OKlHaUubnzLPhrl&9Lwcuc$*<@EPso)O7_3juS&Q(LO-Z z?Wvobdm_i{=l9IOdw(Jb!iNavLN1sFu6{F*8AoV#!?MQX~2x7FD1lB5&Vjy)s|8bRI#85j{fQWQVUO=T zXbo3k$_N%0QP#+`D;$8GL?}w(dKy;k7eQ{)HtH@eExYB(vSF{%v`zs3zlZH&md`iA( zB`vzoou1`D`#pjA^#lq3^+CwE7mMm+N{$+^y!o;!0gG%wh4jI#unaFNEl;k|MRdwSx zO!K_KR`?}sasRc@hBKXLzXJ7uK`qV!G%-hE$hG1apIb47n1viOyI+oPqgMGqNd`Cm zhnNEOc`(yaQTDFX>$ap74?(`g944=NCS62Dsqj^@=Sh+0(%qfx zgV{+fEQ-b*0TuWQixj%N^j_#4ghQHYg&^pqW;Dx1}gDf3{s*+NItK*R0RHLovhglfM7HycR#+*P{P(6oQ8%#g1PTQp; z8wJSOlx^2MU}i-T$Och8^+6{{B7oJHA;Q#Y%GyEdRv;=wa@x5f9~WDEW*#M(!a$z7 zDf#Y+zd6xbps^v35JUZN*rM&}>RW8rt&J!GlL0_|2|9gtE)*s)2gy^+X@OF-FwdJ1 zb6C}^Fned3eC{z}(LvkN175rKH{zkEGz9Uu#_w0xVWQTzu=KPmk_IWPX3j%0} zJQBLuf!B9_A;)p#@ut)Wy>~e;#5+f&}@^_pQ+E;vxur2FfmQe zN860yjACUGeW}vAHMAV7A0y$TK;tW$Zy0D~`{4n? z<^|}n<6G#_G;j6Fw=o;Hn_Gj1Sy}B-x>#TQ{GKoMx=M3?c`bc!sIbw^D$28x zcRBlfL;F2tvYlz=lx(@#Fbt~B9-)cYAln0t!ommx`ceI*=CQYV31w!LsNkK5 z0db>i>T0TY?snnCQz|=|u&MZ(xjjp*J(FBs=#cVrBn96Tf8P)Sit)VI_nywz3N%g% zN-muSIVJ0EE3t3_@S_wq%@(_qR6u07RVJ{Jh7n`hAP>Bc{vzCkLJ~SRGYV8!^ac)+ zXEQWO$Ggx^-g-Pi7wpr zw87%+9oHM?6N%kHPvT5oSNdwL`&p6H)BU#(`P7|*ouMBb9d0TbH49S@9F(k*(_ZAu z>NCPIJQF?|(rOmb;^s-LU#3IZdMl{rD%H2V@~o4IWv3IZNb%mYJI>{3`kT{(yb(%4 z94f%9DEZ5*eQN-Xn(_}|(WHfYBQ+NNx!TQPQLC&g;eqvekS z+peQRz%D<4a~v?U+^~Esi^HYUlrl~=dIfwz)9J}BCUQ{hC+LMUCcTq-p|QYp z)JeZdq*|;Iwr?$-`gvI;qP7u6`NI|U2ai+bl3-#~^$_-01`IEEE;X*TMMi#MtYYkf zL^WP_kMMN>N){jA&a>v!@+6hSkX^P(e5HJ-dUVZbD&m?aZ#F+aI3Kx^dtAK~K_R5` zXFt+T5YB7%{#1HV;*`cW;HK19{>{1Hq1rPmMB^3IPFUELFqLcO@S+95x!mA02Z8Oi zxY?b{u)z+6o3w}=lijqu%7EMWu{UC$*)BMbCdZ0KtY1Z2%(S%?btINU5Z;EfJ9A^- zg85CMO}!%?!PeiyOl-N>jqUVhGiiKgZQH)}l>Tj?Vt3Fj{%~$&LQLd!TbRBuf$(}? zbXF=1Ef)VUzWEyIM0qurAgtt6+4pwZg^<}m~j zz-F?;{g@`W<6f#{8zR^w(p?*ZwpkvY=^&WhyOR)4u2)p{bf7+rNA!*ekt%v zfnN&zQsDnH3jDn7knOv-?6+b1A8dz@qxU}~;R2b`{@^?OHJ<-9p8st;|Hq`dtp8S0 z-9MN9z4!gIq`H49|5r(M*>so;4e9mhX;}4Hb!iv@20$7%AS)9Mi!L)O8v~1hAtNKh zze=hLWH4aV(_=B9(P3iNr(x7%WT9bY)McRoGBN^~84N&_5*zEUq`Lp1yX3$8n*Vv{ z_P;#6|AsezDey~yUkdzE;Fkiw6!OQg~e=(ka%y!Go{X0fP|4)+YvOOka{bv}_ zBa1}$cZ}$fIU=HG0X!yq{eJKpSi}N&1dG1^=aFUke(+lcUKYUjg#o$m|9L#8?+1@T zzaKmX_wM@{JXtZelSY}LM>GeCn*&Opyzjggg&mYIkV0Hg)75;3x|(lUTv0U1Dl zH2%;UKNS4aYRNy3&BXLmv4IQ>w4jDyU;xsx5CIuMzgdYG7#V0;S^qKiUu>81&to&v z|72`NTF~5*0l-QN%6<$4MP*?oVxR}mvi+&z-#f}rSNx}g)6;$@r&yS289@1m83CXw z6VbB){)_70JLgXa|5?@PL3ITMX97h91!thAW%{1xn30zCPc{F;%=>@c-F`Ya6D^R4 z9@Oxlw*KD!Ai|HHk>$TM`Clx~{k_SVe_WZrcXbv}0_UIU>h!D(v`j=m7A8<{qi3V1 zrDrArvi{f5e|V1YAIAWHA{RE>AJU=lTmAP!fPa-2n@)(Hh>iL2cKH`6vKbkfLEZRA z25q|UKp;KS_t(D_|HB=G`SH&ETVMVcKp>EX?H_hCGD6Twpj>zX#X8;qZQ zvPIe@&Ui6pKsCLy#e|CON1pQ~7wn z@Nr{wbR0h>C{;_vL=N9&@oWT_u)d}MUl2RX9_-ymAzY!YI zp)vj0)&q9S^EGd(LoHG# z3~lUf6pT~nHH>$r_Nt9)l0GL4#D0#dDN66ZCBl~wMqXv$4RgRw7G{2ME!CvRmE13( zcsI8N3w9;OQm4}NqWWESMCn{RPqwX}rzsAX5D&}64ANj^M(HMtQs|@<7dsm>Vv_7y zGsl=|QG`0~PM-bhOFeSlPw1CQX6=;^XKK|xNo3G-6zggtfhh^7siW6h&bSlq&q5|t zyO+E(oyz8hl!U)}YrcqAT=X)Fl9$pOWp9ariW*?7wn`)Etg3%yP(n|s!tAgrPbnQ< zX0aS>fOzfMR`um#)*<~T5x2R~^cpDODoimjEC z%5qTZf=LIc?3jJW0J-3Kfk|P$C^AJ;3Ewe5IuHgpm8I8()4#?JUab}lwJ2QvQM4>f zn$})WOpURgT9?rfmwkG1-G}LwF^OvJqPBXOXvf?O)OzM{bWTW#SYjyBdTDEzJG<<* zxz?RhNQ51_2+h69xd{}FIYnoH^&H=eYQPwZxSBA&7>a*F(qvkzf#UQOoW*N#k*=z; zbc~gl8ri;xr)ItI=qsPrQk4TX=v5G!RlL!do}rA`KKV`o$~EF);u60!V6itS_l+fc ziEDtoB?xZc3qvjISZ8imGD!A*F?vP)LoiVO%d<|J-ht=1vDd>wU$dR-BUfaUdYEA> zvpu=m1c^@$3;q1%y_G(R9+|}qc8XI%0V5GA z1+ECfmG;`=;+7|Z5WuQ2(L&neY494O{NQA#&kz{$l$v6dgXRUDMHaF1=6H$jJ){k` zsLfb>!+fnV7o^eFSo-xJDyFtH^EFG^nK%8r*(Eikzg=2a)j$GA#wrMc3aW~w^uDIU|pRaIcGHAjB zggh*i0!*-zZ{OBE*Y~JyY)sp)c=3e8AHw7n!iNIC0&XxHNY1@nFCMM6(fRe%+sZy3 zzq^fs*WAM`&m1a;v~bC?#SrOq<8Aj>>0U$xP3ny)_3R<1PSmj9X<#DpcHX|`n~xqb zH1~S~CYePswKTm}AG`X#_81sjKUv3qwX?hbAfcXb_|34tU;S=>T^Gx?l6Uu7EXc#P zV3DqQfmZZ22|cS0&eQ8flfd~PZGB;q0LiX{yPFHNZccU8F;qP_zQ}`P9HkAZyEd^2HEtUpig6i(NTRBAGT{5j|H+ zjszGUJ0!y{mRRY(>R9g$G?YM%6Gq+$SZAscF_E88nvOLwrt}c74@B{%e*N&v&AF&o^=@y8Wz(mscaIXYI~X+#!tKx zPAo9-zgAbdz6#vP!ov_U`BZ8c)n1d>?lU>7mfg>%F}$W1`?egT6o5*jz{lx1-d+uj<#1s#xjTe@2L?km=GN$ifWnuK! zHPy`{`)T0ai!Wf~C4F#G{kTL9xJ7tL1!f`0Y+8`<#g;_`+;{@+bJYc5o3@Nsq#>;9PMTSvW9|K> zTx*T*;MPlbXM;e_!4U#?7Faarb0c9`xALm|+OZBxuL5F7X&O5`tkv9UoQ4U>k2vG0 z@`)0XHuFr4$Fv?E5g5?iV7zCjUh}q?Li!*c-r``=^JseYEFv<^ev2f1KO7H7LrY5v z6+-aLG94+y@v3n-|uEm0x>8WW1k%^8NXSnESVQ=P$G5nPPWQ(3#J& z0{4q+2;XRLR@^PBP4nJaNY>}Kz-Z6qw9uSkqI`NQ^7hPQV+7LA|0d@Y2sw4HsT}ll z44?T-3WMVg&l#(Wr~bO>+MJk>8l|(wr^G`Wc3H>5x(r*|tUl{YoXT|4XT@pyctfum zS5hX^3^m0~aoD-byC|hdLMN~}+iq5&AjbmixFxM1{Yn&CxWtzFNoaGzLFB#XCBaEI zYuiY03PP84?kA4*O`7^Cq-i^!aZNm2N~hFJlATgBrYFb8jcA)P>~-F#lpO%ENS7oD zE&7@jjNs#ZxMu_W7H{@my6>tZpS#%8Dr*NmwUFv#Nb9gq)#e3piMwZNc$%X>^?IX$i0`rWPe`jr(v1Mos=ejF*mp z4d?w@8j4%MPXG97BeXW@us*RoO36SOW~qN;P49Ed?I4k_ke6@>k!bE~#EFS)85y3R z51as>jeDLT!#?3v6H|31B!mj5F~{fH0pBo|N9HYT9>IU_HizSD4TnKw4ABd#H^zq- zhUbus)A3DQo8V|?=bh@1tuu*Y|FYSFa|Spwe}AXd#n9K|ID;-7KI}#N1*D@6_K{Fj zLWyTerUKq2iGh^bT#MKR!YtaR@7;hbv4{StJsSbu>{NLx<<}=v|#Ar5?m3=Z#F$=bCNo1>kuUv zM)dM7t~Nt=>1jNJfobjS*f>JF; zyhT{Ew|?uNN;YTa8EYlFodiHwV`tSs%5-CAjMkUWOl`$a5z@!^-v{t?wQ@l2$-H9RGy=T5djXZ7 z*_G9xDF98N2_XR}Kn%xCWv?6Y5pU)kvnZ2fm(gMJG#NC1-CyX=KG0eYVhw8YfbQ)K z_>LRI^gUCFfo;|=DK|nta$y=(JTTF!eHm4-twL&E&ugELz_uW> zANG4E7DKQf4nt-Vv*L8)m(84N#p;Te=AXSS*KJ|#AR7Lp`p}29hMisWU{hZC>~r>{ z?J6t6jG0=8AAxBhir#3(nd>GVb7d*yGo5?wRNW7#=AXF@8Aj+9jt=@sk!_34VIaN* zM4+aE3oRMs`@PdxR)n9EcB6aQ9T#DFN}&FP32O>P#>`|He55LT;aR>59c~a>DM1*b z)sTGTATHkdPClBLzwvU{n9Vz z!OY8AHSV$M?x7oPt`b#A@38O^ez3zEQpNZPfRK>y>t1>*QVv7g~#a;kzl0=kq(zxnOgEUP0nwyG~Ct|HLDFGhNCvAm%bZmrtQZLK`7u(;_sba=*g7Lzr8 zQFZEcbC*TM9P-h;3(Cg?5KhpU;~SAw5Z%heIFqY($tZvm?X)n$c438h4~W;NfMkm> zWlQwd)hnMmr{FDU!x-xJiCS9qq;z-QSmkh!Sz7(JGVbL;+klFH0dr~<5#jKRT95+4 z?O=ewWh{wPGEnl`pm$Z!6ps5E;DU&ch+Uow>EN6+#k}4@o!QUjmVu-vfoKtvCv)7m zzBtaH-6pS~Y;DnB_XTrfs5eaN5Osuu0GI8d1cs+hpW-;wqhe~AhxT?4sX(J&&>g~= zQ9D4`Lg+3Zlb(VPi4O5wpa!$NNGD?gmEQ7RjAGhExWl%p5)dh^-oLKb_1NVnS(j_(Jeh9 z`ZUZ#9Rh?Fnj}Z8PqTQ?dFaj0u9Qy=oGyzN>71;r2*ZyHHU_FS2@a#kJf z{hvZ%n}e+(J~dy)hiRN=b^3DR%=(fLfuywD-|0p9Ov-^6n-eQo_BXJ|xTM)8mRr(Z z#bBlM3J4v03cUV1^~6>B;D}ktm?Z1ohFSkH%Q%zFV%IT+oua&zhMi>bx&%>&7~XC( zj-q5bw%g+mujk{Z5Zs3B7UtDEonTyg1ZcY{3fxOK2yLfG(}Ie94aXK^k0y6Y=3&}1EVZg)jpuG ze|c47U^|>dRLQTEdOc7bvveh_w#i6r*sruR`2rYz;8aRol+);m49QIF=#tZAANmS5 zz$o)!)K((*{+n{Z6M0MDYX?}9(+bw5O{@3A$wHQ?m90|iAUzU@r zgdaTp8)>2DbBvC&Q)OCF{i-r#Og(t58EyK~zNE4kVSBa*KWTlle*7tQkp)QV7JBEi z*E>%5BF`&7NJ3@BvRoYd`PdsHy3F)1C?e(vOUp64nXTHkA6s@_*)uZ%EFpsB5|LGA zj?OyXyvuCVzu!gdY1e%%o^@aNseVPP7mFw~Ipfm;O`d>7doyviDf{x#D-vQ)Yzi$& znk@UcA%e3h246oeIsM45`oW@KT>3lTi1`j|%}~^#4_@NDq$2i%kCj&zNyY72ljzs= ziD+^6CuN$Vr9{3dk-BOO99{aVXs5E?h;jW9LNv7N7?u4L>CMo+0fs6$Cvr8MhW;f$s|?H)K~9q)fywBkEvZ_#wtH3w1T>NA zqcG{Y5Y4C2V2=7V*IEL3FFQo$B|VI*1>_uRUYFiUZr(YZ-@7Yz35(URkhjy{k1&2b zr66K^`fQbn_i6HNcgHkJS2}Z}D@mQ5^m!-v6Tc0;7QMNionzhh9lg_H(;)tV$OgYoXhD~69;3>IA5GQuAyO;XENySI)_a~R%}^qO`_F*P z;#aJJE>5}RFxjVZ6}?<|%(H3d%s#GwFTHJ21qf>xHvj=C7z4>ophtTk6oT6%npmZK zifD~}kRD2Eg5lNfjf_kw2hAk+o42v!ArdxaTTzfVt*)?;9CIU!(%&k5To+k(?|L2- z9a%6+KcbZI-!pXXS<v6_c+{}++|nub|JmZmzzhV|!oy2rAcSX9>CRv|aBn=@Icuhdt}C@6-jDXFtw zQQz0?uXqb3Dwj0rRc0;_>*Q+F-|X{$BW=!B2cRgSlC4L_r3wV6yyKr}4TXCf8N)3= zD}Z5=2K{wE(ZVfAotFULVXdD0jM)Xk~hf@&k zWoZo2d&&b}_{wG!8;P)%6nGz|@O6m#w|$r0$sN&C&gjYb@8sN3Kog zAWx%oKA>f?gpBrgQbw)oHq(3B3rF73ze+Bj<1^#xl2It$ccNga_~~NBPkCF8?J`$>vC{?!{gQwKZ~Fz)ZN`N!QV?u>BScUu)a9NQtN zdxy35G2D+YcnO*d*Qo;Uc3eMlwakNfpir!S z&3023hH$qX+ACx3jp{nF0yA{T{VnhoE;h2W#Pz|TEj{gq&X915r6J7C^n`1&A0H6WDpOBuW=XdWXjwMf#1aIr`w*`m4+fsE6j7%)KD84ncP!O5ub5STUNdhFT z`3;OsL|knRbF zAcKBDFYN4X4RkF2X7K!B68g;$^!rHsyLaf(kogDa5DV}xjA*~c^DdV5I?gnfcBJ2@ z1V82kARnlnt%>WMZHJ80rEU^#MSjJ_GPykzG0fJ%GL*y&;V*v#ueC45J6qurlj2(&#fW8PEgu z0lInyEWgOE|IN9=PksD<{ucUEr}=-d&@TmkDey~yUkdzE;FkhFPj>x)0RCb;|HxJS zeLVjI-uSH?zt!&>M6m!Lv8%r(yI6paDAwP|E*9YLL>35I`T=VJexthtJCS5u{>bW141cTTf2C*sfnZ?)aYxKQMX)fku+p+H5-|e-w2VZIAa;d`h?yS5@BAY#^{B~D zhX%14KLgJ&vVh`%$S_7)1`sF43j9vbFw!&AGW{bo^wuJLCp^0 zY(U`FpX>ZD>9juuW&$BNKiAYCok4UK^N(HzV$?v;6sYz8v4cNeO#edeAE4$VjmSdu z)1evZzxS{2INo;-kqI&WXqtZT(%KD4AUaDhFEqJD2BCt740 z+@@{lTJKgb?G#D-(8y70iP`UaeS6Zs8;P{P?iAWEX=tNt!J_4Ul$fZ5nW)t=x?}nF zC~-Hmf7dLxs=m3&Si%2oIDK0}wQ2EKU0?C;O{my{#z22D{iQjjw3Nn!`w{=qaX7Op z#?ILD`jkPBS@fNobKjIfewBAh>^KW?H2}r>J2C>kWuvY4Mh}j6G_cmmULRojAP4~^ zu*KyXCb=Wt{uaXCW&S=a)9;NC?Nm-A_YWt~Hxf@zc8<;brKrEvOWizM^ra%ym3ObR zOx)hrNqkvZ6E>%cY<(9Ks^e1H71%fvl6j+Bsd#)y!0XOK1O*l$*ah2P-JGlo-XEHKLM&xOv14xny3 zWmDlY>~~+nEFuMT7mu|sk1a%UJ4VJ4+kX&2T2#tEN-94$0FWTOM0Qz)PgaQLMdo8_ zxJNozJ6?CZa{g+GxO03KZ&NO-(2xl*&Zjl4fa+I>kWYoVq7uoQ2(Z81AuTwfJL&0p4k<2emv2sg&@!iD*rk#9z zvu%i2-Xwdig=y*pv%$Ka<#0HS#p-Ct440ZD{-K(#2BhTEv-mdca2kJdgl^p3H3aAn z`}U^L43;saq06c4OVbkutNMLg7N){MWoCMQ5hi8}xUY(VLWF@?*kL2uV+xfM3GxaC z`yQ(36GsT#=*5+i2c&rnLIloQcA0O?$1b<{rq`a7h99J0g-=EhCN7G$<1jPA(%{12 z35&)C=LhTCn-otRPP~!y#tPdaASQOF3x{*_&FkcTl-$T84rGoDgn~ug)PR zmoysixE~w>EHzsTnrPw_`IJ|@Oqh#2d%Cmu)Kt-a&-;K6uX71%+}~`1_JhkKW=`EG zV!~xIjD_NcPx`XH;W|@_%7Y&eP(J8kT^Xb&{`{#66NUZg3(=hYJd6BkvHlVyeP<$; zT~(|Dw04@h0eoDvn{Kg804I0nVKKZiJbW#yJ6HR-P26&|7;4(Ps}F!8k2h6g>hBCi z49ig^%SdY8kUNwa6K)vjg)#72|M)kj1V6dXQ?kBsFtM{I(%bRqejtnX6aK7W?cMEPkZ=;^xXxbtY4 z>fzqj43H)cp8PhDg!Sw?Rcmr!yt?dQargqsA~b>4a>CTk*a_FUdE(|Se(pei*tS}e z4skyHy7F>kuw<_7$ofw|DBSLxh<$Co0oS|~vBKulb5$7k{X_+0Q;VGD`lJnK zsgOrYBYPWWNf+he?uPDx9fD?QkVgtL-`B1Z`&Bx#o8cW8M|9;=0{begAew+NB(L;M z_|Ex6x?rNNSECh&@wh;;GNrg%$8w;oWIF_Ou?~-Z>gSKQtrphkH!odP>e#}^Bo2q#xPtLx+gFUs}^wWr3F-)T_L&RM3zqgoj;f_FMH zQ-C$TRj0d$bv=D9`>p&A76!RL@N>eGR_Vd~{ANDPZj;vnYKmJvQ=-#k?Ca6F7L?;k zR@v|FSVZ5fUzMnUXSAcJQ@&+Y;)CHf4IyRfAYWw~H-vp*`VvWb-pZ+PO6%w(Xx1z6 zdRCo9EqU{$_p)@}>WAKJ8FOFJW(|C6^hu9~86F?k&&LU1^u2;yRaXo9j!sBzGBVAV zE)LEu>$%hfuti9G%&p<)4t80mtG z&yH6rX<}q+(L1&6lAGNM&II2R;v~?wf`&WR%Z{xZB)4x=n%52n%$4Aob?Pk$<~m5w zw$mH3*2Ciqyj^r}d|T@eFnf(xdV=p;ndf`4?@a{(!;8?ABSX9}I2ghU@Y`?9eeOJn zz}#sHK`h7f?_zDAg)36q;XB%z@bkeC+>ZHyNww$qye|>E*0J=9Ld4MGr z+$_oElGbi5#rS0>^Opu@Z&}%q0v7j^#cG6H?T{M`^0nxc5WN-^A5X8!9{FSD{sR#o z6Zp|RKPa@w=(MEe2t+mB4n6jOcOjSF3XQLkf$eE1y!)j`okk4DnuGA+2XRp1W|l&X zcm4Re&c&sa3fqZx0C0t2Bz%u;4g|pzb%vU9qlklzZTTvCv*E!s{j;+ zZr2~ysjY8$=ZoHafV9tVGi!V#Vf z@mpB?w-7kQAB}30g_u8ngjRHL}e19_C)Q#8^%iL60 z!~U)E0+R!_*l2^vMTw~VcTp6|Fe3e76c9@c3r?9y#eszj%cHh3B%R1qLAelQDeg}b zUDtI3Xo2Hfqzs*PgJl)R5qL+zTuie;5W>tDRIJ-q@QX?r14a{(r^>JoU^$-^ib}Vo zB8K3aX1-`I^0D1J^lo>lTV8iy3%(bPl1LE5OGz(f>r(m7_Cxj(lRxE6svQq{ev^im35v*o3p*3`#`ZN5`kN zB|}b1sUGCg;YUVTAki;^vYCy2e$6Z}w) z%C}9f&+!zTHG4<_X5d}rdZa%j9n`$FOx>2yjn`%*70ZgkzV+{n4wxg0Bbr5qh5*4U7eYHe4;aDnz7OU1oU^2t_A*FTRKe3Hp~=1PxWRKVb+O~nLoPuKUC?k7>7{hZ5_-j>Dy`JY%o!-OCf=#NH{|zPl@@T3Fw2G#3*$cuMVY_h|-LT;xJo z5D(rX*`T#xF`?(#WO;Fu=J}Nb@ylJ~T&?K?QTo2ELxbu1RRJgah0aEc7FRb0`TKI{ zlIgphK*zT|{lL_W9h`!hMNZn@ni#H1tX3G-E7oG*nnJ|>GlcFp>Mec8cO=u882$VW zYIh(2D!#ZOsr`6oy|=8mg_hfr5Xm-Itb7q5kL^+fh4n-t(T!;iz?*SR6z;ASNcqNU z4I=h{6`w~$$u@v+rbl(2g4=pqtcN1OdXb^&Di~W^_47N!Lnz&CmZXLouD)}K9Ow>p zR%~{<6`=+4J)D)?12CYZ%D6YIsh`6RmLFdL;Vjzt*@IjGiM1c+KTZ!P}(jTTnKZ8v*uiNF(>Sq z>+1*`FSS8MO~SfT$bT=sC{VE-y*;Je5N9d)r8Q1b>K2f>5N48r^ld}=E171peN$f& zLw!#iwV{;gbfkDeg@d(Z94Egq-mU>*bBmS^GtE2 z%rD#6%jR=(o!Z7pC9yB!@-hOLEd0VN5at?WYsyocLvvc({3|1pi<$_A2NO08ph<2)^VFHL#NOu-JwSiT(kH>Fim~LhtgcA+Q(>}$ z|BH&M5Q4Og?rUR?J+vCq%h&~#UcjfZ%mOTDe}^g&E%a!?t#?v8OW9$Kx||B-vWu`A z%A1X`TVr(-TAxAN-&}KqjPGfQ#hIq0^D0cjQYC51$h*WXG*$A*!R%0{zEPUBe-lBA zG_O83QD?p#dsaI&xxJP7Wx6D@3=sluM>}uNIR%;|&o9c$zv}Al1=@4%&sC*hXX9{y zj2l?UO9+&d8p(jK1D*86F<682^*Zl@@mZ(dZ1JI^C8xX8f9*Pbi+z3XHwb+<^kSKZ zZSg8)>2}-Gr{!G(8S`#!f)dYxRE^~qid9VC@gsfvE?gpVcqk+e2l;T4SQ{e?;Sxlw z5IY>!lH~{SAS1q%#$^iKga-_5F!Oo!n!j>M(SLuA`llzIzsyhnzc)Y8(*WodfB<#? zD?5Om8USPm0DiX@vGap`cJ>B;G&B9H(tl-s`WNN@%KY?eo0|WS8|T-0^DhN{Dey~y zUkdzE;Fkjb^9uYt%<#DA{o648_Yu|iweUw5#NSuKe>gJ!wsikD^Ar7}jp?75pXeX0 zO@B8(G5qduV))(R1WF?O!{Nm6yTgg$(c$!e!r1f}{ZA8;Kc?pWyYB-Ca%nJtd{95- zIRSZV7(wP9Hsc-dLkxJYUV%LT^=j^^RYojg?}eD3y>C+eD_CiMv(gh@Q=2t zN0EOzI6dpn<=_79vH?Y9U;$;oX8!JMVqp7jcKQdm%VVql=OZ(Lkn``^x9NYleOSL| z0B2wXS-SpI??2!-|8c*||2iQu%VYQdt@i(&ZkN9@xO~r)&BE|#8srB3{2gX`ynuf@ z$$xHeVE|>y`GdiwRCPWAw;RP{Q|UC+8@oMi-|Ygd=82oZ484Bq5q+O;JakBZe9~Tw zSq!zxeUy9P$>co03v`{g9@6^}>75lj0_jwIy^m@MgU;@`R=2 z%)Mo&H}gu2l5_LrrX^hU6+q-1x4yUxJD%W%Ji?6RYJvHUukL zk%v#w7S8I@3-+rqx$#`pU2izn%}i%kPC8a6pgx?HKnln?$JA#|H zS()l=*TM03w4&{ao)*^g@5fv;eZ4|maVqZBOiM~!Q;s;=i_h2cT;a+Uiqh8V`L7{@ zcB~B14iTS{-zJsf?9SD^3757=opfZYOGaPpYmBTn**Dhg&<#}YBU2cbU~-0LbL%ZG9s(0Nur3Wtyo*%xkO?`J6o z<4#$ai}DJ+_(;N4_{F|rhc7Z}ll*4Fg%M!`Z8nX{xI-mwEj|WIn|Qa%4i-t3iEn3f z7cv@dYVc_IfK;M(Au46Labz=&wO=17rwJa;gTt)06R z{e&p1B)c+}%_q8rc^xGNe%OY}-Eoes5AX)0@IH+K(AVZFaa(TN9LWx2&vx)_(lX5D zQ6r!?K9Mqyhn5X`$fu%3Y<9CEIzZO6Swo-gVh_Pl&d2JaNL@9ONcvXiree9PZ(olp69__`Zw{wY^D;@9)0Uc_6;o)`G44Z3!u8zY+V}(?(V^Z zy9IZ5cXxLQ?(PuW-Q8V-6A13^65QoyXYcNl-nY-W`<&`~`_^Bfr~+2O8s9hOtjS#K z8Snebs0EfOE8y3yC*QrXg5)PKrtqdHwA9_{9no{b@FQ|Sn{YK%=>&sP-BN%UZ#!%iF_~O+ zr<06&$0~~gGgU)L+6Y{iWjyNxwVlyF1cU)S>WdHCH$|&Kh2mt`-kn=GG;|l6Ixt(C zvU5X?z02;45Z?q{0mnr`nK;%!D@0eHht%%++M`DNL{OPpa_?EI$i%vmdUAmlt_U}B zz3JDoT48lVU!6VaJbFOP)M&G10!6}@tZ1&EgXQ?O^Kgd_EIcZYwwf4eEo{YT1C61~ ztvR4|%(%(buAn!TW7EuQ`>TV|hnR?@L---U+X0P$#KW9KpiY_=nRm?bYQY0HSUY(O z(*RN^BTba<5Wy-iVkM?Qobpx1sYTs*oJNy-YBZIdePN)0sEo~h?Ite98{dWMZbIb% zU2F##a1qARxS*{Kjm|ELuXPkFxO9OEk;nQ5rRcBn@M*d9=t1gq?0VF}CdNQoT7yzf z46aLZY?zc1n?Rjq&O(!%%yeidvh4jWDls5KfPw+bJ9^DHf@Yk&XI4BrR=Af-pATW9 zE!3qC83ZMc;lH?;HAlmQz)|6v&c;GEB8$CN$K4VR4i0=pJIgr3Gv4L3A-tBvfxB@b z;dFgz`4BeTCei~%*d{Ux#lGH8+JEp7F#z3@;-lU3mBlx0~1QKyZ2K9lWjdg&gXyH-%ZX_xy}fMrP?THT_iHp0RD z`E)`=#PIl(2m*SiYWUq4vT8;iR%F6Y-d8lrVN3ZuAl)=eP1PkF+_ETl;3(+_O!>l0 zsf6fVq2)|Xmbmhed#?HW5es$3)GF_yTol;>)>%#bJ(hS3yu0)QLeyWp#0E1j&pN(v z*XXIMH!S9H-_l=o7{yu9f*ETU2S8UkGiBdxL<)bub^ls2+Pw&32}#mkl+His3zMMS zC8Xvb*wk-}dyp;(doFwpcH6mWTsV$z&wtTmvI+j;=?y)_Q?DW3d;&~=&qS6~c_aP~ zta76~p>-zJ(H_8qeidZ`cU_g%`he7C2|7~jS@v-U)-#H)!K_~CR$Y!+-Le7~HBSR( zYkB9|@5w&6(^Q3iy?TZb4oY0EWgCu|^PCZz3K z8p^}(B9F-&DfMcw}P%LqyczT~mdY@t|L##hJ z6IC$C>~&WiuEkO5FmeP=`!_%!+G^p-y7q26K^Ji6c_k_$@P)E|hw@ptkvET6kz#l! zAA6ZQ+A@VapRNk7<(OBi(mAF~lFdNaeB`2s3qsNG-94uFo{j$V>kFiz5C*Hs?-uOa z5hqVatXVqv=XBchF=1sPJ#6CH`SlPW_^y4VItGeNP2-ivy!s#4(>o zY~@tl=w7Qn&5J8a4u6QTbLtONm&2eAMF(rTOgkzi2K^L8LyVh>L$Q?$rLh|;+UZ>? z*bDOBlyh`-i{!40L7Ecpg^Lc?;x^D7Z~d%K?%FQ7!R@1>Kd-PNO$y7w&^@^FdPY)U z3up7nRitd+$X;Ou}% z-DC4bXl1j?a%S-|ergm=sVdd&Spq0*3cjgT)vM$d6JGW1n5Ux?u=l3h^#u_;mlrd zE`R%Em1*Q^*+tsWX?8hHd_|9JJoW1uE6ZzD%LRTlm<%Y#-f&4IrJzJOlV?xlAyaAI zt@D+Zs9=pl>Y)C-i7#RZ9Mc|hH|!D);8Z)r!NX4wtXjZd$W6L*;3-=eR?Uugpz%QX zurJzceQa!%g!rH$A)?>ON#GG8hw*yMVxx;xO$NNjcCe^0)I@WDo*Cqi;OPmG2sGQK zERHs%Xt}wX5sC1gsr~x?ZqH8J8@r6#r_^Xp*Z8m$AVX}e`^O#qGbvAjapCoqZ^Bjh zj=k=^ck@f`AHb3^XypXe#XpC;$pzr>q*G7@iEU)QbIS|1R+w-w4R`v2NclL8VMmn~ zVYa;y?;$nmY$zy+ASJq+-Elk}BaoV1%trQz9-7|;DM0xtddy^Mu0sSoAA2l_oxGGJ zV5fQN>wB3~vN0f3NiGv5|?%CGgphcZ0^{O*u?w=rLB)# z`&nm)V&6*npCc@a<5NKu*Usn85PJb+axbtmEY=e^s{@X*4CBW16)jnx6YatapxNYi z@Z7#6#nJSLP^uv=T&)`xr3t?Tl_ZNEljQ^!8kmU`z#b1i3_8A!erCME;?L&e+YpU; ze?DKoN3i@FGw=a=z8{3!qDX8~`f0R1X3f7Fz33OD@7_)Q^&DZ zZKT_N`%6WO;`iM~IB^W}vI8Qhj26FGE_}74BE$XaD=_$!5&kNM&!R0VVF`!s@#haD zVwUj|T`uf|jf06=pEOCv9cw(Z(+UU!Kuath(Mj_9{ zagAKDHXFWOvp3WqIyp(Mq?+Q@wXcrB>~_7iahBG)6k+S-Tmg$EdA9}XTU{2kdSv!I zlUd0#LBiZ54rW>~pMRFX!8_zDd9ebtL~@?+qLCes&w<$0du1}-t@dRj4}0~iTg!FG z0gt1fu=UN={Q<;q(H;E7hN+?F8RI9nEa^b-#(nD`ArNn?j1JU(tM18OkC7P=ETGqe zk@YCGVfVblp5z0&Cg*rv94qd4v=)$*QbKCgoX1g!$U|-EbQyF;_bgTg+84qufQJcm z?tjLM-()ktc=0duyFZ&{{1-&`|2{ANWq$XI7ys(OuMYg`z^@Mc>cFoK{Qsr{f1MZq zU`T)PqMvy2kGprdpTN>vyyo9;=YKNGVECP~Dtxjrq><4zHhjzSwYPS((>JvLjZgg! zlm8Zj%);EAIi`$LRl5appJX`{v^D zdu)Zb^2Yya@wcd9Cg!)9 z0;b=hmKlGunRuHpp!-No`;Y!5KTiLDdGSAX{zvgYcK%O_|KyVJzk0^}58F=n7FzyW z(Dd7o0UaIl4`rvG%A`Ij^PzvOB0}@s}iyjK$%XFJ2j$FIiRl+nSC0p1p1|D0)_<->r8UJX_oK#nQ}cY+>JN zaO95Ia9ZUC*)8}s1ev+!Zf|hXDo(YM0W$#H)q<>-Gy^z?MRbKN2+dTxs?#|rvoxf5 zZR;P7Eu~n$zHGjuG%rPb`FSp2c=K3eT6XfLn2=vYSjQWZwdg_vtc3>a@whY8clg1iaxgf&g{(?{p56ir$IgdjmZ<{9o4$#p zND{#dYq?Y>Buh&jn^}jf!5!N=Es@0`TE`ka(g_+-m86%2Y_n2Q@(9EbHgW^H-jP-+ zX|&AI+NQW0qm~@0dRjkV!~Y;F;T`!xnZJXpX8y9jzn zm2N37L_5~Nj))>o^)1Sq$_C8UG;TlAkw=I6?HS68k~bx{>dNrHLTAD67=Rhih7lj@ zy?YlxOA8Uj-{7ZOKYR6ozrfg~k&w_wHncx}-B%DXL<&1mC*PtY;|aja5}kDKG12%= zo4{qLK!&1p#3^}NJ{;57VGIK{o0JbZZBuRwGk4|)jmFI1K)raeFRv6s4yPFUX;CC7DZXW`OVOgx#6nnq}0MTQha7F^&{^s4>Pcdf*DxAP8Ik?;17 zBVL8q{+GsCjH6#iSZOSFzOD#qUiRjI;?4+vYLyE^;V#-DO2r>Kr;A0%AT@*)T{R}S zfD+@;jL3nyXF^2pyE!%Td@@_o_OQ|A;kwO*V%h-3iZ#T|u@jc9mwd0g`80>vl1Z^u z{6Tzx>?(7HL<8#7hKB+TeEgcNo&cFC04V$0ND3u%J|dBTHEO+O&i<%U;^Cpyn6!9F z&9qa;g4J|6<*H`+L6;ph>9iHG@$MC>dSat*O4orC$(-c<%HahBA-HhgoNB4nKuUDY z-k7Xo-+S$xhk&zlKid>Lfp6f`PL*(^snd_g3$p$P=sv5=P6D`F=Hh@$;u%+M3)#q( zR@MivsczRXq1O#+a|JC6-)hSX=IWUDR%)3zE2$4&LD;|pneBuiN{Bz-r2A8(>ApW6x%`!@vUGH-og;9PYXXUT&6~k7 zT2XDiqDjcHbWnI3Ge!4yke2h?l`OcLde5D-kO_l9sLX5dGroTk$0<92`KI(ti| z^z+MxcSZd|JfWKLsDiAGhjx3+29mf`|v{L$Q zKc2($1rE|D&2W0@CIzq3qZN?v4Q%q}Mp+pUW%|fE+xwIiUxXs81bwHW6QtU^9dT~z zQZ)alJi5Q=aqSvPw5;STzir|=Kb*;a(IN8Y9zZKqcDKHxryeIyJ*XmiiyHGK$O7Lx z5m}2gaJ~rUCZ-{p`V?c23d2`uA;{9--~sDKRdVm88fa4wyT3s8kpI4@5sy%Kti#4l za5+bb+;>L`6PmrG4bJcIs|A?A22B&@E!V5)J9U4BzJpcIj^3vBX!$?UtR1(WhS9YrYloeEFNCdK)1(+Uq+<} zxSR)n00QcabgMmql*G{_2}|*Z_UWokWCx9gBZRTG0x22#NMm zneZM2i~!&m;i6Aej)bfT+ilDNvt*Q#t)o4|o&9W?WgSMflC2PuBi5i>C@Vyr5DKv7 zW^xmP&1T%bs-#%E%uX^FGA$;l8m(HI>RJUMG1W&w*x?==TjiuSlr7=Aq1;9(==oFbQn@2~Px{(-ClJ@UkynWImI( z9*aotT4)F+Jn?U|H5xQrKYr16o}?OI$izLS94=1eVR zo$IjPuXKKs50_hb11TM6=i6pPD-{8HkDoZTZP7O$16@*~rjq>q1L%-|?RctB2IA%& zp#=CT)bY?8LwN(|W{=)W68-M9`zK&^4|vNRE{;MEwliz*^(XpbY8<)sZauqn^Hh2f zKDDZd&4ivVPgY^hVuK=ZCBkbCs{ zok=wuz2$D(o+c$i6#pH52L{5091lV~W4C?-eQi(9ydV8RsT^r=VJ+jeuO87XoRTRp z&cD!Y>t5}v=8MvegQLjsN`ff2SYet%U15#ueXh${VTX-)-t`Ae-RJ_QUM*upvm!Jy zkm}bYvrjiqsy@zYGmM#@MKgnH5yJHkhKVv*MxXhoF^N%kiyn^jS_QfNPDqJFIJpQ+^EUee4MrW)vyJMk4-kY zp*@lCC%pFpp~J^|=f`*XVle=FrdTWp`eR-5o!MxZl1<6fwuez?g2X~u<8u(q=6bjz zj)WDYB_gtf9N0NjN0Wq&|M%b?ZToe(gb3+bI-1g4v5^oQs)#A8n-mkRsyfi1|)_j#V@Il4h21)@QyFW!X}7St`PAdR5^4 zZjo##qH%uw64H|w84|<(3sJl@te*?~rPng+O?K;%ltWw*p;$3X=W?Bf*s&p=?v}LX z7qJ^!?f!3aa5eG52`OB$As>2^WAZ;ySGQ}(6K|J}G#}a_ttogg@Y!vqaVGN=w0~eeW>`%o9!-ph;sSF`>D_r(pvs~L+%%-RbwW}kD0OzhcRqg zb3(mnT5Y6q_97bb6}1aTr3Mp?3O)LboTH3yJFmzVwdqym4EYu=rmdXfjJan=0cqzM z06acRkukoxQd3|mu~4;x&;Hf+eq_)!f0aXIbJkd{FWPw4Zk|FQaQbmAye`jD_|0NU zvPU|=NZuFZn7=?<+1asV7k8RP;{lh>VoDX}qCzDi?Aur#fb9sr$#ZH^H zP8Y+;71~N}R!2~EJPChxRmcxqtR^Iff$O=X%U^uQ9oi{h%zRQh9;5LPOYnV1&qW8r zuzyRiQx5Zs!1T8^D!AMyI!@5rA_T5-VTWqXBZizgF23&~QCp_A+b+hkgMqvd%VVIe z<0%D@<%K#oy;f>m`x44a_fK-|t;&g)W1A^_9|NLSLWTUUC~?syz}X+ZtvyGI-S#$A zP^}bQ-*ARrLU^R#IaVi^j-9wSG^vf!r0RVF0V7HY|8AEH(Z5S68w4PwzYY;0y*7tD z!+f}PWyGR9vSL)aIyN(=*F5jLSuP<+!!Zgn9-ns#yQDjw>C>U5vOLW}fe!G4mF zh~CON?;I67zV3wy5oH7DrROJ#riI@|P|l=%HbIycU1Iz4(?5@lr6P}WIsb8D&E z+Z8w{F3Ec=LQ``^c@R66wPULWthXr0uYP2rlW2)M{VJN*X~g1dm=!Vanm?1+jB86r zA6uOs8Jx|BbNO-JiCyctcCK3PK%qQ=Xhs&&QF(0MM0&kV046ge>>9NNlS?R(6%CdU zOOHG%zGSc3052!RSvsen*D_v&8gk+nas+f?y?kK!Lc0jRt}BgiaxiBojR*b>#Nin2 zog0L=;EUb6UNlb(RJfFHRWF}%Hf4qK%;REnc#6PWizeV^C)H>-UXxaI$+zYZ(_|foEf3Kg% zeftsS`PBX@Y^+8i(90bqA1vB=#BEvdj@r$r0^G~1ZQ_)VBWnfP)cFI4Bt-RH6UmJ)Uf33e>Szj^xHeLe|p>Lm&*T_%Kw+j z-^RjJU-!2}8=ALBm>(7gZ(rGD?5qtO^$qR*mZ$mVWs4_as%NKb_vy_pn3|S==C_Xv-y%9`{+`kKTUyS)_v6R=x&Hlj{->!8ray?|Kb_imn@4yXy!a25KhxhPGTt=DzfWW^{g}x3i(?o6 zt+0|Gwf^+-<7Z9(?*k=2vK*M+^3(sCvYnBYo|>5%kC~SCH{Q?mmf`U>H^NN!79{bH z$oCd=Dc#M}Axk8JzLnfk}V{&Tj?K=+aHCpmkY>XH@V?{ao=?_@me z>-IvxC2uOKW5>GL#T*v6)vk59c!?l_dZL|(CuPsG*BynKWzyJ4KcYYYTQk>|mcwa} z-Q%e-D_i#TlWAexbjghOyT+A;&S5hSjVhH~_uI8$my-*7b3!YY!kLmn#&IFaLXuX( z&BL;$Pv?RXpCibLwVT!0nVNA;9a&21oOmUTa_K7C6yxA>zlWlY+*>FI4x^^_TZBSs zQ_dWNX>9AY#9-ChY4r1Dq+DJbRioI^ZILt_T2_jo##o10mu%Xbf#~nsG4Z6^jVs9&Wr(fB@)xZa79?t zu(gres5(Nm??VJ{O+_1;8$4_SNFOL*UyGVQtNjI5R(o-IwIV5XMFbNudO(kfs?r+# z;+6_=OFfBKL@MyPdHI-(yXtA)_r$N%v$X?{_hyCTTIuSUz66PG-1KJILRwQya-p|jG8@L2vvLI9GUmv?;%~(JQkn77qN7LMuHPp`RbDj|t zg+rn$`DK2igDtIf(*iJ1Qs#9N492LpFe!W|mSdUEzcQhJM4kv-gb5D+S-#IYzz;bxED6St=Y^$=e(wzr$w-~a{}IxQ1-6^y(RN(+u3lhHEX)_GG+JhT+cL>02~ zvD~W(QVZNTgqFzU^P7@=7(V!OImG_3*#{ujBz!-WV-p%(d=47_Kr7mM0iQ3=OOtbM z1r3TlgsinNuHx)XdAj_rvELMj`U2m@h}ML{p6MM9vwi_p>0$WNd=c19Y=JbD0We|! z4R0y}lAcn|7gwyyGTLWgOn4!kk*fYviQ&%J^FE$ zrp)C`=_Aoc7@mtUab0Bn`YFf0g1!PIQWBqyE={FqQeIjAl*?mq7 zg!gKL)o{}i@1hFf4f>H`qUjPEJjBD%T4ya&RzXx}SnVuzwu{1$EUiV=-#PhFG-8sb z(h-ijdC}*b9gW1MRE&JT-y^Qq$i5TnvIJm&rNVha*x`as&6U8$jC#c@Ynp1N#2J+( z{T90)G?1P`Roo2BSL-DsYziGKP6z71)*ssD5Kbuxx0S)K9Quq3SK$9n(j%vLOZ#b5 z&y?JiX=3TSx@gWcI1uo=U_ec5&$j$5^)i*nSlB>X9|2inoKKfcZn32cQx*_WOp7~2 zHPd8-r8eAqhX(rzV;O2~8i?x4jck*>UV0nR?DG1^L|wB)$8EyLdd0k9-C4Z*#{`S; zDT$O*x5yR6O%G0Xqoj0rfCHNM=*~jf^T5!7m}idzJ67j-w+UhQLglyt^PF{P zGSIWBR1jHn`L_Fmp`FnX(Ucu8VTTbqCk!y1_%M@Kf;F%YX|sFyglCw7OwzhMP2VLjxX1mtROmZ=Zr2Ti5C} zN1T?+`3N>#sS7uy0j}i zwU%S*W!J<~`a%!xjHC>sAs6d-Ay4q~JC?3@S2l$*I+80>vb>cAE?`piH@qc{b$ zshwKy0|od#l1;aNj4q@i?;s=JlV;#rL%Te;;>@@<5LG=C-XxBxW#xfSPG&6l!~|<+ z&^{;qarpKuwISE!OrTdx-<~JM^s-doHtAhZ5Ww-UT_{O)D+`EEdi-N{RPzbXlBRgg zaee&YPATXu^}}>&!Du$VoXI8#-xc}(x?A-?fk3pJ?3F{zfuuk(%;)y%JFZxpg6%rE z${iJQ&rk4CSsS0Fyz^6oUeH=TP6}pD2h0$oEf&WK~&xBI4}j%31% zhf#y+^(#@n3I`i{(Ws@XSZPykOAW-_j&53dvshPYeI;JAKs~||fO9kiw+t0_N+oSL zk-0Cw=`ScantgPnx`ly;dABB?!%S+nym_k39K`7JbUc|8&;e3Y3_`|~3%fz4rkA&K zMc*}D=QzRS7;T2GcnFvS%9ZibWa42|JF$3-C*p@<$+vHxzumQ&Xp5CED(95h{CcNj zQx5vzKiA#&;Go*9QJK<000Z%K((!eLLttj%-Fr?GvHc_ZMjt80?|qN22WzSmV2xm1 zG{g_QtG-aum!g8sCIH`o>5MUzQ$)M+#V$$V4WyR*c3U@h)Fa`QI8|F#|=yl>yv-!DV%O1!Oix_dkeKpA2^lRIQir4OUZAOs?FGj*l~p z*@3%UU{dl-QGPH4SSi|9+$pCT6?y>*jiiUAICIVJg^T+B=k({^oRpg+=t z5f~^&YKf_w-#tsKNWPks*rjO(s%3IZu}sZKt@4lVu^g_%wIo}kIa6FWsf{(_LK{7Bjnfb( zQmJtS=R4?q(njpCIlmn4X#`VyDBG9Rh+Mrq8qZ-i>V%+?jF-kz%D2da_9syL3rnwK zn=L8Q56d8T^2@;OLY(BTTP!|WwPgI(jGL=3PB@yN__JI#U0ucvOVNG{z;m@pI8D#4 zBLFG5+}VaY?_T&}B{g(6?b}1az_O6o@w4&vIiP60&lWt5gWx$?WVV`w#|!(;XaGS0 zGAnM7{wYTLO`-6omy*9Q+Aoau{}M*~C4T-F(YJs98;Ad*jr@LSAes$ni z2Yz+n-`|11j?sP)hd*x9f5vD(^u<4mpMScY{~4qGj-&p$`1wt)_YWA2`EQzM<{$9w zFVeF=iC{qI8RzvaQxziGk$l4$w2EciE&_6D<<@EGaes2Uy< zJqz_e!n7Z|{G;-}oM`&7#=~ zYXGBTPkwaA3ZP=w9pD>4$abD6c~`{3cFrjXRXH(gUQu+s{x$CdcKgBO_2X+Y=NVBe zuTEF%>-eY_7p&v?wNaxBcjrO~O=nvYCwBu{<(_j`(7jc)=iOncbpdX0MGfm-NhiE>@?&~%lwgLgB3 zFyi8wcfd}HW_4j`t)Q~Oc;3@FPauDe!CljKC#f>IXtbJi?{l`;-8tlVSG%1eXkabd#LL=ze3|3&)k<4HN3=o9_AYYF$PuYN>wQM1HQrd{Z zpV~61_~;WAlDRf3@jPPz6qAn%2!|q=D=8+*$ef4VUy$CGiTrun@yIalYJ+WDc;C~3 zL#+7Az@?p+tIDc9=-Ps>c3ND76AzlkmSY1bcCdp!6PakNug<$SU5{w2hDNz{hSEu| zl!k`38IMn_k+kYyTmGSxYPTQ)DC0#N;5?NKa4E9=LKuAbsq5HCAkJ4HSPXOUaocCu`gy>6 zKtp8uo0pRhuz3K`YL0kPev4Jjw#>jnNLj&?AG+7Ui3P(}Ki46Sm?LA}fUOX@6s`G)Dhm8E9U@X^{Lr+XXM2VPoAi?nv^kFs{7rsT%m0=bk zM$$<}D3+uI_;`O(vRUyQ!4JA>+u9Z?fPB z=G_48o})--k-GXL7xImjd7K>YjC50fv?niN>0Wtk!s*DOHPK;j8PG0 zUqtY69dmeiB5m9Xi)ctZ1v=0npTInQg$A%OH;T<*sTu}697KaYsV13hjZtWqX*wBU z+nsBnJLV(@!p#ZDHw~-VzaM|4+d{3}H4%SKzHKS`jcwHq#Bciw%7s9uC9dZmcO+~A zNS_FO`Q+#k@@fxCGlOhoXn#-3RZ`&=LG=tnsskPTK4x zJ-$RGveTlZ9BB2Rgp_GXmkBV6_e#rDhryrWsJz_aFL4xn`DDh%?Mq#)_zMX_~ID;|W~DrEl+U3}=f*Y-)j%+fNJvovSA{r8n31nwj>hNaFqYx5b0bPYLbG4&$uiz2oFrfsU7vQWJT=V6nuDSnKF+UFuF@{1U5o_vOG2&9ZwpCVd`F9l`ZPdgy1r}Ls?N}s^8sFC zH(mWOv~&%%)eR88?{TNi*_}V~>oWoHSEijOv3S2rOSh4?^*$NI!TBiSCgnC=t=`xU zsR~|KfWaZ?Oo>FJxK&DEej3QNj>*8>mOkd3n>7#V29o&LiIx%M@mvO5lsuDml~dw8 zqExipT^M+MXIa?6)H6w7D1&_o$~gCaG7amI*=yL+We|pg9`-f!{Sd*X6k&CAcU?s>t7XYg`NB(ru@h@JNS0eH{@3!u&AyPRFU240Fy4(r+A>kR3-IWQE;1lF>Jc~c)GpKI&SD>J6 z&Vb4iY+kMSG6MI8%{(Lo(mK%P6_Xts5|caa)E;6EXkVzYJjr^kP8En52IjRrq`b@6 zwQN6>5`}mXTbN+HkGPZfe4vJE%YvvfmUH!k9+4o=B+n?)%uf>Dk^7pPr^NOJ;UJ>{ z$HaKpDyn8uV8O3~0}m^Sa+gGAG7n2p^g%~NRlkO;bcX}RnmZ_Ph;bP(qE_{U=5p_P zirTo2V~wpPGnla@G+2}ru2M`~i}v0vM`s)g9q#ymIDSSCBY3a7C`i&XR~HlOf#!PADb#f$J|J_$H|+2>VuE+Uz*CVCbE zX?HiMbCckuK<|^HpE=iO_hh5SE=%24GaD6KlgKg3R>L2GHA_-Uw5Lie%~m;q4O8@G z2MzJYv_VzUSxWhKu=1V+G6Wl^iTFAyVzF^l$Pq&^4yt@8UgWTGlPX`-^|oW#zC-=E z!P@*>rD40m+C$l$%nOD8Lw;WRR3nx%+B6j2yLGBhMLpXhpTX1Di)M?cH&SEIw5^jS z1V_m3VmM%>+B6!jYBVgA_fxvZgb@%RSBula92woI#8TxV5-K)J)%Z*Qdps8iHJ56U;T*|4 z-;E7T#5n}nuZ#E-e|8XSZ!NKMVj5~$HJ~G_o-oEM2m&|_e)2yXSz@W*bf}CzMfqy% z4&7~NLa-E7{wyS4Ox-ZrJin<+AdJ?Y5lZv5J(8ExSP;uRn0v%w;~0CCk!FyP_!h9+ zZ4j2z*h8d=0biF@Ic|ad)qmAb9K9ajmfnNO2fACUbBo5ZETXU!y8qF1`WhlPZ4%`r7jK+`V>wACYX zX{UlzdZg@zBo7Ou-?Q46r$M8XaG2r-E^pbGv*Pgmz9tK$wSNTGV?H~L6cw31Ut|3` zo_~NNQRK}{inLfjis0o+0e=@v?;(l|ywJ`be-~7* z=9am%MZ$gEnmhBX(VHvXwc)k)a*|6{u_1j=)Y*pj!8H!adTt;X?r6u#^ERh9{XlBn z?6?&LyWD>h=#%TQc{s9IPTfa(%Qc$#528$Ir<@C8Q#8p7tV~Q&p!UY9Rj$Ws~*}I3t>rX?6y`^6EG!-+Kj}ax6nOWVz>m3@L>FR2Hb! zG(xDnXRt_S^h(Y4%9d4+%d-8PFXXHW*DoFSdB#~pdu^FyJfw z8O{4^f&1AW%B4bgz2+rmzY5=)XUUN!q*<7?bYAJ&w6jz7>J%@HvW%<<$!4FUmDt!U z6$`qVu|{b_6!)<;KNMaAvN}&>R<*e(%Y`0}Z#6qdJkP~TGh1%pNEe#$LJT_`oGlO- z^8UQeerNsh63(oi931A`PwpNY2hNN1E>k6~N+fmaDJE}YR zwkPTDFzhC(8|0VqQD=YVZjJy8v5C8pdc>d#h{_p?vs{_9}@T&vAI`FFl zzdG>m@4#Qjf6PC*%l?G_e%!tPq@tt$VKe-9lJxhiT;?Boxc?+8m-&ZA?mtv?ZvwR6 z#dFL*;Nt%f|FQfx@!$VRH`Tu&EBn!ezcgNEOp8Se)?eG`KTx;O~S zJLo$6_PDS-9o`=fr!;TY3i|Sf4(c>-o(ePyhAs}8G(y%^4sXAupzy!*QWc`Zqx)UP z_`h>iWu^Vil=hFc{Dgd2ejMFD*8ZPUI!0zzrk^NXp4yV#_LrEqAk}F?9ce#D-i^&@ z96EkG16IbG*}N~+*$eXkt-Oe(R*W+|Q3Bj2%E!)kNVf(?8()@GS|<@;3^Z%$Yw4wx zHm**xt*uHND?1(zuMRglxSl&&EEh|xZ%#5_u1=rcY*mlMbFEtAoRvumm6eO>4-a?q zB!(qSpYDi9kWz~|q>+?O3M-sQ)K)Tx)YvO4W=Sa8@|857?Jo2ks>|m*j*+l%QXB&k z85c{gakPeu*hIS7w1`!S%FR5v8PlW3Qq&y|iz zC!UvdT|$-*lMwb(h!79=iddl=V0$-pG_m;{cj-h1ktyhDswR+&Ue-`fXLB{PLezYI zV4M;)MZ3;H#hNBygMcJ+j4jB4i}k~Kr`HbNSD==}>UeRb(oR-Z9TGK86Tw6WdJzLL zHoYdYSV}omY|`!J(}VFCFl#j4p%Aq!JyW>%cx|iP*v|HBV>Bg4LEoGy9d0%)s%9jb z9WFKW#R70kZbDm+^7{Gly8;vgh1_6=OozW?kz!U6W__WtX2C2DQ7n3#@%yLyI)#-M z&U=gt0$Ge5sya~id{b<*Ira+g!7`~fDHPGsBFn6FL|H^jq?0h7$}(|{174J909nI~ zjbt4?kZL~<%gKeLcc_CavYT>b*^vT;fd`}1qIyRLTCv71iyUO~7&T{+2S7FNO|ED` zK2Ur?lrWnZkkI5YQgW%{P>4Yn=HDl}%^(4BR`3n%VM-7^8Z>dHi1oQ;Etf|lzNPtQ zfj6{hXAX+kPPa7u@w^y*98ouTGVcoY8Ihk)!h+XVs?4Y-&Idy-STJe>1(VMWpK%sc zafEt;w3GF|F`&dUHdj=&2KIv_ds3dRU_oELxLq6wqJU@`F(WT|iBy{Y0#8C!xMFf% zV1RhdShAF;4lx<909Rq#IKOhX=*&dwmaQGXK;)!9PcO7zq0jQT=ok?3(imvUEN>jj zyoG_E4oxB8R8I{#Zy_Ihu_NE7>trG+@rF;-h_{rs!g>WL>gwT@iySd7=dpd&nz~Ey zO}#f*AmdnaEqkGgO`GSw%tKEO8~)4m$<_ALdL=DgHHZz$7NVQQ4oZ1qe2!I?($BOk zY%UgUY0$HwE4wMP;<2MRL&M0Fjt!lN`flWP&A<7o8Xyc(#pM?zb4YF0O*gws-AlN_ zI&`~MGq#`u%C3HuAP^*BpD0r^7mCB5Vpv{`iRmKk*YkDeB@PkTJ5E9zJDei3e8;iz zon%MD{bMh84yc=$5>h+*g-S33*?f)s=vkm|V1i3Fa$DzANN(c-MUXE9R+pHI3RaIy z!G>k1sYLo@l8re=-Z1c92w^4ctb#?&sPGZHj*p|Z{Id1z3cPvn?bOI)331iM#&N2B zK1eR5-#SpLf53fDkEcI`-M$rcU{B9ZbuK_UuseELNyqopBcr7&IJuICmLqmWoFo)E z&UfP~exM@*-EtV^O1IY=MqcwXSddAR9g3e1OIDs6l;#xY90*M&x$38QMo}Jd-W%C- zFrJhzPG_b%mT!hfBiP!bvGauS3cTu6B~bcb2`V)5*v||3QSB$wxxj7J=8mrGeo%Mu zvcQNgHyt!9<+?QVA9fOC}Z{%(d|u)KVzFPgG1jY&I*$ zs7Dw*`tCf|5IGgBC_5=WcZ}CV&=RH0>?>8`7QbrkBVx+RFJDyrQm=*k=*llBqeo*# zG3acRySN-&ra{KAIwONg^O=!kq!58ykgf|liwZsJrm<0@HXyR_gOlrXf;Np#w6}ix z;rAr2<9pjS6gdz32W3YZ!=R2wWD-5~QXlbmiXX@I`uZ1w!y;(IY!^z*GLYRF`oqIc zSa5tgp!JR*z!N6%ryVq15GXo5!A~Bv!Jk@8D*2vKn_``2s`}B_aD#o(oi57qE)s6F zu~cG(arJG-Qb>xqgL<5l=4@wdNzEF_!wanZw?c(28-&T;qh{UsgaB6N!40 zZYp#OLD8-FL(m%R%U>e$P4x{61!mC6a0jd|(?T{EDOfJU1!|Fx;YNbj9zv16CL`eJc9kv$ryn)`0`tmF3;*|40h7*PpQ05p2wM=PU{dy|l66@u{Sg;=sa)N?u8ux`xD zx6kYi;S3I3U)Ih%2lBWLpE6q~bRKwp`15;K2l5B^=P%oY-*8Mr78lY zjMU}cO&@~c{wv1tbfeBTced8X`J0i21)?gMI!)Fjdevb&Tvi6iW^4j15OII8rxko6 zo*bbq?NYa=&Y(=bp_Z1y6vrv~CH`a0E1`yQ1V&V2qO6IV)(wKy`0UUYE5SXHU7;xh z1h~|pd3e(qq4D7P^Fjnm!veVN^-2o-#d_@OX~eWp{EykHBus6|#N@03#QfAu3J7tW zL88>K`5t8px#hjV^IxC(0%7g#GP}hC0ytt%gOmJPNx0$L7%Zb()xe#=i45T}JG$aX zx^V9SsV!J8I4tgB+QI=&&^t3=)Qi=59_YYjAm|3&u*{Z&kBoR2TCAFKy5Bq?D@#tC zUd0O+IX{12H}4+-?)QVuvVkO+cRG9s=#FG3U)T=C^6O6rA_Dme$2Y9BcBI);5&0r7eVjEW&r#Z z`J^Ck19Dd>TB>48v3Ll8cMk&MyP(z+R8LK_ctTCer(k2@VJ&PjeK^yE3aOrpsF-u0 z5$$c-SG^oP@gR#Qg#VAduMDee$+is;++BjZyIX?0ySr;}cZc8(!QCymTX2FyaCdiq zBq!Z3r|;=|Pxp7;>-!`B*52Q@YgCP@Rjc+IbIe&?7uw$L6r#^4V0wBAt8Y`m0F&14 z(}&a0%xBtQC3GxBs`+KN2h`H3KUWQXqnfi8u>inhso=5}(C=8Y(r*niuP8x{7E1|{ z6C2or#FZezGPyP$kiKp2DQ!8h1TrOJ7yH%x#I(!($5kJ77c*i}JP+5}`-As^e6i=x z`Rn0ExeBe+RQpU=WjQpK2X(Ve8#&IQ4ws|RJ5y9|`LJ&VnYeDxd?Q~OHC5!ZtRaIT z6}%`OSRBHanecTL25)KWOZ#nKDIaZn@cFAxHAiReqfV#ng^h@9?|;N=OmHlqqk&Q8 zMA5#Gd*|kVP*0dq`cedV>A?g5BxWI@oNyniRusNpQNIF3U2&_LI8o< zA_>Xw5_@~j_Ol}npcN{hjcrS5t{KkGEvy-0@$2wK@7InTo1FN0jWY)+-BZgy zXi8dReLQmaygHIg9a6cqAhC{k5ZKynh4Nw}GkT}Z*&qVc*$`q2nJ!Nk*#fexb{fu< z-djly)#hS}cN|=Umve*tlsJnSdKHZcoK|ynrv*BjdI!c<{2W8jFu(~AUT|2JqgdOM7ho;W^-ouJS z!4N4c;s_aBscIkrZ<3Y5KVU6}bnK>tWq=(^ykwv~C-?xx6LZ3eCHTtXKFksCk|uhH zwb3*&0uCW@C$M&xjew{4K;+GmTw0k$2qCTXX z1F%7Tm?fc3SoE{Rgx)BjKx3j7+9RZqn~osla$260VNI8e6YH3ar~Grw09TD+e!ojZ zfB&Oq_*&TI`M76VhlxQE$5MBIs1@r8lRjx$*@cDibpyAZ1X}r_&lij26aN+Uk3Ac9 zk;@eY&{%Vns^~IzQu~3IwMVnevizuxRuq_<(yP`4d+DT*=+y(--u~`(67Y|QYo^qd zJVI&Ienu`>;po6_0^15&0K^we$GjL`z#nQnbRCh-t%Hn^DzRh2cGR1dDu>gQsOevw zL;@5dOQoL8`}=rrWh_JK8J6$Wo$RF>T?B*ZxV~WnM@dY#tTt3n2z@#IGm#*=d8vGTvb z)#w(dv+;o^7%K;)!$|jb1P~Yi)oJFj-`y!=-S7v5Ynyp>?1Ku_ndmPQCu^Y^ylNK?DcgK2Swx(d3NDApcU_mMv(9BDRbYFnuG@4(epXn z*t85n(w8R`$wbx{Cch0#Qt0xDc3TrKBH8L>VS#bRsj3QFxLQlWNaD1HcWvtljz!CwC5Z8Y5v?m~iTk2J>eP z@tVCJeBLNa+xH=z+@Nkw3t_2`=sg*a_~SrS&Nc`lc_g4DGe~a*m%|-}?C3smZDQwu zcRDy+EFCCkF${zzfDRN-2Gs=;j2>?EzU6jUe>a(`qS`nfFK=+hHg+F6cxzrXbJSAuSQP``{Q=U3_HJ<>rHS8WO;X-5W4RtIq7c_Ap#jaRAn-~pn4AKdn z7JXH+XZx#HoPLPy8N!d);8^V+K%Sft$I(u3^Bb<-ucSu@?*v{Sw|bb#Jqj*z`WLe~ z6XcTtN&-?Ym=9ua*w|22sXrSHEpI_x2tU;z(QmRTzd6ZHQSrnGBTfO#rOe5hJ;t_B zdHed@+L;)Ki9Mqm*7ND}8}4)Bs@zdJb{R1AlLHTjhXY+!-xx8f@NjD$)g{GUQ5XRD zA}r^`3pV|oCGf3v*aJ-W!WhuPwj&zKFvb+{nY-JbX+6ix!$dUhK*}X04XI#fih^3R z9U!rWf}(A>Th$=Fp#;d5uON2KkW#EMMB^}6rh6G6G;95#l(lV?tm+7*LVBwdN%bpY zkP=E=$#TrlAblwdXKxk8sGwUWxS+X~2dCK8kvug|PSLI7MOZ)j-3ZfcZ;SZ_Op&T3 z`_C}-oSnZR0vy_zN$lj>Iupppt*%sx z1gr#wySba=naHPjwA|`~O)nH3JJt?Ao7#3{K&tX3!5uKk2BM`vd>765o$ZB$#yd0r zR9NUsALRVInw(K^tuoXK))A_%(LB^8%te-0(LA0ewKoCI#_eD_#74#0x5j5aEotNBE2E3RHS_!3SpzJtY(2)6zDto;n9_3(T(IMkWl zj~evz7Q+3h5hr=xl&iq1m95QVVP<<-$x%WLT4LsD71nA=GxejVtHI>r z>cQ~k-0kHj1woDFv^dfAa(QOQV5MBiad{5=7LJL%Bl9?8i%N4UtHQ=7x2u_*!?;S~ z5%*|8!Oz8%WCWLdj~QzUX-?Sm{!9jI_$dQ5Y>tUxRcPnjPsjUj;Q>r=amj2my*0h2 zwg&DQ-}`^@_FWYAKIiS2y1ZuvrZ#FjCtDim^H}}dbZ&)A{4wl9%n@WSk3u}Efn7uC z3@7`Ac(ARAPAU+b`QOzT7vZA{XZ>CqAAg`#4fOk@S+lTKm z);RBw=q5i0f7kP7n`xZ|BNR& zvh1+GUSrvz7^7)-}K+HMvfzgJ;7>H&Dk|4GIm>0Q5DNY{qT=k=!QxG9l5IRQca zN#^!&oxutTJH^*d1{!MvP|^TL;Ngp~E1Qn?zQhgTxH{m=RJj0&HC& z5LAo?;nUYm+-388s`@ayfG3$dXLTS9Eik{OvmI&%*0%Hq87v6tX64O9OgC^NEy#wa zL@MeaK8>o5h=QsbLQHQYb@q(fA`phzDMjZw=ruWCBNPL+j(^4HM@)nSqeM^G-Hk%H z(`^buU!}kYQ8`Q3%FCxr;{{^%rKX$Y!|8nWv#ROWu2fl>8`&|KUJK<2GWg&O!>U(+ zq`?(_C;3^s-E1h({NP>6aU!P5wS=mp)}m+RBB-w(5C&>s)qsg5_$^d~M@;e>+UkO;qM`G$Ryn&H zuR*cxmRWq|u-Cdw!KeuS>XHq!czDvVXU1e#v^Ex4Hdjy^Llvlcc>hwc>o{^MWQR77 z5&z)9RO^#`Xmgv>wW0|bkEB>c%+Om|)BfcANm!513syu}Ky|9i>&-|aXs~ZGw+ipK zwnIKkADvX^s;3GSnX*6?TfSxFD9LgW7Hm&wJ}@H#jzqHgi~y7C^-(lk7N+g+P6LXa zpf3+&vT^>5(|#bJ0FNwaivyFA8E$+i^sGJ8xf_41{Pm3M3)k+1Rw)+h;PCtqU2*xgVbn05yQ9 zPK5&)y(w(ZIcbxH(W5UZ-Xld0sbKSoWCB;EdLY9Y4MuoZRYq(Cu+TU3^wmR26d5u9 zl~OmJQ_56Yb_J(pS3GOB_r?s@sCe3S=ursPo*($(U76v@( z>qW74WygovL*)|UukZYn>zz>FXSrbavLH}Sgzo_-jf?!p4X^hRpp-SiW{tXOXr{B`C>G9<;qZjvHcjw^ zvSXs3qd`M<+@vh)bjOP4C6`?G1dldb@Cu#alnxENbRjK@LH5=$(Tl{JwbdNZrzTLd zT+%+Os$h^Zcl4Dtsxb$85Bt%>yhAPIzLFVsP>9qx)ov=h5y^7JTdyx4W(8{}K{q;L zCIMZ!VR;jmC=XvaZ*lGHKFYTSMO(T<8WRZMqGc74Qjv1eRE%a^%z?fz1vnVaV_%oG zi!MfiF6lKoMIak)KF1ino42U@5V)>74&98Gx5sj~7#!qr9__VpDRdrPUvT(EkBrXV z`&o=@h0^3gw5*TB$^%=Gniq37*aZ>mI?Hooszuz+k#k&Zu2S!a*N?51uWuHyu-F=x zX@xyst}U%rWEIcG(|DieKG~Ox9MVJf#;4WQ8|Dn{X<3+d7fD_mP73x>_Tx!>>X!~c z%p|wmX(TjK5%`7c&s;)q!H~%=={7K#J%ktH6&^mX)mqumU0MToYC}SCaTb{ZGvhn> zq6yD0yKP5B+ot!&lDMu*<|oc8MGt!l$zfAOc-hHU#J*L z0*>4DZ$jW5afyF$L!%Zcgn$XtwG#3zZ7fYbtlZY;iF#Fb4gM5@UiqdHqY9mXxC;dF zJzIbd-H8u0<`z}1Ev;2A?>#>%8c9rG8oIW@M2J+SDnpgDodIuPqPItKW$y*8qKx~8 zEO%S=t{F@0{?y3CC^QF!P{vw!{>GgdU1?IPDmUhd9tG`41be7BUL-=sFB3Wi0je@E z{5AK3uuA&P&URR89LP+=#-+RX$OuVKyIguRVm(mu&(7LSLW*DUjdTHx30J(83)o_# zxW~f3-XwXRwgTA*H;HsIP1#oWYn4UOyX$^7ghFG6U2?&C(+6SUCBI21VG3Q#eQC$I9U{}X0YXCfS*Ow zY`?DyAghcBny)H{vz1i_`osm!p8bAKw-xMAogABfyyqjT#onSu?U|nQ2kKD zNBiito_lD)m`={+QXlS-2dowya~e{PIP#icu&Cj7fL@`#aVP1hYcw;{SHqS+E~u|B zy~ohV33KBWJ3Y$v+i7Fo&ne^(36lHjXeeXW=_TL7Mdv0t6GX#NdDEn5$Wy~h(zZz2 z>doVT3BpWLaI*D2EDaE`BI&K1lg*8b>Fv2q*_$Exk`J3~oZg)@YrSP=Z@RT^2Dk4G z)x;Qx^TZO2m!3@}5V0^qf{LQmpvJc;1mK-F?bdF59vRWZ=Y4l)wDvCF8|zAOwSR1E zc6;8!&l#3@3=xLHQd}JrP<5UzFMOIES5V5*ZNv{8THU@ZW5cYE#!s3Oe$WFEcdsQa zOk)O}9f)cz{0iWX8AK3uuK6X&V7J+x(nFBGOjApC*3Hp5Duw=<;tjr+uT2rjSZ^6S%Cjz@ubbIu{ew2Jum@#Rh)A zAf}aV;f?gVl|uBy_Hv|=KZ*RaUgA0!@Sve%O+z}u8R?L8;lh<2F7JjE-6Atq;m&H6 zI=daw7|*2m&(j$O@v6cHtjqH zL9OMqG^@NONe+12w|N!lNib_iZ)r3OVLfBTI#`xlYw1(>A{id!&KdO@!CEF;Ri8^6 zi*s>Y1vH4-@NE6(TN8P)w<}gPWhA44`^3+D9teAUWD4*G=JfKeY{bpk={9;V`un`O zb$y#%Hpf#3#1Zeqa1LHMXMv-BlCbn<&|>Y_8g9#}zCk13P-o4h@zHrqjkmBC%Fn%n ziGAJPH_>CA*aP?_ep9|-%gu+?RfaN$ZBgAX$RIU-a4bsBaH7BpSVhL`Z5nE32fxiT zQehf`R6&(flQy6rg;f}xgq)}dH=`8VXewNTe0NpfBg0pq$|wuYhK|Cwc?0-9XdJGm zJ7HIo=y<8!6IRSSkcaqSZyOYqcNW8aNOzAyK70y7n5Bmb^qJU0l?|J@0Ql$;5Swco zB7(}9M5sCTgnyUWn%h320Sx}OS{vyDushpxQ z*8ymB`@DWPi#3To-j3Y-aw&GCZRXVD?ekDAwzie?(Ax(K2^^rAc?gBA+3vK+)vV=$ zlqYpAhtE8w#C;GZQ}}GWG^;b5ARbXFw=xl#5xZ4h+*jE_j9}71^Ykfirnea>=C^@Q zCJ9|lc=mR-$?2z%a(dSM%| z>7(K=_O#_p@AHhP{VFIM;oTpJz%NyEeu=;|bZl&FG_>?I^z>9OWj3j7e~G~Vn?&GW zHuHa5^!)t~|MRcCf8U?~|DS#_@QZ<84E$o?7X!Z-_|G%&=SAS}+Tafz@Fx-Y`|166 zHuHZX0)Kao|1%NzyPN#~+RRzLJDLAI5txPcUlf6V61V?y5%^EI%UOPxmHRJrm;XW0 z(*Irprf2yk0sj#7e>Rb`{A9rY=Mpf(OZx1eB;e*36Zt|fy!&!_qEP#lPa;QTl?G8% z2vuAh>(*E2$g_$C;C_j#Enwj!=K+#iMB7GJuO8Vfq9$1~1^5Zu!`;E%BbAR_9CpR~ zw^?#p9?s0Jvn)o-qgIOZ&UIfc+MZs5wr@8MQp8FvzxJQcZJ0CLiWbjZ=1}ith(4?f z2Kj@N3o6YP)tJ^M#qJr(#^zp{)+UON*$56VNi6BpOb=%by6aSRnbHY$8JK;l7swvj z0^L>Kn84SkFJ8UUnSy9gJqc568HRDDw3}Wie!!_#LOg`f6Ne5rM0L|&j_H;?v zmOJDttz6%vOlL3glt?G9z5q|UWftusVxM6>M8(tG(q5rVcNuyP__{}*u6Q#-TkK(# z?*>t*Pj{$)FA)G8w2xX1t`7=Az}+b8UumyV+}YDuHkp~EE){KVu;5=+Fx+2X&_nns zNHUstugY5Tg2#ropVvV}dhK=X9T2*p_qiRq zrI5)>tx3<8tUjVIE5Q3v`Q4gf(g_hKlLzbx= zzj&e9bcf6Y3UQ%IRCs-jz$9K2uIXAy22g52V@13HkV+?gp8YB#WP<*iBnQHZ8@@mj$b+xVv!+xWgUGl~ zke9FWNf4HpFE8J@mWaSxCZvbZt^+qXCk#qlpns17iw&@~{XW}9vzhzza*Q_2r>aJA zoIWh?NjmFy2SJ!tRm#fkK=@uTl|yM7pE!a|_M6INQf_IAhZhC0BH7KY^u0Rm_yE#% zA5}jMGhdcBPCXX6zI!Jr<5$n#v@lv18_>+{>6|Ir&n?+CFEX78uHQk0TO?`%dYdn) zGm=Ys?UqKMV|-#%=x_sx4cFK-&j-Vo`QQ@`l~B03#}3*(gU-zu*$bcCn0L5CPD4%8 zD!u#&R%XJ#_{mPDWJ65at|B-7wckwz5DwY1@%6B-eT!-V^a!6Rt<^D%@)99}+bX__ zP*>`h@e0&}^K6CWo9NuLfJF;Q^v{-a9y~hecG>aJ*Nf#SA5=eeex1}^hvQnMa4Xnj zndIY*WHxUC3eK`fAzFrXEvudUidEoiK%^UW?qIre6y3~>ODZ+b+yxVf<$j&dp}t+A z8xti6^G0?oo^`26=uN+BavTaNn!F?%KCjty|AM|o4R{g?0$;-7#05tF+l@Xk4aL;N zuR_&Ja-d{n#o9QlidD_h9U222XDhprBup1{Gs9eo3!g4Jjv$qj2rEM8Z&&l+v+t-_ zzNGJg0JL)00CAAcC<3vp=XK#f9i(?;^vg4u*jb4Q@ z+P)t_cxV228h(5`zNR=EZ4kN`Ky?{%1kA_h`I#2TmqK3BS&6yHzsupkOM~1rqiAP; z73nZQE;^XXVSay6XCj)_1+0&2@qSK=S}Uz3!+g;&0d;glv!&kY{SsuCY|%b8I8d

)zhAhYNx8ZqUlosn`f=qWlc+RhbE4SiJvn&aw?Wu;ZaY3b-xg~&i$DWd3YlH zyq$j}-MJhRT)>=9YuZ)^cZV+(5VA~!lhY`t!u)WZn71+Dj=}wXB_FI8T`#0mWnOF3m&35e! zh0jtqm-u{-3vk2dB%^5FHKD)*;Cw7i;b-Bs;;2*wOK-xF$L{+?pl&GoNG}1_u9z?_ z6L~blSOAcFz0Gl32D2th8jLT`&3d#V%N!i^s@G7=hu9~YD&|1_a1Zs9kz4offz5es z+%A=HeHvJt>s9~E9S}~>l1t7Vm!3Hv3Bs97fSm-bL^~e=CeEpV-$TsZqt3zTU0p2b zlgnmYx7<~_+tp~)0KB0EGKlkBhgvG_b68ENUq;J1D8@TG%~e2HQ^yG5qw4#`#uPb5 zyw||(!`Ji?kqab*2|NKxmb_iF(QxtsOCr|*fd>Y+cX*pf52UXNq5INj`R?W=5!d00 zXn@{p!QemlVaZTmFw?aXDyuJ4I>6)*>NBY2vFA`do7XpZZ8TI$DCIgpsPdGFey~$8 zmDSHBDOWScpE%CRzvn%a+p<)T5hH1yaJOwtg!sJH2VyS{oJXv|5_2r| z>$(w~0ix7AntRl>TzEH+ZAEvtA(!^G9ugYL8RitIgKzUn!d=TZ4{A3DSy_w0Wsozw zmoH|EedPa zdpC&rIM*|Opf)>nj*05>F(f#R3EH-MF|!xZcINbb>j3O|fjm1*dFs$aibyXJWS#6V zei#128R=IRpz*<*`2$5&$2YG&G-GHT#*bg)4jQF{LmKM)q%2mc3|`nFTi_`e>?l}7 zP8?LGLLGGSNW+)83<dVB6IuhKe@mV&Lf zX++by(pGNE`heIgJoNM&Mi)t^+gMY$hjOmUd`;2M2p+jtlFqqw07jQ?o};(RZIY+x znVf_VfG#HH@E9_dBW3qmgw?Tsl5FG)2f(I9BqI2G} zkwYdIE){lJc8+coXPlL`=r8hoQ5wd8n{RdRjtB3%!G{VF!}7SU0Qt6Xy3tF{yWii% z4JLqZ%CWb9c$f5nm(ER=IjPlLbQG|$FaHxowbjuUC?Vm6nea5zu&f3bsR#Wk0+6$? zt@2|^HEi5na`R9m#(9YSb*1Q8({0yLSvFqFUMhsf@?DJC!3l6YJa z^Ld;hwq=0my2o(i^w-~q0;1twU%Uo2n{Hz|0yIL9k~|THUJH8r)NrQnQy97vUKa!Y z8FF9~sLUi2OE#M0Q9<5ME-7m-@N!r6c;}jP(;Tys78`YmyW$$h372#DH8c+)y*QU- z^5H9+5$L3h)rSw#+aa3UVD2^73#3S0#?P(|`<^s`=wosuOgoh^fr{7S*Rf%>`3*RO z^WkaSdAlmwu@4qyteX=Z(p4aG%ZwM898>XWR)CzL%h!=@0oXQqUF8o}q~QFrQecN{ zN(=A}!$i3gf=cl7X=06y@gnlOr?k+Y+&vcaMTEIDk~*V_AA}>vn~b#5+^-2T3abbu zoLA5^S@MuD!M>uHb$S}|V?$^J=adE@U>kY#dea#|n~Dkvl;PHFd;k=R(8fUXB{kl4 z+`xtOpb=M_@45gjzi!|(fHmo3+Qw%2JcxI_A{$afiiB}teR`Iw%5se~u1(`iD%0t~ zeirEyCr~jRMrECe?O5Q{DJ;;YM%?xywL1YmZa84#z#^dR=g+t##&M?&9650&D$7m> z;=g{fdcAe(N#9^eP@@6`IUy#{_+`u_7#2ZH2ojxb*$gQxqrL}_+{GsrPtxp z)6z4%h;{#)^txZB&A&+4`p>_i{EG(iZ#VOcfnN;#V&E48zZm$%z<-{Be?hPNNr(GM zuls&_|5>kN`sq0TlWCLnH(%x-SA}K$Ei3WgO`ELW?U?_jUPt$@>2=?+e*FFT%k{cH zRg(4l=zsL!FEwq_z2tjlV*4SK%Kw3W_eaJ}M*0`kj`a_Wo8M>fM>qc))sBtj=K`&< zs>e3#weZhJ`RzhgpG?+pcpNP0*Q?8e86=KWi+2OXNcaY8hC>P25jF>3YP1r&w>>$a z=8&@4eAV9y14apbY=e~EM%{01Dc^2!Ip1=-+AL&ymVR4P+-TJ_rKHu;(#Xm7d?#2O zINU!!*MDnn7^_%)A0xVX(U-zgnb^h6b+f9}Zli<-OI2czdycdcfvD@_7DKFhgJhUO zAo~oOlew*Eb69KpT3a*RQ`=`446}6T$P;ZTJ=wZHed^4LYtR{c3URjH46PDfqDp2P zy)xVC{#r6Q?c+U%j1{o{9s_z!hbr?vN0Stt(??de+ze#v)k!|6c8s8xeG`2BM=N<% z!nedwr4vJh!wgn(ZyyrRc8?87;MiEmS0bnt49yi3$Za!=L_b+d9!X`1>MtTYD<*Z> zAJAmp_g~SEUfMNLMl?ANx{gRg)vkD7I~#KL0jDDimH>d_FS7E94v6s3@}`Y&M-gr- zExI+CE4HvdAB{WVM$JF^NR+aJOGAhEV?{9&9TaOviN9G}$MKY=h@|*9f*W7%W;m(h zXN+FFosh!Ili4s(1LGf9vZP?jp!M+q@F>`J}-q=Qesffy9V-)a?j~ElM#I zOf3Mqi+Io-eU(9vLCyIcQ4UD~1n`p~t5OGIhr4Bk3SUmv+F@d)q@giEha`h~qI={l zd(wy>pr=G1ISHYd6*{#}EkG}fMwM|F6wK6YwxilB6hS9i@uHy`A_QlY=54+Xtl?KO z^#O^5LLGF1>?hojsm>~=Pvgiy!T@Mt*zaBpn;aWM8~`PF?+~+9fPD#K8Oi7vh{)I( z*nra96k>Rw9H97=!EOLIBBA5sA7b^Mo;J$m#6as~5PhYfEmjwj4|jrI>a-pbfMwwK z(q|oak0*WlUZ?fFqJd-T03A%xS@kr2oK!2m{-m9%wD(w8IN$i0Fol{XRF>cr(Ky;5(=upY7Fke_e;6!$f*N}{~ zY;?I;9u;`tt3yj3Ck*L^NYk1sFr>oBF|ugRv(#{^Dio6^zeMUaw8C4YR%L{sp7WG^ z7Xgia$AlRr@&rTuHt@N907fG9if}uHqvTiB`+$DCp&yE-_^Nq%1T-}A!$mJ#23NA! zavcZ@(brbh6{r1#vpy9|L66ejb2PW6gyE!Mz5D8H3TzuAYbYW)waBb1Ue`Za?lZw) zMqvs)&^L5j=0k1)- ze{wPTYm0+d1zf0fwPWK3icw51hLpV zj1Kp1qAHWNYxa_|d)I@=82D^5yPT8Jc}@w&MO)bN`gqb;3si8>+=eA znw_GtZtv~a%#=lgqc#e*-xo>D!6(w!?1mP^N5t0e_pF6x6n?(jEmW}}oU*D-aXz1{ zt2@j(Y~yExwxs5M8++d4US-A1RTS)JmYs$HGjr)q!XV~-j6_$+d>L&t$G|B1p|RB2 zeZo>2R-Xct9~hD$yZ}ct=g4o;mcyz*7lIyd&M%ZiF2DldSxm9Ndrq_WW-;{1Lnsoq zUskZP5hYEYzW2$P4kJqRsaXS$Osy~+NeO#Kag1&>BIJleC7Y9Wn=?FUlXo5rnCugO zV8;%9TT*%F^Vc^>4s9$>@UzcO=BUHeo zQ#g|RPRWK6UDa_cMq1*Xkl4FA*7d~fXWDx&?!1>?Z}FGEliWwl#6Qmiz_rU2bj#TBiSU|9A^JdPx@Y)UfV1RfZ7ib!j>0Duw-h)o3 z)mF9FB=EwXc0oBWgqAEBq*~ty$B{XB&)x|H3t-fMcPN$X7bolnI}=GIl=ZHWcRQZ8 z?Agp_U>{ zW+`Hb*wgqrRB9ZD&+3o}K?MDLt$dMvMGuhfPNq;uI&nOfJR4oHqj@W=Y>ErmoK zk)A1oBy`8AfwV@tfhD>yY3!rTLR6-SrVI_@eHt2sVVsa+ikLn#niCFS>Q7%e)<+ZS zxm(+#M=*J<#z>g>QCdABKId-Kc%f|C6kFtK@=fgfQiF1pLV+gp`}$Bdfv(X&`8FK1 zQ#x$2-o!^9n-e(AY=U4KUPRfO=DW}^%cZrD09Pw|f>|QZgjv@>7$`PC_n^Jnu?Phc zUsru2{c7jeN~7IaoSfr%j8u??hBD~NU>Z?E-I=w#g5)2z^d~uPt2YnNg;Txjvrr^* z?iiHG0tv9u@`G8=`7o5iL3R(Ylsy6eN!G$e#>UktZzdH@6cMm47R~FXrv{G| z9zN%pL5d`)dQX-~&z|_=vj=v}c`OzerDl4lN0H(5rrJuqO|t@Ep{OJ&K-hB2c!-CO zP`Wt#-5-df2vO}RdeEM}Ae7bzCL7}UrMM!JWp+o3KgdYR+<&HWBXDYI+-zIWZg);+ z2l3ytdVlk*)poIChnQ|_oDx8R`u1Q?#8Mg}OBx|_OyB9`(}h0==xa0Zap~!!PgXM* zuvFcY(7^U9b>=p9a`g&Ed+bE{Y*OzhUFlk+*1F2Kn*`hz41`Y)BOD`U%(u#hd~PUU z)iuAc2r6YsO8R|tqk9MnE0MeV64hOv5h%-c7q4(2!bHZ`MY1n_hAM;Fi+WUj3cs#r zb8g@~FSD$RIjKdg1WjTan8qIySSLlP^6P7rP0$4x;}M5e+J#R@e#;y3Z7qjUxcWBgHUpF<+u4|s;8&N4$!J04C* zYRKYA_w^0{4^I@dPnHtrMkwRJ2A3@v`@|4Q ztCB3!pLU?v@T7o!rb|k11yC9bZ~^m!HOXLp1njR6?kv2s-VN4kOt+=KIr+q$J5njk z-8@yb77%O&?%Al7@14eICt403!(>XjZdk2Wyu#eYty%qEqTm?bA*#^#97MBc;MN=Z zie_^~=?$FIzHnWv)JggP1XU|Y!fC_uZSuB*%=*!=q)88=u2J_$3?Qj8O@oWS)A0~p~JEWKB_n%EyDjY!F(Z%B8Cvb%0nZPQgnmH8e z`DG5u361gQs-yG%tA49&!Cbv-s|rcO`|?*nucC8@eg05${pFFgG5Y0^)HgA7=6|U% z#`V8ZbN%I!{I@m6-~aGG|Cagp{rUg@=@$dP82H7&F9v=w@QZ=}JOh7TbNw#E{gB*# z)?B|=(f!F$$Mj8H{kwwr_fov9|D8vY^&dQvtlvG7-?YE)j=h(Qmm;vg73XF9uHAn7 z=DSY&?c%#Y`|aYpQ26cQd!N2tdS^`M01{aT7~38h!&?J2m?6gv-(>tEl3>R4%4t zq{X$gH#gV#&5rtA#-`!5ve7rNQTsMhS`8Xm13f!6Mpim%23A}qS~_YbTt+rpYC1+- zCORf+W{scb@;Cl}Oy>U#&BXZUplM%Vm~k0j?3j#njMOi+n;F>{sOf$O{C&RvH1MAs zGXonlH9aFPBO?C;7Bg>x}G9BX!%`eDw zEH7-+G0{>p;4(7M{R7$GSM)zc{x|2D;f30lT+qKEGt$z3@5Xy7=7;D2`DKj40V)6-MG80-HC{J-7M{$21N ztkb=$u)nkZvJC$5_Wmh!=zknI%S+VCpJAPziGlj1R6RW_JvIFc`M=Ep{mX9id)9w@ z*8J%y|JPXm8~AUm{}K3459a@I}5cUJk9Up4iP#>De8eo3i1Wr(xN*YP~&r80+7S zfg~z(AX%1>lFXN*h)vW?lNZ?Z?{2$Up;ni6%;R*%Bg23%VCrh-zGt9R-wvs zBq^^xRtml4cJv>q9lV92VO9&ib#0cPGatm+y3IL3uT$;5KD7DVv&mUI8_Y7t4C_CD zg2Vr$HPMz%s%BhDAw%J9gyf|@eW z&}oj-aKZ=*kbm2q`H1L(ih7&VZ5yIE)ggTQTD_kaqkqzu5eRN-SxTy%0}ky(I+wJj zut*e%SzdvxHZ*21rdzG7P7@{WXsTM-4gqqI5IiT^{}Uzbnr|6;78V1)+F*Aoh6j6z zW}ND7r@=O=XfY;GgSbc;%3Jt4l2)*bLLtxK2&^+PGKB=UGRp$1VyRJ<^ZETA7aGZQ zuJMsKJmlB+!3bjSbt$xBc}2w7^TbFKydEHO3y53kNaHbS|iu+iOU8T$++Gb zZ}+%?h9ijrAHPelj+-5xA!+l~>Lw>j4VcWxXZ*l(_`t5{WuS^8smg;XryDmQNJ~*z z0=YXNpJptVIFKpt10-MM^SQdc8_*o|RyMm@7MPLN;WMh(>KXO}RUwaJ`}_DNYF~<|vsetx-k^@ybQqJ9;@1?U3bygWBSLB*WJ6Nv zbwv4)Ap{9V+#w_Ix>5_5KxY|JMBaEfpW$%H?Bi#MCP7 zhEnDID(AR8uk}s3@GkYtdF^`dTPCOokp4yAApF$s(`ZJKM}3p}`Vaw}4wDOh6?x=(6noWbG>NZ7M!nHtBmP~HJ>~`TTL75bNKPSA zaR8gNv{tx=vn2zTl*2Sl-=VGJ)z91az_$mRZl9M_5GX&3tfk<)b@R7FmOA4nlYHUC zig?to)HFAusPvm)nY-I^@N8^YT#piRoJ=AMjOa_=U5PhN%133XX6j)Y#pdEdjqXZn zZ9DL%3ntf!tN0K={u=p!g~cWE>O!Bl)+D|t&CF|$vA4s?_Ygd z8c^L94iM#z8iF?r?-AA9wu_fq@hLu_N{dvh?VP~HRmLO{V99|31AA4=ZAByz7wmSo zyv~=T#}`*|XG2Q78#vNU*e!~LM$SW9A zUDEay4St>-0tiijyvMw$kIQZo`?irFwE!7qRUr_umyw4>>a;94Co``hv}jZ0)6O}^ zdy!@4nH#<}9M(RU{9qJf6 znAXJnZuz^ObMW+1?x*J87Az^k4_u?YFIW};tWs?{50Tcta7!951KK)f(?m5H{%MFj@0(-UN;<1vunOWziHfO+>m0gJJ{I7LsPc6c%*Y zo++H|P~{ZWShoIc2m}Zk2<}es!3KACg1bX-2<}0HOK^AB;0}R6 za1ZY85Zse*LXvyWIamJYz3*A;zH5D9C2M+ms%uy6uI{OxdiHPY*|adAFk5EV7a`ar z*E5w~69*qY>$aYRmOn=lSQct;qd^W^oVK>?I^kaB}V}7WJy7aa8TvphxbV5kL z3xgY|+>?pmcx1zO(AdfSF5e=b(Ma|wyqzb}*tbCG0t2|+kg$|D+~>$KgxGY!>m)MARkR-jo28 zL}`bHUDoz?LL#{R8L>k}R1CvYLwhH84;4D>jZKQ>jQqFq3kq$}{2;aDX^O>Kk3ap(M5RqPnYyt|Fo! zA#N{dsfoTNN8h13#!GYwIBAn3a(-e!r6;H5DDK-W4i+O#ZUIG=Q6%fO&f#p1MAP-C z$jfBNEa!Bs#Q(hT3wjBysWn0>z-?w_DKRF*X~`zu@SO>mZF1@!^E(>iY!=H83BD{O z__(dY&awPA#2;CroJ=FxcvF=gVc4Zh;+&W7d!1|5qmy`n~99bIN0P}b>-)qM)< z)3siFT6Z8UDL$WG3(GOd=z##QE~J<&D-vXgsg{L~(ktDl$Hd+wk)}0uXl-}doK*?R zCpvSPO}I5*<%~qW<9_!}eiXer8s?re8U0O@_XpLs!S|bVJQ=d4{ti|H!LByA^_lqo zYXl;OFTIz!*~&;*H3IfV?_lZ_=edxN#F^s+MAEfdWHqjPp$uSQPYo(wFx7H+E{WEi zs*s#S;^=twXobIiLd-e+G!U9taxxU0SdhEJdmlcPM|VgbIE`aK$qLn4UDb;{RTSRW zTZg3B-4WFiW=0>~>aFqa2r(+wzoK(5Zt+zlkLtkJrX>68e4041K5LQ8{ipOD|slx>18bJfBh(p_Sv|G01ZHHn?X>4KZ ziB6F7=MJA#NtrvaMN(xcM3!q|&=W)!jkc6-DHrYX7ZP*2jL^SR%B+0+V%Zn+jL7cV)RuLsBLY%hOQz~RKc#@-W?uMMic(>>i_=&l$~sJoMB z4rk>z*}KbjhFw^fh4IB;^1Kf-2lvWoVx}|jHX-_^wfCf#t;D>GLWSFQ<2`y%d)wWK9+ zUAMQQaN!e}Y)O4%=#ox%z%F-bKE%SY+19?&Qm^Y7)A02G#1rx}V(*4Hy1M#-7l}3s zlOmdzT!DU^%}+U2Oid(sD<7|x}o$50-yf0W!& zRdN_sSG0Rx#7%8MH`J-83okr%Pf2kv2+*NUW6N`Z5+k;Z@IhxfRB0*W1e_~&RPfqV zXPSzgcM=s7;u@@dk~mEXs~}r&i*8`OP96|s)$PjpsOuRks1{Ke@}xykBmZ**lff8x zhqN@Mc^U76Q2Cn7{jB#M_ysbBpokUQhJ6~+u)fSWv;6D1tD&~u$jQ$e<1)5qkH@s&BVmd>+8#m zOzL}p$#zEeqaJ*dv$`@cL!Qb{b*{5QVtUL1W32?{+ndf*pI)*(!&u9HfBbgks>flK zWc;J(n>7;FzzBG}LqdzZ_b<}IR%Nheu#dL!=@vRNB|{U0y^O2cXm|mESCkuj^uC z20SHejAb#97rAlde0)2ZaP4NjaN&iFQ~MgremuRM5^`cQ25RfyWQ@33GfLcm10cOkP<_l$3^lMX7X+t-K*71~wSNno)yun{N7UPeiUTG@l)JT_% zE;bj&KKW3At4&nqv!TTBI->6OnE}5>3;2}y%hw!YFS&DV)X$xQ#(O{Yr*{*oBGg{( z;Y5*JE_@+Tq0{ckRyf0==xiIEpz+b6Dp8J}!|^nFLtp>-_TWU&tSxKrGW(YCK)p6* z<%o6e12>N}MtQY-Kq3vUB)gb?adnZS=xqY5GFjdJX%P>i%c3u9g3&fY(qU`nMq9*m zO8y>_XpYURQ5>wY`O6)$MG8&;16VFXc!rVjPM5QOg=BAvw~AO3ZA)tN(#>aiw#ine z0R}d#6tL3(1Ke?Hr3)lUvlb45QEDXw;BbH&-;46T0+qOPiD+%)yh>x!u@Wa7&lZi4 zuA?2MPiC>efXRx>^a)y}@N`&shvHBSDW&UW@#MS~jiogeH&rG@S}gjP4p5ek=OPZ& z+Vofw`ggb{hWTdOb!zueRj<`a1(;jq<-YWg9AL)XBg8KOLBTjIg(inAa_e~ z7iw%p)w#-RtVCW)?(BDT_oLdbwH1zk1)O5Fudt>+jJv&br?i3d*RsNZTmxnk5FP|8 zOZ!%ie$sx~h_&8(V8N+jcYCjYEYo~Xy2x)=KIvcom1;7gN;Y|pTj#^7jwGchuSM1e z3-cXl%sY+eWN&rt$l~HW$&4%^JEpx>A;sD(M~ZVfg>PC9<2+03tRXNW7$R6RoXh73 zzrdDGfmyLK_e)M3z`C6Cd>NBamE|$G(qR3>nINmC0&(d&|0-jY`K9E-kmZBcad}ly;}KSm+@|= zZ7Upl-bi5`=9gR97(RNo{`N_f;}|^xyuN~9UBAer=oV>XC_~BK#MJ%HiTm7FUGQom zhS|2VOXk;F9(-xFJhf)qdvr2;t@gLEjIcvVvyPk&R0+;R{-Zw0<2%x-RUr}_y z4ht*q{|PI}2KXnxoU2Mp(MuUwy|gzWWMl`hd|&tbxkCFl(bB&!^y(YEG_~TUIBII6 zAT%}Prchx4>7&^Q8kv}ix!M{jxXLIRxSAWV8&dG{z;ZcrI9u9S8rka+I$K&;*>N~? z6YGHzq8f34w!iJBCnkI-VsFk(%>V5JLRD!wLP2X=BSIh@fYty2`hgPkb1*Y2fL-61 zk%kbYr@}xFY9u3o769O2V&ecU-Tn9@=7A;T0_BI`kQWm9VGZ<-o7lwO-iCvo-pR>{ z&WVZ6`WGuHZT)|mmHeeo^K(T1FJJ%rbjbg*1OMy8e|g}S2Yz|rmj`}%;Fkyf`yTlF z6xzrNG;enLHbDmcf!^5J+ZyRve%t!CYW`zU{l^0O&zbnQwe|=2@b7%xchcoYxxeev zumK*}#DAVof{o#USNvN(3AXR+`=HtxeqxKDboUReBpV3n`?2wWE&hkJBJh7iD}Ha! zk3Rk7w8fv%u=(EYA1(Mt(1nHRJBj*@mt+9ZfItu=`lo0U5Y7WSfeawj1@ywm1j0-} z?^xOBfXu%otJoO+EmHQMTL*DnAbX4tjbLPA`-WCAfEw{f>)%Gl-$vB`B;y9Xr~)G) zJMcHOh=GEUy#~FUkO;k^k+Z!Py@<7yJr@@UD)}F9Cx6e%K`-*H`tRaOBH#3XeyTOm z%h_7n7}?sJ8rgwrmlkAX0fC{Q;r#tHf!+f_WG?9aKY}XXFO(m>{nwxhkd^JXGC=zp z<`FpEvG+V}S*OJ&*LQ$+fePrh`>8#|oCV+l8HL`nuEcBjc%%35zDx@-LqQ z=+k-F+3&~U%*$9QbkfpO($YB%2CpZ?&8hBcK2Ee+NNL0pDK4fgr6_LR7^*AB3f90$ zKehvDy(k@@`8znuvl>0OU`hN~Njspkc{E_C9v|jj**jBv<`1WiE6WWV!p85ybUk;S z&h8yaAy->7A!v2+0#rDSD9m~O;DhfJaY3B5Os0f_hm=`l<9_;=s+2<)Q zl3a(B?$@5i%G-30HT2ag!g86$Qw$g+WYaCoAbgbbs%r~LCb0$0ff&Wn=?rc|vq`nI z?S@!g*`HTMT9;C!w3I$lVZy#;=})?LQ@!$O{Mq1c`K>PZD*;lR@Ye%6%{z6 zf*3{{r&u?JtK&XGZ_(raE~$R!bU1OewuVZ3Xy!)fMMlSVfQpvqmUAYjT zqlu5jfjy#3qeSlceil_Srjgu0xQ^V5mtjV>cs1LYrcVt%I4Bp!UQmA0ii4eIn==~4 z&PIss$7Ei^V~Tr5s{z0*G8FF|BSUGlk2NGVnusDoq-`W+^SenZdP zmxr~bKe3*dM3LVPq`zm5ON44Mg+ep8$G3H4A5s<=_R4dJ-{N3X^_i82WN3MV_h#KM zx(9mDjrKe#WE1a+c65?-He`f=jVzU2*HA8x+6*+LYy@&Ml{q|=kK1z{S(_K4mW!Ax z%ZfMs1370(z2=UTGwK7Hxl!t5G{vZ|N=*pzjP_FHV}<-J-)v{$HN#+cMk!;(*iqF= zcb!K?g;A7RpcI8%)ogQ~WShr0bwC&^4AGIzQ6-yNOX!$l-3>g)Ft?o9i2mGF;e_^R zQy(`-h>v7y!@p+ef>?00N`6j3j4+0ZVWvJKlC%)JLNZbwd5MqveR&AU=H2nkvumfD zRma+_xz`u#`-O#{=3dK=x9(}u(qg$=Z18_=?^k%Hf25!4+Cm>EY3F$3ZeZ;6S=B~! zv$txCe!#+C^Eut1-)1fw?^k1#_hUXoZS|^>D}x8N4AH1u;B6rg_Fch;6?D~6s1}nd zbC*?34W7M&Dk>p+Zg`6CL(mroU&nQ}I9B#lHTn@4Wp(=DQjnJ2A8^IvysAVCLnftj zpldx)(bzMiU$pIb!h_8sn2B}e7(rKOci~S&fyixDyE@S{k+-^_sgaaiGx-eFE3>X) zSSdYzf8L^Y4H0}=Y^KnCRwiR0Ga;gT%DDL4_7Ma17;JsJc$w8H#0h3R$Mtmom>qV%G_aN3abatXX8!@qV z2x;88w~dfDOokaNYvj&MsGBV$mJdI$qqoVQtCi!{1l*@ zQ+{mV#plXTunZA~^#Wpszzy@X=n9lFN8-&RNf&#QDWn!wUAWEqRAlN41aeBC>vZhv zoMJhpM?NB2Pb`o#X_Kx@0`#QNodRjv$FzrpEc1tZQhPX3UqS>uIpv30KkaX zh8T-@QVMp%58+WB<%BF^!ydxK5%Ffd5Bu`*iJZ&}GwD-#%ukV0xUwj8N_JX;^F79-QKLgqyj_SY7JrN%8gc0IgAbT~w=zd9m`5BSL?*syKMutHzIJA8TrHUJ+zW3)fB< zM;Cu3g;LteuUEe4Yd&dDJW zaOQ=`XGZq9^mJ!xG}FTm5gpu0>*%bzu#sj64-1!Y4CLv&>Bjzt zyQtoH(L0%mh*oP<+?AqJzPwBV?rA;Y;Wih0@kyRd1u9VmChhSa`Qld`^Vx2OY4{gQ zyo|%E*HaNrR|(}ObS42!hO%QTd*avimsKkaGREV}j-;~}t6P;iyKg+n*vUt#t=xC|pX++8rAV{bkyY|sraHxrAu$!p(E-=BV(`l$cAc!%A!~5T(!ikYtEy=MX3odS z9B+`%d2$YJj~miCz5DHI)RX5LW@*Y6F*Jm&Y)FkSM2=U~J>xFt8X6KkROja`210l9<%$A$QgDg-?{*BWTjRXak6 zHx&4})RDT9a7UGjPRBy%8XUypnn|o}V%`$8`qSDYWFxBOZ|IP`#e$*ct1K<8386=d z_S|mH?Ciic+97m>4U%wY>sz%Jtvk==2T0}ovwB5pmyttizHWF<6teR{vw~loHN$;s zxzviOot<t(uG#4F7JD}->U;%=^t(Zzcp3tn=w15+A*mL1#jT$_hKH> zN2;DPO8Py%mKV9aoD*-Bq$uVRyRoXIe>@+txLi=QZav@>3FEY3ojx}dH>{Efe7vhu z#5_V8<5a&fC)wZ8qja!oEr4crezSzTha>BhaIg&mDD!$6*~H3UGlR%S=U6KBf83t4Xr&hlEfFcb;dCGF2+KhKwU~jgfBzp(zQq> zbys?}NRGHgjVdg2{zSvUV@qj#d1QjsWoKN=;4XLwziMyuOi5NtV-k&ZpoFb7yq=&6 z1<&f#(Sp>1e~#SIr_rZ+pMO0I?%vah)q;J!=zXI#=`q}bPL#Is2|v7$6+M)XM;R1m zeLg~s(esztdx^&>Aun+y1aKq=H1D8&;tFl1b=rR> zHDmzHS{k^m0SauR2lI$ThFUe_0iW>|1sYfue3U;D2@ry&G~{+7v9J-f%=>6;S)pEf zK2I0$UZ0o0%ICs6QIaLA64slg6uYsu3L!xHFx4|4vEI`J_vwBB@Gd&cR}!gLv6)MV zIOH>K-20mawg#jlj{A&PPpPhCYhWQDm9EmWb1l%#X3mO(Sx5Xf{JdOb`sH|yF|Y}s z#gd_ForvDkVVwl!Z3Rv0lBDXBBXN$sp`zB+dJ`i{`gWOe(@L<0TsA$8`>TyEAzY6l zH)b!QhMaUjtWmV7ryJ{1;0haaz3}ulQs`p4Pu14Ndw6VFsKaulx)2^#ln)08(YV7L zA8DarP9#3QGM0{@MhM(vuhdtKnP6!Yb+aBKkj zhJc{bYd^VA`#+}Z{R_!(P zrb#D>vo%gzZT1(-6M0ppICeT-2)oNM4l(ciJYi))e5M8o>HQf*N^V>alI+`R`5))BFkR8=C= z+N9;>SL3aM!Z*l>U=i%-M>#|>d2$oz<6g4aU6o!&5x00F8ir;}fcT^>Ou9Esud7J3 z2EW6AI$AIAKsPX?;&6w3+QqHm$d*HP};}87(G>VU^&+| zu17hjRGMy9;H?agG6}N~-O65EYT$B)1b8}>CS1d0#RyH}| z9|c{{wF<_JYw9LIqc+ypPiIGNy)u<0szKP4ns8_qLK17Bhpd@x+>otI^`H$rb2tu_ zo9Ng&HE89n3J_GsA3iK`E9nFW`#9&S6U6j1WY-yhI?U51AL>E3g~;o9r8|@+`P{Z? zV z@mgeAfA%heuCG}V9_GXNg_gWyeM_HQR&U5-ENY1<>;#`}c~sr1R9a~W0p0CS zb#O6Be4e+N!E~ZA7N!z0E53ia`1QOiK0qdG-(*2ZZx=c)w3bR%=cCUd0j(K$(PO4wZU_kIg1h^y=K~CVua+&&)Je092 zaSyhFT-w4O>nX$34RsAAN-$N8JqX)wR_N4&+cvC`?NeR67Uu+wK~|Ga@u%~T zFEJFgogEJ@5o8IJor=wvP(p-XPK)KJ-hq7(ffMc2=_h4gjPoFQdxUdpRojdK2^_x% zZ`VoIq&c)za7-?2a>6&4bF$xJIEwigxqfK8QCO#-8+u&RU4~L30xOt1dwe*PzYrGF zGQ00gglxIjDMdI_JqmdREhJElsmcd8Qd8HWEw0K2Yn;~NjA7OpNbj+T-0f;FTNGt3 zW|{2X8W`i|deWhK?RLDbQF1>lcAv`1Jm|JI$aK1EUEtP>(-Y1b*&o)hYe_-Z1Y z!UQIAuW_SMI|Y=|O3T*PqyJ6(mHJu>HBNUb^y0$m$6{)QR@f`OBS&rn-USPFA!;AMfF7v2Qp~0=d<_+kLkE-MT>P1mbDwROgz4vN; zF!WPXcc!98Nj-&qa%8nI93MutovFw1ijkdnSb?BMQN(93$M3tEXd5}}l!+HK zF8% zbcu~U8FifNksRZKw09DNkBAs>Nc?dyai6Vxj+F~JHMmcT(24B6Ba;7^mAEe1U{$^< z>0Lb0@|JHjWdDRc$eQsuYxYLlaji|u@!FBlX5xTO1>1%GlMQ#HS-+i%w^AbY=&?WS zh@nD+A(p*U>5<_~AGGQOO_MslqTG&uZ{8f+oVwVvrXE4^s}Rwe7L5z}<}-LAowd-Qj(lpo7Z!8KU8h~Lc|keQQDQ(o znMQQ7bW}$z@i%9YLgWEC4)6r8SN#_5E&7sYEd1_0u;uUq?pRq(YwVPE6f;NEvo z%Nn_6d{`|E)G)&@?hbek%X`ux!-uNr>uY|X1%dar6S2@vi6KcfsDH4mZzmw5HAqF5 zn4Se84Q{4!M=Mr&%MydKoOI8i@4ZBowkDd^vu-5&1P*?lUb`?M43dH_>U1l=nps~} z>Y}OqPuCfcXgcK1lK6FvI`oDRGq2I!6K8=7%G*OfMfWU)!|TWrI%z3Bx>J_EgGkF+ zly2V;mZ|k^w5Pd?Y(aF2>Zz=C65x6rVi{4lF8HxanbEtR(}31EbrAlQ%bA4cC$CoQ zhI>vCozmqrL=U`2I-^v)BKz+RODheQKl1N)wRi&y%kd28pQqL^-qR2yWxDr)`i4wGfgHe7K%-sB(MjqeCAa>vRlvQO?v)vU=gcnCep(qHO(O$RgGGw~E~@!56gh>@nqy*p%Do{7q(9*$WoPD)1-Hb> zRk!Qld^8ha#)skt*Jw$iqb0SgN`ky5M1Nb;V)$f1_yzVssuLMg!fBC8+2JbK4T;Ry zM{TDpx*Rj63mJC}Nn;?8$qw+cLeN>4EN_&G0$K!EiInM%J6$lFZH+fO=?HB&pI6D^ z6RBKVdo}O`s4nBjiL0t;O9LN`kRsQ2-;;l(+V`xfrTjup$?aVcr{V-&3DxZ$8PexG zx;{xGc2@6NnY=(0TKOl1z}juJHuN?!1s>GZ zOW9gx1}nMcCV2AuaC}gO97`+k3uxt1gQn!4tD~Ca!p$ft4(C&&H3aD?5Qbl!aj{;W zTvZ4?_CwcQgQ#DRiZ%%1&iPWr_H{EkXp53r2W*M{aFfgY+Om~qrT-JThx|I34a|#z z=?vM{_VE2mw%epFsf-Wa?7G`eZ`t1mR(W5yyvlRa{_rl_pV<2i{_&0W9az~5w~czQ zV~6}rRN0qgArgB+SbLLb*2{wkUX(u$#)SS!Nn6wQ62r41gwJ(^xBQJbQVgfjzM3MMC`dn;4k zH^?6o0DW}%_^Rj3i;|y^hEC{(>S*ukrOjD7z-oOI)`Iy1SpsTqsCEV$&vR}8m?9g% zdQB8_bo$(qkf|#;?ia31Es6QAicZZ+-<|qWquhmTQb{?$hYwHro9FFlT@Zp`s${&y zo{%$Lhkva?B1i`#&9KxXa>s$`o(ot1LL!*u`V2DV)h)>zJPACUcex~+j?^e|Jr((% zJS{$Gr35eH%7=B0M!jaA8`y~bX#C2qE#@T$K{f(6lL!!e<*^?N_d9>l%|34CNBzr2 zN2L@pCOnJ<%{0(2eB#GPW%FfV;bRLlo}2hop0Li_0R>2`98ZS0thLp?w&gx4j|IMa zmT3!cqr9W?Uin~6h;$gJPu}9{AMqsA>s7eg=^2AZ<9o1sH+I~3yc!W~nRYBD&w>kX zLQ?D4(=T4-8>B6Inv2!0ykKQhy_S4ziIw!r=a2Hc7JMZs50fdpX-&spiUPndp&1bD?5^JTBSx+4=pI;AIs*mC?IhdO@=VE(UR z#_tt8jMcxWuK%c-Uk&)>fnOf@<$+%w_~n6L9)SJxQ0D`X_5(Ki4b=HCd;e|HK{kee zA~E)BKL7vw`8*RX6F`xHfrAP3kA)h*$N>O6JdAvs{R@KlVSA(B%HRLH(mzMvpDZse z%^_rM;PCAUMO=uR*ulZnkb?wkP z0@BK)0}#`Hiwvay5gG^zN~Hf=RN}Ws!~gEzuLk_`z%LK{^1v?-{PMsr5B&E%@IRQ( z|3(7&=ZxxGoXJD*)!&1(fDZvze+#MkDQM5e_&pBmpC%D!V|)ms`FoHSNPYTyBo^b( z*ep=w(T_b3u~{I)Am!j6dmbWPzU_I47yGvH&?e9Z(}U4(8xID)ZTzg6>1WN~70SPT z^t0yg5l`Rt{Hz(I%l+LJ)6begIt-va|6zz2`~NIN?7zYGJKMu4_{*(>jDrN;8CjS? z=0HJWfNu)m-<$Hg=&*;={x6MxA8S9f{6UQeo%cV}b0#KcIyOQeNYx#bsDO!?38cPE z2>kZ)JF#;%#(x``_D9>yOn=fgNM9b5M*yU64$9Wf1d?P160(4D3;e<7|5oe#KQ#?H z#ow|PfMVi6-DU#Pu@ka@0^|PJ>)%}F|2WwGX!=ij93*WIx?Guo-=y8YDcgf&sF^|H z-+$=zKUvrN`!N5b?1_dPk-t@yg>aQN+KO7FS`AzZrhsPQI(e}67;$Lq2q1&L_(0AM4y8SJw z!5_Q*?MD2UoBpn=4!Wv9`u_|7(5U;CSAZ21f%?0<%EP_?ueQwq%GCf$9>567l)?aD ze~|JA>H7nIAMN_^W%I-GUmorI|JGLj{zd!4{{JCubs#8p3?myzz#sIT`a5m)@6(1K z4gS|5tIVLg_}`?POcp4S99B|w#>E?#iI+tu`2v%SHrBC1JZIvJGMA*#Ue-; z{*7%tAswzPNt*DlwnDKz8n3wB*wbZdA<~mAxRXO)tyMN8xxbf=4VyaAWyIVhimuCZ zZ%ebxVNd>ygL6)&fz+q@ay-K9^HTO}O_O*W11dRY*LFDc!7*X=n}eoy-2#sSW@C3I zYNDR)SDjP{r{d2=rN!2f5Cj(Q^TpQEWdHTO zFfCZsHsNi7`(yh8J+l|AuJq+VeraH&SeXMB*Y;_dd~)yVs!k+EkOZ}L2Fr3xT*Y=O zmkqR#aqv8b%-hcDr2JYXVhOY4=Fbc@0UtMOPfUcxywsJjYz8ad`AqYB>H$>uaADS0 zob^+=GQB@JCe5{%=o#LlqW>>_-b2VfwVeSWJ)^pquD`!gVWf% zH`qxqgVfdlx+&7mc_9>gD_AS%M+xNqn4tyMnMBR>VUL>mC-fgbPFvEt6P2AHr$3fZ zsz6ki*5H$`BF1}RdMY3ANhq7R&sP0?P?4Rv8ZeS9lA**lU#*^WE^00uQu!_8$nz#z zofy-CYVnDYxlU+WbUh0{{z#QEKRRq>vRRo~ zW!KW`<{L2-ia2St;7)GI`KW{-0|R|Kjcx9rZb6iQhDUQx>;11;9=-I9S;^H$Lv(4) zk@nF+E@j@Dhu_DA^EN|nR~~Zq7e|qfWg%8VDSBMX9rj@sb6L-s415yCs^8~|Ogt{m zFqcF!^;kvEN)}0)ve5ufjwx?5B{{YrkxRx3Zl}tqZo@aUWbW;`zKJ6^cun&WUx!{~ z;arJ&HcrpeGv+qSC!}Dxgyb$5_EBQNQFsd4?`~j4-*{2qc@(F_mzRC6!x$jyl3;%B zl+=u&AI=n+*og$2{dN_4!Q=@6YsB2dnzDx`G3lN~E8ddqggeLQneH8Tg#c*v!;?X? z=8U`5iHUPKB{B8U2#pr|EbXPIBFX0~`SN#_L0+%v-r+Vo*3J}cT=>qH^9}+PYSdmC za3n4a=G6_83VShK5QzozjVnsqq8JL)QC@R}hx z8{vb9I`lp<~LbI1Kk9l21%Bz zRNTQU#rg>1!O(fZ8WF435J%($gS-|{MsoxVrKGynA)$a+3uG&f%llG|DCt z;=?}+4zYq!X)=Yynr_-Tc(@2AYWw3l+;kf@&Pqrk2wdC*M^Pl3-b&?O7hxvvNJ+<@ z1{F=I%yKJS?M*np>OXPzz(bO3PiLl;yt3hT$x*~>r7cZE6_oVZCJ{iQINsN_%cK87 zajcnG@B1>xQ>nvF*tv`bWt7C34hihNn%Mk4z#Vt^cv(>&+k=Maj=aG?M%i4a9p@?( zS(=rPS*tOyb&I0=sTQ87eSyB9u*PBJRs>5{?lNY1E*+6QG_f(&uz6@V_S%Vhm_m`3 zeUVYxv0$OYNN!>Ogce`^ylYvk7q;nQpML=UhY}t}Y7T5)?f@~nrXlLcBxxJ{om zV1@TUgMzk<=DLYRK$RK+8A?r)(M8zyxJkRcod_*Iu*Jibp(ChA7hOU-$jKp91&E-rH7t7H+&N1 zF;XNcv)(DfBFC#SfBKB&ZCV$to-UEgGBV?;IIBT*ZcMA>(}qMn6e#5AVsBCHw0jzF zPm)EbRspS+Mi;@>VdTC~Ua&hB%r}-`IeyqxNOy<9G#rMfYOXli(_n=z5f{--w#$O7 zYHk#!NIXDsPK-y55d67(L9}A7EgIT4Bb)e);zt1MSIl8J2oj2ApY?QQULI{JC{Z}F z+ePSuA24d<7W)Z!8ug0I5;JO-vVe^$!h62TJqWIDDiZ?--s0FFg{{+AuxM^eI`EhH#OM zi><>$YZmN~tUa}Q&vYhyU|L*Eu^awk%FWG-A`cP0jD-1SL=)*Qt>qdX8+mQ<^vx~` zF-&_l;gEJjg8^-P0Hsbr5tfhq>`~KdAJiKm2t5UeB2cuxSOhT0AQ}_cr;dnSJKzxT z)EM1D-DWy-R)CG+Fp4(l>S(4IYc-`V{OsI}&$RCf$>-&}kx#rB8RSIFScj2uz~?@4 zPu#3&jYf!z>Z(oR`!G#8KaQgdQD~tIr!3q}=DrXN&K$9Ma}d%f+_)3lVke#!*W>Db zL1`H>lwSbZV3VK#j|23tK+QnYe-t<&^rDC#PgLaszj(v7NwUSiSt2m(F37xVQZz;{ zXd+NzAVFfk_Cti&wySkmR!_*tGvv(4{yA1|TJ&nYdioqHcFTC!cmk4RL=v~F#2}v} zdqM}~J;f&2(~7uK8Oq4sw_wvHkb<9+tj$Z!M>$5kU(3^@g+Zo~2sEVg8Nwj}!i8@f z(4_17*>GD`@LvtvCy0kic8miMk6^EEFmbLNrK-q~nR*+$3yWORG?@#{jZfvGF~yZj z3!aDU7P_m#`%L7V;j&hHr#85TKm^8yX%$pkqX|N9P!{zNpL6L^kxjf=yxe$^+*jU| zx9UM1s<-C5ON6Iz&8Pd>hT_UD9lKhgla(O<)R3zXu$q4hMUu7bAVph$8rmHX_;BU_ z@mWu=BRiKaS6-G1;uFg$*GKoxqp7jlmRwRT6&Gtym7i8ls}EnAvJH{zrs=UEsyi%A z+dPWhD$P)rxy-bp7Ub;Ks;N&BWoe`xdadeaTyXOMK+dkg5?YI?IM}jt2|MXwf*)%?e|e`-1yDP(wqw;Is=l zW4Ce%3Ml`gF00SIWnq{B{xQ!+sc95^64_w_;QpyDqR@M~VhUni(MAg5<+QsmI--2< zmZZbOu^GuiHgChc4CREyi9W~hXHE+qXrRk(`nLu1UsoaY#g=NessZk1jW_fsa$f{eWKur8E_)*Vyg>wC3v!L!Eg0GN@oUhQ7pdSnG=ez3oZ5uU+Ju%b@5Sa; zflR^>y3yTzp7GCtI$rt;_on_Y{!hadx>*+BpWmbr5`># ze0T8UY%&0*9enSboaKTXwh9JiwPw*|>&pA3l@?B&jo!FYdR+;Mx*@FFRQ5JC!WAx3 zq^`w_-pqkC%y+_)6BPrCH>ZqlqQ=X6b`)~(sr8@4xnBp9ajm$$I1u#$b)Id5KR$=_ZihF81D7!a}{i_&ttOHoq2_fHL?dY zm5HboZM5U=kh)GJLd#dWm#e;(tG?1Nv2%4~ypPu&BS{T^l_5Yebb2(U4_)Li;?yfv zPH+9mOr#sq6o6;EOi7B}lC?x#ykEYnN7+(m87#V7y_MrU(#Eox(qfYQOck%ebZYoB zN4KfGPk!VqL!qT7M)iTEWSuF|2?sSpPW(V(InLXwJ73yx)peem(&HFQwbENopZxK< z3+SU8L3GJCd+Hy;D&ar^^nspLnOwn!vkooL=jKIKp#Mzg#ZG7D&!jnT?h&J&Ds&53% zBH|FKHR{q78Z~6<0&gh0k68P!Nm2nhuKW|c;vH`OP|(byAFd*1KCJnwN9;()k7nWv zM<1IWY&t8u-I;xLMw6D*(fHIE7v?IVk}k%7jb3%^73ennddq$JO?Vz*CN+_etLi=A z`3xG5u7b}W<1$>x&|e$zUY7->5~s<%K!O}HYG4QhW7XgRXj$ohmZC(}ZOPt}dJt@v_nyPkGH zrA1l2HD?;mZaYJQ#xPxaV<$^(IC=@!#?=SmJiP zU*s#NbdFzj``#}KaX?L@$P(_4441U$A|oSKzxlG2G%s1k?6AAYMnL&YerSx<{T6~- z3Dc6Ee&K>6=xYEJr?s1%6Hmvwm0k6ma(B~*W2?O;zq%MQ%dszPVBU3iJS$nj9%?89 zUu3<&!49LIl>f6(3D8f>|L`N*FSP3a_h=O(Er3yx0l)!Z;{Y&H0~r22TJ`Tr|6BX-iYUqod6_ZO4@qK^E>)%^0nFAx0kz%LK{^1v?-{P#WZ=h3Q%h0h<0 zoIht&-_}DPSf$^hRlixT|Be1N2!#NxoBj*^Yvu=V>hEY3^H0hNlzIF+)5QFfa$^2T zIWhmFoLGMHQ!Eclv!FJCP@(TfvHawxK!^iq&z~fru}p z77&mDf}B7d{@pVDLsNgam1< zzu}%(KwQl40icI#>#w%V^aJ){{SEiT!uAi`)5GQbSKDTP0DxE+e**x4blm?406pA5 z{&LgbK{NK>a!)LPf3*GWp7q1_UykAYDbf9h+wzYV{9gibK$srrCjamq@p};G;Y;R6 zga0*%!^qCU_FE7~UBeou8_jd8JfVAm5O>hyB^(7GF;-A0rmgv;N8l}=0(By-Fx9$5 z?N^UBJL3z17f~BPM0uE}mjmaTt#&hs+&6l2bo84c%3N-a%(wOnxGhOKbW^8}4Qj8y z-bYY$M`x#No4;yEzGF+q9W)j(VQ5PDB(PGBPOhpJq%OV{gH}}>gc2WJsMx1FP0Y>C za0|GuVRI=BqZ1_4%2Z-MucYf-O44{C<6BZME}Cs_3b!WjC8(>`l-@JPFN6<0y{goryk*RyE8d}&Lq{QE#h zn+?H|kga0l_R@nm_6lVYOIpl?)Dh!p{H9UCMGNr{9t=7`XP@z@(M}Iif*!-%RTEl_ zlIl0jlesob2Qi{r!{ycHG7H=Fk9Q{5ksW<;2YUDP1;X-jz?xcUO$js>m1R!@qeH}% z#dn?fduQhly6<2XBJxCY&zxO%4__iJxaq>A(q6T@k%e{J#>TgknuGD>;Jg$Q4@QL~ zDX_huk6Pb0tg3G?n=EB>b+LFktF8l;=)xCc z)D$p6>LrYpi9jiwLid5QPpYQoNK^u@S-VurD*8I$q&{v&05!oJxl{XU)p&Ii;!znU zya66@3+a!vO7F`H4G+emMm6$C&Zb5aGD$)vYjhRD*j*DIF(!2SuHN|{6eq#!1G3%x`~f`3u$Ap&lsuHxI#ORaYV9AhH5h)b$fSK=IujnAAS5@= z6HREQFEw^Ur>3snU*t^%9%@RPYP=9-MxBSZQ%tVmRq!!JMJX-Gq>_2S*d4t8u9KV#!LesE2AR zan({NIL~fHbCFQ-3FPaez?1n`(1)g|Qx+Jc%ON#v`u2~*w& zAT}UZk(%rsK()?MDnxQI7P^i!cC_IiFK8flYY$dM#%ZjXfJleRe;$M;B% zymNioM@BxVh>Z?B7hXry(@SSf^rOp{6U?v3?d&p@iQD`N?*%^E==SeZ*bVpIO$opl zniogLb}p`EH>fFi1*&3*3!V2Sju{ROHSi?F2A-E?KwQ%Fvmw zUX8zowMHIMY3UDL=*ItMbK~M!6=s@q0ZR-)Qc3uj209&5Id1LCYBDqgpR#~%Wk8i zL-&dC4Yvnn5+t3e1zoe;?t2WX>45<4!bS;4*ZZJ;|6(Vy*cZuYopAUvFPbGbM9T!q zu)h!?NE^rj6kchnCsk|)ZS~iN=CIkX=ly@|eRW(_-PW!&NC-%$Gy>9Wx*MdsLFw-9 zl$J(9KvKFprIAKLQo6hQZcyLz>UYj}eZPC&d%i#XkIirIIo23s&bj7V&oiE(5&KD( zH?$ZH2|6UTAA{~g1v+uL%7Zu;$O5mnNewEKaJ1&j^KRO}|ia8qJJ~#e_ zbdhQtS8j6BH>keF1?44qdt~nHVAf0Oml>}^_z-qjFu({xg;K8RSCI6)p_!~Taa7E5 zNF?|ud65m(Wiqk6uyVg}Eved%P6Iv_2FFy-EM?off2O@9w^D%DXR?Y8>RbYC-~U)P zzTjMDwrg!6V@7x*|FEP7-nfi2*4-}`-h>MMm7AL+%)oYc6r-(kaR8`h>)W8NLpLn9 zN&`Vbrq;B`4Ruf=5qhaPmBuLA#d;28`s<(Z6-{Og_dS?#B2;5@|?99 z->600?0B{b$z}?FwjC>!E!g^MH#6I?gF~Jr;p|FFusb7$u@9ok*1|r%4 zQ~EI)tM>e1k*beoNS=D1(j`y6U159WA#$j-#^%MhrThU(z4H^}5<%w7aWW4L&7y*l zA-Z zyPnj0DovSIomZs|Rx$*KRU5mvS{FohFmjw9dVuoHY_xi=}gM%Usr^ z3Ni;i3<}}nzK$wF6mT1}345zcl6X5AE^wzix(GAfP-R*OZlUt=$r}#VPqjwfc+VlI z+nubab{3uXLEz^S(L3{QxK{VZ$*Zs!p>HwXMkVWZD_Q3SraD^_96@X>~7H9%LL=}W?! z(*}NfE0vFt{eA0(y(1;sP-aoG=P3x2)LfX8)He}>C!#t5_O5I2`fx+pjV&QVXWUIK zTPd#oJS0_lCxmGa-AD%_q~mN=AKfO6K_}G4H(0c-ufI{AHmxF2uz=8j*#R(53EEHt zY9zMI$8OPfxdPEz2NI{2-ytb6*8!4wqtX+_PHQFUbmX~umGD_LMgMzqIg?8*!U z#p``R#rx;B0eOj)X^_<#4sX3fketDX`IHXBKjtM;mL!jeD3yOa&P;8OMHerwQ$Gz@ zAb4t1{VKx*sn#PyZ@o6>W#xvK%(3u-U1%2*O<;|~l}CH_r_ez?Mq9J~&|@vJ1p+hH z_PY1W*o~4f$wdh}<`)u1=i2dM-ADo=pla#|=jZl~hS;@1S!Mgzi;A`IsVNx=%y*7$e>(9s*bDpy=$Q_%j_^&YYqp0i+2+{& zs)NKI5xaU4^EMf6W~tXnS6fTnXf!#vo!qJHpON-gt-kk&%S}Ze&`OzT79UO*W)hkr(-p%JfD6gO4LS<6oR^kA=W%Kr;L3dl;m=s?}_vZ}q7TUgQ!h7f zcVGU{rYQ|QUiiZ7m3$!g#BQnvd%^&| zX~^X|4-wb&?!NwNZ!?FEWiNNIVj46dX|u`1ExE4?)680il!* zEYb$MhWgXNVBHUiT(qCrFDE87xhIp4heR1&bND)L?xggNdnbqg89odweEQ=#==&R% z-}vxveE5G8KKxrs?|+Pk{`kZH{2TEfujl{&r{59y9f98w_#J`Y5%?W}|9k{~9Us1b zAOG#$`=9XP`_ucMr1SvyEsg&G75)$;1{y_4NI(*=8_we&q@R13qR`F|s z4|G7O2Vmtj11sx&T{t5xHQf_NI-vB#k7eQa9{;*=AddMf$R`~=^Ib7GJuCCQaUc-J z^n`&92vGfG{7=~aza5hLh05^zdGN>F`751}xw!6H693EY$9KJp@28l&Jlzvk*6)7< z(eHZ@`|GcNXlM)o>MH5rn#*$=@#WUxQE#v;e@LK&UM>GYf2H}y8W6dJP4bK~=^&*K45K0{TVVo7swjd+iQ7E4gY zLIxo16kG`Q<1}4!%FziXdIXHx&a9ubpQlC+v(UXo@OLaz4Vi;;f7+Ily+@P~-d{P7wG=OElmRH#w&uRA`Rl0ec@1R!iT zWja`?BM`lPS)S{_7{i|`>zm)rD5eU*xM9%&rX2tR$svyt1F+Kw?(we;TW|)jVC$OJ z%sQ)#I_JHec~_=3LH3!TB0pcx0}-JXM1UPqV5-Xp6VsioS5vUSb~&i^Wu^j*V4-wm zUi*OW$)J)~P-O?c%mk);w5(mg!07fG^JseO=+TLFrF!ttkk^Uj6Tj&qFp`xQ+9q0p zX&IRqz=9Q$FHK@b8<*ituSh;nscPf<$LkGT=q-F6vzk-?~W-<^~8Ve1o4C$!4enp!dBkfpTqch)XKb(9qfnZ8lJ=G63OfI<4}eo9z?8U6Z_2yZwDb7cKZ{5 zbdj`Bwi@J8z0Z~fI^BWezAO?d0nM-Ke5(oKjXG32PZV--+ZNqky{sXu1gUO0FaeQ* zjjV%;P-l65QOf-EN|%v}I&w|UIvOXJ{)MVSkP?+BmYf-5x>zb!TV=X?aVP=;ERA%t zaoE%oqefp{THlx;m}3+VCR=ujPhM_ut~@V#7YGBH>fX>x>Jg8>0bcp)+fbY+Ek>i& zCr`R-Bt?{MheMOp^Axb@QJg6%04T)JVqx(~8q%V#yIv#9kwjf)WcqoM9b9*?&f(yG zxgFC-KruOa()6@PbHXMcQor6~5U^o%n~L5#)fqf>Ji4t~U;8W~HMp$obA|E%&FV)R zx@SgY_08^F8Uu#AZ*96ICVNX9JRGiGVt%Px5XdhaJ1nm#ie0dEXK;!sf2N;3QE-UH z&yRu6Nb>pjS~63`((CCP&9$nFzC=8fz-llX@D_cUyz>pv5`QzPRbw`?!%VF z__$j7cGdj;wtepKM{hkYcYS<(7E?-EGd65`&FnR@5VRTO%TbD|C8uY5CC|+o7FIVX zIK5;yKJ<7D^o{pPH{v%SGApUGv7=g0pVSz-O__nR5u$^r zWC}{7F6(qhx%TI_is40Ob<@)_50e9+S5M074C#|f#`;o6i%fjmxoInX2Jo5Qet<#&oS7N70V*OY`Ve$_9na@0Q8hAB&il>C@zl+XYr9dFrB@Y`h&W6!&F+ zh9v7jsLA2+)^>>r3+usfFht?Q!#IVb#B(AC=KhOJM-_6yMT8DbG?MjCDx)X$1sw?M zRV&G^{X6DYqHhEorRtmmw)N}7i}V>v<>r4=XOAVHU|z!kz9MXO;|wEUEN7203j8yxC`53vSLUx}tpXi&J}{^u9oM4l&M z#4SsYzkRXM+CM!o#;NsM{Vhm&a#t@}wEuNz7qr^59jBzkpH zz*|LqfPZPt+Tv`>GM*9j7=yA@2o=>NgE(@sg$Yt~{XqfF2ShPmfjW`8Bx|14NQZFJ zralk>E2ocZ8bM{7pNmxDpJiKoE^J|W7Fzly$M|Sz+G;Q6<$!3AnALH;JS}bz&1-JZ z@h62cmcywz7=pyuV9~m;(huusGV`|?o@awq5moEGtsKKqBinsv)D^1e7@RSxF>JKZ zKCja)HhMzP%kmEI1G{hbHu&z1RmP&fMYu5&KSsM7+{0Dx+AvxJp z`md-=r4=TQ2Jo5*~{5y9!1PKC%KX_TQYU(kbgg9h?1iRl&Sb!*yV=2#zKlNQCNyvp*-Gd{t3$XHFG7iY zF+LPH%JpGFA)L$}$?Lk5FGvIZ7)sZV8?DZr%$}kC@}&}I?Zk1Gmi?EB;~lWlR-c#S z^wkMrH(a=f4GX=4tT_1&>qy&6A4ErniKOSKN4ySr;9bS-0N^_1c~W&K61Z;9d;4?r zGJWb2wLaIK#eg|PooK8)U%4J@xqRX7-U4gVfE+xE?XK0UIe<1(Ht!F^SeyX2`B}FG zy)L*kQMi2f+2Ie$wo;!0 z3nQ=y^_nL@elQBW=Y-0Pk8EJDgdmEimM4v4acu?NDeC|p-j<#5wG>i4j^*=i#zD6Z z#=&{hi4;h-bmx)giTp$fz&mKu(xF)NW_pLJkzNHZGKw`z@NFpwT%qkFVR##|IhaH0 z<_5qgVPQzKZ9E>xXXLt4^f?K6Q!8&@@v%s+EFsR4QcZF~a2fKuKEN;6jz~#()Dw`b zhlh(_ZVNk<_(-+5>oofnH*zDU7V*WAOcvzz-#s7&YPm;^s|?u(d&n3DmgO#S_} zfh;PP39?4n!-E0aO#St%Pl@6~+pbd7qZUsoPf>DE-0+4|#8fWecsz!a$$QB7GM<~^ z@npcQjgyhcZipg2eS8J>DjKGQCc>CI*`kRmUJ5jq6NcE*2QI#b0&H6`2%7^{Qg3as zWi}}YP;?8Ey`fnKY??di(U-O9e9M#=k+2qi-E2}>*chNyhFP3>t5wFS_`)#X{Q+G@ zKj*9YV_(AX35|Y*H*~P+&VxJ zNk=#V$&i7%1zBGR7qY!M&~YV$6$Kj^UP^^}gM! zddhi**b)1V;8wD2LqzL9k{ey-Ne~N;G}r}SZJz@oU|hAjbxtXd&I*&cVx5d}2GSNU zBpLGaMHYw#`>1_5WNpGOU}A_TMa8LsiMNi4w-=v;%lj(zLpFY$={44?&4&CJa_}2X zOuSM`#(Yy5gozWm;{*{0SuH|NBc3~yTW=x5!lqoPs=w@(yuMyti+(v$AXhyFYByuR zTX$_^NuNdDROOZFrv1@-vM?LJY`qSv7&af)HL+pwosd_|C47d~@RrGw>zk+X%DWZX z-i@7n_F(&ywxJFw*dc)$uyx069VdM)B6Umw$&hUR4QRHnU1na#sc!mDCnEQGKwvy5 zVeg0fXMh*rPsG3P&zHXeuit>z|0clecR}R82^sp&zvBBhIr2Xq=63{sN8on^en;SU z1b#>0KOcc#2fXgz{eOGU|0lrf{`CGQ!0Q_x^apD32jT^|FJSo>h!?P8?gzvR@V)*K zC_(g_xeX5w)5>j-zzJbzgJc=e;*mB`2FoW-$!Qo zJ~GSqky*Zv%<_F?mhU68d>@(R`^aAr79fDadOz|n;&y+jF!MfQ z-=hBgDeB*b(gA=)k$1I`_b45J`A#7IhtkOVEd9E1paS%-pmYq(%+$aP0PafUfc1$$ zy=ovJ1^@zvKM953PmRC9IulSO8*rzO4umOzXdO@#9{?0c|EWgtemedQ#=pAGM0bZw ze)Al_^i!4M{R;RSY~MQ$6y(2;`d7ypfnoSD=l84bZ!rGVaXRL&VgH(QAXfZy*zXqP zuUr3XxbR(Z=-qU`$A#~TLw}m?_uI>_8~^3$ejj(BWcgjnzlI$Mi2oGzyKU!hFnu3& zCZHn!KUNwO5FP(H=l_J8{NHRcf5~yCuVvWZJZAzHfB&5FyZ!ZVbDa^mt$bf;OaO+T z!+y7={|&b9GR_2SM(~f7#sus}@N?AfZX>^L{I3x(7@2^TxZk(-yQ=q}=KB3j@z;(2 za?0-~93!yH#y=+9Kj{H+e<%LitTO^9`1hPM0)8%hzrXqa4Yu!c&d30q-go!3`(+O7 zUHEg(?++Y*gYmCn2R1|tuzLDU>JT3`~3sKH|zg)irFuz9^5~AeY=8xtLnl3g=9t}MEB&L90`1Q zyd$gqNH+t@rvRUp?jOH?q?_G8Mt-~8|AubHOwar$y4hXx5$q~d_wk};aRYlf8^6oU zMP1U8m+Z-gTVtjdh^JmziU769NWq@UgUw6a2jbr7Lxe9sj~NQp8I(Ne$HnhQ?&Q|& z7dG&jPvUfSoNj6~4A+924axF3Uvs@^eZKU z9$%hoMYShnQmE`<-S#;#U9+{O&7{>fTjjQOXm@lmjxT7kR!GTvoO8rC=(@~pVB@Ws zx+`%#N}5c}r}wNll#Gg5LUnn!Be(2ysSIEe#eq<@Ejh#VtG4N`3t*4)!oZf1p=d72 zDms+KxNNW-(jxH}m*W<*;X)}mH?c;AIiQp}E~KwH%men=EUk(Hp^2|$e`X7Qry0r2)#bZ z4J*5y=JxJVrw<6;%a5S&ZN}Q>+uJJTWMl845Z#_UAniJ~KRO+B8=A49?%8?oH01KR zegUqsOt7BLpLtlD#Q=*kis=hb`QVwTXcAEQV6XO8D{|><|9sDQSaNmiYzYacht9gr zVU6*HFhXt%qx#6;aBCwRnvpLt*UdF<;tQ4{L9mkNw4u5U&t(`?!}0s5eE3*Rd@aX# z6w|>H;j@Kzn>nqXDMQ1D;26jl;z%wWcFRZ|bXfLv1%hK6s0ykIsRE|Yo}XqI%hRTE zWROv?1e^FcVR9f%+XVK55`IRkTMQgthp{784Hi+W5kpoJUVdy~6;lYq2EQH}R37k| z4U5w^{GFW??Ry^lk}c5To;IHl{&OL6EN|xic$TNt>12*{R`7J92-q(}Y_&s(SZf}Y zG>umhJ$;ajf*Uv+Z+33|(v~oqm=7^s!m|ZQ%sYBQL@LOfINX;&3sn~}5O3xgmG9}} z76NRlH3tewWSKYroAz|5myHEICLj)g3X1r@2! z2UKs1v^|Wl_jNCayc<|F0i;g&rxX#3B9rkejtBBP1d5MmV&vS{n0UK06l5u^8Pw6Q zi-S^qjL0eZZRl$X7Kv$$hMx|{?8$5nU4wQ2j-+dK>kPpN-AFql;JSOGEwI|7@e&_--SF?=Sz>;S~FCAibl;}NVYH)lmssMhJ%z0oiS3ZI@k$D6Kh6E z4(AJngicb56y7{Hy<^p@Iv#N^VDa*pqLma(BAP*lvENx|P(Z$ULAqXeX9w9Q$cE0A zwIGh*c!M#*)~rChL-X$Xxjnqd*ro7+*qwnVP;r-|Y|H!CV z*q2}T*ex_f3A3Mi1MgX@lre$nVjdJ6)o7Q4ay+{uVJ*o}E&}de`@7TEhp8T((jO}x zVqcQb{~1h^{>!({O`w)U;s#yAbU7q;DmsWJb@tut&W&RrgpRgh4GHnK z;*^5gD#;v|J}84xfItwR$PaZ6e5+`LY6mX-mA0rwFbtgL`3}ke^xU2ZyL3$WG2SEItxYMFAzKcie9E#V(hoa9-uh7_!Yp0{NhLYYl##_Z zBlZ4WkvH#>M)y}75qmzz><{-E9Ff{%>a$~v zO*I!=@ocq!@avQ52L+su9_F35k0v$XHaLAW&Moa5_~Z|5vLxPBpgyMB4BIIs`uki zK7>YZvG=$U_i5;&-N$7R#~ILN50HBE8g9g*I5^{KLy#+1a3py1oG^uRxan3l%K=e6 zHu2^e0_`0zOXk@v_-Xj&8(dI_$8C+x4NE!YDKo`d)gI8Z${r8AnVYY*9<*R*KN8p@ z@yYC4f~Ru`N37?K-wOVMMB@IS)g#a>T;1;^pK4NTnT;MLk;FRc#5o z@<%X~)K_k5`CbJ2uTf7#!-SZzjFENbmdIGs*$*7m}W-WqCyd!3s z`SmQr4ehOhsjzz}BUlX{6QUC;m2MYoPE1x0Xmw^u<));ENkG&qw`+P*4Z&w9K9EmU z-$kpLUB-#zY8{_)-DA*0?l~`M7wv@bW~x>9CTFq>)ENEn>;;v_B;+}TL}Jg zJPXy^*}zN{vq{RV7H4;Fvb^*tJ#s)P@g^x|{l+1sitt)uJY8WA>Qg$?szYe~%hJVK z_17n&_7FZ*pV6o{hED6T3hF1YLn)t}u?sBr)VU2gb`R|smp6Ef8tNT`j2c4F-a_OK z_3VS?4UzcNu4=$T9>nL)@&Xh*ul_qRF%VhuMmr9nuYoSCYL4Gu@O*(|2yPA(FXE6awkJyn*-dxhMq!8;_^ z;*~uDre`p&Q3@^8C@{0lcMEfLd;13_uFRZ=OS5U*ow&d_@^V3pBc+OJjb%cBKpy7i}sgX;nirYxdlOkh4Ldm|N?8th6G0LOoeFVK6 z;RCwcUF%YBuOm<}cvCVLaR!O1xOPn<1MB2pBlE}>1m=>oOG4rcN9Bp?Gb*m6d$+V0 zxd0MgOqPpVhPFc%by2fsyJGas@txAjEJ-T9+>#U;VK#N-;=~=AulqbFYsuB^Yh`>guoBz&-B_2Vok~n%DU}801Wvn-nwM-IFdC6!kQ_o8Ghu~ zuE_?10Y+TgPiR9Jbh;1q*@j7%Uo~;Kx-vECw{Ff?yBXF7IN=&6rSnUEL@5u|OC}=A z$jEppixtv|(oRKj6G<54t)NsEJ9OgQ0U5VV7@}Eq_{fZ1&+FDtNLpbdw#>6TL)k>a zYzC)jN+3I8&pgT9tSugUs!(xHXRncyy(x)9JFez%>m6>t@b1*U-S!hk`u)=A=DJ-l zjSgC3X18})M}7(wY~g@J_3{xF4pnifh+zHkGS-=k+~i_=Hb+i#sl;S54ZnB07`;YI zUlwvqlr)eqOOH)f=?GA~jA$uv$x$mwt(;olYW0~=gsLx5ilftm#S38NzE6#m!x+=k znLbF}{GcJ7>OQvqeHgCKLqx43ydUD4%FN92eabHn|GksK2fySc%H?w7K z&ByWhsyhlUPSM8qLywQ8%_KlaMTI%fQd~e~FeTq##gU|rtOij!N6tnR(^w-}9~aDf zMn?j{m5W4!*LPh(BE{(m7BRa9zr#^0?n;JAL1&QnKpeVRA{RM+2Aou)xg z{?XxMYPsqg;FrXNrdUMuyV5FYTT8h!6K-K?A4n6fa{n_9Bns=M}Pk=M}Pk=M}Pk;}x>feq$`M(tcws zvI2qidp05~?Kj3EEA2PNA}j4T#v&__$oB0!-$rJo{WdZy?e~$t>O%k(GQKK8-2L%= z3{$*^OJ9S{|wC3z#bd_Rqy{k#=mTt zf%X?IGXX_Sm}u`BTh#sM zXw&^-KMNqUfd0u>%XjP+U`qds<*(EBmwoHw+nEqH>cO<4C*4Ec8_LnXHrCc)rJGA^G*9>>$=$~@^ z-_nTwpVswPufOH`pLqS>a)|!lECYA3Z!{iYt{Lul(LY7}FYRW3Xzd)7 znfzz!6zl!H@^4BuJ?)>9eaFfEG1>RG=fBDJz1!cD{ZHJ!I}rRmrh!Eyf6n$DCHlu~ z|M`IRZ>LQGZ(G3P5a8y*2-L>9`;!&;=MQ0Lxj(FZ^ZkDhX^N5d?wodi-usa>b${^u zcDerzX^N4R_D`g#t#72MRUm0f-+sh8kR1(ooFOnqoZTu)G5_)#X(~uoq$lhGNSaF0 zK(2ppL>KipiLOt>ujUn`g5ny)#1`8ur#BaSjOkoQ zE=$ggCqv>g^K9u-LWHY}+vP*F<_Xc#S?4g~u7MI=d7|To-QI~&RvRUV1Hn$16bg(* zg69MCDK0&Vs+-WE{su;TH3_Pfa@;POv2M$*@~<7as4meKQ-*~#FfR7|ZUB3JW>c9T zOu20qrASaPqTK>+<_0TRXfBz!0@hF+h-2J<8eNK!>%RHmugA3DU78UdLb~Rt%1^do z2b+m|Drl zDK|5U$GECYh^dNjcqyo)K$Wfy@z54Ag}r7*9EXr)pl->eVrJ!dqJ(T-+#C#E3Y+{; zY1ibNPlaA~;~mfnFH4>6prR;3WHt#5o%`68>*ROyBk+8x0&!I#pd&Cw`uTABlecRDA*j$ zlxKf6eo7E#>1P3E>9eN>@)k5Q04n17gw%nmN#tesq@_(l0vCyJcOiudRi4;Q&}-u4 zcS9i*UdCUh!a8)!;Vhx+c@XkGTli!gBS*F%D&|V&klhBq-d@W>Fs;)CGadt8S3*SJ zbIfe|$dos)tCChDH0mVnhlrDtJyrYlsCDQ>9JX~;3lxD|sccybeo4@5-EgBaSUhB6 z`iwUDZe1>;r-*xju1$b`C8?Azw?cGKK@rcol!8jpjl)KpLpGseWTcLLsNv$mcP-+W ztHk#gDh0f$sc8~xlqCyE%i>>4I+YAjZdpq=VCxADc=TeCN2{L zC+r(T{d9CW>PvL;F?pS_3WFTXf)NO(9F4I4B207(< zBU=Q~8nV5C3X3mm25yj6iU*qm9}bF}cA-o@;God%Ah;g9dwx(UA2`+gOu>qO#?)#G zAb80N@;vuJ~A%WCgMk(a>{WGBsP!sWgGaGlU5kWET*I3vjTx<8r@h(vTLYw=FMYhw|gHb@htd0$OHbN+jZd~qzwu6uA{N%k@-#aXd@<#`~JJno#G@&rcsL$pB|!!nhTq- z`s9Cu zNbJq0Ki^X+=9~ss;kmVt_)=Wt1+&m{<<4D>FQ4TwgqO0eanz}Q5#a3bEYQ`(y+KuH zE_+CX1)G>qm6>+mx+zio7$E~g#~D<1t67xGPIilrh0CTEQA#{$CYv{?YWl+Ki-D#K z*4}1#916S1EP;ZzXi7ASOOr&2iKLPKiPfZg-_cf}J~_)!#k*35{jL~@zyxv$H* z7d(Zxa#3Da`4ynTmBK%Cu{;oIx)wp~=-Dy#8F6Ny z$90X>bLi7^*RwIZxB}~osX2n_U5Ip`=?!%d~_s~1I08}A1YZaH>tPh^?EDgiQW{E-Svo59-&)K4yU)(gkKO6lhePOgy zLeSRaSW5PgKm-5IUjz$^UJG5h2&nI#L3+k;Q6K9)T()Omw?D*J&>6OKbJZE1NJ=WC zT{jGW_PmC##_m&?-NM^eP&^k1N=8F-^f!qH^lEBDFfbP&?&OU%xP9l~%Z8Pv39$PM zlIo1X-5)V=GZ^Eaje0BiBlOsl1So782+P@0j-m2T)H#m6qBO-XG%o^&v4OC_EjB`o zg3zx?>ZK(x*pYVnG_wVp4A^gaL!}i+Lad>A*xmn>LWph4nzJr-1hlLjeI^nI*HyH&a^mD>& zBQk87ky;c6&21y$%9YHay46r(Q7c@+4_z~bJ8A|=I26@~V4_?S<(bzl`BgD^4uXMl zp~M?MHcSrX@MJKI2%mt?3wuxdaP`)F)^t6HXLtxFv*0rup1_=KWH$V;3f{9SJAm!U z_I4vkA~^au+pf@SI~@EJPPRIY*}(l7$4L(Boie~nMFQnIT$-sttcU27a>epb)teKu z0*N5ltcj4@Rp_sxA{(b0jL}{&TC_np7>TJ!qu7CIGJa&1V0av1A;NJ3cR-C1dj;A0 z={S2gWSx~eIB)Ejq#dt{t>Z-hsJ5p5Ekk(SyH*}FR`*#d^MrkCP-lCk;aIdcKB*Y) zvu_L*5fhg))2ALs76zGcx!R5Nq7eldYnCfdKO4T_WhpvKQnit>Ge}*3KJz8`+}fHI zi>5tY*k^u5g5k{E7D@u2JL_>DUWs?D_Q=!qBfRL!7p{=1qN2XZZxePb%SYE)P`Ep@ zK;7${8~3Hz4{luWvZ};Qy4MFCZ;~3phEAFfl~)HG7;UP}nHKGkNV?-cC{ZrG(@$^U`b96eaW zhZ%M-n&u&w_TvkCQp*;cx6MPFe*EIV%it^qzi1zw$IK8XUTh>$en*8ZE{(ltCXM}g z%ii+jEzBf|^5e?bViKN@RlPhJi_OSzVl!zZ82FE*w3E2%!C$I3`r^JevT$*Pd=Jo9 zcKAYKnYs;wB1U_Qs{LSch2Hv~@Q7IH{z>iJZywQa9?}0M9?@?twSN=g=0A^|{+k^6 z9}n|80>2~hI|9EW@H+y(Bk-S(z%TQNzG5`r@R)z_h`yfQ|HLDr2O^$-Ky3fu5wX(U z6L|hPkBAirCIi_$fAEM{>ArJ&=)QA%=)bdu=)bdu=)ZG&=)bdu=)bdu=)bdu=)bdu z=V#rj`Ew5$MzKethub`g)lVUAo$ zZX%>ML8}?VgsTU6lhtRRBW#lO)JPy~<;h7}{PRdwjTi-u7{NdrptPWrf9+qej_SaFs$voy;R|Byr#FSc*K=| zb99ixt{^C)~u-wKm&DCmRwwA-u zaF@k$=DD9cS#DDJ``ZKSx_PZfRnG(I_~kngL1>zqbs0JP`~x^XBeZIGSbgqpb@}i~ zYg&`Gn85P}2b%U;(Q|4hxw)=Sqw3%~?`_)DXzJxQ)J^{7{%DZF?ZNV6)q|Wm9uN5~ zNHbZn8Et;ClDZi#r%U28fmt-gy z^B~yZt=N#o66xXyPK!&Vyt&@!Rt@*THiIIOoze4$Z%xqQ458L{Ea=mYBqAT)0LlEa zzRPoHpAjf0rUFhhNRSnD|9*x5u6vpnAJenPn|t-0&0wpbW2_x*bHbaj zui!DtDW14Vlu7tN89>ltd4i_#6MBs(%4#p95@B$zMSwtQC?LMdqUj+LeboU=J3J{e z{31o@;(9yE!-xb|Bsm|t9<`icS&>Q@maseLX&f&oGBmd5Tu=to%Xp?XG1(A1to#?V z-W6Utus-Ake-N53tr?YWlwD!G`rpiKkqEzQm2E8m{zv zi0lLcZT5*u)VrWrXco&${S*Z5^ou_92g^=4<}dV$`F8o?>1;0?IXd^O_82kgrUzH@ z;8wTEQv$+Bs`Xt};s+b)us~=qk4#sDhCa~^mqIFzBc14Q5~us+X;XaGm({oktA}>` z0;#OGkc3tNPc#NA2??h8zDwQUSloANUKm%vo(jy9&L<9z6p3N0Pg}@I*geZDDUJpf zM}-<|LJ;Nw>v)cDX1J}FO1Y{+jMv6fJhN2djOcfCpQ{JOi*?~l<3z+&+@76)T#pta z^~VjQ4BftHDPtxnDXq5M&p-nh?+gVV>(+OpI3+}AsAKf)xpL^F1zV2g2SU%((A&u zfZmgW_mX}3+4il*0*c{nU@@iFYWu3=R|_Y`A3f%5PhFfQddOuY99x6)#;*ht#);0^ zOpEAc#}o%Xzu(@qfRJ-2@gRDneH#9VsxN6DwWH08kx+W#3mKi5GC|=&Fik&YCu@`_ z4EAdpjI7dZ&tvWPGc$A3>h1!X*IpbDR)O#4ZVoK>&0)!|^aGqbac8x=X0As^+qZYP zA6U%2!iZQs%UF!CIevUSQDK1o1#hOJM;W{fMVqtUgcl-@3t;*#gWY1n7d{d0^CA== z|6rAIpc~In-@~@^ZAHB%?1PIzxs>IWy zD({f~g(l?r)Xq)V)^S2|&nPES@yuMrr!GgWCl1ZE3WhyT6uOE2kSx6#D^rlh^Tj;8ggs+BD#~Ci z3@%$HupC%o!N%G%zwT&14OyO;m+!F_o{#PMmM}!8fy>7{Ty)i|>F51O5uvj~qp4-y z?d#|F@Wr_7gVm?!g$wqmf@A4vmtpa(S7Dq1ZqT5C+LJc#q@r`Ti@F7SD5w>WRtD4r zj6}u&iJLA5DMj4$!Gbpa?UEX#6Kv8O6W|Q*rjpd_NNW&glm=3kr=1V+z-!&#a-}d^ zugg6)+&0YGZ<2A%@05anJ(YQz460jz7xr2W8Sk)4l^cVgDkqFc_wYgJiX71rYsIb@ zedGIcRya1ZphNNE@ScuH(3)GycdT*jtval?n*xgi3u0ib^JS$luR}dNyY_NSw~z{U zS1M&=$j`Qq3*_H-NtfuUTn31dvT-G48s@2f)CuC2r&dBoWIBSHEWdu%F09s_Ot>m} z;5#ikOd3R1c=iY%I#-iECy`@ko5`}vvw)b%twn~*G2Drs=Om+v?@7+(IQvytPBFLq zw20ls)ph$kSJW}Pe%BNfMr8jIUZ>#6ALb}DI{j$%p+$1%w7ctJ{&Dh847p0T8KJAil>2#!$GKmjALWb?`JYqhD z64=WL>;i%GerZoWKI1^sczf`X#+?UO^B7-AWYj}8CDdc%CQUA{`5Ffq1zmOANho78 zeL!zTibyg}o|e6Gb)qWafJXVi-G$_d66gyq#v$t`{L}^>Uv7ee)iB!wxQ@5qdKEn2 z*c#lQ@6Qa$^4;8FxZ*;PG+lYuB?!Y*<04q{s_KzK4IbK?hp-Wxqy-5s;z9i^zqt z5J@%(CNWuJNMzkSqPeMl7?iXePDx_(at!%-{kpq*(~N<|Tne&JC5siwBfZs|GZDC8 za z%%|rfrSxMj3XiGF!vMFNGWSzHc;RK@C}=-ys2&OR2nm^>1PiE#ZzhO|ma~$1)**V! z@g|LtFqyAJSSev5rt{+DhyrULZ0(dD&vo%ro5v(X(AS4^_@PTMiNEQPX*}x^R#GY| z66H+}CO32SXla`1!LI~^J|(Yu6lB`8nNsjYtZ3d^B-|c{Qiq>5WMrl}YvWw(_yAdm zSP(o?ne}?ls$%TCi)ko{UUB8p&%G?eDM5B+Mn@(HgG!Ye@rhpG3AYH7pg~2Z)z}Dm z+;HF|50`KzCz2>Jzr2V?g4w0qZSHv6|6%VffaB=0G;J|i%*-s6n3c(vzxdjK zzPl`p1AL@>bhdF&&x*{KaL=J6)Jgehs9CPNT(4x&b}v0rtWTs4-LRn))^0o_%9gp( zLrUP|OwYdy^aElki(O>BgZH^9=UOQ7T+{K3I|frN_vRP-4sF_0oCgc2Wv9Arn_D2I z=Z=eu7)#Rpd^!k_!a*&kaS_{ZT<}nI?c6UNo-+p(-^ONO@dkG!*IHj5{a9;rhAKWE zr2|r~C>wbLh!c&%1m^a2X;cn*1U^C-kvNgz5p7bEnGN{9BICW6=EC=F_4a$4LseDDFt8^9 z*Yz8*X%Hm%5*HxAQ&K_D@1Lgg%4uaOb+iw=*NO6iFHz1nEQJ{o7BiS8G-CLTrhqPbds6u60?rb=@xWH z%7_+zbaR*N4l|?T*ZpG1E?P7uMYN6;kbto-Drv+&o-^Z2O3`yL#Qw6I6vyJ&M%_!h zNR=Kw!0Fu?q>!v21)bw=c4fNU)Xde7g1N`~6VJGiJqAj>%L3V!^TR^y4yPPlE}7{J zp1uMBN%}C1y6!GCTKzGjbK|fZ^Zs72eNTYD0C;<*U#CDII@WU~X)?#@(P^QRuw=~v zhcT5V43;_0SaN|0eC!yqd!B^GM}30 z>aTJHmDqskj;OsHp{=8_^sp4wWi!D$F}|)x4RY%1JFobCPiIifcE4<5Wf(uw z_m(=Hmb(z+@V~8L?P#)8U5&ixH(Amv|B~F9khRTC397PF0nQ|Vb{A|JzUcXqPLbAy zFbgKv?3$r=T?u{iWnEw*pP&y*_dsWI%`l%*klPbP3@#GTVX<`7eQ7Z>?+R$Vv?8w-@ zSyjI|7h6;}f+SYBW+48Z#{%q=e`etk)5!j6i~}S~|AJT(YI+Pjd8POQh44PYzR;A{6@$x7 z6u-sR3}JKIg$KtFq|`vmKBPzC;p6>z?AABxMvaGSrmz%ztp)X)FhC= z?m6Q0xsdPrffnh-+tXs|$j%w5{xpl~(>XpZfJ&f^-y0j1G-ld|V_Az_;GXC;jgs<7 zP&V1(Afat8`59sCe9XHT#|9!h&0710GY)I_h>@~|a12hxT>t}>MuZClW)hxz81n(3 zvd1_4DixK-AZGoz3W@Ti_pt`|O?1 zpXVo`>k_47((PmQgitv$uMtjA3?s;2-S596M5HQx2@1Ra$=sv=u!VAA`F$sy#x7J? z0$T_KeRHdE2*ujvGfpcxjB#AZ{IZ~sBKU%;K&`*`W+SVG8NM)4Krro-@pa-VlZj`PP67EAC4XY4f_suY=AfwMK+6=? z;JrRBuO~3Ct?(#s4;*j@#S=%DYUciueQ4=oP%8SjV$EBl)ZEU|Hh#nA+Q(uKhIA}_ z9=@;NhX+?Ly6KThy@~) zt=F>Y)1(+-i;eIif?H}~q)F6nQ;!}KgJ4w3mh(O~8Iq7I#+oR$nBB>fkz^CY&Wso^ z48-ocL^~#adJ7)fp_O^waH!!;;MeI{f@z5l2`!&9St;E=FGELZrnb&!V?Yaft6~8G zc0OseDhnFw;%BaUAr}fJ<`Fgk~XJ#YIHapX*tyOfrurQ zN~xgrIbMG3iPQx(O@uyq(m*#|=1NScYE;`3mW+1q@ymfL_YqEOJ)<~Ca5P#Fo=vE? zq%RXJiDCK)RE!~nIpe2JtV);VW<_?V(e;&H^5c*-wCVa7Bk%%jH0`3+<_}V^Yk7Iy({-AZkv?X6g_@pLr4)+RE7|E`h~w zW44lw6#XV_lm64Z;(Jge1u~n2< zsV(x+V?v}lD_G5mi76!^kvpA@$aWp5I=o=hb$s8x+{7{RkL$75iw6+abE2AA8%q#o z@K`(E3L)C4c?>Rz!>12F8!j91!X}O4!-(#mvH>9ik>nB<`>P;2#X-qFs0VxkA*<2! zlm!h&&M#0e8`IITBD{VbU)*;KV7YAp&Pw-}82CWU!O1<9mgQXx>6UN3X*zGHh3-?8 zy=)_mSQxpG{gj+XZImU1#E8FUv1lmTLZcDi*j+BrR~Vk!w1`Vx1vqa&i2_&z=5W7- zP@vG_YGWkJ=H8%uTvP7||A8{uZ`pUczD2qUofXzKJ

8r_||Loat(A06R(AQl1b+XQNZ=Jr=E3hj-oNtRgeW{nTXq2YR0p0(gT>PMae}M)UslX&z z)jXeJNf@kL$wE29+=BtJGlHplFs4R%nrt1nQ4uIb1$l?6s6{M zzJOa(TYX_!Xhe+9$knpW5{?Wo)ls39p7J(Q@Xv&6@G8n$qS<4SRIYImwMtt|(7$D> zFEtI;B;bIc_sX}?KriPhabI(1PKH6cAN@9m7tX`!@YKgPUq0Czy%Rb!6|4{zr z_oy1%sD+eNZ9u^+N+v_cQcJmlHkRwCBzIl%b~9J%ln8 zfr#|>7}i7B;snmwvJ^#wJi0R%rEa|PizEx+di@n<@W=t}&^Sl2bzo_=Jaysx*4P7| ze4KeAiML1KirUu#^4;!t)USzRv&vO9!=!BrgIVTJ9cP)f2f#sAS}Ua}K!X>;Dn$yV z2P3>yKCR9al5>+asT_I`C@QhyP9%~qW{0(C;jRb47n7YCK6ETFQb5AovnYN)txg_w z^cQ8k!PH8wS88(#h&Ap!$;A6CmVAiBl{A}LVo{Kl)0xR%m$awsCTsFIx7oKXjvvM* z8FW*?f5Yua>K*TK)gR?xXvvkVYMDTx&7rxH;HINg7bQ2-o=l3Ufv|uTl?ML_d>h(0 zSBTW-GPMa|4iVO!Oq0n{uc+;_ZIY4`xGsE&DleyAexeZZEY^h_rY$?GW@Q6E?ZJz) zqd4i!=yV&)2W}7U8Mhq`fQ0r?hI>8*$*5LE7hMM@s*z9&-FY%e5^f^kI(joC_=^j7 zjV+s)goXBsi1coift-mJ9UNs@LJ_Q4jI8PgP3ZO%BVQD!nr{G~c>Beye- zQwZtZfi1ukiitB1sYyWkJQ+6OuIYpU#r4da>1St)vUtF5)B|STs@z>)eX6-6#{S;t%V&mD zDOZ_`8U!g^)X*k&BcklCbwo;%bC%_2(Fm2nY^E+BdYY?6^I;F3ic!~c4X5HBD!6g_hl7m zQjuf02O^LW87P)Z*+OrQ6bnp>M^F@)DjCs%#I4sWX0E|4u%KS^xhUsd!lvAIi+#5F znjBO!#FQ-5K5`^^c{)dUL{p5{2+-tSbD_x`uAR_#Vq8OKNX%|5r5Uf7 zn^ps9p9i}F%rm^GyK zjv+sMvcl&H*SRGJDbaSu)BKK%ewWDRyd0-rEF9HYJ{^@lc@<=Yc=OD9U|tZTB%6B$ z)jeIh&7P~818)m+-oiSiPnI_}Zn$;7B7c!j$`KX>ps3wV2)t;la2pU-(@I9C$Bvhh zuXt_361FqHD(c)KVvi!ESsgSyAFEY)ikwH@QiGYd@B-GKT5P3YHxhRse|_y(2icvgVuPb+nh-+b9Zf3z^pQ!_*uo;jDoR#6~6q>1#p4hs;L75Vxu5)g0(_;UC z=ag_fZ6*lOf@)rrskD{g)WWndJ=XN9Ooe94*_|xvSm|sYC+VhCX>r-f^EvOc%)G*< zy;!EPT?>^<+lkN*I#DyC1s;5#3wI)Uf^-{U0NO#R3!!@L|F1}h)(GG+rHJ5*G!MKQclwv^6EY?(9O%~Ci9N_O$Fan za!gJyj5=_xDKHR3XXL>|oAzlsZu$ExIuj4msgmpg2!2jih|MRdK4V1DaFw=N_`%>j z>kC^dq;7%Gj7pVpB!PO;@h2TVG9R>kqMv7MUN69x0xr0lzfyFnmV|bQ;+p#w#*gk8 zv)XP`W<%95inLN@(YmZa#i}NLu;tYq$_!ezy$_QMS7KcX{m4P3b@vsl{B%8>7I46` zsps(KgF?62A&hANr>S0#yczWUfZk~U*|rt8-jYO}-rjMqe&gJZ{gJ!d^F)MfLi9kr zE1_e$=efFm_q!6m`{AAHWqbnWx?UrJM0|#C01i3zwrVyVpQ6pq?N8T2qK147P{-=? z!o2FGiP5E!lQ-rvEQ0m|@NkX_9;5-@qLZ3-)rkkYW}_!c7J~eU8rGShX}-z*Gudn@ zI8MCF*0TK_{9S$FSUzca;>&0N?<{6<$*ApDI3(QH9z-?g&(@CaIPUZ-%Lx@3+eIwt z69KBr%KDyAo0wt!jQK)3$z&+8>1pyG(Q;y|GYqMn+N`ZXm{W`Anb1fleBN%Jnez}J z@v`7dq}mMpU(%!ZQezu3hZ)hg<;Wn-goT7#Tt&S2F6Tq&4R61{@FHaNsJC*ntv;_1 z?)HFT0(lBYa{jF%E#^ObbN|6s_q#~zcahfrO+{M2VbA~VqNIPn<3Hb){_p4We}3z? z0>2gbt-x;uek<@>fq%XNe}9qIuPTkdgz0}O()ty>|G7wullf0oT7ShB|BmPXpO5F6 z0n7|4jEr2&@829W3`|@M48(t2{~opuT*6MKhAyTe??1f6ObkrS0EYi+^k1*OzjM5- zESHGAv8%1Aor|OhFR`nur3se_yRi`)2M062%*f0Hz+z&^3gF-{VFYj(o0_s1GH@`m z8yWp|cJKR3+Bv%z+8LYv>;6ovjHb+HoSXm-W+pQL3$q~;z=)Nd1Hfd$ZpQpxre|bm z#P(}{6Jstjdna2%7hYmR2L~HVV?!59dpmju6SH4WzyIZWZ}w~AYHaGnODt$&Z)8fS zAR_!}llt$zQ)G@LPf3 z3j9{!w*tQv_^rS{UxB|rp8r*a{Ws$IUxnO!e1F`j|KnK>=07pi{sdiM{)I;PPoN9T zzi*e3BnD;gPQ@@}8ymHpC)nFqGwMtOV0?VLjl6B>8`GytYSl&1(}9LeQ4s@r5>wY38P}oB#NLpHF6Bkuh6J-oSu9@ z@g$PRYP?6i!PRb>oW}_81*5`{QkC%sVFMxL>hv0Y5euR4tty&ijUgA3#t{_6>z>2{g{u%5S8TplR*E3wv5@Fr} ztQ<8jz{H9W4yga--~gx*GyoU@O|(o_N-~M95Ov6ks})P7aq7qN1k;J!N3+Q4)dWu^ zM$)nQ^+|;Mz-s7xUGgwDE)r*>n+3y1YO5k?^-O)rst}-k7&7M;5Aj9(XQtT-_%|ea z?PGB&Rh%E2TLwKBe4P4n_}@w*qd7c%i+!U4L@xC~$;^xl66p&R<`be$puQsS=$Elf z{W$qJ2x5c|upkJQj)P|`*IJDJlGxCMk;qD^M2*%7>NibZmrV=t6Qt{%xy}JsEhuM+ z75HV0*Zq@;Rnp{}^O5n5MLp%g8rf%s2UMq$HIFBBX>*KI^~?6q9h{)68$`VjEc&X^1& zq$UFaC2%52j3fX<%kf;FB@q%NGcteybA_~VDqAiYsd182MQW?aMz5_jnDihli%`db zNonyRTOGC!GwdIXb%6;u*gGJ*io?#WB$@X{+xjh*1dSR&_3^MET>?P1i<44~wsskt z)=m@Q2qKQ__3%W>n~Xzn@o8?At~P#BP2$(9;*O;>COBa13Oyk^m2sY4h?D(D0~M{3 zyA%auQ=II)IO1uds$w=3CZ54k$Z})HGOEPo{x;m{p-qf^g4ZC>mD5f*q!%faSg>4;B!f0+efEs2EDk2kG>D5 zI-}=j9|_&(?=K=`uyEs+Oe-_FaR$$&D<$`(Bit7{2=FkNzW5L7bXxfyZuG zaLkK2qtcq9Gq%b$QJa9cWv-UbEfkE?ikbDY8zu{LE91cG7{+l1Az}g%Nk^WOb|cE! zg-!osaI!%+9Zw87W$)b1m8+-71f}JBY=(B zrDNBxxs{ig;?1&Y@`;FzyE-|2Z=zziW9=f2*t#~Y-zZ@X%<*K1@UCuF@XgqKt3-w6 zAwXzx)nBALi?tCd4@1XGDKsfm`vhn@J62*|DES_yTJ_*AXkXsc$o5igl06kTKb6Mr zTZ}|Ug1pm*>hNt z^JD60?$`LG*UTY&bB}>=|2aB4}dDa`^a* z{L(;d0*O5t#*V{fRGqAdF{jU6?)V%B)voxdg0vW(Jeg$tSTFC$_^~PNbSPW8p*W@e z-J9xw?s5a(8{=-x$os9EJt37_rqqt#eRp!&LU3^ul=`m;iLCjahG`^BX&Pp*4!lScCkD~m#YHx zBY98v01<7JezGz)VG;{xcsXOj5@qd0 zS`2Bk6crvHhM61Wq0bOo5>!piC+l=1Y-cw(m9Rm>sArxc6^L|c_N)=f&JPFoSw&u7 z7anbiEIvZV*&JNahlC0H)#*01kG*w&2pZ$^3Cxui_oom4tCx5|@WsXZ+q)HCtI|(v%U{2)JsI+hv0kjj zITs)(GwR3G3}Mw^pR$B}v?xu#?HAo|!@umTu-aZ&=k~|E8y{MfoN==dcQP*Yc&gqm zN!>%A179lBI=8)95{f!onG=QoDftx6r3V=BKI$H%=8v?xMD7J87sU{_3tC zYN`+!7qzC&Y#860U7X{auzZ+R)S?xHr|Z4FZs}O zj$0Zfw`+S_3#_%u3pWpg0#}F!Pp)9wM5k#DRm$|lNpP${O`SzUst``yuS(D*p_80a zwY?Rg85|p?+*Snrk@*IhX~>YIHTyhuU3t)%(X)t`_Gli&WHe7qpUPwX zZ(?fu@pYm7v8oyCDSmC@|MBNfk^U*Hqlr<@sgJAv_+;(N2FhUO0wqe& zf-)J%-$}3MgMf>Ka*f=vSf4D%9HtdhsMM6~P{`#8Eqo{+aJg*T6vE60f z-h{qJl6~uPZV}&`p=2EwKw3?x%84p)kcU_h(*OT=Qf#FsEk77+SY%=6opyT7c zz~1$o>2TQrLAmzdK>`zJ9;Ag&D<`V=jXLD+o73mHGKvelGQdc_@wg8L@REsJA#GSfu#CE6~Kqh0b_nlwZV;p)(BLR-f|7-b_%FYDo%i& zVTzYx!rs6rl%B?mht@>k1Nqq4(tCV@20tF(Oumg?mkvMesvXk{fwdW9W59vJ#!IwtO zO3#hk_^cHxSZ=5y4Oh=NNnOY=etVF(7TnhG|6fcB4v|QV<>Kc2r=OT(D#)AQ$Vks}B~Tt-Yz$x&{M5 z3cy%K+(9c)I9(gA45Rn?A8dab(dvtGleUDwCVqvYcoA00Xjj7VdX3lsWjyW2!~?k* zi3DJ=8bL~we$CWQGRt#?3^$723!3Dp54-%-Ad6>y=ACtcAEXF5cnlO}?%XVqw4)}q zAj|RDJ|0Z+i$5l6d}9&EXW|J1r{@Uc*%npA2#=eFGmv;oH1`RRW@r#)x2N%CRsZvE z6`4OQ#;YF<2&BAlj$O^^pUk5Jql#H}HEej++gqqP?7E)Mk6W`BU}E7mXR|fegg8;T zY#|T7XPVSio~9D!Few9q7cX+*lxVk}Rmv6hgCl!ZTb|SsH+P0r?&sHhEsXk@W-FN5v z%<=H^VO}BhsP@rN!Gf0bdBb)A;kE-w$9#3C(2GdLDj`NGes!|lZzAonpyyMnpWR>!5kD5GG93=)RHLT-Gbz=e; zli$LyPcvD>f8>NAaYHpAeEk^-SKvC9D2!>+$n7t|C546(9~;^zkG4P*!7YHwAyn`H zY!hzeX0e;SQcVNX8Fd|(kZ5J8Nl8Rc&h)P8eKCI|KcP{malqo<(p}1^257Km(?{g}+pne|UhBMK>w9enQHs^%3*Vx!fPv%iA z7gJ;%<)ypsCoJO!kxVo5nAa`#6U0FYGAM;*S>=}T`E5~iD}lVYAvlfb3pUH-AIa;- zO2eB8I~_yfsgZiCICT~%L&kj}5zWIbsNNEA%2u^OuEg@yC=1+20JI#=I{k1S!R=2i zyv$3>4@`AY=>%H0dL#%-iLcdVu=hrc!5lb3rT5SgJ;3M7cEP(Q_AYofK24rH-#8Q* z=BumvKwWR$SuQsa8d5{jWkq+$8#@OM2S`KWGqJ4G#D0rOl73WSt)X_4u{XujWwPk{ zw3mAo0H`S-4&ri}1^w|=I3qr}zEB$nz%^g;)kS6Qv(O7Zg;P$wZdHhI+*^1*)oZvj zBUEtQy84QGbp%{6=`(RPalJX{o2%tV9wx`1jsKWGm8-MG$OY@9*4_&k%c4gxdi-HO5L?f*6FdOZxgh_utIk>?qQJ{kF2D zpk8x;K!M0Yaw`6o*ZZCK`P=JF&&bKiNzcGU&%^|H&*}r5|DX4UF#X@;_5R(E6Y$y;=S+di-VJ zZ?E_7c>Zs=w>keIulIi({lD{i|A+B^%j?a~YHZAE#=;3OG&N-hurQf&063Y9jRA(t zW{iwxOl(ZdCjX_^o6VS$o#7pu05IcVGz74inlb_m&6qg=rbg^0%$ywWJt!Dhe|x?E z+cEM#u;V}fCG;Pd?Ef!Ae=G1?f!_-JR^Yb+zZHP_2jclZm1O=kqWZ(T`^%>NZ_Zsd z#y^GgfAV^>{AzmlpLo4l{-yh#pq-t)i?jCoLI06{c>hrMyI)8C%ZH8sakKW{)dB9; zx&F88+W(uzYkz9C_E%f>-*8~Fzc(g)7xHX84oix>L*A z9%lsYN3}j9K?$d`*PVa$Gof#|Z+MYQx zzj3d-JDAEvZQR?t&xtT_%@K`oPzzqtVbzZM{1t{h}M|0i}8be+}8>(F3a0@iRjxo=j!U=!?xDswgeX} z9IT%upW~WqPo_ins+6_GhNRwoC`{kE*4)zpCJpc|tJC-@)h#*k%XPJ~STo(y3fqd=GACC8>^vD-=5BQp z-D!=&G@)cjX?wmC5+Ra7TL|$S+|HsvE*;F4+4iP%ED0rt(m~!bBo6#Cp%<=b62}^^ zL%hrl3?BhnXBj_Ka0g;|1)3+#SPx%heuv{iJxTIz%z~*+rcmBa&a7}e%z6pBI^oSw zhh5CPOsVv0>J!~EQG|hi#mQ$P&MZS5(r?m|5W<>esfF1)D+cx>DO@I7WO#8=!8+vi zV^+mIWzmzyVa&Ya&z-R~{FE?0k1A!F$X^Vr9qM^ZIhJDHS5Y8z9&-9-W! zBP2N_Jg=-ADFz3{%?Kj)$B9#bP{KIsBlkb);f(9XR3{>V3bV>yzpfnUP}v$wGCp~}^KOF0`FIe3*$&*`r%qZ`b~k<-KPIsD0T z_H@1^A4dLM8rfK;L0^r*ZrOowGDN*a)p#R=&>XIr4W%oZ%^BlDbmd!wID-ThO6-7q z$erZBpD*QbNq!C=OLN))!LuRf^hq!t7om7xg%_J0;xhl`EB?vo6!s(hq!8U;jsDQN zl^1)yd|4dw^f&aMa^bm@-wsJ+bOfy`ytKo~2vmlPqyw>zyi;Pfs^UX@yWZ~I!YAn{ z@n5~hZD!Qn7tTEWv{K|V*9ndLGAp6Od~ne~)$W~Mz-ilNRrr}dvMfy*ZPlv`f>-PklZ zp(&t%$FD?$y$(QN1bN%7b9vFvJMwEFOt>np52s1=xWTyP4MH}3LWVV%b*7k@fpoRC zz8VUdF;_xn>b}5YOy_(B$i0#GRXdd+L+T|Njy}i3Kq93%MksNSs$pT_a5A|Ly+Yr1 z*KW@lK?}Y6y+bMhtz;w|pg84TtO0c@CEUm60%C9>f!+zqwxU5vU0oFRWrWQ8>0Q>V zm+5QNi2m1d-x^@#XI9OX9{?bw{e0=@aRg>P;eabpUQoFYAE94>y6R%o7d0*(^VV}S z*LgoaS~S_ZNw6ZLhlrbNpezNI3%beMgV!A33sN&&0)Pm;B>;415tvPDrosF53|SmS zK@%NOdR-q@_eXe4W*?2)s|r~Y#)(Ww81m}o%38-*@XpA{7sOK!L_Mnh_)Jt`627q?<(L08O* zu@Z~!0-n=Q^{o{o_*O?bTz=tzSYFU;7kez8(WoTq?q?Ra!Q1yi>lYVUDoCK)I>_2M zIeEDcoh-Fxt#q=jw%(8&PM2r|u+bD481A=AQly{f2lQ=t0#fye(Z*U8G;2V0QqeK0 zcXqw)kUp`3lIO&)#Hko1&a`Rmv-eu8Bbn0XErUkU&=EyK3gkHo=|+>vO%_lE&ozl- zLz?4y!O%^+3?(n~W^kdBknA{z=#c81DO!Q4EI4=1Qk7rfb3gf~*7G?3q53`; zWSN2#X>2PaQ*P*6L^5n$msDcJ)u2^2#@3N2fwq6$x=r45X{`zRDM>$!JaV$&Iw5ZD zCc6e2S0EYoaa~%`IofA5IRqxUg~ppPh&H+$O#$_mG%d3gZJ9<_89FR2nnbe=1J@qx z(L)O^=R)g?$Rov{(R)61($7I66eXT)!+DOU+4cp>-f|^ucw^MsrV=wpoSb~pxg1ln zr+Aud4(`o;_`IvlmvR-^j{PfwUcK?=SD@OX2fS|s*l@~cq{k2ZY_FMT3~)d@tg zJ`pgQlXE|@i1=A0+BYx!wC+BAL|*<*7h4Qwgh4VRmt8XW6HqU(A7CE78eC}TJoLgL zlO?P@Eyg}70^*GLZWr24(J|OE;Fiq<_j_45l9F=zUL!wCfrBXP= z&cdSK3eGt_sk{^PGY>|R{Ub&alWTjGGG5fMu8>~R##S~vwPZl~OSp@G z;3pJE0Kr=ScE&7-u3PVYA6Fu)Db+@Qc0GVHq{ueemcEa7^VZN@M}w5^rN`!_P+YqK zy9%DoZ+_s%0ycl!L1H_9(RJdX4E@bG^SYUG7fxHIUtVRUo{UI_7aLt6db6CX@te`; zy-Ax~+f#r*^wRzA;XwCrygx9fkASDwp0Ljt!IuKIk`y2#Q~+eF(L=5_7#yiJF3!d3 zxJ!bs7mcL0S;yke&%Dormxg#rtQ~o`DN?tDB0~)p_HeJyfTC1&7Yb;H=%8%-})CbhZaQr&;s}FZ?ca40>&}kTd{_txLD)5IA zk8>`S^Lv$>?4C}(9oVYt%^U=4Kg-sv;N7Z2B=zR1DLIc6^otWfu^6ORCZEvLcyC|n zZAcCg@n$Zy^6es|?Ysw4&`F=9ckUL`yo3H*yH>XgNa{;L<65+Ddflo~)i>-E$aMow zNdp2xwZNFvl$bL6QNpza;48C?7V#|AO;@#IV5@uhl3_Pp@*o~So%rg?x==ae&hCjU zkjCO=oi72jFsn)u_v}={m@rSZs9Dz)DexCw?JHs3DRmc#uL{m6oWYDZ>TxRTW^=># zAc}ff8(cXP7_UE?W9-AQQc5mV0X@qXx3Byb?CW^Nt~E0SC&;n~50>f&LpW?9r>Y30 z?Df9&zIHS;`d9S1CrJjWs1Moec>^{&(Yc!;a*C7<1M^9q?8FGPvuTq3_j#pN4LjHlpr7$Iais*!8^6j|poJItW`5-d)5e!M z#l#fN=qDbilfS%`c))T+F|^Ru^r7RM5knQBiA4&!HFC<Rp3MhbMuIG=oxE8{0^PUzZC6@Hskzky2m-^x zVNJF9$Rv0e4OvR#cP5L@f0~&m?u2GHfl(_d7U>mzQL9kIcyuwrFO^2jVhJccea2Wo z^Hb3eLfKcRc;>VWG#h+1tS`B!if0RA2r|aFl-c&9j4#Y?_BxC-yw}0ju`1~+a!G;C zAX19}Fd?8$Lg@_Y?TBjcUyE~hu?Z6Zz0O;TSL7x85$1yW%YO4I1GA5qREKOXX3MU@ zkmvnDh9Z^@Rfz)5VcqQb-I?5v%D3}YyRk>U=gXIx+i$a}pCMk%#hs0+ik>@|b3Voe zO#*(*j@_JPFqM~nW0Cr{u=<5Ex{bdN_I0tPCU?Rpow9s)S70}fJMgdJUxc|^50UV3 zL43a<$1B<}z!!Kwfnh;V*!U$c8wKfO^Rryuli--J5-cYalhi|-ONGiwGh)b5Hv3{l zzIsXx6N0vG!QM>1wJsIo$Q;2z0Q38L*h1)!sA%Fh&l)3!`yhC8udux~?GT+~QD~Ca zd00Nwu#t2gbt-x;uek<@>fq%XN|3DJ+kG$7k!t_5UF@JHR z{w;~g@ryC_uSq&Pmv`=`^LyHi^%qv^KS^S;{tMOUUz3=uzo8?hc4i~|OJD+9*XxXDuJ7^yszTxl(5fqJb0@LoWln&o|cx z&nFk-OqHLiOL^V^-DjnzN>8T4u(?Ojy6 zLC|(R1p|9tg&q2=ztF&;$BNWrJt>7ERRN)-86q_1BH{%yc)xcKQV$vk%ugMmmXVZT zVJkruk#RdzAsTOs-=fzni7?!t<)SFPEU74wP}QVKKnl49Ez&`Ycwu8^HnXoEx=>O2 z*>3CRO2e`Vgs4S@2_Z5^Vm;a()D`Y2_PBC2R;fJw2hXN+58fBY;3F zGE7_p7f_n+wv`G<8AIKW8Pt`E8)Oze!;B}m*`3;P zu$doR)*jrD}z?&%~_j&0ZSFwI$@t_i3-`ck_xu`0haQoQVJW6xJ1J4 zf8C7Zz){KS63G9#v^(No?ux@eMz-9iwg5q1rV*dq%3`a~%`zu3s40!JT6wyRnG9zF zJz=3_jrJkM1gF(j(jYv^U#wJHE~K8NMRI92!;ni*k>rz>ok2dNqjq|Vt8%@-n49lP zvGc4M(zF;G)B^}oqhbT~TyxtWDNX>zm&C!7QLZ3b#}Q<9QZuf)<+_haR>apHDT80D zYi*%6dw+QOHwYgd2-d>j6stE%9{$0VlD6}Lk73FvkK$09cz{VE%}$upEGJa~-EeD! z_crzq+yiIQ$hu(rU+legR9tPE#ft@ZcL^5U-CcqOg1Z#%?i$>JI|O$R?(XjH?i!q% zFa7mQW~OIu_pIqVv+ja_D5&?GRkcgjyUyOvZ;Nx%NibqY$AwTk)V6^wMsPaxH|pcb zF~#qavMDGriKNcm~tp5ed&3>Qlna(ZQkPz{(8xRPa8Q1&^i3HkT$-f_m+S zR0t2T^4)Rs$o=lR%JR-$AB612-kRQJdH;7*R9;A`i0-R|ea`I0z`VvjdTLHVU(^;v zBygKgy}b0D`4p^J@CPA?MkSnI#hV(?e^jsE-#a&bJfNWpNE%332y(8^^w~(}vDJ`K z#*Uy83a_gfEX-RKCtY^tKv6^qw-;A7q^}H4j(|DLd!Nh|*bJIB&= zft1EUVO)TVSgU;qX=Z#!gnnhGfSsM=n_|`OLVo1)tSOG?KZ!klC$$^n(9!GXruaVZ z;Ot{ncIk|GyVSC{4qfk+bnX$+ggv@SiB<362OP0R!Wr@R$x+Y-thg;hoGFa{!%Pei zijicionn~AOshe_V&eKK`@1N~wrKI7hwv2D*YxoDIBu& z$RFZ5zd4C>n(RZt^H>_xe22+WJURrCJHSf=NA+W>@uBi_D62Zp{Ak*(jxfKahpBQq zOJC<$IMrPbw`MaE3zlMsi|K@mbpJHV!zzPXh zJPCxpRys}<7_rH52{vdS95JO)r@-dtN` zZq2Y4d0qWePt6NiCs+*#GOpNUe(m}5?9Se@qsOj0@RnJi0^=YD1bT+R9Md(O*$p}9 z$_Byf{vT>+Ln2_2CaY}2v=1W&e!DMth8{vq8govl2+|%@z+XSsV4YDqe+DZJi1j6O zm04)OEDR7}Ts7H3!5;Z4&Lp<8A>Su#KoG_G5}_h_dKW0^k9r0dO4_me#BB;rmliY9 zh|YYb=zn=HYHX*qk4V(#-(D-L2vBs06&5&AN%ER2OQUXcUTHvzpINdWp~XQ`R6dz> z8eXHkd;jhf&l_{2w$lCBTR?{0GVzOH-o~I*x$`Co6cQM$KIA@4*&G23up6B{W1DId z0*DMv{HWAf85v3PgXQXV!d2jno-07Ketsh5elZ-5Hu4ajP4q*prxKaCsbZzT%0bU{ zJT9Su64@17Rehj@|Lfe^RFLfXs zA4y8U6b4qIr$YoTvEsn}=Ot!!SSSH1ZQViPJRC#dH9X&mcr7QPN|`I1^`d#r!EX*b zs8xr>kr|)pn?T6gP_n(hL0QkLU{1)bC?bDb-U2IS;2qtL!WUR|fgtL;y++oN%Esy( ze^vP89UsNW`|l!Jm`SNTh0KH$azff6NPnfvwzuS*pcR0Ika>% zdg-3HrGbLO-hs&G z?Ulk1AmZ#sV3So6KnQK4vV9Jm(4w=PqWMV_WohM3vbv9W<}AQ6VNo5no11MQus~v6bn1W0IAi$@4gEI#_cs0a=k(wI zDdX&o=J95ny(#dfz?%YZ3cM-srojJi6!`m%vtPE#pO(pQ#@Vmk`@f-iu>3K~_vga5 z{rua0{y)+@nCbr!n#X@w{nvNj?=idInjZiC`oBf zk%ftahJ~G;-9VR3&yZgKUwS3~R&%4i9)Q7+ncaZqC-{T)=kag&GIJpPBiCI8FQ{O@NE{>z8=|G}Ma3cM-srofv5ZwkCA@DI>De#N5x#(w@6 zh~riz?6`I$}1dMX6^iucyse|bcrFjH0 z*os@_pUCDZi}K1+i%XMN)20u%26Bqb{QAnS;uNO(VlqOMt!gF!L2*>}nlkP)sE2{~ zq`mt0z~*G9{CPItA~D{Cbe)#&Lx zdPXXE9JfT87JMTg^&E>Yd*GBP4Sz5Zl?kjHfp(%_NiEaOCTVGuD`JIYz+|2d4WeGj zGD<(+*-aNW@Natn}|gBX%-as9!KbV`W{0}DQF&T zTyrmvt-?pf64|Tt`~PqNaL0$XLX%|!zsoXD&HQQ#4M{k?bG^nra8NvR)3xH>@G0L) zE7>?YYw%NE2-{qNi`D}9T#-L#GQeHqMS`YM!?v-r(tV36n~bJMH!QtX1LB>} zY|$hqcx1KfT*1xFtEsun1?Z* z^IoPpm>PK6W)hotPwYo@n;+MD)L+t~%zhm=_-+csE+wH56eodd4=^R-c2Gn?^ut;q zMeMvvDzITCOnX)ceeqK+&q)Js`*#yA;Q^By}0 zIfTK4GGC+?)dF?#lNMYh5K>@lxjq-mlrVOMsw%lDGEy-B1Oc4-RPEAHeD=L;U}0vq z3%q5#PVHxb3XFztA4R`{oiJKl6^z6xXM8y4H|b4$cPg?TFTWccF7XT~{Q;I90o7av z!s^#f6IPBif9Cje7q_%R`g<`;%f+|h;Fv}Be_O{tXuUCeiFiGuFsh_M%%1dE^Gm>Z& z_5;D?aD{En$C>2&W|_o3S6xlVl+6 z?KVp1MIWfKAhG25lIn^x_^7fE38gMMbYCz)XVEOL%k9lU8yvz@S0^Ys3b zF`_K~n_i`>O7V^)Z9+^_;F9z~Xis@3ibiIBu04b15^G*v%4$4Hps_{e?%uuI&23;c zTmBl8hmF66Hob*S&zhH9s_3H zGA8VRCrKJ~UTU>GW|dhP{vchE^*OfjY`e1>z*2Ox(%S4`>24}>ys%Ud6UJgh=?Fte z3bnj?xwC3pZc!IFo*=3X+;p?HVe2zorxH$Wa0WHktW?=VN=xKwP4WN-4Y16=`#CwU zPK}Wg;irThq^$ImVF(UUQa#KXi-jvr^?@5!2baAB4n&KN9IuLj`$a<|w!bXo3i)=5 zc$%~qGPI&6C^jpmGAGMJtwcTdp`4Abyg*3W#-_~qGkq&^xQDrd{vqPos=yO3m|9d? z?c#U9>;u0nyFo3!_+{71jUrb23Eh>OR^sLZ2FvC=qlcs$?p8eR4<|U7fOhz^Q(QsA z`L*Zs-fRyKxIn+{k??6kD|PBQFu-Qw86lt0vIjN;%*sbf5_~}M0&VprRFGzROfZn{ z8BiY1$37H!+za6HWDjqP0B0A2EsVVk-A$l<$2cqQq7g~m)QY4{Xw%Gw%)>s4j^2uM z3TaK;c6vgnr7d1q-5dv&9?c}{fTLpV-Ol#snFuLepfKsKE`wlcC(_+p(p$7dj+`A` z>XO>)%+$8bF~OSm3S{X1;3u$2nvz7kCRRbmDlGKImPA2~Z0y*+ehWmWEIoku9@?(~ z6m+BTuj^Al{i8|5c1PYM)Dqs8px>Bj=y33*M_HueA_aGA#9iC3fUB8glQc)5Eh1NL z$J@3XgHf0Do2=u-=cIw(eAYml%x0ZyJK$vr1OOZ?SKD zu`TV%oV}6M5gLKrrC>pfbh9Yg<67P5Q7%kwtrWgx2Yxwu97O{9ap^(nBuUL|h3mZw zNRd#$NgBUDNhv=6j(fxd%0)an6dlb8N6=T6@oUww6DBYt7qW5s_LDxgmG;5*pbPz) zlNd;@iYh|ix=jWviLx)pps9&?wnAAhO7DZg95W2>cTYBXXtBu=w)ep;r9Usu`vD30Ur{z7{-kAvSgabWr77hOq-NMw<-+OUfA8fJU_d)D6vs-m=-hM zdxIPvgEC@r9QoJNtEU=~!j|+q#g53NrHv{+#U%SiZxLli? zkYCF(-I3%b6sB~2?C~1*YQI|O!m+uf=7k*6F8dDD+cLU+;D{9*n#&P1jFz-Y$C8u4t(KMs7tTGT@Ir>miJ-;OB zMN3s`6~}rxLK9x2H-x@I|1E3mQJwgk77ShMPr@P*r^M0Is+3bc6lKa0c4&(ExD?O>9(CMLMwg zoNe$Jz@X&|u4Dzi=!DAMwLE<^j=>(&noTn+rhFQzEElJzgk92}o~og>gWur~)-rSz zJ(A*~(s0NA9dqi2pi%k5csOgBjtRCwtDZZQrv1ha#C#5oXaKfuf%sx5?T-okxE8MH z3Dat)NdqU{OK+3+2hd(6gztVx7F_mt;GdqJ5gKhoBu^x{X%ne>g{o^Z+J+n~bsqG+UE%%PTh4!0>^10f;K_6KZ_YI#rS#n<2` zL^dt>tP2#Y^H?$rQ5-7DAk&U&J^3K&N;oBXk^ntd21GA+~{l&sOm+HmIZ1x}xJWU8L4#~5c475Ae z1iTA!p;};{bJ>DTfhV5r>Rfr2`=-zav;C47*K)DF&odx!x*OIt&SOF6K?_ilqh1*5 zB#*Uq9+q^4e1TSDizJvkI;$Pe=h^WX;`8e6jm( zTN!bZTa+dSGAK%;Tr5vQt`P~J8_$T3T(%4(k(e3q(aeBl{Vr`l>#Fq;v4s@O+P+Uf z>EkHFER=0S!aj?})$!wsA?=#tEAQ?W{*jCl=K zP?)2laQ^ zJA-pv0HY70E^DP-eR#>{ko$(yB?WXftfKA4M*nk5#l7_qHU~9YCxMo#n8M#t+B+6fzVZfVAVP_YF6UeM|#&89(I|a0OSpY_RAEVgNeZK!d^vH@?QeU{V0bbwrc++Icef>u}rM?c8a? z4~d7B_;;HX%}zAeob^Pvxw!|#@Qm+wvP7XBZ_`#su6hDzp54de2ibCWG8o1mOOu(4 z?Cgy1>r3r$OfYJ-iq9d6G^V*MNh&KnlCy6mG;IYA4eqd<>Itk=lV{BJ?6H{FB(D@N z?aELu@Gih-fNND)y8g|DgYu}d* zk~wS^55x@^_LN8jq?hy#Kw!^_^rYA1rNQAD7iN`;EMLzAvKi8BYWMCK_5?EkLL%#k+F&zZ0p+K1 z*eYlf^@Ht49}Q1>$sF(z$#psOS+Dw;&j-49vwi0|b2km$>Jk7!kg?IxWaNAv;BcmZ zKuC_$PfflGOS(hhlyDB7 zY#3MC9g^a!w>h`#HxrUf_6L5Y?({ZC_jWxu!7hZ0TJmKKANMgEVI+&HO_6LP;>ULL zP{yx&`}p%1go0;NRNE-{I$v8iy}&SmTCa3k|5ljs*I?V5`T0fxd82?35*p}p8d}*} z=-TtV*R{4bH__L%H?gv$vou;qC#U|^*OAe0jpC6qGJv(>eA zA!J~orGHPy3r)yP_p{)RQ(jn<7n+;SnbX6Y;ilz8tXaC2&>`Z^SoPVzS&HQBj1@`z)%um+8@F)I-0>b)> zKk?5nKYyM1&qwz^Zhrn96p&xf^k3BZKSKdw`lH`~^)GD`e^5Y}82oL8zo3AyF*5(=kPfRaS)%r#dt{XF_azZKG@T}V2r-}^kU%9278?K+?q>wY#S%9M z9azh#DSD9iz=|Yd;1JYnY;1H?JULoy8iWW;nA@Y1ktr{xYvZ1Oxp`dZKp>|ZTT9i* zX!E$5QT`EeS`OdJ5kE6jJkxS=sMEv}S5ix-R2f``e3yWqY86}D%oYwWsJt{s^{GXJ zMbKE?LROh2J*=pfKuLBMfp%1;oUQiL(a_Zt3U}g-h{FRkf{ll5l6I=)VQ=Y`veCi) z`n`VfL( zzwLcPllJqthxPUC^~zB-+uTe?*W;j>>ZhF-m4U-%wWR%IISJhYeMkLm}|r}xDM0JI!%o*icF zmi%%B2$eixegDFK235`P7McL1s#0uSp{24oOZ)G}@2tH`_whV&q%?d^R9fXQsR5vH zQe79KDNg~Q3#^PaY1nKHC5rdGTu+p1!Z8xy#R^>BbDB8B2JHr!UVaj!z5Tk7$uc`E z1;Uif@-bhGn`=zuOCqe!b+uS(2Rzu-oJ8v$DZNf=VaM1eqMT4g+KGRG1drupu#>adt=6 zkM1Befs2ck_!>|=9iC8Tk%iPy6@ZdReJFa=jdPBi{Hd?Ezgy#SHoumr>%G5E0G+zh zoujd(!t~b^8T)2~En&JPWN@=_pLe6$j0f$8`hXUQxX6OKzy-Z_ytnSQ|?1 z%13F`Tgdww06QML_0qU=224&RpZ5v;Q*F49H`#~L8sP%W61B(94Zgb6mq$Y$375x_ z&B%hKrC<~uMBAaNDvGq%Cg+sXOO#QV#x+RhneNx_un&g=qX+C2R!|<5Vl2~f9I&{M z3T?Vhwm~k&)5VQdePq;O`aTjm9i}>b%^r^4Ap2Ma(8OP;5sD6de^UZxuBu+}rGs#$ zCdN4KSTUf8d}=OP5+rvRd&(8VKANu} z3FR=LqDH%~*2bhG#qITiI+X{@4vz+nOQ}s~4R&XOo_syr*1`GuvN7n~Pe3u#cl)Y58 z^p%YehHa6yg-{#RTO{cqpFTS6WBRwF;m?W;ev2G_`iWFYT{?i85hg-(H^3m4?cRwh za|Y1GOwU&i;fQ%3_obQ?&Xa>9^e?({VJ?i^0R>bRKA^Z7zWx>*{Ntkq{oV(34kF|8 zU~m@C`>Q`vPORi0lXzmh`QCMF5~OKlRQTy?cacs}<>Dvqc0G=H^VV#xlV8GIm{p;E zixY?b+%Vu2C?=b8(hAB$*k$`XD6~Pdod53Yly$5M?>UDd1SKgqrpFOhMy3z2S#8kP z)7|sbP0LvZk1G&lx~MdVLGu^7YD~bv2aZ;%L}3uytjHZRoE}%{z$fpd`ai)DA7`yxZNRC= z%{}`y|5?=*vYGseBqpahlQXIx==}!y}xJ&8!V0O`D8-24Fu0O!mq#h@`6`>(lI^y8ybkYTl#0p^Q5Z&c2=pgAU**RI@mhBTaALA3ZPd5&|vv}e%G8O$p&Ub zr^mMy`B9@=3V!yqU=7iXZ~0j(-<& z(~6K5p7;KXzy(m0P@yxMNP+J+1qu~LR^ei?!9+y~BY-&?1;uO?=cqWzGYnpMEen|~ zOd96=gh_iiwD&Vf&vzn0!YXEc6^3jh!*S^%vc0f5L>1&BG#yNQ@cF=bh<(}KkLW~I z((J5gb`zKW=mU`zCsRMHSbt5j}2cZ6?c8FY;DUkD|sgnS=Bt*!5#Jw6}>#r1;|;=&eouK7~zNG~S(?HtHk z*B9J7Mnq{mOJ^p_6G+!Kr?0clU`Q+gyjx~~8!=cQdk|UA7WD;OY@^~`MMtr0Xe5^0 zsQ5H7_6>3rS5V}8H2w~-po;4f@PzuK$M zF7kxu&EgHlZD-gEV8QwcY z2ndy9kjIh4LmCL}nf7o>Og=v>l_@=METco$p!VR#P?7P)tVI*CHvq-tVdYV_iQNYq zOl*+GgKoyuW^g1lxev~(IAFAU&#CQgNL?nh0Uv9EFm22&hiT+(>gnrD284)@tdYgP zSc>-7hE!&6XTsIMC$12B0+SleJsloAobNB-EoJ4g4AgJ?=NH-y;HI=ct(|u!v(xP3 zY28&DP^blbc9gaZlDTsj6~5iuR{5xpn91$!9JFOC^mQRjK8`6N$;I4h;%23c9(R&s zJj@fW*GaHYL9-?`^Qfh=s?i%pCGdN{bF=m5!=EpRY#%b6e)qBS-LSsJ-DhzK;Q00FtlKvkL;c5gdmk9hDJF ziE@IKdMJ=Oi4MZAQ|Vp*rv@r4xAW{m-`R!!X3<3QI- z%{`fi3|WKopOpi~v7!FK8jEG*w>+L*R8UnF&JD(wLM}GjEvgsEiL?9w4f3GJSaFvS zIJHDe-GPcZ8@h(o9}*p|^Au1DfElNR`^;eoJ}|OKd~T|lOD1TFRr~a5UxgC&Z>YY~eUs_b(1Jv2VNS#qto-F}OB> zNuceq(wseXKc}iu3)Yq-PtK=x-F%J*1%Tm3(GrYFpv#7WamGhoDsr}*q{^pJo;AlE zihi4l6g$U#zDP4%PIk)*zjDl=%Yv8P|Gb=8&CM={*4O`mFB5&()H%!!vVFYQ?moGYczReRmt}nWdfjKzmiG~dtQcHm-yZA^QnKxd2;ZZ6z;=OgHj zt06WvR>Rg#WA_g;PB_MNuL|H^qwN<2yI0Y;T|6)RgCj)wXPtAhS{ut#S;DMfzNo_9 z)?-;9S?XrKNTK8IjQ+{Y{*_8up!Wgs!cXE(ya|2+0b?K6Bn}1dlwd88W5F^hg2t%) z1+glDl|K-dYtnI{M`VWB1%3TEd_tVtoRU1)Dn)pWL+OiZr(3->%pJ;UWvn`_t2%wZ zTlma7JryjzlJLRjYaj_bm7~6{%t@1H()A^{}4>&->?4byYD}PsrR_33_v+L7vFt9NHB(kv6b8zSa3=Nt8a{ODK@bBA{{&*YGJmKF3Q~C8=|67T|{~4GH6Z0Q}|4MiM zTbK&#Z=9L`JSxac&-8m?SghKD<+6DEPngO%1ABOmUL@hTF+ZTNmyn`pjQgKu#tUJ&J#T5JqH3bmPNKU z;c3&Gx^0QgU8b7*Gdqn%*{;Q3TnliD<1-9YZ;qDK(mh%EI-_bzkv*l# zu#XmNOR+N+S(OonvVVxBi4WFCHkzEAvdgx=TH!S5SY7q-I0ipJ)u&>Hwy zsZQ{^mO3>nySzDo<8-~=HV{U_joALXLbN$v_*qVnc1rSW0*#%upix0-er2Zthng1z z0*i`%?MHr5b?1sIMa!@)^hODyexVM$GabDR?7nS|tUF8qqTnWngC)S@wvzn7dmuTz z+mzdas{yJNaWtCV;JZntb$xUuB2Eg$ey=GJh{cQ?5jxewM`Oqi=apG_VM{^yJV{^$N#jw3YK*hiUfRqn?BrQKA9OLRYcE6%K2DHj^6bpW zV-QXzLUTHNgprmOvXHrz2oUbH^l$GD8u1WY4U?d`yjH<>OWs@WVqA_VgS$~4nN8Usj*y1EgNTS zP4?(8m~(S*4DCW}fSNefBk#~#iOrxa?ViX|S}jt9N?C!G7wQo7UIh_5i;=`Abb)N- zN9=Qkf%e zD0HyEFi2f~G+c1I0>1Y+ii$zAGQ^aMF+)cB)w&-B<}n0>5jv7|t3pRLJ?YC)?EKzk zXDXjr#;XJuU?`d<5*NhO$Z4O^?qFP?PTXz0oVJRx%S9d?-d-41KR8!Ez26$D8dJQP zqT|a*C1`VfW)kca3@9@wG#?B)nkfocD_ELYfmkr3*^_9o-|i%*x|k(KbeN?0qzh?m z6(?XXDxQK*P(LXpTiyU3**9$go5njb?=Ak>1;obG(L-G_Gn*bm}MP&n_Dgr}nv@j7;efl)qMl;UPssN^A9)mvR=y{{@9)JgHw z%#=x^U-q$IH1!}#YHdwRONSuaQ=9j^vuJ3o7|Kmctg;>Nc3o}W|DGR_>1pb-^FzdE z?qT%1YGQPq?jYb)(UsYxg@c~6j}?eBT|M|HScV%v63<0iy_lFQO9ru*5CwJdd>$4r zi%w^^t#*@?`IElhGd2ahOa?AT;{8=b32C>faH40f>Kz$Gom@&0boUSzvY;m!C;YVtcc$ zd1?~sz2uizG1lY3)|9c>s!!8L3+YZEhTQRuqJ0ySEu3~GnE{->58y0igCmsCpLEM!eb@ol`9hT@c z+|Za$D9wD(HF5sj+@Mq)40BCI+*Im@@s z;=`%Uyk)H!$WZaf_Z?jyQtjfiU?hjGSJz?;W-0<4w~uAhmR-^bw=K4#!m5O#W!)`x z%7xNj4|BSwr?iL8-fcWYi|B6$$7QEomkFOpFxyxi;;C(l6Q2zeIxfmou zgUC)u%w~7chSI@H{rRQbFgeOjYoV#oHKa{w>lUaNeuP>zs~i`^Dku-}WT^Uf!q&oz zyZ$g2#}2;4vzpzj9@x||iFdJ|w^34Nq$)4sH*ksMz<)efj~E+&xJkpDam_q z*2*XYle`3Y^CuS<7)Vxu;XK`D4#A3J`uOWj(AG;fko}7~QL^s3(HD|pgPw_T0D>V!8ZeL-e%K*Sq0{RR znH@)1^yRSm55yD~2LfzF(MwfJxn^=p58d+{r{7hJ5UmQ`e)#Bi5}Q=Mv#W}PNtRz2 z0ik__i>^ywgs^EpClZK!?-*U}H%JT{wtcCbx|T!KMGCwca-hS89iNgXQ!=JX3}~1*p+Cwly5fFy3cxZ-`u1qgK3oDr$<26w zLOf~`%pM9iXp@cZVeaw#*#J%bhY3iYt9YHqa>7@8WkRy}YoT0WU;$=CNjmrpW^Is} zU`NI74jcuWZZZ$Ahz{=IK<{Dk6jIf~2|jayR%aua30cW`LA_I;3ZlYI0vO zGDSMak-Mr39>n~4VR--aA6LWvDXYafU%5|}RZ zODAC_Et~5K;DzJ^Zn@CF2qC`KNctIjmYSgImRh);U8uN+{CooT6{kSer1j@B)8JxK zW*W0@1wXGSAuJ4OtO8U0nSqC+wBgof&-#SWrpG>(gk|1%P1dZnnLa_AI=Ue$BGD0X z{%g=cbY-Tbmm)*T7xfeMr&P!b+fh~PZhy{9TFKVmB>t#s-#t^ZI()?!8t8el~!6v zhuS_Z$xl&5Us$%KyJb@oY_kZfZRQ@W$#5n0fiJkh9Rfa?}B^9o+jg6YR8vs&SfvSj!P|G zjcr2d1psSP8vX~HkSEdB9P%ZMnq~t*kZDcs*o~K$oio6yCuho!2*6`>|M)O9({~NI zA95^UB#Gx-A*Mp0gFG|d&^omGJd=!8Kd|LIV9@R6eF3vCaEcJO-nc_q&-h=jXOfm% z;xQ;5OS$&JO6u8bwq9bO$jZ0Kz&o$3jB=GQavvZ+vQpy8QR=s*Ror|wyEz!_s_ECb z!gm|Ba_HG*Jc37IK{^5=*D?*^5pAXNkx7!CxVXwVs1cmHbvslREAb6yactZA?isrY zq$bp?o64t~epkgDz8l0-dWbC_I}hxiB`p4(rW@{63U8W<`9ffLVP;^+#iHATk4A1H z0_K~Oze?4+o0k{e1?BIoR$NZiHWK@TFp<1?3Bsus+$4^l7M)$yL6$5$a&EOF+4)^V zP)zWQxp6H=o8qxM)cEce#_hkdy&tEwdsSVJ{Z_jZ5ve)w02k}OE?-9N+cGe%gXH)< zyE$S3&3*O?AkKc)Waj&y5m5^l4#MDpjZdglxq@@)19H1*lok zC*F_*>io1E_Sh_u|y*-IX{2Jg!k{v9lFd2^crZjzz>k=V5}*dkxWmG4L?AcQ(kWw8aZ@(%4o&xxEtFDw*;+ZB(1lxbf^TQ z$jYqO>5rWvPJZc=qD~7Fy9y@ll{M#v1DauU|<0-|Lmp!a4^s?8|v!P=+f&N z{_Lw@Wned8H>77}X8o@`SzSFweMSZjeHsQfz|VpV1~z&c4g)9P`_JYJT|H(t z{kMXP|F+Tk4;=V*9sW&$HwE4lcvIj_fj0&I0Z;aqjrSijmcMrIzZKT8GW<3&^_wTl z_6ytcpD2r@^*jTVE^Ug{++(9g1xPSzP+5T zEx^*=j*y9-fll7aUe_K#NKYpXFfh>-v~pJe<9sX}EVPV_gzU_Wn!m?J*?#kx|2N1m zzx4TM__(Zu9Q41mru+PvnULWx*7)bojD!roTyZ*42115E?OVE^Y(Z;)t-T4rj+>kA z7YK&!kC)-s!hh@T{(7zde7OIGyUW4A@EZuGSzX<3N!-zMuDqQ%kwS3ajd)>!QZ?Gi zh{={rAMm7;{~0%=DltkNfkM?W{gUeT$L^yHo47aIKy_hk5g7A>-5e6triNhnriU6z6);61K95PD^NMGa^RHKh88m*+3b zFxNKoD8xdqb+|E=TBWkdY}*oP73?_Uk%l&f8Zf^|#>I^Ftn<;mI_8+?)>!gS>?E11 zl-HJB&9=E?!Uhc9i|Y2&CHQ6bJi%z`nK)nMzL<%#vDQIAH+e{e6Mz%0JJZ`^zGAFm zSV43J9g&K%s8hiOtV?2DO6F-A)BqLowsC`;@$0mU^khxunIvabWt!+!CgQH(0#@@p zkB*8aT3*0HM=MCA7zw2{Ra4Fh3G9auPmqPahXyC=$CSb6D}SQWY`8owoiz3K*C;%k z)_jt(MDRenD-iSQ?t;IsEK^K)nr_MRT=8&cCE#0?HALvS=qZFJLq%;^i~P($6Jfqp ztWvNj-)sNH8m+xtKG|;VS%JYXQ3PhSuao6#gkGZhvFO>w!6e2ODZ4xcS~IA}C~J=` zH`fE+PZZ4O4Q4sNR9hUs)r%H;Z$M+2h6RkR6q-|mMM$?~t%G7y;*yXB;#9gV=dD{j(>7 zKkfUs-m8>suQP$tVs9d8V$6~#RzwL>el>ZMqHpe&SrcEYLU8868~|bG;LTqQU{eMu zb!lC2ax4Za0(8D1HPR>taVs^NvPgE5JZ;-!WSc5BOGFaEwNX!$;-Xi&by%yUjvM*N4)5S^?zaJc zSf^M2!5|qQ+Q<6C5rCP((}B6rg!RbPT+Ttl&-VyVq zPu3F}JW#;uqYlEIdeN3kAf-xFQJMP`SQZ~h5C=&Z78<|BP#5}P<`tpenSK;$N4xce z8@=zLcnL+ZL}8|cA0j!KG~MlO9Ndtdn_j9e$$gev&Yy`lUwQx z;v8?s9!QMuvEE!0UDf*OcFMRnac}cFusTa7Q|2<)Q#ojA5*!8DBg^7M>%-wSB{M=} zSH;{^Bq%smB&ZszFtrLO(ufx0bVykENLs-DHEVf;v??s^tJx2E*E4zRZ!K}gyI=YM zB|m758eu27u(mUGTl`~?2(>x8C3PUFvgLC`>E5Tb(Ggf;fP%}}(Zk@{?es0_@f9Ce z2XzEYG`1pET0sn*Y*erYIPR&pU%DmuHe5cAGrG;ejAiB0q(;07SzGvDhoTmE4bJTt zkTE=f3ia4R0a~Pat`;;ng;jS>uY_sY4(>O#0%$UuCR=%dyxh?}i-Frbz&&w^Cyh&) zZ*9MPO_#qr(g`}}h<7sX62nLSVu;{6+ezaUgInObCQlDki;(aoR~lIpt>4t4y`sQ~ zwDTc=K_){(V$*)=eS{n_hi^`CYbu{7Y8p>Yp=8^9eJ31lcaaa$vA#cS=70)IcM(Is zGQ6rv^%$)h_&yJE`p-*=HI*vex3g-+rGldMP9i@*m~b#JuMec?{n4aYyMznxNcd@t zr@a%HdAo?aEM&@aS%8yc^tj2hYVp{k$^h7j@rh%TcL&GW8UTm-`2(qB0uH1k7e&}a zk=TSfmm3kSqffL>2t#@01JExmL~X;()XK93Gc=b!=W!I3$>L#Q$XMg`}l^#h7>^u-|> ze0DgWL@&bCeEzE zQ7GiN?$NsyA@Fk@sc+#iOAfR7n~?Aly(-2ZCy2i2PFR*)>97=1b|B}g>A-9GOMUi? zC8W^-UOE?%*V+EMkBRDTD+=F~oDbzh2ddkolj<(1Y_~g3j*dMra$}QzrOvH-CVYgs zIMLxxH%-#{96ufWp$VSR9G?c?wss!9NOe2{goN0w7H$x~s6l74l&PYK@AY``hS^Cz zVVYF9;~^7H747Jkm8!LBcg$$t+yC1eV(WNHe6qSrRo|yC(xP;Vt&fda;b|>1EjVvB z?XOs)G|73#vIIs;Mav+g4CWdEnQtO8kA};c`Nz`nFoNBAwM!x390Uxs5s(eT>#?r~cYVBTa+v8ok zyQQwGP&y85Xt>kv*525zl>}mtigFGxo6=o!7|Sz*VE>20C#bUuDF#X_H(jq+d3YlD z%e_^OL%ZFyYq)d_-N2B?9P+@S20$R4tS->ZM>v%rbGoyDi9x&)Rk7n??PLMHMyS(% zsEC1~XW-NIhoi<1-}rGn8U_WY`EMJc&Ip6yoDuaR4dd4fvZK>D3Fv_ZtZk;1eXiSI zDicdpc8!K6_$i^*F5E6?M2asmjR$1m#w%E8+6LT&iu5*CNEdkJN^1*jgT9Rhw`-AF zlg8M=k)T)8>WL__EfW|k7pwu}Y++$6(j|-&qdOC!r)=89npp)^%JL$4;81if|M8|YGK6TgJf-;Woh<&UvOv>MZY3RH}Sa6Lm+Yu=VoyIRfZlGbu&Ul24U&1ft_ z3vPaFU{>1&APIlOGthd>Y;0OF^0D(JYZ&%PQWJilvY%(p&|LO+6h0Xa_s?*;1Xm6t2!jSl;Zm7<2S=Vk&c0yuX!5800SeVm8a&;nwGq z-=}&JSII4qD!*4bp4T$Nx_c*H-3l)W&kb1u=&fy{qwidz7c#nrM2zwHp+N2k-N2T3ns(oJUum zyr3l27h9#7@3YKVjH}gY&$6M}^say@6XUTj{mhFa2_JYQRIX2wc;-H#S1Neo7cYNY z8v7tO*2GWYjWj3?c^pjJ-Y=+M1iABzuFEq)>WD~X_@q`tblTf#a3wEnQS|fKjhNLA z*{7w4(eQ_)b;1G;F#rNgLc;oYq!7sbXhLxJsy)aO6<8|WgLozMZMqhmB zi>FpSGZXdj3;IWWZU{N$B6=CMr_?LrSnea-DwM@aV?%i*G@v}2Wr9*k%+$_2Ou+JU z)|mQ2C%H~*4lf@I_L8fK*NYKEII9Po=CQ)H1zoSSB=yZipo67musI2It^$lCtZIz| zX>?0Cj-Ms5G)PDLGUJYwgn{aZNo$5r8-mdCuubeentqss)#iw>5n$S^6-dszZAI)S zp%?Cx$uyS2{Tec$@}FG}K*k|6Rcb#I^?n9As@~EB5)6}HMhBfC(bEAzeAEOamNoWJ z1Kk{n>0|!fi-Ia-thc2)6My@H;W zKC*+Rf#S*6KusY8o(_$}sAQ_uNZPieu2Vo*eJfElj%h2=mA%|p1OK@*CIjt2(s_xz zhVj|lZPX`GVI&cil( z;6VuG{I`CmcQ&RkXcc6OjoqrwFp*dOS9Le*0srZSDti{O|NIb%s0aN8;DzE ztSg)x%~UnVV4PMqe^&3MF;ezvSd3qp*!-rl$%c7Vg3`{LTfp6+w1^rWdU=X>EDvm6 zg?WZ|pw8TSZmDG)$pD#O`dO!3r9mrkQPcwoNiB|EDF)g}TjKJ;h?3UJsE1lkX1llX zzP>72igHbsZ`Yu`+m3(wiL{%lVDRfy(jY6$_Y(1#;qP+chdoA6;~^_3T(7o^?b1d= zm7TWQXC)W?z2shJ%anG-k zbIwqIt5WRLr$Zdl43~>`8J4(YY4d8LEgUwudfq;W64gcpE$Rx4>u9Iy*Bx+)`)Pav z6bz3>4eT+N^UPF7H@z9P4f!&c8! zmWiL|0vZlrzno(x9C8lH*JjF;e7H)TVB*3dvrNn^T>1uQ+%wq%^Lg#=;AdXJ)DJDo zxU0_`4GkBnqj(xRsgkt^70{ppHOHhQCS97SKvR65=#}FwK+8^V`84R2 z4dgHmop!&iJvkTN(z;yVeEXu!&|np${lMW>HcNV~nE_*ZXSS^V(LZr|72K_wI+h>W zrt#2q5+>G%m7Q`y^&*OL)9st!P!>BsybrUbrF#6;e88~ST){*C&(+Qg`)-Rpge29& z%}R}u9u2q-zv`b>F$IdaT;_bAxV+-+Q)`c?YshVk@Wo8ozzDWALRoW zGHPtMnvQmZdq(B(?LFrl?PKEcOv)NmAR4*EZZx^4Eipun%gr(lHpdwH2&QXqMH!%Q zW(gR+DwGdh&VR`8m~3OonfDksweTvdxqUrk)5lWR9d#3zeh}S)L2zzHO&lJE=!ur# z1UqJPt98z^K(1!XI8RbqHL>PWHjmA?&A^IOG8_3Wm}jrJQZFs^UJc5D9PTO3c3t z5!T6`fagJrI1uh-_HYxq-XL;0+rE(%wCzxJAse`N8NpOgu8^i37mq~WYnd9{H3GM0+N6; z(z?R}eLN#m76o3uI~_O9(<{X#*3BD3Y*U1&UJzVEr%)+&Co$-;k-apRq zpc5puU`GgM#*?nxn{iH3u0B|`+AA&~5j#cgx z1ARpdhHVGOgpJdvZgm9&)Mgv-i`$ehcx=qKncYKNkn78-`Sa(%86V$U9m?Gn@K8)1 zZ^b%)uKrnMu2X!V7H8W)k8WI)seqR%AW$Gv=Wn-vkD~zmaiQ*=qj>Mi`G2@8$AE>6 z)tJqQjTXRQ2zcWlIGAV+^qE*_4U7#r*o};hnBJB({UeUT(1ewRnS%j9%f!yY^!9oH z6RkcAyD=@hAtS@v`Y;n#W)}8$j^dxdA^yvozI$iy3cM@uuE4tj?+Uyt@K10QzYX*M zlcV@Oz5j)y05Jb0s_HKs1>kRWIDda_JK%4S#sA_c0Kd74{~{y7`p+>Ezu)W6q#Y-G3kbpPxX;=6|w3=U*rZdQm2Vzt!Npoyq?bn0SjRdjlr^##{UWP_P32xc_HJ z*iZU3rs^N@Wa^#;rNO85K zQWuLO5tYGvTXfF_NrJ9r??O78Fn#$$%IEFUXS)M<=V?Y+THQQ(5&KqI)i(rhZ}#Qa zvz^h!zQNJO-9FvdtI@Tyxw}S4$=2qzr#)NC=L>llQg~e(Qo>9652rG?!m$kvQz1Rq zw3KUBGM1FAsTq6Z)bM;(xT;?uCCfq)8Y<$`rL{AtE_+Vc8B?A(Z4<&Y3YL_XcIVBP zH;i}5T=B{H@Lm(h^wK`e4DNGGfEHW40T~UUZg?0A^~?-};~N{c-Ub502jpE_l*&)U zzqnUlRW=F+old2$k#3U{t{Ii1_v$`Xwl#01V0;oYh9$FY67$!0jSxi`)ia%0Q6_iz ziofQk=w$EI9ry|5!olCK#H88Q$vI=#v}oR7t1JmMsgkUr(xk(V-R{P|JCBcZr8~9& z4VaHAOd~Bmw%A&nGOTQI?zkd|^s()>R@VKI=Sl3M{Q$2($@u=|`*EA=>PLumgD!-z zK@F}Ln94iOJYZm=H8mOO*j@r30`lNr`3Y9%+L`TqS=uWhr$4oEwNOZ$J94K}7NGUu zg1#n-A47S7BbFo-y1i`6ZGr6MDzhf;#+EfCvyr+-HZHf!>-gj-&PalvSF4!DHSxAB zJfYSVsZ)Pdgo|-Q@lPt+*Ya*>ovn4Af<`7H-IH0gRd-OIN4_52En#brfG-G{{X_{K zy^ggFEly-Y&&o+nGe~|Gg=Odf=agTotabNYH{aYkfwgN}HJEcznu)V#7iwXN$-Vf8 zl%*v@u^@iKfnV;t8+@unp9Uro;qe9zLfE1L9M+z?VM;yxIHxGLE!_mCvGfX`#yXk= zu)m5mQXAt^uHC5>9fl0J$j6G0K*3a$1_l+xjNj*CVWNzbkqEGOmc$4G?wSc|*a$LC z6`R%b{El1qA=n# zjPS(UvbJ0+hsB*nvZVBfNQ|@4G(w{wE|Vrgy6LcnsMS0r(4YZj*rbL5J-sI1D<@b} zr;$~EJ+LC_D&V+$InlUq2Re5)2PUb~2sM?_Evi9Y>0=|uJt{`jZ?+|6AVzQ{zAaM!4N}STS?@|`G#p&>~*uLW>iEt z*^xR_@s1WDGFpbCriod@k#LZ(oSmhRAV|&#@-zl|D@o#yPUjDbLtB;-2p47Az+-to zwO^NcU0v)2K6`uLA7;iZE_=%rww-ICsxvhqmmOoE>);vkZ(*T_yVcHraVM1BbFjO1 z7WHcT&cVgk;!6CPtp3}P5eW3``1K;|ql>cV*X5lgn@7^`tgqGpp#)R$Ys)-@%&Dmn zP6UUAha^JMRRzCR2HK}tG(B~B|LRBS(WHpU*!nV%q>6(JtsVSfkS+XfQdNw5l~nL3Y7+Qu{ew}da_#N==-i&i~FJ%i+lCgpV(bj zw_t_Qd&krXW%@Ktj~~ONtZ(VW{h)NhNlnG@fbTPLYV`10uv#l-%DnO9M6}a=$&-08h=yB_mSJQDW+Y z^zl0*%~MK!b?m&x8E?NsVN*!YYbNT*2cx5eo-n#xiN?lF;Sd({M=D$*1PSBrRw}@W zUiZrmG$mI`^%~t;GfW=h-B|XKC%>%SB?P{c2lA3?Rn2 z-L6(4g9K3CD3vr97kz|U1>x3G44*4N1@@iZ4UXp?@Wq%s#*nZzqYp0Z1d%i-K)1Y; z|Jp#uM?eo3fNq!QI?<}Z{EIdrPjpbVtuP6+h<`>=xFJxOs?j@go zJqW}LLHPpu0AKDA>ZzjnQo&Ibf#T62X|9nb3I5!i4wa5yocI)Wl-W>`8J|>OE|Ivb za*3VrhT+vG!I9i>6-mK3jgwR?;keRCu>6TB_UIpt(pA(3tf+!j{U7yKa5O4EWtRE1 zx~?B%5oFe~TLdsdj~1t&ToH2{;Kos65!Ds9QL0AmgrEj2gD%CG@-u!TVxz<2Y@*hc z#Xt@TXg$P|b|!F*sAa26ua?Ciz7-WQG4tGu%ozMx^C!sGcG4y+ss7OL@l@N7mNUdDVU_ljUXcW?;HQyuMGKaa@tv z2ZLK&kh|u0^_W@v(Y(mK)10NkgH7XvYF>?XF1_^1vOSF1XF6v%miGl$d|r5tq^D}D z@Y+HGw(`c{O&D{2EhDaE_*_?Sbr*C$l31}1g_@lx({kqkblCB}5Ajl3q6pYP+ zS*O0`7+W3}quPTW4~jG>!)QR38KVWk7K*9C92ajSai(})79&Y*VeZZ{9A#*o;Zolh zyl~r_`x2jO(t!n+2T<={Zi)5eyZ`v{e#KiM9~-yA8-P zTsnTQy{q0dnH1odSxw4W2ZwENynRl86LhRp`L*39U6!0buT@~Du(0$gqPabn$MjaF?=lPk zn-JLKC_VS(&-Gf7P1JOtl7R_htXYM%M%OvK4D|93m1YzLy9sH`hQVL!8RTTNV*jia z#6~P)Yl-ea)rGmeMt5tGuUbuzuWL0P6c>A+JVVa%C9=nG6W7ElUfuz1>@1>HUGtNBo$pMX^3>ODYDfhN zb^;2!$Uq)SE)LGTLb{NZ7Cd1f`bQ{-wfI8o8XNTb#`p)iPy7cvdZDIpGTwC`cEe0n!E&$u|TC=DaFzYeS9o^t^z+S{M=h2wlLwm_s0C0ZE!|uW58Cd25UiTtlXY0PnJ6|Aahz&!;U=$jzn}seSQ{J-P0m^wp_BC{ zcMw4&5OCqA9gn(r+&hO1_Wrf$_WYVdkQ*A}n>;6MqBusP6J994Qw# zeI7^_Xss=6r2!)FxOz60ff2$uSZUGC4>=b*`jM(dnDCqC(4Z(cO(qMDVx!fLrlV12 zqAMGc8l!4twz^>PdDwy>lQ<&v^%5QOa35EaEly5wd7h<s95Nr_B}jp1T9NU1Gw(& z1ewhXCr$4G?k>4}faW;zxEbZRUps93x`U;Xy%Zg@Y?iK1z~@F|w)vBlwAdP@XkTewUW8{- z?e)!pfIdULi(C?#bvCi919r3@IQAMrYos3rW^(AwWtTjMv@UzMxP_m4LJ&z|#iga; z<^nlmW-^7*xuao!Hywt3Ha6;ZUtTbLy%z2vQJKh(uEt7sFpbzMaAHfPKoyMdiSa66 z8d2<@`uPWa6AuT}^_3`F5w^1S1aECc_-oW{PsBsbye8banM%s?nIwhPiVmR=4T)74a7GCOo9c020=TGz1oTBvx^|F zcvcWZvcm(5HKs@+5O^u13k@IG+!X%c0&LWMYiw7ZYM#0qWm0X9rh@3n6FZwKc4@u> z1$>9)-d?N#)E{jFtI`K8DYj{m`f^G54X=C?*iyk8j)Km?7(SreIcrUJJ}Qj&@Z`iS z5}evUjqH4!s?mBAz5+I)bJ&C09cvtw$<=v#sBL|xq)b&0BTu_xi`e#TSk^@IKWd~4BB{V7|pMCAcc%svsHogs{sR}VVM$@5F* zQ)eBUS-YcEiQP7bv6G&JhkcS)WH6}l7&pLV-Eki>wF1Qol)Cgxno>}=o5MKV0tiYL zxrseQSK%bmKlSd-ePzdvBB--}@PIB!sy7To((K#q(+@i*eIyoFr+qx$mJ34RDiIGB zjG|Ltx(QZ6d;%i4x^0J&+B@IlQfhB*&KO_{HRq#Aj_RGJZiP(Y0Ikb6BWGUx zMSTvOaaVI6>h6GGF!M$2m1>9XY`X)v^cLSxe05*mc$1%vs6mtuXOdMqsU0@zNBUsb zB@fV3Jg08vIv)dPlWl$&LR81P;zsh``0N2}055Sh$R%k;h}I~Bo!I(dCnBkw?wCBA zgf9CR4fW3x9v5>g2Nbp~yAVO*_wLgquf&}|SXsu;f7ikP%O$_}B!u@Qg!d$b_qM73 zvO)hJzVO|(c~{_Ffp-Po6?j+RU4ehb!T(Fb!C%tp0skhQ{>|F`OUn8CJpVq=|DCoe zmVc^k>fdhtFTZ{NUfa~a+5YdfO#uKL%=#uw2DC;1W|KFfR-c1bpYaXQeM>AeVq#&{ zXJO^|$8A$@jZ_8Iy8%%pJkg_^8aW6%c zMg>B0=O-~|mI28nGAM{q0WQh^?XrEJe&_zoMfTpzBp_lCO<6kYaQX1uV}B~I?dS6C zmOZCtxJ}1un#Zek`~CIJ>XylOo$}A^&u7m){*!Cbrjo5K*q(ftr!r(J6pYO{1!Qi7 zmjiJ4Sf?UT-xw9J7@P4VEmlPR^bhu!;1jNHMku z5-U$HzZj@QW9#lJIDB5GJF9IAs+vSAS~!2dJwBpqmO+sM#K%8MhQEllIEUpd%RvJ4BRSu#O?i!_JlP9|Fw z^e3^--AuG%Y5P<2mpsq;HkYPU&!)`v^1iijP+Sib;pTNQNt)gtWb48?`J_>YQ1%}d zsuWW9Ua#2gKvP9vPV#I&oFHx!DX_cW!=JS#uL6G+E!n`mabOE=SsXoZ*$|PZ++-<- z$edz>Xsfe412WQJlhqIip{${)MZ%Pfbt2ZLxl&8(CxN5{0ghF?aAjLi19C2=XC>HM#lApzalah8C`> zgHKHgi)5+~u<~O`kAg+^=_0@8|>^`J7(t*PHvw4lJZ- zfbE#bmYr`Pq%k$cBJfBgD4wq_guP&O)Q`~*q2`CVJ)_pQf&9(aSjvZ#2_j%3DIM0> zB5`o0I&IU*kBCsOfkrQTVC!4Ra61L2{8punF(;%o+NM>nKryli~ ztOrT&;SbJ$h$h2cxGrh(InK|iiah+>ULWk5sGHzA;Z|%w0bE9zcwlL^Bgd$g$Ulu( z)U|34H~>S^%9wWLL66W$BpI=jn93ltKFd*if25Atw(->1x&OA054*DWCw;?`gk{gs863A_slXzwy z^eLXjXbfGD;0b#X!{RnI9lBi!yVyqo4Tkt`xRoIgzK#<1YAKGh*CNaazpQbX!^G~p zD%@_`(FwB@yB0Z^kyrlm?C24wl*`srkA2&Nb;>bN^0Gz7G0@VFDN&T}Pitmgd;=_> zv_qCui}>1Bo*z$kUtxSLa#Q8#Eyf&_EQ>7^KKa_VHMtGgoV)&<$nA$aHWY=UEDcVb zu{nDdsivCFEr5R1ZE7Pt^={zsk}v!2hfnVVocayQ;TT=Bl)&!Fmh81PeYI zK%l7nJm)|qDb3n}Xv3Fxl)AQ;3ckDk;(Vz~_ayAbUd4C;|6`4H`Hog3sJ0WS04Cn9 zovXFJttZQ~-K#}IFdXG0%>#3NQ>Gy^L2h}0AC&RO8_g9x)#!%^P78NAV=S|{Qt!Ol zu-Q?A)l&HlelRwio_HcMT(pTfFf2cQ0cigWVL@a83$rCdR^r?5Pd9}_38TQM_Jy1! zIYW$XQ`im(J-PPTX2|lSHw0ozR)qJDoqVmun+daB9Dwbb%AVXAPPC3ohp}HamQ*!p z)M#{iC`lWy6zme2D7j7pok3b)cTt322y}JE$1J0@*T-#2a>^FJFixy#j4JQRKaYJ- zCLGDirZPZTP!6>#3)(N0`W|uP>R`3j_Pv>SVt}F*B~rdnVJ&#T$^ann1Qow6if&vd zL8pg&qY6nrz?$0v;(_w9zX+gO8g(Z3?UV?Z9SsH)zEq|{O476iCmmmp7E+2v_2xxM za`Cp5g&{y1zw|owGgOh-y+Mj@`GW}8ri{}sghiIr%8$k5))1B_APlw%^5yt}E<-9Z z5j-qRMqC5}SpBR+pV|*(H@U>CYX)f|dlfU(u}V!JXCKYI@OB&TQCPBg_`??b%Yf}o zP6SXTeOO9_!V>L_Kj47%K7Pi}VhA>D@P2?EG0`c4RDjrZ z?o2h=F3bqk7+9`O5zncCU))VDmfQ;Ki&|bp^dn0**uU~>ehLtcW$|yuCXTi4C(OyG zVc-t^4yEn`+S%8t{SnRf<|ilOPL*>LnF4+h zUQ?k>G+D=1yJfaFg8$6|x8W!{`a6_9AP@p~~8zo19I=vY7Nz`>-~ ziBxOv!w^JBnj7{-`MEev@;T__t%4@$-pC8zHXlwnREii=ISO^1db^b`-6SvO-$aZJ@S z{)V6DuaoLO(uuIXDu5Ikgz}RR3D#FUWCMw$67YV`Du+Ax26n?|Oy>mPgOnN7@k;cj zDXnI{ zv3O58j=jnnpGU_m(9rwc|Y@1xl z;|0gMH~tRQ6B`uG!CX;RdTLAg%CNGw#TmcZHm#WEw5X;%Yt^~A-eB@wqH5sT zus%t?2i@5w-X{x>&Z)smX0Nj!t3ED znW2W~xQfqCGJWXRYm>(|VIN7((ua8tnZBSG1X`aFCdf!I4sMmC=?}kra9Z_3)qgeLqTkZI zdX@Ds4z_`oqpgSCRbIJ;M3U=ET=A%jiuYH#m9iEmws&NBb(2|d{fg9T(w;RF-^SAV zYm*cio~j%hqFW4khSE7VqUM)}A~jtV)Nc4n%IZ&Rw7vK;I#qQ7MH>)ti!z@w8d{2H zc`}EkI4cT8DwVcKC~JwkoirS#cr2~Vqq+-7W zS~XmmhwzIv|14j*!Yoz%xkqxnr!}ZBjn}|Xua1wRqEx#N?A!?t#1ywvRbVS=6asct z9|*MP2l=$_o-6iqtJ7q_2lV)_iuLi;yK=}KhZ0^hz0{w9n6bm%Q}2&|1uVg5$F`mh z6gW;u3=Tkn!rCP*GA@ce!Cx!@$#u~4vULW%!bkLEXYn@jefMXIKHtdw;sGwUu7juT z+wRPrn!Ti!9{R1^-y^{| z{#eNQ=XaKO=jh!z`hSrGd(U$DZ!m5C*v0$Bx_1TM6?j+RU4eH6-WB-2uK+intF6AF zrLiM{@n<6*au;$wUg)=u7w_Qv|wf86?GHviLZ_;XDEi*xjQdjD5hE`I^R{^}h4 zt@h^cXSs0vEhprEJ4e5BLjEJ?h~qbe_Rl4^aQua5`@fUi^7~l-)bW2dx#b_`wY*gx zy`AIVHsJ5V8+OLG>L7;ScHh6TnEp7Ce}4XdKd*(E4Z!x7yp|D7%TG81s6X2~a{0!{ z7IW4SlD4V9VvQkjmdht0^CgiYj1+yW_!D2w9c1rEPDajXT8Nk=OC-4(@f*LKHIHYS znBMQ5ZQUL&W;|RCXFTF}WS)C?KkaQj-JETm-m2>IEUu@WczEXbrY#S~JsO$v&I^V< z9!nr2|B9Vhn-L&U*QAb2)|JV3Wlot@w1DNJmQxv7my<31Nn9{H6TGA%DQj9OoA7Y? z;=-8m$hkv?+926bQcu5XF_2wn|LG?9u*c1@WKA?s1~8uN0WU^U)fJfOS0F%rwe~XwaC$rkJ}%w%B+PlIw|$*fnIyk z1?|>g;ivY|0alcYl5)9UKxAhRN6pE`_&4_914_nV>>TVg55ns-8E&vojtKExjcPlP`$iSG3*f3>v?qK(6xdWgiN}I~K-qKtLRdF4{dKz7Qg(gJRpZc9 zBqZ2`s{j%ZU^T)S&CKlJE?^grDd|E&gB>!j7p?J z=>q=z$O^g9bUtpq`pcO{XuO3_x+>!VcgxVJYFtWUL!63yXnd2ES)6%>xwaHKwtJzB zbu1|A_+XK8Y~Dq zL%Zz8BF^v)0h3?d$XiOw5_ulwjxm|1vqP;}X@qM9XIWXkck=Au0g*B^YCC3WxLXKO z@`i=GvA8UH16=I6lmvv3dP#CY<}AH$qhTIAcuNHE;6hANiZr;%Q`(!A6AYNnOQ&bn zF{I^Oso9dH`_AYf-_6!)yDlxUhRdZo$tx+UcR-XnZk2_>ul?2i&M^x zIncD?^D@=ykr1u`%8iYD8l*+~#3ob`x}p+NziahiWPM1ww}Irp)z z*n$ar!6vL7a9USJwAe;0z#}!$yStv(cHVia3Hut&Pg1YYimrka7N=_Vl!94i($Bfl zR#4*(29!5r@QkK`CbPzSmAHdtZaIYCToGL|k7xvckAvfcyP7U=NJ@KPh94Cp_x4e1<{)rNjA(0B7hFHqAc@wbj@ktO3}7)6 ztVPFUS`L*5`a!@{Tc1}s5%AERq#dy(s{xny(R0qI3+jLpHL%B!zgHOzietx|m(h;3 zgjTxWF%@2jZfe^!_{*;)t_QI=qFzfRyDXhw^+&m8Ok?!PspOkVqU3bK14q9uR2iK1m3CA5R>P2VTmq&*?; z6Gvp`Ug!DA?}`|IAf#_LMXKUo;NbELa9?UbV@q0n^v&g7xP?C6`&NC!shVRoX%y?R zEP{TTw8M;n0lVxhuo08X=p6k7GAehI@0fC84}8Ox(Ny!Nbj+Ynn}X+0mJDy-8|3`? zxD?+k$J9z;e}RdgU}(1=j?kQ54w7Dh<})NJ^B48eXR^5;sSS3I`x_S(J(CZ465=b% zanVOugHZ8ECzjLH=tBrF?Nf)v)nOJl9B#Ro3ykFCzrb~T2^7~BmIjif0qJg4I6 zPXY~8`B}b*z-xXdl{YGR-BFQiNz7!5JOr0yF^S_Lk?H{vi)`hunSf0-&lpvxFh9y$ zg|=@)#MO~bv+Y1sHEL>udK~&r-UZ&K;IlfR;$_{#v!=%7x*fnh-)$#O#x=1WS33O( zC79x8sV54-SfSGC@i(mnA}JdOEl0vSjgSb!&ODki^>~>dCLVNa<(5;-&Y$Ci8zr8u zK$y)0<7H5NR(dQv@jJvK(a->vm_btqZ!Hx)!LaGL0Q1O0Uh>ve?r3S3&EU&^!Y1&x zWKPR{y5Nhif#!CUc^i%#W8L9T=?~pmCA^VKRNqoe*=kG@bau=~UCZi$#@H`N`rVxc z`LXR=;`*0m;ZE|Gewt*W#zFE8M{M3QNU4=_)=iXe(o>HJLl32V8E;4PM!#D39;PYA zyTG$uBv1I^PXp=sYaEofMe+qz2z|dlOY#fWufzmX8hSA&gW9AKypak22AMwp0-6of zzPzk(jtRm@gl}1eofGwExwi;Xaph3oQH)n%2bMAi;gtzK)+J(L72e6ys_j4rV;3 ze5R6E~~Nx-V`cY^?XX1c{5m=Q z!?c18=~i1DBE&t@>03f1-{=Q5eG@`a)4iQZv3cg-w-b{@Vc4Pj>cx&n z-IR@U#`;llj z?ZMtmB5TOz@}R2(66^`$>+T~0%yq`Ty)B|bkQ15xlt3*PT;bj9Wmei%WoLH_c`+`x z$Haw@Dci?}0BB2NdaH_bbwT45&#ydu7q1A;QzW)|iB~Zwje~+ezJAE4U0xWv7K5kS z&9AOOZJ;d1P|~4h48#|h??XYUX`gg#6A}MFObE`Zpke$~0ZK@|8*R@ZN8NW9jl+FS zaRE`mxr4*YQ5=V_qQ#@ml$7;ODj0ZzcCCVd!laDLM8~#mbsmrMup(qq_?l)kew+`i4H!tB}6~KB+;!&qGNK)1UNCVG;2_j4%{b z_}hn@@anC{<}MrA5M6H1lK?vmE99JUZwL|r+dEb}F z@Q;fFO}aWY@43XSCcnS5+7G|w9AT5_dt88~3|!-%ch_=S7wa*Iap+qd3;V8du^ zg^g+=WGxJ6zd)P~1603JMRD^pogGbiJJ66Agm7r$Y__fts3DYwcguZ`*fq2iUAFYC1TAoxrfYNnAXE+HFj6H04M`Z-L`t6{F(!0?BDIv-E zz~iFnUWZra1_^u-4UnL%++U&DTz5zM3pfBZ5~Ov&3i z-5=i+ZhT>YcPaI(dQ!N77+sZy5-n}fzlT=<%?G`>Fd@OM52z05)8EUnRtgrvoC?55J zdW0^X&xDF+@iP8(uhw~*uREK)bB|ByXnc?EmAVs1w*ZRb|Ga4N_67D2B=yfPtMA_8 zySMoNqPNHfU}R)9W?`dcG3H>TWiip$r`2cBH=$)>d!w+8045A_m+U4eH6-W7OP;9Y@t1qlAr^ZcJ2+25Jxe+NSRHD%)Ov4U??{=eV*_V(nz z>V12=|DWo8`{!A~Kll9SQT(^Fg8yO4#Ggm{=llP2q~L!~$^<*h9|+)YH1n?r;M-$= zoS#2G*grr3nHiY>f&iv#EGy!OA$w+36%U-PW)z+&pH}1g`m$;ec3Y0-LJsEe_Yj!y z_`I#0Jbw-RxyF;C&a=t`ieXLRS9$W?ID^}*1n=}@ZH0DRZr|l-*~Z00*Gu!{#qr6@ z&B?v7wf*V!8UOB{jEk?G<>=?>PVl0UDcki>@#15?^-?j*s~vG+zi2|Njn^;* zTNaB(OSZ}NAPTL`?8)?wlT)?E$obL4!jTCFpGc1?HERcdUWKg9PG4&#TTQG2%;$9) z8xgHBx84f(PpdN}jI&#LrQRt&4GlaDE39)St+Lo>jw_rNpLS%l!8LhD5^inf%g9rx4x~-G~qVInEhO#BZh3_=Iq|lMvhgKIN6DFXs>Pmk{fMW$2 z0tXTjsS>|vcLfV*8=PApQ+lS4WMxHzPMDmk@FJ@(s*$*{$oK9a_I*;fWLDDsKEHIo z%Jn>djgS;x#?^3bFzzQ1Msfe#TU?O(z{aMIc^YcS=C1{PXBkn@T=kk;$zONk>#33h z&NWjnJ`=f?(Y9Q?*T|pGNY)4R)6Zu1^u^+e>w>5_0vb&PRL&ebcT~^f3+xSXXmip~Ky08-58xoe z<^W_F#IY{a-2?%``!mhEkBbAcB;QCaIg#pWH2Io7=zy6@Fyxy}Lxj6U5+Y)!eK_d1 zKm$P-9ca&kO2|?7D zD$jvyP5y2dRRf%;*I#els&D&Yxwn?QQ{ydYf+gNh*0}VCk;TI3CFfvFOm!+021ZR2 z{gwrV1w?!kHdo4+K$;E#Chsi82X5qP*yAm271dE$pwr#V_~WF zvqfE?s~6PYawc$BY~TP~`O

GJxINFxF5nPA()>8d3Ntjp9<~s96Tx&Di`;$K~0Q z*_^gq6Wk|}AAqBhLaJ3<(^xW+Vx*(0nNk!?UmMi8CZy7OovlET9o}C4&A=oK5IH@y zKP3;XVB&0V(xRrJEzYk91uE$(U7Imd*kB@qnVY#e86^&)2>rL1iQJEGF%xx<_2j=} zCfLWi!g*dq{*0N(d5f9Y&PhCV5M_vGso#MqK>V5U8K(h4Miv}l7Q&|ym@-c6WWJWc zP2nu|EA^n63i%Lg(GPyLTU^s;Wu5}1`eGhMc;n0=Tjs@}f+XF_y_c=6y{9l!E$=4f zBzzT@;dPbp@s)-c@bMWrdha#Q9Ntx$^9#IiDmOag=;7VjXH8!5Biqd&1Qeni-q;xJ zGK7HxeK*jGm;|bLbQW(9k7zIeZ(CsrVDx0&zn5pN9;2tghreOXQGOC*agpV=-pTg$ zk4%aGkG;1Hu46g4wM7;)Gcz+;Y%#MeW?9V4WHB={Gcz+-vY44!vcMMWlb!P>=gmFI zm)uG!saw*It)7|QGt;Yg?dm;i_0t&@XQ+zyO{O*lYaaYc4z5Hj5F@SqW0$-dGsTyd za{3!ISuGZ)_zY z;iWz;=B2qJs{dSy$$!*6=3RWj)X7t@eOmH@xdbtg@7gUpqJfpUSQZ&YsNN zM$T|Ncoy>D(VPX(b>?~X^DRA@Xo8ppVfrJYLhUWjf|ZNTk3idNF@Ty5?iZ@%75PsP zY?)sT`<&F)Si)0VS?r3YFXKdBH&P^&cl6Ys@|D@Us_A1# zq8yq5YPQA!I6sfZ0{#TjWw4CIkVoM)o0VmamrRD|0WF?AyN2p7HQXH!Orh$rhk2Gjy{tH80rone9~57)@74IQ@{B z5x=k8gl{G!6CFUs4KyYX#5x1Q4g(A^qAM788MXeg;VlYOE=ReHAm-|d>5NmVtUkJTWiGDEnMMr3UdzYCYLq+jx5$Lt6u^ zV31A}C7izPFOBvwF*Mm*%Z6@;vx6UqcKuqj*# zF6{2AqVUPc=qIwvw!w4jP6!)p-qWRT)_&)kq+7Dy3HajHb^fKgw9!@Rx@wHRZ8<>U zTJBu&`=*P@xno$}H&jxahofCce&zGmELQ#}j?KCaqcGMiJ^0m;m8lNBGm|1Q)r~7? zOb3wVzF33OY(u_+D&xL1D|w{a>A0PoQF94TvfTnSjRmoQ9!F3z%bU6dF>P_hDvuLl4}E0(pp9rqqGHepax+6c zXOMch!YP+W$aa7%PJn%N%7O->;?PG6qrNi-@|)fo2oX0bgrnv-z~~SF+SDf3qhk-4!%l>JiMhdqW4%Eo1$>UtRn-T<)@xq*XIYufx2v=~l8 ziqF>+hAhMrG*ky)7=(#(md9Fgg|6A9jUAIF{No!$wDktnpPf5eUzYr>uIZ-ksx{y! zDkS}6c6bGq?$0(x_rFJ!#uaoBeszmj^CqDKFKTz`D5R z3)L8BaI-7`H&ya}1=s8$hsRvjUic9cDq2z)++n>O>B5><^K+gaFLR2cRw9s(nmnYe z-A71#Yk735BK~^q&0MB%SebT_Xp%1B+XIy~N-ubq*4yurR_AA8gm79pbHTc$7vi%F zj<%jsZTzreP2&9TAU8;j4vbrO2!0F|l16^P>&m*Vy7#&L4d$X+=BTau$E&S1GFL}ap^a#zwQ6xb-BQmMAvPW z#FSPbUUF3F_lPvbuDkLnbi&y@YnomqNxpc^v*@sP0c&J7Ltv72y)h#@p|@C0DqGeN zN$yUZTRnh(scUS4$S9&_&@XemUqqgFQ3 z%MlCR1(Vs!woXvnQmWN`YN;?5Z4nW4&g{o7T?k2>))1Odg*)T^N4-k_>klsPNUyGx z!jEkwPne!k*sy|Ip0Az$%YpE073*_u&C>l2T z<5OQVNBY+!w)$8tvlv%+JJN6CK|3})Cw5I9CLY5%UGjn)*g5#VkHhofXWl>T#e3;7 zW7#)6vR{?yq`f$2T{%Z2ISG8=zMYTQd$8f9%|6>a?DlPFH+^iq<7>@vwT;FOKEvUO z@YFg7jhc6OGSYXy)g zW|M!ILHpg5v$qV|TL$gFF@yHTxA+(NNpH6Qn*whNyeaUez?%YZ3jF64_(%B`zZo5W z;931bQT)ZTVrTxzMEJ8SV`*<_X>a$_C;1bM;*a!9>sSi>vUj=Ue=C z=HKq_U+!74Ffh`-@;-i{(ODSTewP25js9C(|E!O>m94@nV8oD%i|&IREB-H$Ufn4n zXL}I^dtLjV`$ZHO@c*Qbh{8`q#V?BdKks1C3IBb*1^a6!G5m>8FZF?ujg9T+_HTyd zU%L0Vj{NQZ|BkuE{=dwv8Ff`V>_!C7b!~>oRx#8*k34LZVPX%VK>eB>F!A^t3h{!_ zJoD(9U9ZeatR##tXJ5|bYbf#+Nu>3?K_|2`yH3{p*c#F3WWHLae&tzy|Gb@e)qXCm zt*Mc@rq$N*{CGtN`~8!fHcs*0?AS@@_Tt*NYD-1CN81FXgiLe0$A=RGPwTuowOjlXjp6>OU}mch-j{M!>UQvzyc}h*q~`B;^@~i- z98OSeaH0Ey>r+7Hs@|eJl|Hy#N)MzURFYqgyZQ<=eIQ)ki3~=fqPB%SbAIH?Z}uGY z>R5az>6VCHUP|1B9hjY<{P^x1a26XA^rETAOOLx&L)fvRxINoA)I=kVPhw#`$~iHbw)r z1JZ>^zp!zvys1A7MgQK|D^9vBh`6&+D>x|T(4)wAVm%wAOi-J~>;(Z6T zeO$1N36<$sK&i}!$#5f3_3fZwh?gh}+Hqs*%2Zboxt@>kW5%d+nIuKJ=BCiI39Vi! z7kQBSio76s^DZzcszTY&)lr1(gRy!JGrkcnvkRoBy|v-d&}mS0G8jmak#mj27&;Rr zBn+6fH|d{ilj&2yg`iL%ynEx2A>O|RDb|E1MCwoA?HDZ|PLjQtXP{&q<7)s>8NUF!E5WYe# zQfwLHvXe>k08@2&_z@7gXpjyLx>ryyYe-2(r}c`gOqRf;UKtm8z8|&l16WOk5$ne4 zhOMLuG_J59R$`fTy{N4Rf!K%*m#XKg-QrB8Hf+Q<fviNrISo$GfeG~q=#nKdj4s)Fh8|&U3UboE5~*`C(xx9QjtMKCrlWOofVJ^zW9W}}WGDf#aBc-|_x z#iT-8n{KjuymC!zP3zIt%zi<=MppG&0@=Vl?ph_>=77qwAr8g8d=^%JK@o22BsM zU+^q0G$Fll3IHa#->H+VmSIAPUZvW1CU$;^M*LSprrm}X5~*`!snKgDDt;3IfsWysdG$ScaWJkVcIg0kk3k^t!I#6fP)naCT$$44)9J>pDiTijsE{aE4_P zX-yYQ_5+383ji>?K~wdDeagGGUgFs9b8J$y-3uj!q@n2MxY3}=x>|*xEd+)Va|u)3 z{4$^HJSQ8S^YmPXF;T*qRUsVWeC;|bw0#eox;e=!CtXPW1izqR;PLBA!=E7`w>`Q@ z%bH0SdBB~Zq(p_w-6LdeL5#9apbcNu!~ zS57drA|J!g34y8d9)1MHYP^tmU?&U=E<5={#_f&dA@l&YdJtSZ?jneM_@4!2WAvkC za+P-z;iT&x3f-S=1VJ1iO++BF*Z7w{ih+|JuD1BMm^8^#J+VnfTMXFSEtHVR$Ya;$ z+%J|%#vJp142h0sQba5^RE?4kFjd^cPFY#h{RiORC#jjxP?A`qyc3MFC1J!#0dh}`h}lOXX|a(_=&Rm)MTtCq+# zLG&MED6#wOsh>61*$~&Ugq1;5|P$OOd*iwJCLb5G9zkdq6!4g~+72 zw5IQv@|dQQ>MkBxWlIi?IY$SC#h%+jiXI=LmB?BNOESh|jzH^jjxbqorTw}Y+4H=M zXt^O>jrWEh`DhZzGj<>O8d>_EO7M0MgWUWC0Loefyz?YluYeQdkWBRp2T;ms(kT~J zXrfnB%DkiMI~=(keEp!aO$qSw(w8<8-|HwR4l9Y`?4QXqM{{P0E^9F_*5{bGsdfoX zA3;wGO_TRN%wki|wb-l7nry~B@ez}fi`=6R!#fzDfG=0?t_~z8R%7QnE`hslfN^sE z_|g(H$2>k0uvTgMA;I4pB{vT<$r3zmJwYmC2mGeD$2ocru7kr!#alMtS+z$li!+%w zHCF^a!1cuIPU)&r_~|w>;30;zE8VuSW7x6Cp z8>Nmw#)(8max)?BA&92?EV+FDkqX_jAt`24xv54;8g<(PEM|ENC?U;leh%pCHXNm2 zj0{17Pls_l6L-i$<|EOT6n6-U{2wSom~z)M$K)0OvU%BPmUc9ZYnLP;Il`eZZe7H6 z2J$2?8H5HD2n)oAz?cZOB80LVBo?xeXHg;e6RN^9WBm1-`An$xkD{nNhd=N9VwLXdZHf1G!|RooWNI=D{nZ}M~(`s^o6|53jRJLOAFBStL*WO|XgfP-*; zO?^iD+~dae_qt}TcJ7~y46Zqk5xm*#++McVFU8V^p-w3s=+@&3I#rQiCo^~*UjQd& z$nK=Cs$kj9<#Nyh*sf_!IKZ3`58<5;!N@)<$~$j6f$W+A?H)heD5mF6 zq*p>XRUtdEN$}f#S_6xjlMdKkXEHW6I}CvL)*}$@^qxSLC1$ zA^O!RuwN(K5eAj`#NM9Ak_v&MBr`zh)SQy2%Ri$3ECGlwPr!FG8V|FuL3PB>Dr2oQ z8~t!ILUyA`RK>ssCAvr$x*q^Rb^WUaJ#q?wQd+1j_|{p10LptMMKkJq;<*))K%qHt z6@#KQV9laLzXK_P-Qi7-z__UMWlei`rus3-IC^@d(R~1iISFm4uv9VczFu<5c0myk zwPlC|)a}dw$j@;_Re9d|s^^UXab$r%u;lS|vw?i{qK%dS$uC6Z@8YjOJ6yDEG(&if zFyOAf;CZBf9xRF!c9od?pa2nzae*1R8#Qd}KkBR#9NgjQ^E7pI0Gv|XWqb8~@p0qO zw*q`$Lg2uYC=?<=Ce%@=aHX}ZCwAlqKhcF`aD$2ycU>}q^MRu3osF}&{Up_-ArTiS z?iXSiaX)8qwlXB;D~0M5zlU&IRG{5T*!1kuZ3|EHB?HoxkGkhk3Sf3We4{M`=R`U` z%t%7kWZ;MA9E+EE9Xt-LH2!IbqPoQ7>?VrP3~dvNYO^$QxLCYM~DP)X{SiI0hQ1NP#nES1nI&=f-pXpfR?aiK_?hioxO+f z4xV-@PUy%CG3nE4(YQS*j(F@M2z$8W;CC6`aixh9-XRjn%86@>hF zn#>3{pbP*7nkI#7F?|bcU_xvitUpo-_Z*M6418_6WMTLjZ$Si)8{0#>%%DpxLy7B7Cvw3f+1uE>~o}rs6`drU=+Q&tAD{#o?zBG+@#1kL4Z5U)xprg#+1U1 zO$TZoBF9lW51Qa}u725pf1PDwq`Yz`THZC+$fZN`IPb<$XU*zuHsB+R$JjB8E7j>k zK$PV&h{U|EDGC?Ai+w9MfMmj11G z+N;5O9S2K?8f{Z#|3*97G!u>W36(*2JNO@`maKi>?^H$(Hk(a?N@YP=bmZwkCA z@TS0<0&fbuDe(V{0{^(7`ODn;&B*#6tieyu>$hct|A*}Vy60^Ht~aQLl$C+W--c?i z{!>tmzpnj1pT55Z)%dIWe+1QFVWa0@r#CdBVP|A}CFQZ}y_Q(m3~1Qs8JSrabeWhL z3=RG+RD;>T$bgaK6%@jvZ%D(;#%e^P%WA+tW1!DssLy7|WMIht2G#f%eM$cP)BNW% zA%Fk={{2Va6nInMO@TKB-V}IK;2(x+{1#sOgYo!l1Y^;ob98hHV+Y4~Lp8J0- z!1cQQZ(4xsw=@6Nihp@P@gIO{{A%fM*Z)sIHQ4EY{s#Lk2lRiW$tDfC=>pC+Nj)v&mD9lU#vRGetw2N8v(aDCiB@HczK%`sDkXXg8S z_LfvBmHbkN>NFWL=j#6Sq_wSl3ewRTdYaNDG?SUI^~9?|;_*VREjbO;QO22)BoE}> z%56N6kvX+vP|R>Rmk)~;G*@%HnhA#aX;#R}ZRm;d{f|tpeLWMsX!q=SXsNsMx{Zaz zkFo+j?KQUOFWl{+p*11S5ey}ZYQ<&V;s}@G1)6#4-gP{fk={7S(9T8VrWPp$2=y>h zNd2~~Ex3l}3Y|AKme*Ol%|f3Vi8BoXnQIzY@BFMMXz-Uv`05(PlDT6uVCPDU;wv<5 zoU`jFtD~smBv-d+vNi8GWvBUGf(A0~<-})IcpaRUDqDZR;uYYXeF}|Vc>jKaK%77B zK|ilgBg}mJm^`koj{%3D7Vu?Oe0nAGg#a~6wXTZ?a^4#!X9Uq9*Xev?GW3uLzBnFo zji2`u);D&|ux9`*ka$GtUb77f3;l`r?fbBN1%{`2DE>)^PO7Yg{9-i~`Jt*6b;VNK z_(J%6@BDNtdfvx?v z=K;sT7^UERH9vlHT}&0^#gIZg|9Fj!ZJ1LMMqg^wu_YQK9X<)61T^ct9I>ZsC27s> z>;qnkWaUTf1~IGyPKAQuu&3=;kHc~l`TAtnI^DAV^)Zx=BR zdx59wM?}&Ztc5H@y@LT&f6e)cT}bRhfja?6PG@v|cHLuo@UD(ee^1a8BaLKX zkx4AV!DwjYD4&aqFSLK%9j?h5vJ;81sT{DZUj7#aa!(kODBWynKf&Oc+Q)01@q%PV*&kDnF96c78jSEm6lNrDF-D1Y zh)kjor8#U-1XI5WM45DvnA6$F93gT+ym=spI+h8EG_v`H(xr?;C<( z74J2%K@t+SFs9VG5j6_A(`$#ZdNZ*7o2Tnlt6Ie9(vKaf&!nK3YR*d*6hS~lwE~99 zk1~_pFj=w$C1oJ}I70ocaQ>uYp{pJJThZ3#eDb%croEa$3V;Dn{2&3?{`}Cx!BGlaJmU^_nLa=zfQM#P^mabVyD^C6n-ynPdEH$`;PQtbx4=C#E zfoVevRcb1Rk#_b+k_SlHDd~XQDV6Hip8Kc{L2pYtur4>^5YZxA;n zm^wo~aE+Af4AQ=E5x@d%n+-hjF=BD(+%sLJg&chf@+A&?*$k|;T@iT?>6pr|D_mW1m9*rB94qtj{O(*IbCy#&p~C@lA6VRfjH2*KLPx z8BdlWPuN>L(o;dLcS_=LqF`xMhX(u86oI?L(^R`J)E}}n-Et7njisG(iX)_Bi}F|* zM1bWW(jyUNH**aFFz$rtSyp#7KjJ-_VoCKHBc4X8mVEbG@RgUl1G$H$KvpA8)m3&( zi?`Zm6KmQ%%8?=C6iY!+!pXUsY1$ZwjBTa< zNHhy<`pF*JN6}6f2e&lseNjgldwwckCLpW8#?>N>*lM%G^)@4A860oWkWk!cG5O~d z1xj`-NRXAAd*g{V2ihpHs$pS1_wnRo=L^XWk>$JNTx1Zxn4L0g5SB~MAC({QH4{6T z0k(`_;lJPm9BC|S#2esyXQ2&|kAGugX05vxCSOt2@EvFr zTLS800!ZG^(97WuzOvm77Hl(_Umxiya%XkWo`{S+TfzQV+&7D4QqLrZKBGo(WnNcnNn>(3+#BLM>MI zx~)g1sV7L^X-}ge*>{bdM-I@>%rFG**FxuuK3?_I4Q6zl?OHzxIqPGuDh2Wnk+oSV zIFuqb@hCO&Jy}zIlz8?v!@4(`))!CxVNQ3B5I5XbY2eyW1q$R)lM*z{vihmmjG`k~ z{JD(zkP>YJhS^DU>QhTY(^$h9A3fOaK0+Lg@3kB&)AveXFfxey+tpGWL*qkNRoV2s z&`gOBksh+Ufi}Sp1)vT#<@mA8n-cl)tFX9DN;&kkp6F~2PT!_Y!;d*wvyY#69JA;S zKWF*+H0=8N@Sb*N<|iBnUTYYs*1dy(Aak*95y?{7P9dCW_T_-Q!J2J-)JF?>m660{G=uS-i)m zo4DXk1N&%oV7Uadv7QYIj42{_y$dK!6lv=T?l{0R?g+SRwXJkXEyYMgDEg>>F}jnooh!Wq<#ZvfrFAhHojpk^ z;QY1hWC5GAkoZXS@z?R%R2GtE@9hSUDT6pXt|`$Z=1 z^ssJw4tkF#C?hw_4_~iO4_Dgt8?IVee^yMLvu`(SIUH}z#sfd?a)cCoLDK=4C}v8Yo@^&DdtYAd400l`@6jy!1${8SJ`DM1dRF z(t&DFd8#Q(>I17xDxQp&mC@CNu*uZ$!OBuGME>&mM|h2&tH5JS!8m06*5xbF0btOQ$f=k>Tc4%1HVEh@Z>Xi zIC;6F=TfOugX=A(=JWsq-O_$SCV1>))4w_Ja`RY?^nTr7=I-D`-2wsewB%bjG$E)M zuYmG!v|atubG@rrRH|xLP}n(IwRbm~{N?$;+LwlETJZ5;rfy&_Ep@IxO~KBA(}nv` zQ2t(1)`GS42|W}4!PHq^e7;xWhu(FKTNZTopa5>0O8GY(G!HCE{#VGvBKrpd3P^DL zqQyyS{Qowc4pt>b%9J=Qz4PQ;$ zmi`9!@8u?YIQ^E_XD3>Lpg>Aer4G=zZa1`S^JIy!>s785YHS>h0IEjS2VBij-$RF2 zisq>1WrOYQ)+UvWiRw(^WpKFJ8tNDQ#22`Wv4K6+zbx}1Rl6F#PD+&^7`3kZsG`Om zRW~gF#zfp)Cbo(Q>?vhlOGO>qC_vUVH;tYhYhG^EorkG^Z3{l6GlCgbC0^cOkiB%! zE*Uag{f;g{Nk4T(1Rg6Fx-D|0P+WC@49ztL6g~V*ENsAhG*SH=QWpkd>~jOgD`SHB z^FoRsf1@zOW^rkXH+t9so)7#Qoqx}oxBWL6$kR$P-WgGmqF5^Lc|LXWMpSGZG>F0i z4-wxXjn}7O75J-aR5?E6+2~g*oHRB0#<>#_mm_^Zf@KtydTezQf|ymRn@B9d;Fh1e zLIJ;Mie${^A-Zrv!*GvzSl58m=0obaL_=#b`Vt$f7^}NlWV>Ize}_vxh927W3Otb? zS*d0)`BrqD(lt%$Q_8Bt2)@#DiwcBhN8PJW1U~**8;rDGhD3eHj=-;pLy1|5#Jm*6 zLFpRqid9`9PdvMa?SlgYtQ-iiyF?}QaB@zAoK0t(L0w$DG@*lBC-hoII4SB02yjd> z(bvyk-WlDe&Xr6BvMb2(#6@$bgP?sl6M_(R(JuDy#h;!ADxZXk&ptA7S(X>eiWAc3 z-1n6Z5fu6+F(EIfE%Lsr@*4_!rxIRQ>PfqrdQD9a6CWutZdh^ zomUuzZ4^q?(B$4-Z$ys9Vi2y)E{`UQEVw?LwKSh(?4zBJW9&^`OA;o7QbKg+N9LA9 z=2nE}c4lOHvOg8yJ#P$xeL=pq_cDSIAnah3y1%3c_?GmPG6cpndnTq|1XA=6coJil!d8gPW!;m+w{qn_Y1741&F zxWEX7I2TOfL-ugUpT`{N&JQ<@202E&qs|Q6i)f~(&f@T?Af3376i$Tjc9FdS(fp)~ zSqibW1j1H%lLBQ(*=1U^=`;~Z=LgiWM!;u^{BQ}2pPljoT$Znk1HL(4iKJw!1d zfKTbdaPRae(Wo@5G7JKr#D$`(x5s2Eyv$w*)Ir z(i>nST2V)Wb2m{oCwHLF$;WI&Kv7uM5?>ZaC|P{1M?S-0bDngrmGBGXXq2eygpH?M zc6{z6m${H;&N|{gS3d@81EQ}MqJj(Bp>DV(u5s0F3p15$Jq~h`J z!Cs6xaN?~5XHhvkba2z5GoVUw#bL>9Jo|2z>`0SJ9-%=2vMF+gGIp6C8obXQaJ{Rp zAzGqGE`O9GCsdG|#Iv^k^y947%Mo_>D^n$2KCPKd zozgKPLZGCFZq^2m>$9bFggCbfq4_IPJfiry<-6Pc$CW0Rdbq0YKc1~an$mipzmTB9 zXeQ^eqIEKgQRFP_-dJEkxEc~o>F9ziMWzz>6%h=`jr#W(sKp9FzQc2>HnuUgSrq+} z<=ww?z-ZhaN{VJ&3oR5OgcLrXRVPI0UTsW3Mgr0N;Z8y(FcJQksZgDn!4Y?yYep-M@o9l>qnkm~Jam96Z<^9x;vJ@!1T^OVTt}i5t7n-y_M?DVNK8rnE z)5;F3QBk?AJPZIu1P+4C78LI>G(N^TMA4~PcCY%R=AEJ-dQ2m__6_MnJ^sn=L8=oxmvNHc?E8sZe@M`zH zL4@WK$)V% zZxGdl*U$aao!3(Ro$LtvDLFK?A`;6*VL-_)L&f5@CHw(kWW}3FX;iz{)T5GS)S+S7Tx@l5mmN6nhT{^f)I9vR7PQwePZ7wFZtY#;f41Hm><2Il<713+|FBuvRGW%s#Sh9-iA(SIU`Q_aFQiOh{bl{Do=X~^D^B_zaw z-6+bA8L$x9g^+Wxtz0wJrHpE<=9G zeoUw#+bfc1BAVh%G(3(Uwwn-rb+(jKM1}crG|3W4bijQ}QvMx$VrB~oGzHTIcYo8U z+O~DibV?i&3T8ULsg#SMv#7O>zuG04h=q1BLFjbw;YEIF*8%nlFchI4*NK?`-@}`< z$pBsEaX|6#es$EuA??N;)Ft3BjbKx&mK4gL5H4k>YzpRVon37jQVtB0VTBVVTIsY%dGnBD!-M0BcL8J8T8_S39UoTnCu3E?hC@mJWdIGyLs%+TFf zJfYu4JB1+i5)1-zuhctEZ^{k%90>dxbHw+AHe7rxzR4LK7b>j1`>YO~aA#S>Bg?(u z_Do`0FH0-47UMz7i1V7unl)Yi$xFA?Y7P!>P3?K{x-}*1ud!pmsI;3J_fsN zEI4GEki-~?aHVaN4cMmxz~E7v^baV9)8ctQmcJr(4_#JbHSFt;nm%sU<}b#pR~2EY z6aCq^3M8j;v$WFt-V(?nxV5Wba+NWz|XrTZ1;0Wvn`V5BjdTcc8`s}Z81P)ex z8g^Z#*G&!vMm81}7Ck){j$iLL(C0L=vbE5)=O)y(wl+7>*R?mXvZS*%F#2`)^*^Vq zt(Ad;zM(BQA-{o@o*}-hpfJ9qiJq;ltqVQ_3oSh%9SS9!M@aXHI7e zYYRhr-PZ&E;lH;VcvIj_fj0%-6nInMO@aTs0{_H#{&x(s{~1yJ%wGNC8vJEE&-%ZE zV}A+O{o)<`tzg}smUj9}ulZ0i<&`6d=Z*Ro;Jzn>7EpvT zkerEMtBpTA3v{p*wgMt%6^$xW=2HTOV|=+zR(f}N|8TC2{R&9%WM?~?K0WCF2}p1=oVnGTnUPY&^m0d1u*el3o~Jb{98e)D z=Lt70*Oc8pEb2*bG<|fqZeUmYQc%h`)6kSGL)P@IUqFh^W*Rvb%jFf2z==9#Ht>V( zN}XX};moFV4Ta6HM2g`-DxNp;g@>`DVUG2}*nJ4;=w_xR{r;x4rc5#@ygO)ryXMmQ zC5*P&+CKO*x3ulHdMk6%sdQK(3HAb2srNJQL^~&4Y1lD(dx{%TJc=xZ{QH<=P*pfS zExd4%E?8Jn4N2vC2dU|zrNV;YBO}%p^!f$y*2osilPt|1z2z!V$t7>*mhW0y{Ok*` z@K_+Pkudh!{T<9I*VyuE2Xff2;VdMeke?@~$~+0{NwbMIt3Pa|8#6m+ z8*>XBJxnZJyb(W5$61KWB^-I;WHhZ1?g(~J7Ixc88pBT%cr?{NTxIi;pU+bL-o;Zo z;f+&bN@FT~yw+`@IIWH^j}@!u?bXe8rybpk?R7lfY(nmnYrb-$u)&fxAI|nWst&E9K1Giqs zx(~4{m^YbuL8Vd-+lE8f*vl9nomkiCF=BUbkR(knA{N_#nAE;?U&=PKMg&YaK>Cn< zcDe?^={KW}okK8jqUyo-7d)P6-uzJ;nJ#87F=tP@HejAQ?r@>UE)hT=a!mTMO$7_6 zx*^%SqTGlGz8m^o-&Xh;DuRF)1M^&f>?rMovnRv-ApT5)^uZJ}wx3iU4!H??R7RV< z17kIdF7uA66p}N9!zuP}jD&XGS4Ki#16da)Bxu-7qp$R7sh&a+0^8s=@dx1^W4$c> zzKsqRG!F%)tiIKS#X8s&YW-RSuuZKTK#vA&@dYh&vU2INEKj07lVMg8elGg02%Q2H z_0;*srIim{y#DMBR825lI1THV)@xChuJYJDA%kYQV%O7)!Oc2MHSDI@CF38J$K3l9 zh%+%iy?bRO_$>RZ^{zM*=xmb^mZ7YXN*$9B#}buE8qFko!3@A&Pml)V40?ZANr7U; zf?%SMKCP>3o@o?_V|)MJPJ=p}mKdMPAD4tnt0sLcyWU9Zm65Qy7V*kRfYeuW;3wkG zL4r~!=Wt(|7VbiE?SvSgTm*b3GOLoeO*$Be(j)ll++@hcL4+=jsdo8U{uPyPCgRkt zF8wZLhImF!WTZ>RN%$l7*p6*z(A^++Ei;?+8=F4xyct%rVL#)Sd|gxTtE?a4C2cri2Edw&5FzqBKK zgdG=Fn|jyTEUS20s~Q~9$am1N2CJ!_gdW-FlhvIJ?`9Ukos#aAYuk&af|mew8^g?M z|BVPG@X|RT7=eCUw$PcfwdfvbDgJAgAniD5QN5)7kWfEwu?9mw3(z#@j4u%fD&tO) zAJ9e!fPYY3QMTCy@uQf!K|71_)~UMx{syv;F|BkHfMAhvgk`a;jLZBFK#>LuKoQWb z-RJVKtS_n%pF)5OzPiymFtBP$&(PI2XzdMh0@ja^a<68hDbdR`5e{<`YesPzSUsyFrv3O@2W?QOzx0Q&KvX4nm0Wufa~4 zN!S@8Ff-L&N1Q`BVmX~e$o_KnP1gGifX9fM*GhRdV2emkPCid27`B?7<8yrI(d=aU ziUk#aED77+*$ij#4)mbQ}E?6=mf zv&xnV8XR_6?&uF)`5^-!*c3x>C56VTSvnu-)S?!fZNW9einLg%*gT~KzAPdak`20- zcL^!9aZ*NON!d8HwOdOB0%5~PaoAby9w%|us&bNlgaD)4x1UGOq+JH)3+$cLeAuvk z7UR^uOcqP>e=+=AH-%^109+~s0)N0 zi%##dJ3F$p1!xZ-+{{0s+_ z5bi1z08oSwXTSk3Y#)R68DO-ksyVu4@XDlpIB`nauty7eFVat}szEIP6eR`Dlyp!=haaH=&v)r#VB|EB zyc&@K)Ioe(Ze}Og!01hBh~drYRHN#aca;wm^UX18L>g%G%(L&Asx6y!eJ-;2rcvby zU`ULiR0oH8I%D-4N}ducoy^4q!KvLtg3mvzfzbLlk}PrC6J<-pBdh}L-VIjd1~H}Y zH{{*H5kMo}^7II_x!pt0Oxk$M&^c(!o-XiZt7hd>4=X0PqL~Yyc z#08ZKEDV|a2(N)bze9#^2>A}&@Ig$3783s)(!}FkxLtp0F8^|SxE{GvAU(3`@+mT2 z;3BJS!z#H6Fp1%qCQZKF(nq^B>~8}h9Gq(e6(0?(KiJeCW9k%DKpeGfvfy;m4kzqd(PWq+)&xIS zi0yoRQd?jFcfc*vSHQKOy?Bu&GN%MSIo#wlNiL=;m486E|Efr-KP@VaTwk3fDxvli zHk4&lko-k>;EsJ#SeQo)$&cc;kD^A#IJnPnMPSYpw|f>pWIqCJB(d!j zKNM&s1)qHz`m9?1d7uu^EL==%x{TD+)(0sKb8e1{Qs=#rgmjcLG2paJo};8=$b1 z@V8^Way-S6$=_;?^ZEzw+YPlKLMdv4BtTi=Lyh&?PHOMJDA3-AdNpXUKRbR~Y0|~r zMYyG%Y^Sm8Z2NGQL()bt4iZ=Xv1`;uOsu2`w&^ggG|u{E%E zK9u~iBcY_;Z6a|5vgj}{45QSVmCTuuA!xRLc@1!sZ4br z*{iUv@CfBBg5B0NP???+3Ec)1Be0(@>OGOP5A5)5*ymAS!AbdfLDiqS)uj0@aYTz{ z{X)V4QiE*d$v07dV*tD_aorlH}II=kxnc)UvQR|Sd@D!&Hyd89sMj#lGr+9`fkb=uH=zQ=L5F9U*eG6ovE zw+_@n8YeUZQ%7UvX`m9dxAiB(r&qi0%yPt$9p9_Ksm5g{tkl8dP^=ML!$M+ZG!6N-4v*T>EDQkbv@|Wb6SfKUgYHg5=(b8 z_s+^p;&-kg`qFpv0!a^$r+XOtM}aP;-%Z7OGl1RkUt}Ep=O20fiyHEO zeVR7~-V}IK;7x%y1>O|+&nxhc8$iEYga0$o^=tJ0yFeE^^G_KU|{9SHQ|y{iiF!!ofoO3SW3_gy!$vkze=oTbusnw$VStWB8@e zpH(%tvQ@Cw)i>ngqWfUSivLRq9v(U&XL}KapUryRFQUMJ|I0@ECwL63_#E`Vbf!~O zWX5OsWfQ#?8SxqZM7|Ja!2h-8kvyI7PsGEo9(}#+Ya+?o(AM6>(C+oRzo-lxKl|rT zSAX4Re_auYiJtinT&G_jir-rGcU&h{rr#sE>(tb(mW5G0=PQ#$Osn(uJt>xeg3Ybe zXH3{oF|))F$Qe(IqVpAFwhc1B7oIyA1t3$XI@UPg^X(*$CNu5x*g0Pt)F*377hruq zZ4}v-IX}+TCR3^HH(*ky?Ra@U{AO%@U1`@{G3Qsf-970{ovgDx%CCdn*_EdhukWLx zX4gM34?JE0YS`c0S7qWlZSMZwSrt1IiXvc6sRiEsV+tkmiOZ7@jD&jg0&yF1w*2S1 z;$<8PdsL$!Pqs(>M)8_~LSyj|m->Q16Mvb{@KJ%U4 zeu@I#wn0AUr}MIJ5~4q_ht*cPK#9g(&)KUNpD{QYAuzbQ1WOP zV!=Q+^wi*n^jKX7LWYg&sVVH@HCMnsGO0?DFuwKbgYcr+1OIBc4?dc2##Y@^Lc(97 zLmqM`klO3h(*dHi=}62C2+1lTmNAS@V@qK; zvo3^%<^;^)L$N#izOmA&C}@YzNrM_2Wb+9tw4wydo(y86V!m~l^6?HbHIKQ{$q7S7 z47I72K^Px*E3O04P{nP6I?+t)A+)A`Yw_dq@G^Ce&{2rWVHXBLiYwZD8d|ymp4Yg1H?~_ND&WH z$r^ac`^+9UAw%$92wI3Q3qw?5?VKGnm&M@NSKcpgg;Pez{Zsm_h1B!$C3Y8;>94Sk z5SdbyF+#l8V0venxs&yhk5po{u}=+jqOWYqP}n0f!z{xorM3tbi?bVT&kzmJVUyxn6%$PUDyp_ub?P;g@O--wKhj~Bxgr3dDqQ$xoxn@Bp+?~uh?V?Lr( z1B%NixY|D^hg(aJ2;ayMaEpAjW+H>m2l9=;jtfYfnB&fQ#}82yn`VG5S5c0J&)YZ5 zeT_eNY0*V%lCt5tmDQqGo;71rRo|=~K5(tAR|6u4?yz%ueo&R&vtheCO+1aYA)7eR z@NOlRj6S32tR+al2*cx=-(j0*rj<5QhRVpU&*H^JlJkBecTI$yO|EBT^TzRJWj!^X zS|wcnzHpOIAy9`$vU@y{1znR+u%Hnx+KIWJfB17?3^|GxXSc9P=af)Ndtv>}knXwT zTf*W=MA0sH~2gHbIpuBAOex&!TEaMDMRN zt8@K-)^Q1HTJ#`S&6*q04&-@cWbC_w?wV71($8dIObT0ukSANs960*?48ZYBn5t|H zU*7kO<^yXLDSYC~G9@Fq15Jvy3aBw3w&uo;2c_0Mg^;OF(hV>0(W)0|T=NqBLG{j} zO|;R6u-m|KxDow$h`*i~pAUfx_B1n=HG0lEA=1Fu&%7#9jGe6Db2sUxQIpu&ww5w4 zKmM8ZLf;$lfG?2bxrnY3;klIoW@cVcUI9$Wqt=PBC`V&SBjwziEckC*sPHRU=LoZt zXyXZYHgSUI-y&F-7Yu)L&Ar}@CMe;q)GUZP*4y`aqW8~E?5QgWM9X3dON&~p>RAuI zn?aX~RY|Bk)8(d}6qDaHzg%P$~#X5vak@(=B zylrBQFCvw!NKzg8hAoDjMfb%JVdS&IW~A1znyK$`aE+ZE5|5S**JATqCo{(&a6Ok0 zG@rEesDA1K`}{ENY~+MNAOdOh8`}LA-a<04UVWPE45h;3Vfb@SOPU` zL~&03xZJbLm#6Xrs)JcsWs<=|&2Hgh(Od%*MmYl#MNT=^dv`M-+aZ96aq0>^UHL4BvjYKwg_p^`^+9XUy!#ztnW#C&eNx0B(# zcTk#NJ&S;4OBz*eY|Iu+LV*pY6^;AJDm_UZReC(+S@(0d)|_HDKEKIXgl40wFX_-` zPRC=tT3^1y-~;%bt7Y38l3rtE4Ze#-8KG33c8xt7^b3`ser&mZsTw z!Duga4(a4aQla@??~xjcr}<+4J(>JT*Ou0*_^qsxG&PLf)a)TtW$zsIsN@8az`^_4 zrv$qBF z5ncEGL^vXN0Df=uljf5{aXD&CGr@$(CM@ZZfC(QX25Hf>U32~#SbdL?D8AegE$O+E z_4)G{WdR)Utvr(8(t48syf(CL+$RyXAi4-Ld}6=@`gDvy0S+2_?ao4r><-H3WK+I+ z$tS4ZxuS8U_+qMZYH||Hq4=+aj>gim@L2u(Z1kwR!r$<)3% zwTvyy)gd({g-7@Kji!bny`tGz^xz+nGcA_TS71ik6of*-81(wu56K# zC-mv7zj4{AIG|j ztb|aXI^5KE)-vy^#e|-;V=i~h1se<=MV;x7QE*87#ziIZrx&?=kU*2lcJ3zJF^kFK z{M`*8N_EVI5Y@mTjcx2o^N+IlU+hijNHo5=hz zsP$oZowfJItb`)#hE)HI5Mm(limXMvjBPayJ~7^ zexkAnec(nv!^cEZT!dh8mAk2Kt{sFVQf`JrfFWm2OoR(;(*iMkxVMrI*O<$!-ArEI z_bj87{*jFUeCw}DMfd{wqf)LFBoXl{eDZx% z;4TiO*WvhfdsiMRfkg+|P24-=9fDhLKU*xtV%rE-(l{8nxBvqyj%^?sz7*cy{>KI76wJ?9;Yz6Ze$+3myueWe*l ziWIhNP&gZ@YXC8c()Y~HnPkF zW&)Y0sIgPD_u2QVMOj^R?#z6vb(;vBErU~O5p_jPX-C&DKbVfb=Wabywu6kiy9WKe zl;iK+A74|BuPMj>jVZ@h4~hSBitRt|`0szo`k#;Ie}3&%fma1y6?j$PRe@Ip{{0I4 zft2I#seAiE*60UfKMzAha=N0;%%4T6o4PLGid$YKD{<u7JUvzU1t3kD~VSRiT{b<`rrQu{hv6?fA$@(3cM=ts=%uPuL`^> z0Q0ZM^S=2!H+iA2nwJ{6Yp9{)!L+ zevyO!A|CjMV8CB9|M}?t+hIU<06mbMh@G7tK*YiE+akxyBKv=W5r3WOU)A~lgaZCu z{O_MZf9y=a-!A2!@WEfu-)~kEfIr!O{90%IZT-)v;_u!Q45vR5fToYI1cPU1r3hb@H3$-T)GTYI`7J=%^VzLtPcpCvWaGA{_Sob=?=I zF1{{`{d!c2!GQ6`YQH(6k-?Eot49~ILLmtbjJolpLPCiJblFyYQtW-3)d>&Ii46;tysPYG?Zw{&yyKn+KP7hlkT8z`f1g zqG1ZE;w3BCoYLveggGOF>VoijxGnieEi2mVrxVOe7}Izj=L|)vF@$M&qynbTwyuQJ zcLaF5VPZ^Ptv^BV6prn{(k`v2gi_mr z;Nr!#@2U)z35S40L%m+t7lJ;Aa8}b}sOM^&Y2Fuj?(hW{`ZK9@wOyn>-9i-Fso6&@ zH=!h7RCeE4(olSg4FeYzp9Gu(rb!I1aV4CunS(7hf?4-yKFw%THsG-Drr9Y*kxiC^ zxuJ+pQ#mo`Gu-j`Og~i`f>+>LrC?llD7UME`G2`0S2@^+PkJfr7QR-3MJm+x2dkK4 zde6_Vq6HdO#Wyt#1L)PFZA}YWxPq1tN5+@w-;>(5czmOsR8DmN`M0*s|=7(xl$PFS7Y{CE%IXWvmpYqg^Npwo3wThS;| zRNpSw1sqIQF{G#2Xg}V?(YpE~`Qkg3y=`o0X#6D9jdl5Swj5{fa@rWaRC;op{;3c7 z^6BVKN+Xwk%c!OF$79PE(lu;sgfd0Ih>yCLhbiiQTsf0 zrC@j}ii$Yt(qaXJv3Vwzh6Fy=aAs-xS>`Jo^V6Zl#o4l{!|B8QrVo4K^o3?+^q%pU z17msL&$J)#5&YSk@Tv81so|Faf`(XH$Y-~cN$dBKz={b*3gQ@Rznn^{Ss^x0ZQi^Y zH`ZJn#!~szLU;m}hj5WrITC&~C>#o~&;EOoOo^UwEyBWSeonxbtx^yvf1FWie zOI@0ck;uwYCM_QmGA&UmMu7WLKHSaSREZOWZsXym=AKQ+$IxSVz|^{>)09kNiy%(J_i1 z;noI5A&@ID6(7(9F(KNq(@ByfpcTnb>)3K4dgzH+4)rk4w<~qx!My}Y_N2KDf5sYZ z6Cz_2d2ob}5VC#@Co&Yx4$#^|b0ek2l$#Z;HQcdIXyev;f4?T?aVw%{C~qJ!zitH&6$aLR{ph%s5F`vd8Epv#M6#6-lbJPueDgE|$z^{9Ds%#Npq zfrZez{SF5JKZKnHW0hUz!L;HCJ_4T{Xv&oyo!@uUH!_K|C5D?z1Y)?Ao!dRogNAvD zRBxtzIqH(lbG}&7Fi$qJeL49dkBWclOl3<#%GKg3Zn8ZcATJe=hK2H;%D=kIh97@b zS52gAPG<_OaJ!<5C!YnJGVv_H$A@FotyV|ihxeF{Y7KZ$4;9qM)cXe&J(0O!d>xqs zqHL_xZiJX~jC5kh`j!ek{aH;b3tzNU)#e%sV9%!}uPKNn+un|th&ITU%ci8d_Y@BZ z;~gY{nO|(9)fn1bUGJ>Sw}q+Xbhn3NCuL$*x#ilQmt%tQi%`wzYoWUghvy?G9u%L+ zMB&)Ov%AHPy5DLZ;_z{b#^=!8|EcbKpc60-hK$z58g$cB?;SWI?_*X)UR;+w%Q zzOQCU0dVwBaIV6`B8dd+$4&AY4&~9%*~z^#>SQ4i6U|pOu3#1iWemrIzNJu;Pf?ig z%i~li6hC_L>d4@JXJsBoZ7dju7j<&WKGch>V>cI`F(URZ;IU9$vS}&nCeV@#Kf=eO z3a;ndbkRTnP6tG{N;#dd{~Uya_VO3^PI*wycVST}-%0}vF#OMSAO(P{)5DAe)pi9A zR=P?|Xlhllil3!!fBvjaK)|>ir(1Il*VJzfDa_r@8z)e;pTC-C7QJef>Nl7>j0^Pj z)YA?}USsG@5{x`xBN5w*loZwPSi#350k0xQJ1k&9AyR>?BgG znlJ*9zrv2rSXKeg<$GWJ<4ui0A|FD>R}l=jv|ybM0=Qu?lEmW`%h+6(9Pr{z#qtA7 z$*}0DE8Z8x?&L`CS`4Lr@IAErdS+^_-Q1OqdvxV)Ogo{e`QpRP7kS-xAADy+dud+2 zP{>6S;Wi8HAUYZg?*3xf3L=9O(oTtL5?s}lJLW&>wZ zS~~^{y8Qv-52$fCk#gG}(9VwwXxf(zuJJr+NTNv^Y50~M-tdZWU#9-0boeL= zA(!c_0-q$f)}tUJ@{L%$*6Tvx_)u#(nHaH;3#3C?=_b6qx9O_h!^!FN;ukceupRGr zvhTieAmH$4;?hXOx^mD-T{P*O8>o$9fmQT1XkzsFjAMwvP;qeV0uSrtu~JZ8bFGuV zz$J-~d~U==;;eXjg6|wd?f8^GVV|5NyQx}to3re z4e`QFx2aC;X9>RnpJThLm>C-Mairs4iw}&d4>N=VR+iKB0|Le`iS98*{_I zj>k9ODx|{a4y$;0aaAIoOua^RqU%4d%H(K-v+p)sfAD{2{z>d^M=ARQEx({i7xaBq z-KRPp)4f?KNP<4ck(5lWd1z_W+T=j10I7)-en4i2X74O~TCOQWue z5KMr48htl}te&1ylE;<&!EdX^PPzuqi z<}kkXJ6uis&0J3ES{v-6hH=_|O+KgHYw?jk83HyC+-lLIX;1dkB(^pdl`on^c&cF< z$^}w-R1Cb&H%W1sSd_NpnmXqiuH_ZxU{a!Pg15qWEA4`Yl_#YRJlth8bG~2pciIlH zv%wTtRKGmmHf2vuW!f0pG^s^5a-T8SW#)BT#^P z%-iX)ueBnq_>z;Sj%VI1xJwNlOJM@&8w<#Zscu=^HO-_5IN=w~zSHitBCabVgR^HT z>2*dS%@!tzuxwcQ3|lF09nD>37RCBJ-(rEd$v)fsT}JSC$;a26;%iRve z#`FJgijPyk*5HNr`xlu%&cED!|Kj++#|Sbq=`t}h>9EiNb%FYH09K$boeq!*NN1qW z&in%T=`k8G>Ho7nQ~DeLJtm<33lzx2_R?ocpGD_oiB*pd$jWHQ#?H>nYRJg+$_V~} z-v$5Wq5u9z=zsap|C@GvRp3>DR|Q@bcvaw4fj`0s{;mM7?~Mf$G7==Am2^%xCVbl5ly|J3*Or9tb<{$iGP_Bxh&24aFdBn}QH`kcA|T^+WU zCbM)*tZV=}06Wvm?*S$bLpnwdppLGAzQM}};5DBAZwgrd=`^p;@K*(16?j$PRe@Ip zUKRKw@%(QUA%A}D{+EdAw|M@Sqx65gpJ#h<-Tv!10js~({ILG24f+SR;jDjS8_xQx z2I&7s&Cjoy|9{o|{7VMlzs~i4Vb#xXR^P0@YOQ{={$_u?%BSJp3V=h?v2;aD+HY$rXxeQ_ts zdK?j-CJzyjmhf&i^*vsTWk%bCFa!UAddalzZqpLmbIaWnZG2XUtpsI`ozW*$ai{mS zJLZpIk2a@Q7o%wHmtgiBXxPr)s#3kicR)cNv5i7&Xot2w9Z+|WCGaiq_XecpUV0x)fhxH^eP9UJkQ+uqDBiIX~ zOfLLm3iu=2m}mj~*3IsRWL(LF)T46R40oesgH>IiYedGfAB0zN{v;ymI`)ISPcSgqi~#$qmHSYkd|E>>xD|w zH|fG}R?rJg_s_(ZjOyrDD4LA_SV-^6z~E=!>sVCRa}Gnn_7A3=BsW$^QP?G5jAfJ*-pmz20OKK@@lz- zaA$)(urQUn=HSP%iTwO5ws+@(!@}L>dESt3D4g8Si!Et7CUuOl7StiN;(ycv_yRFG zvLu87LACEJKDk<$+-{?SA_}tfg4JWT)5&cIhly?EO>lV?2zZsy|1bbq>bw4X@*s!HDco}c zR4XvW)QWRf(5NwXTUEo4xS$i9Y}maiGx5-MeD}(|;Yr1VEri?_ck*)ziB(UnnI=6* zwMCoDZL>PyXWiCr%9&l;SfcUdYI7rvpmvQ3!QixN(#~Y@gXW4j=M*TCA2nvgRL*Em z%6`-KnWJxlhSuszpy+Ig84BX;3Q1L?<9UP29BpLz!sTNz2iFI!q#G~=^J(v`J9#vxBksl%N5sBw_a4@)G1cruiY43ZKi!>`~iOwao@7g5{q zpWjZ4(+}cqWP&$XBa6Y51a!wCgcjMKmF#hn6bYp4U9W+xf~P~ zuEP`bk|F0U<;fxJlEtWpA2$pKPn~Cr>MHQldv9)I@)%=uk}zH=@Z)qW{zwA$Q0wAJ zq8JS1&tHZEo68m<$28=_uktumi8`C)^aS$w^ty~!$rb5sGixW|^9#j$I`I6k-@m@6vqi7BFl@k*9hjA3qmss>@7`uPn)3OQ6_X z`4WZKR`k)*syc0)R2OefZ}~aFLeS@;mEKC-tLsjn3@$Sx!kNC!gtq= zYVF&uk^iKfUeqPeaK&s;(*j^VUOuXx+Z{8Aa`gBrNkw?)9s1TWAE99>EYS1Zs;O;4 zHUgu+`(Qjhbw#$c&+}S?pi_8tY^tD(n^0?aeyEC+TmImLG{Tz?!!OR4-yI8^PWZz} zpZ_GHNB-d;_h!hJ?@PucuU^xeQbskh#sA|fqRx$%jFVQ~>iiYl08!?f8~ zUv-~3ux_i?52}p1^m#RXN>PqPfqsO23LU$>J-ZDRIMQM#FwkK0;vgqKCu*X}eOJCF zgTe)U?uToITJz08o#&|llMdL+(u`dQMuo*0VkjBrT6@dY0ru8pK{aUq5X>eQDQndP zyH?Ox*Cji|wk?*ywR?jb4lye01l@ZHd2ULr3MzR_{IH@S{bW6?v^hby32l6f|}c@f#~;pQd+pR|c5u9~0@!auF46`Ao$m zvB_m2p{EvI-sDNlX~@&uJWR@-CwbI8fCULQ2A~WJ8uXcT<2;Iz?9LeRZ@~n?;d7{G zMeO57_pUoHNsN)wP1$^AK(ZGV9mU7ambIIZwe4gkc*;^thI*_{qG~{LL#aM}L&Y_J z$HB3#QIXEUHMP_NCV=fkIV3z{Y)cfthzhrq@3)kd1bSw#Ms=$Eh-;#g$*5!(;1@o} zB_#vvtt|wrAqBfE3cD-_3!Q#wk_AbC-H|q*?U{an^tPSYvES#eXbEZl0|3ICO0n_G zLpQ*>V5*fCe2=aYQGyg_jI9!subzK^!&(M`}=C0Rs(2g98X9o)F;=tN)K2v7I{ zGm8b?`SWCy927+z=?NcLA{ulcI96Q(2_n?V% zahP_E8jRWSt_*7$bg9rPT3T;XH;2ncL9F9FL-xXuP=UmR>zL7DN#&}P8ZSR@Wd23Y~)FE#6UByvu5 z{0W0E!;0VcopIMY9MX0m4yixgbw4fGkWj`|8B3Ze%-gmLQS}UTRBV|X4^91{l!T|= zBM~&mmE3Y%X7Wg$=vJNtx$W)*D14_6`L=Q<#CwLbh+$FFT}Dh+^vi=_tU7)M!ua#n zkRK)xKwIuuNCkj9j)80G5j2Yi@GVt6rw3|Y)EFO`jW1nIc}|EB7FDKHi!M(WL5oFv z-O!Ou$ygdWm(U{INpM`#fP-|zAsfeQ-yGl&E@1SaawF?2cb3&nlnIO%QhgsnhkgXr?-R@6Y@$iU<LW%a$(-V^QjA4`Y9C&eqb z(wg1m0`pdV`Z|&QJ#H;EuI(5)le!+MjGFWjMvsZbQ}y@zWY*E=@xQgRN^``UU;aE_ z^TzVmY~h(PDk3Q#`*9U6u=(Y(8JL+N&q3Yo*=y8YyE-5D+TNA&c zkHuCE`#mBL2)|zyxj2*Nb2xR36tELWMGtXA(~(K~*IST;=KQTRTW z;LSl~1f4D}e-FU{T#S1n!Cca+3d(`0ze^eO`R&ho5nzhj&qE&0jlF!xE3TE1qO{vA z7^*5xh4F;qaTGf>Rl_WZ8b1I*30B5HsPCkJEy<>d5yMT;>0&E}pyA-W_>Z+b;ixch zyi`l>Hg2q-_mevCPaD`_G~{j022!DWR*fInd}?All?H$#Hh1S9=|*KZL6a(XSH~qr z3=xv&M%)ihb3*nFF2=N%r`ax#7)}h%I|C>??QG@KxU3u1S;K% z@;`Q^%Temz@{XqMayJP~mb1lyQZTVPn>6FB)^^Ktk@jKbUwgUzbR{qrmRDHyaJbk& zzPKGT_VZK7u0pBireBxSI7PL)#P&!c|1x!Kcoti>+^;x38$d=Y+(#$&CNLbHg~Nr7 zX73D7|IS7b6#}`4isvX;S^_WdDhvB)Wi z7d2*A3m2%q4dlx=*}^=SaFJbKzk^7}T=mL3B|q?`Wf3>FJ!nC9LlKUr3_H1Get^8r5ofKO<0L{f8vVhi~hwC40^ z);o;y|lJR=%3j-CItuaP(SiFL)8xHuAQB`jE*^Hm7?!S5+43)RX&}HGV!l`_9>P&p1 zO0b{aIrV4ligoS>o`q(>D-VB!n5l%K9;^EFu(Ng;JE_}-=A&K9tG!oX_cn~LV~VIe zUXp77DK7xpF`ECdOAwGVW7Hn2f6Gke19Tod|e$4 zL++U@=OgBPs|9m-JX&PI6TZ#7%2iKqFMh zdEoaKA7dfE1=rNhp2W5f<8F0!1i}wRx!)1CS`PQ$L2?6$z~?!gjub_}--5hboDl&- zws$Gxug@`)oGXb;d>7X3CQ2U5#O1_G?kGWi6+*M-(rK)o7f=IA&+bTbhK(|$t z?}(Jlkg_n#2p36QWPHsihsqVV#H)WBpoYl8mPSlt4n2)jq$icXd(QXN&p${mDY#$4 zmd)+kn6eyYtRAL=fXo_)8@pC<2sdk!VlRe(Vr%eYfhb2xF82W*ET5UoX-Qu}nhkry ztt9nxM>&2(Ug+m?+St9rDejAi4b+It;rqiA_-q0x>L(d5P!MSNjljQG@5lN(@ZgmX ze&q@NH`e>T_5}E+N&0_%<7*1%Re@IpUKMy%;8lTF1^)dC{Ok38zjDoghbQ=DH2EJq z!AlbQcZGqk@%(E%|9ALcra#07|Ly3%&@BIm5B{6+e~%Al;{dSfv*9Fhk=IO8Z z8z0OFq+`=zVgoV)0s25a_J3CI$7Bcu=;^-j#T>wwNdSxndUU$%06jWG76TxwJ_nPY z0pp+Q1@-kf4Xtb~bnJOZbgZq-P4sl^O{^>#to03lP5<)A`O?K*-$Bp7mWPDz#f8^^ zNLEmoNYX^tR>#(bh>4Y+k%Zwr3=ubj?aNSkLE-l>+zif~FW9n$fxXVlhJW+l>jAtf z@T$P80Ww={ z>Uo;49cc3Bh%!s*RZAz}`gl&u#QiWlQ!pDJz~% zZy4Sgn0<2q6X}C=;hU$UOUct}Rx1Mz-sUoHjGwydF+D|os9=6LjeDYxg!RdbeEmdOwZ2VTkNYbssYoPMGIkLKG@wB@I-^*rP`7Q}(^nhJ<+Ip@3GyMolia z7%7jYOj%M_0kywVZ|BD2>5V5q&|fTGx^i72`&6PMb8<7CC0#3t zoi=a?^>vX=xG=Csch*-3y|>T^}9|;J*S*29hW-2ar8-JZaogInyA2+9BR--InDpdln@aNN3_-0_qj+2^d;fxbOoTqe$3 z?FReTFi-?shB0l2ibW!7hA23Hm7+ws(W?Z;I=un}AtzNx^WJ=y_>`i;mp%b(mCYD;+vz?>uBYc;V!}*io*WLBv4I`O!mi$}O=Op$P z3Y+5Y;rnRub^u&;(nn}c(2q*&$X26HWzR0Qm#)_`6VzUb@1UwF%$$T22y*rlv>*^uJt3dZJI1Ng!l)~ ztRJ{he=a_p98aE0o*)Ruj-B4$WE6vh_pA*4BjFDp?-e-}Sf zeLTLqA$;RN0-n6X1&~Vcmu;t=*_!6uKs<)CRE+a>J>3y91XkB35i00@=@`tHU939fM2&}l$%Pi zY#d5f$b^sB<$~oD((wicT0yXs%+_*;X7fwt9fwRyOBSfEnnrK`8R`4j)dJNU1W#vJ z!d=Mn+KVq|bs%`E?e3t6ry(E_w)JKME>&hJJeN?&Z9>VZZm`^-os(UmWnit}gPd)|@_6Eh_1LCap#z^x(RwKK$`f;0A5&+%+xkYJHrl7)%Hz~wOVtm}*2 zXh1;^>17sZgS0$HRu%It1j<&5s5TDd^~J?YX&dgt`ORoL8-BV`XTdEui! zhGJuHK9))Lz@>v>79wR?ecF!@Iits{lY3J-HAJ>=xSi#evJeMgd}wb(!zJOqIOM2G zb(h*3yC?F6o4*|-D~1#7f3dvY4>=&hEwTANATUEhK<5MCf4?q@)~mZurh+fJcWO z!rxr_-jkFF2Mxr4PODMv?f6iyDGKOh3(} zV6FOa^#)~i#TW_G{igz470lq$La7b8<^W}0aZQPcv#z)C5gouIopC5*Erybx2^rlz zIPn$&HJ&c{;v-r0z-F%?W+;NMu5En#CFm0l>B?wENm}nciZ1HTL|R9Bp;UL!Wmhk| zJe{9z0>PtwV+dR48LVV+8_sM2N5?WAo;k;1%PODt@HZ;%*SH~sJ_J)@=NE5K!zdcX z;7)c*$Vg$iZWDW-z1iTafur<==HBxON{btTHffqmbTrlj(GugTVk*MK8m?v$rZ$Ds z>-xsSjk&*&2n!vC)!Gid0R@+79^_%aew%@tc$N+Q?%1r4e;#}|22%=k#L@a>qHv}Y zsSx=^&*d@6^k#EtflUM_sI(V6}|8GfsvR` zBoV+XjRr~26x!D3o8xzu3rMKgC1P7lE~g5>A+w3g6e54~W+Z98YAo^cRN*v$@#>(3ShMuK~H2Wh3z%1{qxifbX*YeMhM;14HVHu6kLoHWC7(C z>nxs6gg0#!1@Z)ga!A`^h!+0G`Ij>mJ~pC&@gpZVe@pOC;cdVoWslg1&l(Ax1Y_bb~;U6a)E1=O@d=9TnTbcnbp`s@ zBc<|6ubap=gtOSwZID{HYWu&52xbcXo*%ku4VFMT7ixGDx28s-F5z#+o+#5v4`JpD zlh8LtK>Q_0y$^S^Jz%#F3m(Eb!TBQ-RwoM16S|zbk&c@BwlOMBWJ2T<LJ;pm1f>o^h35^H ztD~DF6GU8etC`A*WZ-jCRNlVdXg)q`=9UU`f&cnu3!N;$#*Z`q-m(UAj*5zJ2W%Vn zEwm_L2$x(;!QYU6XxrOQwek(s6sg|wi9ZX@@=m=yN0L>5VWZA1K^2k9FgNiPaRv=M zHpk-ncr<|Zq^)U=8}cLAklkuqR%)&0hn6SC7ImVq2ddwIR<83>PvJVzwss7o2;Bv@ z?@5@e%0bab4Tld>K^iozb!*ElTG4Mv#uhuSLDQ6p^iGf#AFB zLoF!ew>P0p2MP?sAvC%hmRyKM_ag9aIzJh&~eS|5Ts!Fyc|NCvsK_}(#haPMI#YE zIh&-p-J%6SZWl|#k}JU6*6t`v>cD+ve{7__$=WVU_Es*0sp(orv*Z?PG=TASB`#w4 zv96-b7}Cou~pAvia~AY&0l46O51aX5{(2rF*mjgpNm>vDqKooy&be zh8WxhurN+Elq-B<;b9!RBMR}wsXZ87-|z58%IauRsP(F3z`oNgWl#vx>VYzUY88#6 z8SiH-Lg_LpTS(n#_=X`WfQAlsEy*9lh+vI!VAOR#`=Ndg^dR#C_YixBT9ph$w_@rT`3|LTd+E2 zLsEOUnXnvDYA0(8lq)p?aGyl3pMQoH@OV~HYgqLD*dRQD zf1@mG`7_|#R>7vgsO0jQ-R3857Qaa5rEi}e(YaY1%-rh}Y!}nGPz~)00KO*(AAZ-=c*fru!G+lHwC%5$2(j#}&W=Lolx8q1yIi&Gc zC2!|KO;Vr;NNvR~kl31#M7AC|YpnmW{fT~V5|4)SX$Y7ac{eiR5X;NG)RDlXW84zF z1>=qn8yi05fh;ih+vfT+2s*&w(^l3W!JDkVd^+@M_P(0E|3AW;uVoGYB9r*v|MB*} z=tlm>r+HQ2Re@IpUKMy%;8lTtzXE^W?EPu){bzXd=kERQ!d+Q^Bnth$@O3}`x}X08 zc$4uj!JGfM`oDuW|6%K*Peps7KGnVZ@-v zVffGBO*VZ7eS?<_R~mM9HX|Bl4tfI`U3xu38bby{c0*=1Mm8gT`k(KAg*X4l8|6Ru z#()2>&_8#b|9h)m6?j$PRe@IpUKMy%;4g%`{>1nGU_bve5A<)tSgedcu)hC*5&r|; zWc`^F`d`7DKPS3=fj55=q5mA-d;ti5<3ax#s`<;L*uNar{8QnstiNPW2wMLYSd*8R z?qzUCU*6C`o$h5~hfcxJ#X*x!OAt2fHm3eP!fpvwK12N_$lu5 z3Nt_Pyb4uhidJ-<3mYbzoS9TBxvY|uH(q;5)OdnYJzQjYLfJrtc%lo(Gx1)vwZM_w z`_ictiD1SuSZGixWqt0c=d`CtpgAQU(py4cysFYGLXgE2mCdg1g7~fg!tVKtx`w%t zo$c;*3dKA7r4ZYo-X-1cfI};^hz{VJL)c+3?zN>Paa!W=2SN4{gh$t30h;6XY(FUjkwG#!djB zJI;l@Y-Cs$bX(UjE5q3U@E7{cFvY*Twec$}d@djb6KzDho;^=bWM|LVDT>EG@ap*( zi2f!8bUI_* zsyERSpxco62wx;4`yeTA6OwZW=Dzd;AU|$4<*s$GBx9TYp94s zp){Iq!No4A60uCm-gS)S-!9k7g>HfS#G*(y520)l<%vd)+;R6*L6k zr$B6V?~P?YT1qY^yq8y#@%Y%yQ;s3P&@=+dR>#OP!&!lszrf()F}hZB$_@?6*5qOC zzrC-L1QyG~K7L<6PJCy;obQ^L&Ku038be7mWyYvMveDBdE`nD2r6^>~hjxY_T*ucO zJyDlKQwNLqGM~ghr(9uFY2rk3Zn0WEc)j%im{otVZFo#!=_RKXU9~^P*o|g;WViyS zR-4(G4qs=a8JCzEb+;lzvveAhF(7le7KR__9cph~_ZxraP-qTXzk<=*g&@o7zjQ7=2 z6*O&z1aIV`Gz#r@8Wg3a_5q$1Ycc5?rqfHC-w`lVla??&ak;s=gkjUSj%t?nIZ`m8 zvJ|eisT&ap82dcv#EnsWC7i7TCHM8tsMS)2gg{p{C$AM=nTu1kdr!jd98VTJP=Dog zW8+p%@i1dH>|b1Lwr9)Ov^qU;U4&<)RD=dJ5+r&0J-o?8qy^BKBBtE z7g;ldozv@}ed>(lZ%V#8Eg0YMn(;p7Dn*u9p_a0Mt9_;KpeF?E=#r^k37hE&w2}@= zEfR$H^gNSZ(gD_`^FP0yot}R${Z2~8Oo3v zQ5GT1`6Uh*-p?putPC&$70JAH4WGb;78#TB2MNU@4cY@~2SM-@XJg*vn;_7>Yl2f} zR7Hh@H}i)Xo;o@;hVV`=v2}&hom?f5!*-DA;U7FJ|fj6f9AR7HO9Kzc(zd7wyR#K2eN0367;I( zp~`bCIvl0nC;&ty&mz>e<@RXPu0J?@Je)S<5{n045E_waK!xxO&zm8%ja(g|5ugMN z2jFn)*;jz-l5FY}d75SR141Tq^g%F*-iWtp>(56m% zspYgeXTqJoN>5A16UBZd+Z~lspX^&cJ1FA#4!kM_u%|IaYdY7fDiWaV=gDyHD+|%Z znB33^;E?19enZ7VY9y2r|N7xKpAV2O`!~jgjTBWy(iWIKxcDzES$h|bk0`uEHw7@@ z6~ad|D9- zr+fQ|mur7T%P4?1++Jk~CUNXCn{Kk$W`NFc9gh$hsHB4E9}K;exFg>&V~`UwvdpH; z{Z8>*ibsLGy_%xEuKnYRj{7v-%Vn#MwMvv_!Agqp8`}s3wIdV))RVKBqOO zEFTXK2)6Zq3J>vP?WRiS+P-6<43Qp_=F*4TjRZXc6S;A6$|aHuc0%jF(>Rz7SsH^St``AXd4}i zzwHZ(%%u$oY=)L#h*~{$y+@!uuCdbCS6TcHBJMB~n{OhEo_sVcEu$Wz^WES(;aOk{ z_AW*{8;oe!hvbWU5`rVR{gtDNY8FIcP>QnEDOOfC~QeILLX~di`^CDw- z-Q2lr3#U9Y#Vb?+W=+)ICG8!5!6k)1GON1%p5(YBTYhN;v07sC@Wgr?r%mp(dRx?> zBoel(5)G`(T7+ZPPlgQ7Pu3~JL3+4rHtK0!%g-k@Wgd@kF30wa)URE%~Vo?h4wB2nXL0oof!)GZ3;; zzE4APa1t%Ywr2*N@wcFaM~-KktsfpN(mLrl=WbI6hYm&#A4?vD0{XlF5Ln>-_>kZ+ z3u+JHVCrDouCbY0Z~{?AviUJXtJwyrr?48+Gw^V?ufy9~H*iKunz}^j_HQbg0AmOPUBAi!0Wy~=#2anTg%DDj{Q=9#;uIGaPpXleG2+Pc+{lEUf> zA$X}f5~IK!617;{7k4Kcu_M}}^ceoSq)MD*b^0m>@8nJ;n{)kCD3A!UXAU?pJK26)@ym8k?XJVA&fNij0z0&ob>FR^e+w+11J4&4$w=^#vhcC z|FhM9<-*=hc2%BLCUn`nh}m zg;!%`{AK*)m-0fke^y?|_7CNSY=5G>@PFoS{XFyUckwUxx7e9!+1T;f*=d>aIXHeC za=aX}YyQprdoh;&&i(r{ErNgUwo^-;&jpd^8ga2A612Hf2%HJV)^m?A5<6q ze2o9C3C2Rt!ttvKroL#0GvMespgg+qA#XhUI5}ZN5&10#1Kk#U$R$9D3OsmN72rG2 zx0A!co9?wUN6iy!0QiS_3hSvyyCWUP^{rs{6IMsGydN@`6+7>jpH8hiKO8^rUTlZ& ze{Btyo$Y*nbPfh{zxQVUGCk4gzKU~vh=vbC`gDE;Rd!rqK>JE&Z##M0*codzBrqTmFVct)+mb zNXE$dSf8b-)`y{x#XU>U+R(^~3GZ@NKHO(p+17sac>c-L9E+m6a>drmtW_&k^+UlK zmD3%UTE~>#I%JTMdO^Ym7dSkzb$npXd>Q=4vti?^x)LIZ}o+XDb z$6m-wP9l1UuF`!kc=kRxYn)d*Lwi;6z7kfdrr_hyqou4e+H~efj(DO{6sr+pM5*5s zrX~Azuy=8VuF(+xRq+|d%zMklA3-Ef-Ihh;Rs^vk`{>*|=d{X=#m>^mWxWl2^Q%hw^I#`{j99+b%?}WN>PBFFsv2$PBE3x zq~^Ep%)s|84*mnp(kM`V3)b8O3nTlQ@ggFf~hKC#|l*#9_AA;(!&} zs*MW91P4Z1ApHR5J4>2?h1ADvtRIbqIxmfdDU&<`g6P_qkZt_aeNf1EdI-lzT#m(O55hXT+a@I*RGg6p0`BIfwm7&bPO{$8pwEBShO|Idkvk+)R0Tk1A z>5Q^LUNXHTXr-(Si3JOxG!YaOl=^7l`&Lu2PS2HWEHcJ%RfCbswY0p}MrPMb2a z7kN8!KtM~-ym23%#kcxFnSyN^bUs0~dpg)Uvt93eWUO!5)zQt#$;(~cljHI7p{Fpt zRDB3tup&;3#^@8+SO^AXz&mn-K~*h#b;YYPN&~!7%#p%L{l*hcu5rQ!Mb_>Sfr+Ty zNngv!?o(1i@R>raot&rLv$ikqal6+LZUulb@H}StEa1QUh_m{@t;vfhI-@D0&AN)x+9sCv!@g1N7%D;DQ;+f6D)TB3@2bn&`@OrERUZmzZF=d=nY7>wrKm++f_PfKqLfPc$EEz!7z4Wi8_D^~PX7S*7 zdoNcw-QQ(ey;1tLQyD86=l*&BZoMLH9u+u=Slf~YFb9*^Lo}A)U7a1H0R97LGu{zL z2!+kC_~RB$1eTUEo>f(5F&yhV-N!gi*h{FLFf8XbOgK{AUaf0HG>6akGEi+D-i{|n zJ11)-?IN>uCEDX7U&s0iubKCuXMwL0IyPpaK>a9&>#}E~vA2MQo$L9pDkoMRFR_Vx zG*&Yn>(k!tBkPUC%}pULe!1gI3~~*b3jA0l5NogIVIdNS5$`Z9w;m8jLBJ4p98JEf zd6D;|{ASR4zkdX~z)S_2sB;TAL6QvhnVZ_w8K0>0evF7ITmb3ag5aI3pE!#JR3poZCpPcF|g}W}6lu%xs+(2cplB_cYsh{o=`8S>5M&mLzfs`bMS=`Cpn#8I zL>_+Mc)S6;hV_L$hR1{G2mk?edSK{f={UIs9iU9(Tl5Am*pW^TZCDse2!ZkmCaDF? z?<8_Q^_K2)b3p#Nv`Mmjmj7GSDW* zgvRWWwo8S$w)#OC3TW#s8&~cw3=WBT27KdU_WMf={sG`NUm&o(g*Tu;>Sr`>{e*Q1 z>H4>Om+!l3C#(RNSv>bcbl&522QBS62xd2$`xKO490$u^U9}HcUBi<0FLQN)Iv=kc zI0WyiBNdFuu9i?6&fTeJj723nUbI43JGOpIcihm>AR3dod%Vf($i0kgTnu*YrXWy{?$s?kQtC?P$T#SW|QYA|>k{00x z?-*93`}=e(%Vatq7AVe5F*GlG2?#&I>V$VRtd{>bK0lw%wP(6SrRkT1I8o|{%xjCF zYm1&;3PeN$oRSzh4&sAxS>Fmmd)FBMjgj_TudqFLWB*Akzl=y>;~8BK_#@1WTO)3( zeG_(Qr+60m)AYBG!=LTc zFimM%xHLw(Q5?*3rr?o~Vy)yyO4d!;$S%`mwM)!5;|}yZPj=<5NlF8gzA1BKu`lgM z2Wx#U!NlQ?_6DA`zdxG~WqmI2O6Z9SLI~hMsJ%4d>;?fNml@Z6_@3S7TYi6L#|XzF z#qhlwp5{2vW6MP@XotRqP*S?Vl~&G}{5?;*RBptlr5b!sZQmZ|2%(j)Y8Muc8k4*) zx41Y~pg)i{3r^+6Uw~0C9#p`Qk&QblTV5<4lFZ%by!Cnc4z72X&H65DAF~CsSov72s?3cw~cDS^qZKvQH>wq_iDcPs%6r2!w3}P+e3^ zxwhudZO&i5cFzzBk)S#39#ezV~>6|Qqk8OZqn?l7za7*P$*&js6!EG zZtmz1+DP}V4ysv3JMDF$!}|yn&WRCp=(#llq%J3OQ6-`FRsp8tYS&^?Eqxc> zVWyLYt$P;LvweDJP>Zw>n7j*5d_185=uds#YICqit^j8$jSgBMQNnXtIA%Qbg~b88 z-t^V-eSqtqRPjW27T#fz%uIZ-@RG=!Fr+$vOKLzLbsav%&5X=Ie8OtSkj7?5%4?>J z!Ksbj3_r;j7ECHI1wr6u6?zg_mu6O{106J zg#z^Kr<17u<&3i1mA7fxf;5bM#1Im|Ix2#mFFg6&pcyYbXTcT2j7Fc_`ZI9lE==X5 zg}84Gk&UaQrDS)z7^H7z4pmKq4SkqlCOf&SpM@W8@$G(VG@KzKaPmi~6rYb1@jH=s z@_OrAnQPAh!Mlx6{fvF?@3vCi2N2R~Zvlmq<`*X&-q=(E9L2_UD!{IJ)ntDgfPbIL z!SHZZAek?}mm8gu4M;131bqe`rY!=0w0c@iyjnmmkTJS4d_l8)FYxT*tN7*=Qc^fv zDvH^_!;Iy^R#>ntg((82V6q^4JeD+C3y;@&pzjO=3{l0-iT9{#319aE@fI^^SFUBS zZU{qCR)`@9{!YNj0)Xj0_Ki!ox*}cneG8X7$K>W$#lwl{vhENl=+R|@<#YGk(hjn- zoi1bXY;yG~X`SNe%$FA^d#ULo84yU2b{xrJd_33-4nskU-V%n0%k0JacL0 z?sP3qf8Gd-Fj~U&`iyaks?N^0rNoz{najYbix5$x`^n12DpC_|)4ZauVG-w^mhvw3 ztGf+aS-ONr`lk7(r~u(L6{L@E_yFf8IR?%lf;KA1K)Z)EES3WSIdn{6cQ8-;)oj1n ztxE9u-VZWizwx0PvOh)k3ML*PzTk1%XB}N}j$&Bnaa*fa5GHm^6uk-7!Jpv2MV8V9 zHTjUg<)y`EwLn|{Y#fblA!_xmAlJ(vd4txfpK}11VNmKD>>_8^9+hUDIb6Aq9YRu?M8&f-~_m=*Z&)(%E?75H5S?n@}+(>WZrHYe^Emv`A>RcZ(dfd)i8-(5`i~EC%Vul~q`y2ihogVhi7{+*T~&EJhhvxPo9LyQwjB zr8ukN(i;2sF9fx$swzflMQ{7fDxLAlF+iriPaO`_fv~#G7Jc$D9T7WH3>t}@lZ+WF;_fBTf<@VfVn!6+U3Fkr=_`IsZ8rg zK;~v$YkNfiM?3psYCv;FzLnl)Z0Bw2hvd_eyjFDEM@4b$>p?~F6wSn&0nJ2i8_7j5 z6j0@?3&NrYdg2CAg47k>1gmqERsFNDuNVA5$@2ST7~wZA-Z9_!BQIqk7|$)8`?fOp zflh)_43LSHZV&we4)!}JtkJBN}wn_kLKebU9;1opcNoJUHy&bF;1xwpl4M7|7$Zc9A%eLXE5yDgXdXiFq;5TFDLqlHniwZ*#giQap+Z~UEo`3qRWMe)kn`q0+HVPCP`>!=&sTIWR0evPc>~S34Aje zSo>#R$8{p*?Ir@_YRe(-aVzgiY@CI=@%0ZRXzz};7HE{zQ61{{*l+^520G=gWQ(9s z7moyrH{@6cEy>tE^!Q$!7-(ss#P(M+)W9ma*26}f4bAAaYEC6}22LkbdMFL5_-7bv z8=WmG4n)h`q8T1SK~03^(vjO=dl^3Mc;0{8a$z;S(FOzsc#AyM8ia{z`(%C#K6hI^p|3W{&DpmVc7rV?0lv~$FzOjF(Xg|z zzjQ?Ez5K($#K=O!#$aH?$ZDu(XvFec$Kwkm{_=itD|-iBD}6(8As#|UM^gh%23E$G zsd!y_8b&6TmzW}5Lqi%}Lsk|VdNxCSHX{~&4qb-Vn4$kCyV}>^;jaq3D)6ens{*eI zyejZl_Va(-5&3iX{tJf9$nhh==J$R7uNd|}>xg9kEgy&9%F5coUY&`a{U>bvLISh@ zgtvbj`~;M@OA zI1wv82mS8}P`?HhF}#4}{}@_CC-Q$=C4W2T-^Uj*{iu>;|08nyPgY0%U3^iSn!5d> zNRroV<&LNhTfpb*AgXvu(J#cAD*6KoabWJl!tpV^Fm#X*L$;Y$$_K|8#~-X>07&8* z8qFjW1+qFa@AK0OCzQu7+?cI;8&@;6JX=a-wWF;H!rwD#(stY*-JjRSf5i)uTPl<7 z=;Y*RZ;=xSE8UNmNVq8tuxmN_NC~AcK~wRaEWe2Z1KF^c-V$3~M8lKYH9Z3^Kd$*a zaL6DP&f>k{y7VLdnJ*Q$^H8>d;*QiUdzmZ?C{w!r()M%99TtW-ez8L>d=k;MB#j=fofi# z>x``&YC~sN?3J<=*z1Ju`kJ+%dcz#*hVup+N(o>{p*DuF6a_?o`~b$zJG_sZ7aklP zS+n6HAG9)y0Zd~T9({%7ZP&XovE?xr)ZPp#za9#tQWp-lx=^0F7#$)ErxG|sHL_*g z;}gY>BB>=tl`$KiIW=@D1D!7mxY(4{B38)&4wUQJE~W3pq}3Aw8<;OD$+wfF5+oC$aA|)iX>MA=)TIKt9I;4Hu@S0pefa)4zMR5qIe~g6n+j`A+Iv zORK`j2b0u-O3JxY1yD17&(9S%}W|i}`vY^r)j!C#1Xjo&xW1l;K+)v9*Y9 z%COW2>6{UE(1~f^vT!PO_9_kJL)=6kd~+^J-72wq`f#P8$l;hh@yv2@@$7{6`f>K% z_|p1n|}N5#Nhn zq;XYaNPKE7K~9b=4TE2xsYZH81^$Rl=9xnw@aVyp1|3wX9iqXhZS?icF~sg3WxvWN zU?E3;i;fzMik5UE6V#K8AVY*wS z@gdzAE*A2P=OcPb_F`IbM7sjScbt+{5-D!wKqZMp|z!jjt7J-ZRWeXdW77-tHONBJmseDogm}R z{BY(|^I9443*+ujnM550iCkQ2{r993^b+&f)ue1j0b}QK2^j``+wWyVdJlE;7;Lil z!VT81t+rxXu@gcUqIK9Qk^Jujn?)JL#l0CB(gW<<1G<|mNW7l5ZaF+)4lc5972HG2 zG6G;VKRWCaOT=5a1#GOL*yX*22dT_& zb^cCyH3-!TojA41)>4-b%ZosB9vOJT`@yTyOuSjP@tuDx&rt|}J%d1lp0@2brDuMj zp$N^Q(f+KWK}?1XV#-orgJK?o`5FQ4Qgw@ONp@l70;mFX$b$CW>cFFX1?~ zZqX>~yYu43>vMCsQ|9@WltK&JhilJ$lModyzXj{wvi1;F2sP*yUkJ6i3$#p$ed6%t zC>%pfm;A}`9sBpayv5e!BTJzrXe=vIGGY{M{vzXbF!GPO=YH75MaEmNvqQyj6^uCyMfTkR+&(oC!MFuO9SG;k&IqkUo;-_qd|+)f;5?xV?!}8@ zmo;sPh)W+yOF-44Gp^kdZ2@Dn(KuNM$0EFQbF=SUA1O9&uZ>>OL)i6B29x8BM9eP) zn)G>2zR($A9^UXwU;aHNuLQi_8p8uS#xnrS3^s|O2mE&F{=W_i|oKC`+V?fWNrcjYck z`IYaV2%cSq?5srX1_BzI(*n+QliFN_X%ROwVs)^aB_N~1S*YG23%~7uGrAx>oK!kg zF>o0X55-Otw_+m26}!wEk>)0MAco`!v$wlv-doHzw;%8r-ILr*R(V2o5js&IZ{KSt zWJL^yXHBu$m$yd2KrT2pg9w_~r(G4-w3&*gyA*PAfO3fVyDSsldlTr;}mlUiAmEp zA?!R>juj!xXkB}27spi+W%oeU!QHrUPG5*z1p~D8{=Flz>4tl~DakfPAfE7%_ZrA2 z;hxwfXv6N$BeOl{Y}rp^=aQ%DGSM&>0zrtFVJlTp(nD98ticJhvO-)E+%UQUY40rQ zYg%$ej%`S{jCZRo$=-tsUsTQH?1-~o%O;AI#|kVW7QZV5k(n9+%8xjOzlOWM5E45E zJOIe1Oc3?D5d!UNKNQ~9Hbo^P&m%e|h&_ThHkPibicWpGBU&wA0Lfr zs3JJaM0DpMcuT4cS6GKdpkj~)AO8$~_#jT=tzkasD&5yQK4B|KH}+94RjKr`Wg9&x z9^#X9be_zs4M#!fi$Dnt`3Q?Q7LsqKoFoDp=LeisWh(o6&Y4WC2DSX|DDR6=)b8I} zR->z@z^sC$w^*dNKk_i1#4V+aj<4uXBpZ0s-iOj^Hr1zn5(34v%Ghfx%~Mmis8@D) zZ1T4x3-@b{aDDz@VetX%;GCCqqLYa8%?Z8l#;R~MxW7ki*$z0$b1)`QepLb4McXKD zt_d_`6mOUzTKnw{k4iCk#`OVAf9Lh-Nlq0HGi(0?>-}-uacCGenIS80+}BHRlGZfI zn{(J1g~~6QK@|F)vRKyKGy2l>9%Oq!dJ9*+ol8&s_{*Jfa9=O%WP$+D#4$LC#WVQY zM_H1`k1@E(=)NJ~iF!Ix>{f$=-A4L;<~OsiPu99#-8vBw;rbG2Z$X$;eH1QpPf(aX zhhU0C>9;GyEYFA&tOkt(tYWn*QKj66@doWA)=*_)l#oYEipzwsA&+>8!_9cXEXZ=? zDv6=77bzJ=pWk!*fxjBKqQ~mu#ISI8?btjyE2e?F13o?zg6XxYMGE275z;!;t65n^9TdbcAnHC-i?|Kf zTC%nyk*63JkrG^@udSWw<&Ge#D{jhxjv}V-4#LYG?bniwEmiSfU)&?GSv_|yWzP~5 zn}@Jw;Abn4DP-D~gf2jDo-ae90hqVgq)v9)BDtINGve}WkRYYufN{K^9t0KefUQB% z3=qyB@Lrk3xcM?b@Qnf#W1JBoiL(IztNG$4PM{E);K%J4c67o(NR0DOhSr&Ic(#5T z5UkKVL_13v0W**vMW4y8#LRR!&iZ^(B0iaw4Cl^Mwd~e;*0N0}r-mu~$)ELVxw^?^`Ggl~qwk$b71pA;=(|6b zyMw3U`h@~T`@khjz!AM|ykDGj0+QJM9Mxmv=3nt~)zQSdXw6-(8Y+(N`C8T{D0-Ez z`{oEjO2qnuSEj=W2>9(9M1vieY*h!Rho9r#AVfbdFMl8rnGgjZ0r8h9Zzy^I2i>RC zKVmr9Ul~q2<5$nu!1V7u-+vO0^%}k9sBdV;L&$Gnt!Ie;k}{4jWvXYVYv+p3z(Pw; zNXH9_&rN4%V8kgWB*F{HP3OY-VntgTI_SRq;NSf9dI7Hryejajz^ekU3cM=t?^oc@ zM{oVK^Zql#`E&RFi|5PA_)AmdFP<;^&$gKVqFRRiH$(V8Jm25!#{Z$8%l6m&++RKF zf4QIgC&IXX-uwTCoBJoLW?0!@yjqUm6TN;-3H$lPe!sAPQZ>WC&iYHrR-Nji9Tpqn zOV!MpEh#JilJC5oTw;jKdand__!X~^Yc|Egy2wZ5(3;uXn8y{K$bJo%*z&QXq!OWv zwS{G{+SGJmoo##dzbbPZ7H4V<=g4g(|4tn9jUmHq^lVwr$e<(OH=1N!F_FFC_qGKKH=gD}dQYWj`dH78~LfTWjm z`VY=isAQMy-<*TqkF7{tqAL~asSY)SJ3xId&Keh~!huCU&5e)gXO$+(3zv@X9kaV| zQm`0#q$QtEDfe;;r?Aq^LJnq@;2e0u=SrEu@2};D#)*Pus(#qdX_pbf+AZA;Wo_vf z==c)W+9z3a#Xcw&-7ubYVq^1=mw=0#)!O>?C5L=>di}_*c_n1%G*+Ad!fy$Wh(<|o zGYvof^Dsic6i^e$16h4ev-h(=8DQj7+oleQUr-1kW#+1^g5V!CJQ9|VSynr2tr~550%M>vW(6SXj>j`gMCMAojmt77D zgyrOp(o3G!3|!JmwGIqK8Z0H2HNC7E?r9Gw z=_~$YQz)^$12NIUI>x#`{SY z`>DAYRRqU<;Dh6otECK6HDEgD+hnGQh06MYe#B{oxiBCrvXbk*)W+=cr;})~e!`DJ zGv!Z~aw0JpsM*pQi9NtHp)i=V=C}q9 z*p<&GpojSD@O-_9a}2@GF82bW7=VO`R4DBZaePqP?Jb{Zw9K7in=p+YWf3$yG|zViuEzVxOeU4;mA*y(Cf5nbLuJkiN?e z5Q6h#sh~24&i)_g4reL1jnp%UDvdzjx+=D{ulM%}n|i2}uO@cD)X1?+^sFYlA2bkK zKHOa7veF=o|CZIiM!lNdd>3!OaF*oV_x%G`a>7B$zSE9JRWIXlV&m3#7@j^L=FW)0 z+-<|jR&E_vrSV#|5>4j1N-uUct3YPh$xEJ&lvWm!(Pc8I*QS=gpYWrGQKzm=NX10Jp=XJ+7FWc~ zv~&gH*}Xoago~L2uI4OfE?PRktp(tKdA?;&XU4f)#hmR&7z0Gmw;DrkM?o zE~7t#3R<)h=%7b&>5ZRl4FYLSP!lrjin+t;FOe=3oR)f9C5sMu-DFkNAk30?=8;XN z6og3utGn*VD=o9Y4jafii#ycBIF?A|anaV0MCwTqfjGNb^8^};DnIm)g6pc}c~yq1 zwZ81~!y}T4qoYKrs%mhnMa&?W+i!K8B@~|@ROB0#~|!? zGOp%D%qU-}w2Ez2xevM$R@Jj~o>xfEz&0-2>l-N~6V>MPzB`+}VY|dy5|l6IU1*;z z5f)V6tLRGxPe;L%=b4E<)TbjURF*b8)7j^*`f%vhuQn{k3ECz>LxoP?KRmf3B*l@<&Fzt6=D(;*R;nck@m?qV*(mr&weVS_YI841h;@ z%HW_%I&2=Cgyi?yLLkHioyZ9ACk>T0CL8>er`h2kR#b=&9!@i$K((#?^iFp8YnwKT zdIQh&_@Biu>7ji{PbkLpui3d}z(Lw5LdXsqwjxK_W|8dGylwF@eNc{Cw``cRORxnW zlaT6Y(YtY@cT7T?4%r7l^v}{Ie5SI)K{<_@GX-&9sWj`w@80Iq9RxXP9RWZhF$3#dc3XNnarmSfC|MqlvL zxqhT>ZNCxxy57;dqF`_jTZn#lajOv&5orzHwBeWiVWAb|xeBQTeJ9B6vspYZ znnj2^SA?|Yo3DXDHz7og(6(%+Er`@Lki~8Z6H(BBiv2>ViFw+yZ%B#z1e6-i);nZ% zso=??ZBp+()tr1<{JJ+Uo3BK*ZH@VjryUTxiM}2%zs(r`08CMOJDp>AV82y5Dr?=T zxw#b;S#3f2se?eD%_=>U>4vv^k|%B#n1j>e!6u3qmQ-$8-!7cjbnWa8KHU%2EgUID zE5A-MR+8QWT=q)F`Ry&V?3GpP7f*0m-1~x109PdLL$(Ga3 zl4p>e7zd^I8_krAs8Q2dg_7Op8c=saRaHGCvn|_t6c6L*+EV+ug~TRI7-v(J8md{EeZD? zKqZckO3OYE(@y4Zdyc!0an|0+6Q76DaH~9$-NQioYqi(#SL)tASn!*P$x3g{jc*(8 ziB?EkvLSwY>x~ds*bLg1Cl2-<^q8;N2_Uq=Cx{v^D!73v19O)=zx;?%`MkNDEU2-w zp^#4Yx_m6-y5bOG2W-};ou|h4aC#-(iel7DuO-LDsdJwD{k7NK44NYl^>>)$)qP_j z>?%&p&>x1r|Z2{_e1ywHJ??_8f)^@ALeh2 zQP6K@lX&U1;1i-l?w{O=NYcqWzzr*2=RVOZR@Hgc=B?{}=Cw9hQdZP9Pz4Bc))zoK z92y#lr5t}T$XI+iessFHzTd8U*p6N4o@X3$YUl8(Yv69v)X;q0Vf0>ETUvP8`~(`e za(Z=ZsCIWT!CN8VT^Wq%(zg0sp=nWdn5Iz2(ehJnv^w22Kd!SP_HsL=TiZEu@dd*2 znyzQ8R&8{*ZP@Z^Wue#zh@u(yg%dZOYbiyg>7`8A_{exas+t((O$1UyIBPh2y#wYG zTXx8#BM_6jqT&QlQK@5NbMLYXHk=|lxj|jO3wn64y9*qY56S$@(4^(o#lz-_2%T z4K^icl&(fb%~W%ob2_6n#&i@##;EGCyPMELHJM^lG(V38xVP-Uu$z{0RGpsB6;GbK z1~qF~y%_ZXS3@i%BXMwXJ=L1V;~*12dfgHYbEag>-66aNrO@#19hqes={F2GNQ#1m z4Zg-)3rOq zf{Xq{Cr|2oEsNKC2rsGQDq51*`YCB0^|e04bOZAje7YilD2l=aV&1wAq~c-CN7z~6 zGebF@eh`jIMIW#+iiA@H&xN>ACcbJ!hT&R@Mu)Y@S`Vm<5AD1fAIN55LbhngL{RiY zyl0FYy@^EvFQckx5Y->|gWJlF9VMEOMSd{NWyyr-gWk(&m@q+UX#6RG6;w@X`ZUfoli)x*7~;YI}=`sx{T0C zUpPXDADa**QI5uK`Jv$u91Eh8KF(U)My{Wk2iVow*ik(4!?ggppp8L0Y)-p~D4<=UF3|M`(p23jeLvh16FT zE2OXwDxEweR4+M7&VD+OU~ ziP<90;@UC|!dFX}P)RaO?`YplJD@0bF1zU5V@bMk0{CkL-X^nZjdlFIeMFRpe8XNW zd$@fXzeQ<#sA|;Ipkv5n%MaT!p05U@i#!n0be|ka^?x}_2`ipv=?pDG#NWaw!7BhW z9%WNHEA?auBOXKLG7-@AIiGfg`!t4^K7_B})r1Spww9ok#q9s{X98@eZe|={{6W8G zR`SUp53rjM@mYJ^c|Ht$Gp|i+rV%u{S@oH+;|2bW3&>C=(Qo=d-5kaPv(rhv&!Yzp z6K#YG?b__nBnnGYBU{&prXbD1iSRk7{!1&E4vmd(&gq9Xq3IPN*f12sXcy5haPaDi zdZqK&e46PBVmj`MPon^k#p2OcSoNiYyHiAv1uD5s_0MOm?7`U)hr(8F&1Lvdm4TnU z6X;>bZiBwKG}&{Q`UA^at0M&pr6r-B3ha^&4=$Z+6IuzrWX&m^NVwMgQS*ko2+}=a z6TA$F9KYHaCF5>nDwzhLs;gE{9v%PEOtiul5Hle4)mp4JTmNBUF)|908Y4-g3q_1e}PdC zUNT=E+kBN2W#H=y_ z6*3oucYmjsPILopSIAHSO@foABEz(?G8L9w!>+4=F8Q_T`B|K1`9r5 zG9pi4C(~!PGFHz1p{{-EAe{|G8#6a7J}Ey;VDU>B{*U9Y6-@;jI3u4c;Li(Z7l|Uu-;4#B1>Tq364Y@+cCmoT*AH+Xl+KxCo->HI0 zfiYctn8UDdFls2dB8#+&-IhK{xWR#M-dPSn6Sj$5)Vx}|PL4whMAqaH%W913u|*Cb zL|4RnReG`IWo~Uj!9wLS2sKw}&@**L>gNnh44196Qlk;~g_aREboRX{>~niSx?J+* zw23#en7b15qc$V!tQ4~`X;=HE6V?#-atv3D+cgRpdF(Jr+m7%6hH1BV7=>SpA# zxacNNNEc|oDCF3mrQfos{2jtC)rvpZDrmN$r@!dgsVxakZb6UY8Q%g@W1?xxLmhv^ z-lZK#_O(!AQR}0p(x82)hroIOhT4dbDa%x7_!nWNH(R&Gw7HBgH;Gs|2U3CVjJE=J zwpqBTVY#(2?3|rCB=ROJ{^ul}I;^vL2K1GJ8fIZb{*x|KTBj+L)lqXhY_dch+<9u& zG4lh@?QkP_(<%r~!o>71`4-0883+V)ZakBtHC6CcnB~ z_v{mE51amRy+p3073NE4>N@F6N8N&Dk@!&yp|zOQOaQE>#!g)L7`Mc64E=sN3+@Hz zxYZeT<=bl<#zR4Cqt}$zLoI=4ITJ958HKNSid|c8h1bMt{tAO4N?p06b8S?CPV!>v zq_4L&XFC#`G2by>Z}#z+7+cU;-8&3TFLHD)EU{kLCpER2^VIMJ?E`$j&vg*sbqvSMx5(x9d{j=Rj*G3_Z)u-^%F?U}% zwu#TCY{dm!4KijMClrjWfZ9(~e9F!%PjvB#>PeHi&>45_AqwK!;)3uD|`)Y-k)FPHsfffvXMk1aJT&AYvZxPN{n74>l-g0lle$Vg?=c} zckfQFXno0#|1l1mbeCS<=3HKM7j`|jM?Ah*vF?s8M`8{1a#JICs%bED&Acf218e3a z&%$`6&@B&_VLQaQpr5l`$Lj6kWh1nNguB{?+RfkF$CqB(>iDL<@v1}C-?nB&=Rli> z{x$(Nc#tRU*N(-`CYub}Arx(-watV*njKb`I4a({>NSOX4&6o;Fn2{jN4qY~6N}$^ ziXu9R7o3oBCCd4Lazn+rc<~ciq)R=&gH%9)jGHY!Wn}gRH+s6)8o3{9NQS{`esdWe zJSn%GP&m-vjr5M~?IXdFMcl}e@)qQ`;Ex=Zdql41xFZtVN`D?CcFPjE?y|gby?DmnP4cwDiUvy$ zYD>(=M@Re5AI}2-a*L}(ca!CITM+RlZayu&g{BtDyBYm{WY?okzg+Fsy-vUQu*DmHC=dZ%N63$z+x$Dy+`!Jh zka9In6+^dt=2YY8%do`$`F?cVz}ws7UDQlHu~=MpvtgePw~n@7xP-9UTC6>#;lM-Hz^4r zs@O-X)~b_FX9svhkoidV{&&t@$XIRVzPA3a2#;0*^;4w)V6M1#x~0L|7){+=_LIe# zpH@@7z+Luw8*=OE3YT8J<}bvAE6s3FI34>czktVEE5iqVj&3DCY zdU7*+H=L-|b_{hWQM~38jLtL=HF8AY;6gglftTd3l{BUpzbZXl3W!)Cdg56#uZYWRCUOMiZ+-R>DrF!M2hG`Qy&WH^6&yVx8q){ko?^`hAvTBXMHv z={R1&hfv!AB^6IyHgg0~Ogip#W(T05`kFL_ZabWGcz)6OC&A=zySm{CMQQdE@oWJl z)~=6}25p9szHeIlt!ebJd)>zm29F|cY5~wRxJ86V0iS8=N1tHd$A&bnfLh1hM5i!) zQKv3cMYAb7f@N`bofE!V0{qZ%Q*pFNea43n9)NHdPi({bs7w;^6TRm{Jze2fgtSwr z{W%HcL-4|I|ced_J5cz~k$Kvg-J0(`k0y}3|D zWuRxUV%M^wth>0g$tu?FC>z}?y+=!Ya!mvs2CCrfvtGVyaW)?!`c>BA4qV97ukjB? zGLv6#qOXe14yV?GE!>SIVxHiuEXj)awzCsPut4-!YiaR4L|l?%t=aIYD_*td;EsaD(@%Y1KOPWT+mP5rv@W7NN%CoSn1i?HnKe&1%BG7eX zU~0#K@f=v89EXqZ{}z8vY^mPS32A^7xN7{4NY8{b^aiSp2B9Ucd|?rF+uk_qH zFtrfr{DXO?Wdrdu`HhPc{M!TATNJvmd9+54cmkjW&k$Fgr=B{qsbmjqAlYx_xH$DELd>_=WKhGmU&N$Cz4%@B#i2Hgw+nYoP zDx)K2(e!A+U&yJtH^LiS2y8F67cb0VX|W+%A`XUp>qP7ZXxY4m z>?;C#ko%FbMLiA#Hqq)K)HzpMa0iiH!>wG!aP2d|0KpFdQSV8-;c2w&2+vK!VP&#H zbx^_p^;E=~03`xs&ZZ8O1}ccL&qf_oRFTGs86Hx(+xCgn9v$zz+2_Im8%OZn`_wKR zMF}Wnd0AU{hdYw2)L;+M7q|H5Kw^wlz*^M0aw9|ETWUi;NuIjYyVM)os>Rg6izSuJ zkWU2WNX-40NIHJ0DHce`-7^^%tEdM7yix>kuF;R$?TAjVYSGqC;GCGzK9w1ol<}PQfBHM<{?`5NSH>h8+$x;CO(VWCzV7lE0 zaZwpjMN~S1LzS1P%lA@9$@zYuUV%s(S0wD7-ZHicRBS$9tob#>(f4qv^5(PA+Ag-| z__+&1WsvmvELBph(SNbdu{6!m=smGBoI8HbG+5VAjc+sCCI|Q1xx8q|lpwSFCYHpb6|#v3LB0OrKN^;>oz%h{iu6zkTQc z3up~I_@}_e@6_kt4qz$k-wxovogW$Ka~fIy1~&d@=)wPH)$c>P-wOOz;I{(575J^d zZw3ApVB;?!#$SPrKb*b);Y9y0DZjq~?B4+Pp8)n>dsf)~r52a}y!s!zPv{q?!}EWB z^?%ypqRYVo0i%favu=EFAQDta?CpAb?e$)rf=aZ;yxnqQwQo3S{}k zuCUQFv$C?#vjPD?dR={eBYGnMyS_e$X75J^d zZv}oU@LPd@WuE^_@a8`zs=qP1e}wV;`#jJ5S5W)k0c-%%pPeB848R63{ShehZvZxc z>Cf^O0Mno4EdZuJ%Ub|Uf3VpkEP%gvkNhuFf7t(})StiK&!5or|8;Ep|D5{scYXf9 zhPMBuJ{M*Z4&a~YJ)^9h^?xXI;b8g|5(HrS1Kwu*1LpqY`#=Bi`}eK#Yt7#_#h>r% zzk#_qK>rcuHn+#`kAE4{1`r#!^qD-aq+d1-k)5c7>|mQ(T8fupAo^kGo$I2;9tPI_EUTZsBn!cMf4%<1l;8w-T^tjyLzPY)ctlzp?*m2=#ZhF3+ z?iUS$iF)-Y3B5}7@27-`l9sl}4vnq$SESTty^r-nsl643tpip9dIx*?71D@jr1Ujx znK^K>4IFJRk2K?X}l8g%C^HJR3X-F`0;8G86pv3ViRR+aP?$5+Yv ze3ARgyzOUs6yIg_HV{vV3Fe|0LD2V>ytCPWC;XhDqu5k0&jzkMG*M=t{3|^O^>ADU z*@p`Z=R8MG&OBD0#tdIRv{%o~#%W>`c&ENLBaZUOqUs=-@HsYtq_D7b^qPd|8lH5~ zFkpY3g(>Rut0Q}BsM^6|ylB5fF+4(}JX>S)ea26s2hIvpFmEA!l;@wUMJQgUr&-$0 ze4I@w%UYiq6MJ*=gE;k2RZkNkltw}!QauO}W>qeeB6Z5ZuZ!b%m){-=8X!}J5tjSA zKH7)^%*=nG+d19%+Mlg_zNrF+s7z{@Ol_-z6}m{ms@Dtn??i8l#c3K7(q_ zE%?I=^;YMkzR3+XmEmJAJ&L6+k_V|lLaRu2Zq@hoK}{f^<3|ii%&vb*j>OWpN1&{- zil1pEQihx3Z~y4>d?-tqnixJN#sfZI%xP2*JgPVECxy9NaD$=PI>uz8N;5ZP6OxBK zHkjuVNsp71-3M?*mN{SuhS&oiU+5vf%DE|~X{0x)Ez$!zsiTyok7DMi%0bphOY3Wu z2KT6mk~PgmC=%d){zihwqAV;6yJer-x$xERSyyB$EK-YYejn_HtOzx+kfu?`Sa+$i#!XDX*oPxj z=Du{DWz+)t!Jr#G+n|>eYa?f35%$hc z17%CHNF{`J0dhD6W={QhF}8Kfe%GJ)?+G9oqjep3mnP0zdJLF);zRu$H`)Vg3Gp2V zYTn~j;4K|$6j8?}w(|*5ql^=B9-koGl1EE4te1tPUx7Eh;qL(n* z^`g(=BO~hwI2HNs4~w$gUb>~?QP8q z=e9WS$Xpd89&8)e1>||i5$)^%6mAHRDvJYVc6-~3bX+_i+S$G6h}#R+S39bc>GH4j zj4DKFr^V&DIopV|r|#U`?zam}s)pHW4j>Mx)UXH!Kc3t3$~QZPk;nVqZ`kpc>P!x$ zrf!-&FehTSk6GpQj@ByX#V#yZxINm2RpDiPJFBpg-)pF)qDgR=(&L~n>z7yA&J3eQ z4vb;6rtwjp;-?zVH4lW=Y)g^YsY=p3Wp6ypT(;(Hw2j_oXMb4iP*|8KgV@}M(6wg% zNx5Dx)_$CC23gf(7s(l?#j%1McB<0|!7s)N;R%ap^_3;_+4D-?Xh|L|&#EG(0oU(l zFE>=^`vP*d=ZDNB$R*;l4jSG@tta2Yk0%SWdQx1l{KDlZ!8z(rYIwwgcvR&!quE-+ znPIjW0D9^CMpkz=o)v!WdMGqnuR7h1@wpY z!MuECfsXe0%^lN>8Zbtgu#2zZucpA(xVhYL4Qcx;v&oA~Aa))xw((NbUh@_dXBKw` zMtHwQ8Z!R<&4GLrKQ))%mvtNY$@*7yC{S+Nv*qR0?MoN1&2M-aagv&IsGf7kkz8B0 z+1IE2928eS{p_CXO;H|ZtIkcIK}d)Rs&91iO!wX^XQ@1J#EUlxGtJa5=2P5H`dp*; zaA?*>kSX}Etfdi-UG43sT7XhFDCLpEIf2*U$Aot`aY$m>S?i>t1J;pR<7-yOV7MNG zJhw;%C4o?U$cO1|)#xN)6l63$l0tj6dDygbZEJL*O5~C86gD_(h!u(3^-PBc;!>=3 z%cpt}X93OchW{-aHBWw;xXxb8s`&-G z;*Rx(ODD8hDIi2D(>&rVX~cl3tdmB%3_ty+fnY>-L!$#7L*Avk+OpPe7i-keNJz2` zl~>FZ;w^sQjU8MTM??aG3w#`Cn!D#QidJS6EZ_HGFOX5?T&^rDQla1$;AAD zsOY(@=fgr|Z@nra!u#1}{h%ia#K<8>W#y~mcg8Rj#pQE102@~qLv{zxIp3 zmzqB;{_Iq1pHv-6r!|JlgAhOrGFYcLxs0yyt`7Qf`cCTW&yugTr}~@egs59CozDr# zuug{VF^z$$thqN=QT{m*(#;uU9l2R!B zOgg0C-+F-7it+`tbPq%@+3gnR_m}$|MQ0>qm9oRTb`P;bs>8j?wPBfKb8z?dJ#+Cs z&uZ(K!~LTCL29P zNmGgL4!IlyQxr;D_ZmEpYH|J#Ww&(D_o0qrrH*gTXa-RNotGvp1lV8dhmV#%nnLk+ z;((WaqA%#X90ZN3quNGXMg{|WNYUmSrW59SCpLUDMUEc_-dPoT$fVU%9*z4VbyE8= z*McA{C^tV0LRl&381^C@S4Tllo7U@+H)Pkg9x%JtWbopRtOd(L?E4GTtrNCWv4+5U zA_p9pVK8CkjzzhPE*sWiE?0)TMDF)6cQxTfR(gyWZ2FD;Ak z$o8S?yw)gD=MMLdVl5y;1r2*h0|#F5YI z#7S^&B6{ybH;yiFyYPA~yB7six)Fp{zFcWe^O~)Gk_zPZHtEAX4M}Zz*Gt69w7sP{ z%8s1<-Bq3I6^xVO>$BO`>Oyv_|Dwk&hPm%cdZblEVCKPE7(x!jK*>9=3EB8TBM3?w zf_tgSI#M6MYn_UmGO+dQHifw-#-Z-=*T?Uh;YdU=&a%v=<+BkewbohVkHv2^!`_XS zo(NFo%NgtHR6_4heKJA!G}h-@&oxqQ%G290kmlOvvzs}wi7@u88_x{L(6#hO{9GEP zf!UNV9GGY=J#6YKuK5*{vjP*_8+0*fXCe&ljcOfK7+-C^BOKN@s-c?XZ)wFTMmMhS z_uf%8?%Qq(qD@^2XPmvSGFP33MdL3`^c%fUhdltIPeBu49ktPtT2?rQ zAGV@SO<5|j-)S)qE|LE#RLkF9kWT;pzyR?3OqA`dlhh_@m@AYBJ?Z0XXzmf|YF*JC zTV78?pDX91P(2PUB2J17a#>hf?~Ic$yG$+7I-h5|@oO)I|Inqq<=^C9nH0C^66TsX~C~yI?n=Fs_4}D?0pnGn}jZ`ItUHmn9ud7^`pLyaq z9(PmS4v9nz+7llfq%WNqelS2PYQj==dmaYz%@BsbRn&Ce^ct+x1SL7NodnV%-g|L* zE;(bq-)gM$X4KOon8|f=2UOa^`4XHnVZ`#j=fg(+0QR!R4;Cm7sU`c<&&Zi5HXN$QCNRX2D1>g>Zr@2FQ>Z|7I-RZL&3 zioo+a*yUp)8nGWA^3OIjFZ64CwK=a>v6@>zBK>L{&1;V>Vomj(!@W=EtLWj~bHyAc zM630!)08=PPHgpoFda?bcLlrH$jfdmD=JqdW8Yaw&+Thck$sN$0CQoPL^1LitQ`sw zT)Z1M#j`1Ht>&GlHZXasizx*%q8-~f()lS!Y7N*N*f<}^jU|06i9vy|ss}9}@}XHh z*74`pL-KgSX2GnQnV_A&cI~b<)Y;xK$-K)gN2$awkly8EdZJqH^e=CXY&7;q^5Y2$rLHNlIhUMsSt299r3?-rt;X z5zk*4$(yR1yB4pixE3RG1dilAW4#=AnEGrZtnG7_a^A{=91mN44ygf)?q}_PiAy< zbk7N+9`pGMe}wNs?K~xwkyt%Ub3x#FeL&$iS~~B)Jen5YBz@+p#oL%hZ@k4J*2jIL z5M!mb!G3jdWQKt^JYSe&wk;3I5;tV`X?p#~Q0`(viX%NzAWD^lr79poe}^*`!C zk6><+49$3(tNfYlW$s`)vaxPi6piJy9agA?)D-7pdezhH{mtwNv+=O(ayBE~y_ztZ zDDR}!AdR+iPAv90S+Rj5uip5|I<2^gs$*Dmd2>X4OkuMj(9wUPjor4S?oNaf4y4{B zEMAHd|L!Qgn8%^2s~wE|kxPOG1=feZ9J*lkuvm){j(f$ySC{ky- z34h5%Ngk}w%Vopt1Ifnk2sO0$Uc;=bxM2mfKX%ZsF%Or6&z3=miG&8afiaa&uu<>!brJt*tIs4QJ@%t7r`6mjc2nG41w-) zb&>w3g_F|9T+$z}ga=8i4gxY4G?OHG+$ADtJRC4+k19i;{k!cW@#B}qb-Y99L#isMttF)1Rh) zS@L<0OFbBqD?L^}R7CUW+`4Vom#xn=Zy{2|dy zxNKq4*+7fY72>@?^zEf;Dj34(8Y=gImzWUc{YwwAK_Xgc3qi6-U=VBnt+Hyu{c8`2GY& zY?cs>)Np)HnL2Gvr6HfTYqo^=z&!r=UuJg$m6a;e&CYf2_m7>~6Mgi4XRsPG*Wyo9 zan|-RH3-tL$8F%Y6InXCgdX_~ZjgAc-8OMvu1Ham`@h_Z>rQ0oc}{1SBT)P#E7&{%Yjp)iQ!PnWafg(LU!QyH9}4lgxFpls?mQOHddS_Giq)WW%9; z2rWvq>j`|Yqif$|F8YX8U8>84s!Yy+@+|~#lujp}gG5;`ZQ=d4|NZ`J#m>bAa$L9h zOjG%qdR#eqig+n5dc}^2sV}j3*kn#k3B*bT+=efZAcGWxA7vtbTzXB$L22w$zPN!7 z*f1(8KCGWvuk)oKc!QU~{Q{Zf>~-SO!N=J=8p?7*8Yd|GKDH+Xb}r}n`q#`N6D2b$ zmjNWaOr;SDM&+W`8-dQ3Z-A8!rLRxP#}h9l+4%xQqBB1jK1sONZ{mLRlNkqEWXHNb z@tkHBFtIuKF?jNSepEJlh=e^q??uT8;I7{?ShKs_vZm=1UL*Lzx{`NX<^stR zmty$w+*tI_NVlDQO)UL2Qs87te4k1N*g|b#1eh`2edsUMDdD0zfaHhNOeR(VqTAI% zo1I1A-2_Ig^Y}!?S^NNeRl_ph<&oia3ri<=gO}3_L-Q-Fn>k&-I$b;=c6Q;7|IVdW zJO)yBSa&=U03nVrb=ah7y!X-Pf>~9Vwmve^*c74g*6-6u+rpIWw2r;4;43m*W~+ zb-S&0NE|SJ#dj$G#AE?r`VD3MHd*}t++?B03S=_`8UX3pne^G|Spgi(^m@9?tn_+@ z`WzqwLj&etfk^)yl%;RP#>(>Ru!^1;#LE2ZdUj@dT~?4GJxKr8fgcCZh>e96^c%|h z7uc%*_0r!5@xK-Lt-x;uek<@>f!_-J&!H^9FVg1U0GfY?vi?53|D|S)1pxR9Y4h7; z@!MqaPizptf5~L=pI855_x)EUi~qF#pPDS#b%7ipb`U+Q0pOR(!Vttt&jDgGpf@mL zHv%%T8i6X||7bXi8_|0L%A@9*{B^!Zm} z?s<9tW@yk?FmzC7lob+TR5WyP&}0;`wsPR+W>i%COHVR@gyZkk)4zVqOal0`6#4&c zhxn!YuTSO=-wN-qufH9){O!*E{IveO$^Y8^z{(B+{UytOMBOrKsjv36t=&%>KH9Q3 z{SFsg9L)HHoMCfrUfGlHC-zsm;Yj#Wr)F9%CvPS1P0uk7!O%D=)gy}-JzvbAZrlvl zv8UvX2mjR3%M*(>>bA#2kH-Ty+gFEMtHun=gfB80XMU92WmAK_mrAKMSKgTWHv3Q|c}C!mbjvV$jQfpCN_ zm6p^Ma#Sw7p7I5hq~Ci8NjG1E`Yqc+1%rN9J*Ro5Hiq8~W(&qgeq^xs1s zyLZRRH}PpSHBT;``Z5J1V0&z|7DeX)vv^`3Zm-ZIL{C?0(`HDgL%)0^aI-+oFoexGAjuE8(xBTUIk z-3s3-RqY{e>Ak-Bl1mM`vP>xj^z)pH^*eFMn<1Twz8Hb-Zrgs&MnQ3C?or?& zp(#&4OS5aL4^Mze!1nzpOgFNCTO6&nn5=2w_~ZsVqr4okj}yi!+{8P*r! zTU~k39BdIJjRlJk_SU*EQ^&kPyl)y>Wkl$lq?m}L%0W*-^*vkC(zMk3ug)V7W_imR zw$*6B*w(_*m|t1;TEj573w7_WdMA#_AZISyDAAGqKQ9^0;jM%qhGgvK)5F09$dgmx zV>_Ue(^P5t#Z}#NPC-25t?7^DP-5SsAn?{Kq1nM4rDyq=9Sviu9$(TYn`ygmFl!5m zF<4m1*CJoKxJt_Ss-W;vKskk(L+Y~j7f*G$Z-i`^*TOscL4Y9U39HWLwwZrAe{m^E zQ>q}|*|{-mER%mI^Wl=(F_LR3T{j=1J#8&SRjEh}7)l8=fGk>)+iL27gjNKP-5LEZ zu^CV3z9W0|%azmvGCr!kF0*o-B86Y{rzgo=w%8v?#0<-HS8AFxgg6D8P*TW0Y3k*_ z^~*C3Q+M(5&n>jOA#w;n|AzZkW?OvUv~Es#pYPIIl7;FcB=Ukw3&2H8a>p`-{(y>O zCb=Ev`D*5(APiabI)sM@F+eosuJ@1?I#K%^xB(s^5%5`2-F0a4Th^If+I2*skEZI= zCe%2(xcyJI;|cba{7J}?Da>p*%^z~FhQ#KAmB@ZQ6#TmNKUfK=BclCeF#@Zf@k9_< z7pm@2&Z~EXce(Vag~((p8xYol-Bg&Ar!NTuWLL$@W3sLdAJ%n+Q_}W`V!{T3N3cpT zGU?5SL&p2BaB3Y?}&a2bEChur&obxdcqU$FF(UOc8Al zb*s`;#f0pF_zjrAAOqc|{5b_~8=j{h4$g{=_S*^8Tb0MvQOLfIBy^#Ce zg^x`=WwCy6e=I7Sch~GflH9oX&|#Cn%rKvRc`&TWIHcUe4e<6pL{M-~Fs2+bo;QqBNw_zJi#l)a5EgSuz!w_DH5m zIp@ksb~9PzO~F!bnoN^&4U**!+iZm_6RU~sDOKlv7{l*9j%drVnbkXlwpp{HxfH{w zm5V^c!vQi}f4L`ixEQDP94WU8L|S@}3qtl}OIOCKCmS2D(E} zStI|6D3)9E^j(ML6N|g+fXcr9DdRPubt3-&D)nW8QceE2Igr;m9LF=1+~!pBgGi>l z_IjVrbUU)e0hmR*NKcYzbEqEPt3Oz@;A%lgIM2N7ZzqwTEx z%3EH4BKLt`PertxJ6q!V@n+MrY14JKJGhJ|q>g6Ep8fO(vh!urn7t`aKWyY3nm2m1 z9FVQ7FbAfTeG1j8^`#xt>nWKCW5KF<&wk;|6zwTmCMW4H{~9iwXCwGI>RD!PSMnmf z@nT=-vAZS`*-2}>HR8!I$9<$HMg6z#EpQ~l z1g(r==yWDZS{N{XPyx2*6GB$l`X~HDK#_n6+)a#tiES0qlbI`xGAz0Hh~4Efzgswm zMFvCW?Ajhqnox)OaYx(^$svC#r!T6qy3Kg#Go^EP!#j;CUhW^2F7D(RC@tr{?{cJM zHEXi!-tRbvQ)oD379QELZs=v1Y>wSfW(`eP7UBh2>3Jd@+%y~6Sbx~K8b~5yhJ}mG zWdM-spq2%f9T3Z;NTxH-<80J@SauGGWv~Yqha-L|8+AB3oxfm6Ao<{mO5|Xgn+c7m>Brw-9s5$IxceP>@KvkQ4&>i^=({vcO`(`uHUaxYz z&SN^1Z&>xjo*9%vZE;d)?0(pr0sL?~V-Kc>XQ!saA7Hc<-*5@wXq+D=jK-Zf!28~# z3+UYU1GPUA*W67`}4H~|505hazWn$(^e{ zg~1`N?)C(1B8mHZ^2^PwYDog6`B*>Dm8SQHC5B&Tiip#hzIhtW#ry3UlB+vLqIcJW&b+|?wb6(C-W858I!ajs!FHkCmsw-?eS z8ywaF=hKPsw&o)`g?>3dNrjMl5>{g*1PNis@m2CxPXK0QI}8wJbpnsaa>8b}E5QzA z0_A9O8IW(V`{W*$s&IO}mVQE#2 zGNUyWXq>yAHwb`l54G>A9cLt4Ao!O{(Ci#CXVNU!CIrS%Nm84h1$0T<-0XkQL!JAq zuv+phXT4R7X-JP+c!`Z#d;f4TP`V0{(4>94uBAn*MRzu>bT2F|BB|BFAQ6^9qk5c8 zzQL){=44=jrCkks2oyH|>~9hpHm}&!_aTLD&csWA5)T1?s_-DBK3v1(@uJPVG`6z) zS^+?KqjtK&_3x;x>zn<$1hkHrl22^1XFUjLNrM3P>li6 zkufcNEWEp5ykc3-4jK0S$T@n5S9RQh;wk}5hs4-tybj89ZP_y$Uon>vf| zD2P5A+ct)xrnj=+lFw)u({$BnmOKQ~9POUTRQ5|$LVccC27vFymJ|tuU#-K4v{^22yB4aE2NJ5?ShS*+6 zn6d|n7;kA~-UP}A8P>knn9=M<(PD3yv4)C!PvzSkN4Qu>4ui2$b8;z8-6ipOzPC50 z*TBqqKg~;8&MY(MTblDTLntxvD}5rjm2kQd=AWy}x8DC^=Ss=#+J;=(P|grCx1MYJDIVIdo!a-WUx=>KcP%wxsM!c_B)a z;U*;B5@-{iBgGn_pnd&jPLqJVo~oDiHSdd1nzo!cf27D6_CcIox^at)+KJdGUIes>9fMN~p?T$OWyRhBC2ZdA zl2C^#ZLONJJm_g@ohmzYJjhYL4mp~U)hC#_W-Mg*w5rcE_ z*WkdXW^dT?8q519{5?f=LW?j?6n5=b+nSX3)WQ4jS(=2!1UA8h)@2iq6;~OS2SQ+9 zB_czsYWSgmF-3T$RzF?kcxu?tLin5-j3Ls#-$Iz1-xqBN>Ubg?@7s$q&oKKuXN^=6 zx{ZC==*TlNYK^>woFjhRmZRhxQ4%tShH+j@liH(a`e|Qy*y{*FLL&Q5Y{~Xu0%&B&8IxREAAG_s4 z67N*oOF0n}4XFpkn}-*zP8N<0LccJTd|pgRtWzypv$wx6s!2h6c;6>x4C|f=cCGaK zkUu<+>FJ@QRZ68{U2y#9@N1)JSb+6Hs@6%4B#3X`KaHZth!dZza#;((=P$>6P1wA1QBX12Je>gG0N6&Bn zA~aJKBcpNo<;OMs#KS6O2j3X4X6xF(UVEpUM_})4;R|@2^o+{~Vm~ushTx}-w-84M zH)ucVR-BWrY^|Zz4h%|H*c-f&lEu})@oPu@hiRp=hb$649nT--3iYupmaQ-Zu4Sz- zZjkKk)-9(45U8oT?fBR3C0fMp{`Ezkf`@Yx{xTC00|%zZi-zrZX`icdq#P4S0SzS>yao;NPE@-nM&Mupe)uUewCsT(lk zsH#q%gT|hwr{$z^MlKHQ_jEV8$=)3yL+o`|E_Cl1-Q5nD9B?x)61ms&+*`dHQ+Q2? ze3!4HU+WlWbVoculSrI(*4O^0e^{|{0%z%1|9wc9hm8v zm=ys`oJ{PTOw6=Q08S>Rf1tsff_8?#k|F;JF8p_^{~74;->m;HlHxEra9Q&TUb#WHH!cW@cuvEM{hAW@ct)mc`6$G0S4Mn3*g_ zUvHg#67P4DbCc9QFZm%=b=7KB_v*RE`q8roeN=4vx(q+v4vegHhKxG8j4W?$lK+HV z;a`90o4ECcz#9T@2)rTihQJ#F|Af)uRSy4M`u^`7)o&{K>jd~eXym^*B7Uipq z{Ch@+*G|g6)=AR8c2E9q7#&{E{QG76=|+ctpcsambeA>e8H2}1%aY$eUR1oIZ{$VLQ3lxw$$mekI()2+WzK#%l2X9$*?{J zM{#MCWzF5qlR3rHsrYAi$4}n_ea2||gzk-HY%8XJHx3K`u{bt&Op}(Y9rM^5>0hRm#=RF+1)`?8p*Jpelw`&0o|`!kSdi z!@o4ewh}w4QuBoDYSrQpe{<+hh7L2_Ys*1zP;@xbs!T1I<<^IZNUAMxQ1;^E5m9}3 zH}yo77+7lixq1ml@UGnlaJt|+`3F{gpTRWo(-m_M^e;VNedl>Bq~MSP22t0iD85RaiC_9r+E_rvmf^;)qtb1z&9dy(2l_kqKx5_ z2aDXneb~YFssrmfSxzd)C}~4honhHi-5Aa=ocR`%pHLb(0S3bl+iZQ|r*$<9C?Mkr z(Z0`vRbY4J32TYBGJi8I zBx%T`v>C7g9%=SNW>l%5yH*wVqkE_W(IxBp=_nLWC(0L5yN;)IvmbW#>M})&>_OPK zg6gb$3=UM&5EI}Vd=yFqjhLaO5LI*n2fC!E;6$rJI_#vy=KZ0;Ugu!zj&fUoexFD zX_k2EH zFo7G4K3^N`YXDrZy)M0hyl>4ezFnj+tv%{{#3l;WyiCbWV70tlUM=&H zOqS7}8xbjzBgU5(y(lLv@P4<2&r#jt{0H!G8j)vZvt_ZN@prxo$GZ|RzT6_ZdTzcC z{^##3(ui2*+%6suC%lWkKi@>Q!p@e&v}`B~xZZwgKLI0>jm{!<G1btm(#H0D-{v!LNKsp1GjK*iNm^%|fK2gcSE$cFIUmzG? zSA-3%%1-i?0SoqX{vHgLuFVx@9sC&5NzpL2YpBVm_AQgbvP<-&C9Z6Zivx`N&Gb9N zokaq72FNE`e0~3~jOQ>P^t7^fA4z81yZzVYq=c_AGw6uPuO@6EMH%YL@^J%@5_`zT zo`BiFGgLjAB}lxb3Mz%B)>JO%PqSE3H5aUGBja2y@`VICh^Xdp{ktf(85i-rZ{qj|*l1cphq6Q`=5J}oehDMYGA2tr05is%PdGYURoMp~N zybx)gl6s+L=oa(c0DG>p@JWrsB4>AjS1u?~>^pK!Qi;0oaBuN&`$4#=;LNn9E1uzVL;bp#?Qqq!rn!@ZDblZdkti>ayHU@USi_AA;O~nw1J|4-H zsWyDn9YvmXlhv_#Z+mw#;4q1hpUp*eW>USUz~IjjSI#BL){6BKi+}f-KEzGP61;zf?CF zz4M9+j1pQYTt#%?m$t#}K)al}q1|6bV)X5>)`Nmxtw;hQ-S4Hg&v3T!Bu1ue8vyaU zTE-QkfhYuyS!4(>TC#3z;3w3?D%1OeCY6)*i%| zi9O<)op_3Kl&okTF|y8n`XQxZ<1?0G8V7RHyu#TR+%73ogcw$wj@;qC%0Nxeb`LSD z7#ZK(auGC#_$Pz*v^8otdp(DbfL)d*WcQXV^QFLA+NNh@-&|ZxVo{b4hNzJkSHy4l zqO*8zV9P6`3(Ks#s&a5;QN2_*=Y0Kz(9<(Va&&3o;C7)BsCT_OAxD;LNg% z#hNI==lv*txVKoK%n8aQIZV%-dv#M^hD7~CsR^9_h_M>^@?(VS0 z?!3;KHXM*u<)pt92R7~LxXRPr!9c-N_l!M#xwgj}^WqdiJY@CB84*{R=*SBJKWnW-$Ga6g=B+6#VP3r9Y+% zU+>oMi~Rc(JR?0b+aJ<}YIaMX51}OYqS< zkxdCqNpk)$`?2P^$H)srNE(JNBvLHe%lG+l+3M%7|3zD_FKAM8n`u^(mE2xMQeLQQ zl6za%_H;i+v^3Szv(npJ@^#^@WAGj1N9ehgiT zlOf9Q*NbyE=$ox1;Xy%35-pty8b%gPBv8ude^T~B+!<8zu_`W7C=t&Qz?Lio^9!J$ z!yf+8{(ym?jR91$%>ZNXqfn26w=mo*4i2A|2!uornxs@I7FHkr!mO;G&;O&JXDy>0 z5tZ>?lf&4v$3uF@UA%8Zg=?erh)7OX{6hjA?)sr`G$2>iq@bU^ zsPIGmriihGfPC+2+8%H=x36^R?wys!=Qppenx#2dxA2` zl1bP<&3A;bC59;~Xpaynnb4WyqWA9~+z&%R$cKvl9I)iXQYrKMi!Ka z0oku>2y3l_1I)!J2C){58QLt+fk?xAJ%%|!1Xdd479@ZDLJhFeo5?B$s!OUEDat?m&+$x}K0GlZXX!d*x z*r-^aD4Ht2Vi(&d$isbD?62~E5}Q4>Q8CczP`!oWA$vkcYSEaq#1^6h;i% zjDVpK<%sWLm1GHfMTHRU^ND~X%@tz!84c@_Cg3y2y+N!d>?5TRZ>=O>YD*6Q>}pgt zGz2kF8+Ex<@e%nXn!!M#gR4U48datf=qS;H5d-N&6BH=b5G}M9V%rc8fOz-$B?Apj z14X8#(>5G@@o}~5q1XAM`YeFQTC(+BRK>5U#NFX*AR38~KwNx~>tt$)0hgfDI8Eud zdr1(RNK68wK~I&}1M|c&&9GRKWKZ64Vk0({aYpm4gN-#Ju(s-DVuP4j_Z~3~6CTA) z>Rb0`@6nRf<_|+OkTWZZTJ@XQ7h*qpVEg{7R zl|ysScV-+d#R79!N8X(a-V9)JiVS^e!-JfGoYOw(;|yx7HJXg&nGxQ&d+fwIbRvzk zrHZ8yL(vChp5LNlI!SB$7`s6I2ocd(sk=lM-Kp*YJ?oE1_jZo#o`gWo22Qd!in|GV zm77O*V(}d>umVh#n#&B@c`L4wqaKGJpIC*4I>)ut(DV-m*x3xCWZo?DC*?S0|}9wj;3O~RB6hX&cZFU zBI@3i&D68mHrzXDKt7a1$e}uPdTJi_GB&oK8s=V%hxWIKkgyHZ zxvwJ3=36s+R0sVUx&g_`oi{&mCidxRipuiH`WdRL5U#yyLzZx zxV1&}q{To$Y9JxNMf)P$DQ`&TBu8V2$I{mrB9>%sX&PzSsv?@kjn!1m^>TNPymIea1XdseQXZO;{LN5X$n-QzM_b>

gYk}IIuuax#?IH^`7O!%ubH$lWW3YKOD!<3n@?DaZ%K*KyeG8c_&={ zgU9oPb9qa+*AQIJ1}WxZ`PhaYQbiC!eJ(c&k~+)kF}UeW0uiWDW5m4r6=^rpdT1uq zj|=McC`SJAPsXsVycAVyeSj9=t)8~vj99lFswld&fJpIr|$!5OPDjBi9+_l%FQlFWeH=Oz54Hry6po^ZC^Qmcb{ z7M9vBTkkacE8y<1;|Dq34_l;H>d^>1Le=$=X;(vJ!!2vkGLGlgzihtn=Sft4>9dnj zRBvC_!iW%`0ygWQYT}z7L~xyNw3plD3v5|mfj|VcpaW)czZ;j2;KAX8Dhk$LhixR-$7115O`V;(RHwIYf>%JzPTGszO`HJ7TMH>m zZM7_ZOeB7SMP{}oVdm7W736WR6(lK#dMdrMnaK5fu5Dyd92ux%K|XfR`EqbZBcGar z1A(ShoV|{6|5V^EXRw2QZe6Q~A5Ru#>?kh&=;k4G%-lpiv9v*Q<~*ab=j zpcgH*p9*RcYJBPHC zYiz_-EbWmV_&(cyCV*@EG}^v=k@+ZQ7akfgkJWwz9Auyb-Q!q z((=Jn%#Id0{*blnl!9GvP=&Fq!@z7L-iHOdOJ1=&QpgyR4;1Mk74k%9ai-L)s3Q*( zs-Y-J5|p8E!@{N~-6CbF3K{Z)i>jfd(^HFRSIO2Q)UNQ2?@3BaeG1R)mDhL(h9`r7 zOF58S3GPk|)Z?ZayWVmQx*FHii>hHluDBtx1-1f5TPU@GA!|uFb3I3(3T`2lpL3lL zIrn|Rinr_{uRtqOTtZ1hAo<4OsWL_i|UFavy0Q(rV6F$C6`%4g7+xHw#=LDDolIP$+8nD;qd@D3AEUT5vkKDb;jMoNC*xMXzs1-XT=i_ci7fKl4N1k$5 z%x!8=aaY&|GfRUsnU2SfG&Dp6HMmjGNC(44uQ|+@a}=ZtbJgH0>F~CHB`!)j*yIBb=iIR2saf3vI-s|<=Y_ecL2?THaHbs@uEU+RcS(}BB?nNSIx0nSx)z+j2$TXq~E#-F#j2_eh@mf-9t|Bg2EiKYxOG)1dt*zo8eNJXfVqWVsnW?|D!vgwdtcD?mF2@Y zY}}>*K`xQ|u4Q`VUR=w!wh-NJ_O=)Gra%cb^%NUS&F^msdgEp zG)sfQP=bB_AIPs7iyC*&w?;Z%+&noZVNC6_Rb>*7{OdGE;NvdRb^GnxE7;zD9jIM2 zLiQA(EymS~)eanF7)ENr`re{tz-XbB#hFYwYt;JwFtJ-*pvG}^`~9N8;oX5xE&bJ*OM;Lc$@PJH5fp5&cz!twY{9 z(N5%(Hby3&s4D|yT3?|b~@?-v}nCt3WswU`OHXTS6$ zk5GSieZnZBP1SUJl1G%0d$G4%@njLw;z>XCxVpQ&*y|f`cv(jvB39Sr7|H72iCU$^ zqOQ7MV(AlFy8|S+!vyS(H0!5LaRdwOH$M7kxpz_MJm>ZR9W@XE8S$}kbmkn%BA8Nq zal1lusJu9eKgEi?9lz{gVEoe+_m0HAckz zDr^2lXZ=gdE&YGxyX0N04QQlwj0|XG4eYEOZ1oI&tH5P=4SW4nhh}(9C;e~e(649y zeRY4j4*d^=zh1BOFX;S&yYD-2ES1QmIA?XvPO48;nq_ll6m`lxl(FKPq)+3(ID{?;z6r$S1anbP zM5|PJvi%{~j@7+`vC2Q%otKue9hdgzL`*YpmSDgd*n&^opk-@a$Y~l*WFHF3zU^F0 zzsL_hGgq>PJnk05$g;GK=RTi3;6ParArKkYwGRO)(5Na*@~kzU$Z}*cvaXgd<@deJ zKLtl@1X=B$H^$6fgLt*7K1mbIlWCG?b4U2OC?301tHA9f=wNm< z=%jF9P3tfN?Rgk}6%u)0@&J z1fgUp#Kr1mSFz!YLq4HZ0CHr`oJ1f{$ykRJ-G=2v@X3u^5!E{|R{Gx##obb4%jR^S zh@Cmf2KAvv_QeYb%vUBCatQqUUSRx9k0*POqccNc<5dKUVQQu6S(5_zIXwLW1kEtx z#y8knK$kk3JNM-2cAh66BK7M)r?5g+qM0cZ$B?_>ky1Y-3?bZzjDCuLzc>*qPmBpH zUMm2>(Spb6e;x3e3Crc(c<$NcK~jo?R*sdu=~_1d7Ct-{9#KU{tE(go?oAaz)($Jp zr(0yMB8sjSpE@*GZ?G7wGw>sF1Pi7uNQZPV%UPT~PaAUgHN^K;!>gL`YcAi`5ic>9 z?yopA<_3ofgJAB*oq_r~@oBgM!~)7dD$QggP9>Kne;{=f1DDV zMg?;N(!6IKY-1jS6I_20`=jFq{blK@{#~&#q|0F5_>jzpqLN^}p>QRC!lQWT52ZCS zFjXhcIQn{i0_&h)ls^MyeR~FCU_A{}bZT5%G(L@6N$*3?YT%N?6FBwhKd{*K$9zg) zL+X#eG%ykxI7ZPu1qBgjWAXLxBRSn=mMd}XRj%|<|z^2Ivgo6*W3tg{h4GR?E7#{sFRO?hG*p2P|rFL#p6 z3u4AcWMk&hE$VT*08{S0jdwfHOqy*&=z*u5F512u>rliu5>PYm7}?KmdFn}dM>|CQ zx{9H3!_mD@U7<%x*O+f3c2~Rkk{-cEdCegh&r-j2laGU1n?v5#qU$fro0wnt^kyJ~00 zA58*rP9=^Yn;AQK2IrM~2A{@S7I{vReJm$N61mi8nhH8oGkW-|H*cN;m*^T&hP=A6 z+$7?UcCAZ{b0Cos`@4u_oEgzvJ4N?m-LBlR)!CDjSW-K$(b6VxBcAsk+ZjKM{%Byc zsWhIvu+?T+h9%%SFtno_--_H@NjDs1r~l*)N@hz)7zu4RK1zv5cf+T4Cn zl4U5s;MWQqxt9jlu@|(kJK+k~T^0DmnmCv?Ic_oz$|k{hY%y=P-`Vf@kkMFctdHQz zoxOPe*>g^jG-qqjJ(}8UrFKa6@@r0%F>zJ>@+`TT^hGKz3=OZ=s_w31F~0RWxRjPG-m@yg!RlSxO7cN|?+)+w z>%VuDUS@&s-ItUwMIa#PgIV2vr?||YBEE1z-e)y2&`h87VUMqpm0pot=eps-hLUlt zYJ~q<*?33DK>-yiqL#mTnwD5BvQNJRBu+7O#|+9`mujOjyaZ)5OFp#+q>|~nCV9@F zx^Rh^k+aKoZjDZgWiy3bif3f{>vw-3f=n$`=pEa2O%`Z#;^i-y)`d072XwbYygCcS z#_-Dql#`r^@Hwu+(I}JKc_}UC*5>IpWvP5f`4q+_+!?v=hMo~qiTPIyast}}3l0<6 z81Hp0QAjeSGWHy3%(jI&w(ei7k<|MR?`fkHn1Uz@X*Nqd3#=GAC(e@#21D7waXO+~ zU}BKwEy9Sai=Ql1srWS0y;m6wVg+}x z826nCL>RYRj3;rZ5Vhm_sH15kbHb@>x1#Ygs)W zh-3{lzkOgh+3mO!eYYu&2l$9^Z=h5`w6A%vah`lw-8m)$hQ@5S3EZO(JC64#)G&1i z+Xz@9jloU4yOf&2hS~W`>K0phmHQ3VFNMo?Z>`JT8SjNgjiWPQe*R(uZi@j{Gy=eX!yd z4jm=`XZNkb!seln~ z`o9sjzuQ%5j~}j$?0~(bBytzI(_z+%k3ucBYJt<=RZ=ZOLq_A*#Spk&`yyoWoS zeRTIb!>1?x&H_QLS8S&Mq+M!c+ioctt3%#}Qid1;P8SryWphB~=7 zM$Mbb(&N6J7CyvTDx`$k^qxkTl$`xk4h&`-gw?78zccVi$lCqUeg`5_?275#(-Dxm zy8&QO_ebB%F}GCVo?^Z!7?v(W!KcP?B-%}T8q;b$^z zeTywDc=H)34-k_>q}EuK1)%}X27z?A`ZIrGTWq@6&!Ka=9e6I5HOCqt!DG?sODsKj zL75pRu%$3#NMSBO`HL#c2&3x)kyza>pD7IRE=nHsVNL{h zXw3(z##!Cb?*mH0CCIIU_=&jZb^tl0#7M2P+|#8ynX?rwC5ZK<%_XT;Ara4x3M40$ zyGTux?=SCSd~EXd!ox9e^t4+IZMn#zw_UbCq7I$0p8yx&7X09C2Qv#fPCZ@Yp(`Q; zAF33s4e-X)PUR!P5QD4eLU|EE;C*oq@4W`&7W*7syq3&i&2LWC1r5;hSI)Fmt3$vU zr3s)z6ag;`f+3PZkMHJb%z(r}Fa*WYyYcId(0m#!*=Q|;{t0FCWHm5wn z9u1ie>25>VPUX@yw@GX@#uXzjL-vq{5UQc5lBg1?+UN-66pGqmQ56B4g@mqdBni+6 z5O=%gbW(1_hJ|1eeA`CQCHyw{k%NhNsBFl(m*a_i{PPgL-)smhgqoP2K;X722T(pD zXN?iyfqVlWk&KU+1U|^^9C3bCL%c9@P2RI>0ZnRPQW} zk5KH)=yN_G#6s^N01ha|g5WFpc<+i5cFR_XLIwi~J%&K&co2iQ3*^~(Afk3;KG~s~ zMA_4`t@rSn5jKk3FzOSArq%_3APmt;ewT=3oM-0)CXGRz9*huQK&<1Q3_K5g4+ZZj ztg-=RRzNldktS)|M%XQ&N?bL;*F?7MDje!x2v}-n^4%{U)Lc!JFL_TS`yII;V%<>P zSS`C;q6a}IR?dM3*fMb^Q;s+`#fKItJRAUQY+!Y3a038!y-Nr0!jt4hE-{33Vi%(1 zcn|!2@d~5^*%Tx;IYDnV&ivBs2ihH((r|L0&~M>0pg58Uw91kSXoJP+4Vuv%{(1BM z3DEDZ<2?~-s9?ym^pbRW^+~tcW9WiX4q@i;$2Zc^q~{FA5@E@xL-Y0safiS0iK5aL zCraih)QF*pa-}Gmi6Rmjj3vve(~3kxWE~>bFy>e0czA2g%y6?-u4Zg`$)DfAM?o5~ zI^xeo!CkyS}s%mGMDdU$aP#w@N7j6lgmW&{7jk&PHKVo2i#x!xDJtNEjt4VUO&^U-PAyo7UMyN-f|$#p@o}I{xmc;b(m2OaqqHlk|F}NQ`<3zceZ%sCpT%nU?2<@!0HnZ`r*N&< zmPF1?KoahzxTJ~O-K*1nOyw3_cUJ0_ToH8WUx@klu00T4>S{<|7D_XC;(nneoeo74 zVcCqgFT@eWAzT(Xc&WQ06`E48vDfFw@PzBeZ{7B-Ky`1SZ5%2W`}RQHJWJdB>@0Lo z-JG+GP1NW`c;H&jN{BOE5~R8nq98&+{!LDmLpcH6xZdJA7_KgoxyB3>+pNB6{s~Ph zCisiua%(?R={&k*JlriW#ftim@Jitc&C+e#@QkCnVWb3lXeFX@csE`aq3u!1!MJbT}EECG-OGMT}~kezBH^)hwo`0zJqG6 zlxD}xM*G(3xXK_Uu?h6)>XVSIs^e{{MrMcpNZ*QXGrPGHlO<4vYd>-t z&2ghXAf(gM`i6jDdO)NJV~~u!CyZNbSQx|MNx!jiaj+V=^{oWECG$=wpTPE4I(8#txt|a7LeD z_1HY>m1q-91C>Xld~>I{)xy#u&&_d3OBt8t;%v&D{Yx3{0_<#}CcP~okIWiu9nJV` z;Uyv<|J8SOFLogep8Nq2*^Vz9WtK`mER-#Vnrg%B9OsX}KV3UIEfw_FkQu*ckRk~< zh~0D$33&zx+;LO_Vn1HUr!($NcAPQ01%#n$+sBDJ){qlot?v-yQDe0$!a{TwQv;@! z?WI1F{!+oT(zO0)_DEUYnM9-2V;~o1Ay|_+i3G9U#M#qCFd;s2C%gLr9*<~8A3v&h zLIXd-j6Q&;1%*kLBbobN-=)sPZXD6|6Yp}+_01zhBUZ7D0`OU}z{n|q%iIy)x?)=E zqrg-}bPY(lF@eJMGVUo{o=D}8_@5quFX>5n!}cQB5Q!ksMO<)@C`SlAWmN8Bfv zxr6=CfVa+8#(XOQv?W%WZ7;*O-aR%EV5dg-bMWAxtiUSEBbDH+;?d)in{tAW1ctEv z3t4O|`+e7=u4LBUxhr47J5;T$0og;ylQa}wh~)q@n5ga;k`_Y{_luS?6Q*n`<9W`Y zV8?Iv4^$cR-Yd5Q4RxSJHzG_V;NNv^#3JuZ;V()}wQ#!=P_7L^A1c9X0w<)uj6I?% zw8pb#X68f8I6~cHTI=&92=Pl4{=mcGK&sbJJn|5sRroGOCjl2*1b{#_u#S0JBlLM{ z;gB@-+w?Sg@;;w7yySca8_~~+oFkJGhT2Ee9kOc#6eUYnttPfu+&U?fq(Z{(%kay* zBB%g%f=O7%j1K16)%zIzV6a&$2Nvu+u9X{^r44J-a3fI*T4slR+IY{MyyzS{SqYJ= zQ=5a`u&rbKP;>={Sp^3^IydWQkX~v}%d0rw*WQ2Ms^yEFJYtYUFP}n)Uri*6q=^Sk zoi#vIBOEV=P^d@~8(;J@iLhI^Nv|`jqdlQ)fO3i&Qw^wDU6TKJYnTA;6p_X$KA=Lj zvd_nr($Cq#`IUkSTo>$#I@`P9xMns(y+6^p|4PoyKuWY_B zA;zne%16S(dy}K5-xP_ujSi2$rARRTW&rwqxBI3@yeSg@iHgKqCg5LY;Q#o>e?JHF z$MyXG|LhHcHw4}gcthX~fj0#H{RsTyio`2X{rfik7e(TA_x_6_!TcH$_zU6w7eRvY zcfb1I%mgsLI??|sNHD$@+5N_jzdG3eL1jYD-qu0SURuZ2z|#I_(tw_U=AY6kUO)8r zh5zYV#Xk@icm?4v!2O9z#s8zU?q^zn6_<{V_V+Zv-v|q^{&pvRpZxRJ^vBQt{ZcFb z_jL-!pHqW=2@7niuGs!;uxsCwyCR}x@SpH9)+QH8uwaWU)a5|3sYSWa>?9=Y%Ha+0 zPoLx*Y!6zq?y!>t1|gD7Np-GhkSEIhF@8Vh1#C3l;Nhl1<90o(WEA$ew0j)%P;zqE zzGA=Te);s&cYHh>ps3Wkc-ePsUTrN}+P70ST*AL|w-to1Um%Uw^fj?rAuLU$qQX!m zQ>_wtWD!$ptfivkV9@M7M{&2+f01D`LQucXeV?JGQFOpcwah4)~O)+VG< zlpn|tFEygUQI?APO@2i8AH4@j&$o-ZuiRJB$h$=Bfcjd3eG)(BBZ{~w>A0Z3>|Ny9 zoD9eTk9z)DECEeTAz@g(s6^HxZE=TEmtw18uyze@u6lMc)dc#-rXBy9+BPCbINw$ zaC59to>`_=C>dF4CJ)Dl%Ad}sD3+%-mkf&L+X=B7ijpSzKG9nkpLqQcageT^0(_Lc zhy0eaTP@gw%H>u~F=GqLAunh@%p-YSsWc%8B^U(yOzx;3vQ|xBraD2t~k%x?1wJE}!Zu-Z)V3r+0lm)wa3B=X7;cSZQL}sGXc07Cw zK6P47b@l?KwJxYjrLh5vwx~f{Z2G}c=$PmE;nGY5RxnbE)@9U{hpU>nT!+AuBuYU1 zK3WD7LoPC^+0)w_p?>U!I(q~Sh!ziHA8XV5is8NSLp%&$(IwK+9s2YT}KrWyBJRE&!l4&6#k{zE* zH`%HkjXMO)Q9x;Qu@eam*l~XAw$bK(3G@SjX+f^$Cvz4zz@Kh|J94ZSIRBo}HUveq z>v4&*_KML3lONE+!_h{~DPFA2<>EnoCzgM`PVXIXF6eRq=BGpjXux4rw)2w$tCvta zaY4Wvl~Aue8d4x4heP<}Xj0{2l+y-dRr_wA?Ay#j_iqMIOBXY{qKQ{0g@HKEWeHtT zgCgHxcpOa^EW}y^@?yxIwG@^-;`5U!Gn7gcHSGGvnNGs{mKTPRdsOQWZj2zYGA3Lr z4<*^>(kK9@dZy8D0w!)_r_j1TMRm2lHxKsTzNt`Ze5xZLE%|s-!GepYQaJ+-&yktz z9&1CJQkOncX6&4Qh$VGUX`)@|c%m?`*$^w+6~#)0_MxxSlrRS#G0`EZdm*~G8g=d# zqHVdlMU9zbR*R)X6EU5c0Ds-~D{eZ>cx!wBr(JW*D7T0vF@}W+LbBObHZEgSJQlw` zcyL*{e>+@p;gbw-DFtWAQedyI9?N$(0earhX3@{a%*7DIKJw>HfEss;qNRcU#=1)@ zs~e5uGe?^B90d@?e3qA7ii7g_RMfhH6V64`wmm#7EK|pd-&@r-l7GZiz*5Xq*s+g&0ll_!ZOl4Cgu zrB;ag%=Bq~*`?1qW;X|LmSFUA=2}Hec2B+H5L2U-b9L}jqS6hF)%-MN-sQEc2Mtub z`Ay6~l8w5JmY-cE7*JpWAqOg(6iIDb?JV66Oy!|djg8|st^=e~GIjwCeEOu%Ui=M+ zFr6HH=PF6axSN_jEUpXnRk@iI@rf2=F~A~pxkW3J(?Xn#oNmoo%{d5*;m$c)w0`iQ zn)-@mwv=t`x%Vb%Pvs|-A_UqpM>hp0auST4Refcai{Yt6i_Y3BN>yNq<44o1DF}tM zATXX)M6yKPLj>(ntazeubObKXH&$nHIGP;+vQ*sIYs;DNK!{kORdD{as%%TBYRGDP zq5%A4@}guQMtT}$;FmGkmA19R9e`wysbmzkd7YeasOh;=8Qz_2QU|Lt5HBB2pUF-I zVh)17nz4kcF|^U5JJ=rSE~9@%9yq+=8~4MJTAUY;?~p0}xEJ{4BMqyfBZ(ADq4_Yl zJS@0TwQ}q=hTKXD+OmB7u9?aQ=V zFn$r(3gx6B-jk>DjRy)IDY@=7Lk2xxMlwggqsgMa3mZHqH1eTok^&CM6EM1Nbz+cO z9zu=xBc<^Z7|l3i8XUl-RUXHOVTF^MsbKXRitoA~sRi`HZ65pn4=Yu0uc zlEgimOBOG{pcaDcDhb#p-X%=gkQxB&6Yt>=Md*37PHrJfNQ-MX41t8+&Gsg#q1qMP zZ(Bv9=#kXh_7)ewD;qH;-Mx+!E!&MVIF2}gEsZYTK0)8wM!hN>Jgam4P>+q zwuY2p7%(M7WfSYSEZAv6GMya&2_xkJcf^20aj|dv_|+sDSdl&~E>AO|e)KJ&Z0`7( ziDATjl6ZzQS5*;aysw<`&WFw9dT1@Gw-e()Q9p=Jq&I%|xJgT9+?V&T<4bRvCv6`K zChNo6KjuN@SgYv7>yL9ZJHX+x`Gh#x7(y_C6lt-%Ad20%0xc1#pwE&WL=AeuppO@~ zZoO)`A+9`SSCInPibkADy1K&@2b$PwIEvxN1M?Ei{m7Np5^ml<;ofxsQq`K9moFn> zUJ(pfNC6zU2f!K+vX><{EKx5$RK0oZB@roORHR4EhFu_^7%I6hU%e;19BF;^+-JAj zZyXt>+;uQNu6<2E3;O6w#qTQSf}mPfHU}Uwp(~Jmyi=P<7lobVRuFgDLLXO}z}JFA z@zSt*4JqvKl?Zdfl~x6qUj|HS@Hq-N!DWQjz{}b)&7CZ`DLNp#Q@`+%?aF|o~f7I1oXmI)oD6=8+fl`^=)ucHS0|C7}UnGPWl6| zcauU!`Uw-@a-b>JQ>1p!(o3Z1!3{RUKx5X@3ZQ`q9Q-7hk@(6QqU6agY$GUcMMKYg z0{0E&6G(LfSF^CHw_HUulq;ugrw_ZPVtX>s@)wN~crx1L0V@qBXIoIgTt)AVf?0Y~ z$jmxWjBbGLl-&6*2DtcBFeEl@`6SM=I+De4qjWuvWfQd!qi-A|M27Tl+7I5lf-3+B$3r-2qk-EWi;s1 zs=pQ6N-!7-_iD+Ag))bNCYH2% zqKne`)s%xBCwKY zZ_s46Kj+V}*%y+S?l|+j;c>CuZar(;*YcSXKw(1bN6cI$d^u~ac~>8nUNsZ9|HjzhXmboS3plW5nTQ2CsF#3 zpc~eBG?1#oa!p#}_qvI_-z;#4Af^&4K*2^fL&H`*Z7wd(_|a>g0P_YkqCJj%UnDxe z6L=@Um2D{_)ZR(5<{giI=B8#3fN?yO0~gvGb8Pb4L>mF>eDqX*;_nzF`o7+GxNDgP;Av` zybxr(ty@%wab_W0p1kwhP4|g#Jdl8VYF#y04v}!ewcX~AH(%_1UY^%F63BSq{S{$z zv1TOP9H_)xN&AR2Qsnx13g-g{Z;G>rW|SrAB(6-QTlrMox^tnJ5sL9$`e`WN1zmiL zl2e(0$W6M2rLy(WpiHJ{mXE1VYcpuiXEShcU`NfPK{-&N@^Ggm*dgwNXsOHN(N`mV!zVQxi z%J+m7Lk}URSmj)($a60lo<3)Toth{daRY{O=r2zzYr^adA~WMzF+!f!)5$$ERglQ? zv0nyIrqf$Io{>g*IQwL~46(6$TGF5HzAy3Muyv_E6dAkr-nE-^%QRNXj2CCYvnd_k zw>o_XRY`HDVrd#Y7;0kbn_p}0dv0%ETfVmS6I*j&I~QI%ok)@yMjM;CKO%*ZD07bH z?uZ^QNH5mTqqj7!a53daBh5sKcMeBlEZJPf6t|94#u$J>=-xseD?X)Hm+Khl`yJ|0X`ZtSGK};PA0i7E&@tEOTBhxnw;Ihm%MS5Ks4vCI?J8+TaQ8yTz%G;AOM-%^b}d%6L^La`c*%KX)V|J zS);r3`IiA|@{uI@NL4+zDS9^mOg2g^y(K-M#zX?mnl_IrsMWJeT^js#eWebI!MB)$`68 z;~hg=N0%G~CI>zS>9u8J8IXjr$;Lk?ZUswLe4gW6m`@P`@N!Y*CFeeY|gV~#%n~U`!8yPzn4=aH4 zZyW!Uh4+8lCjOt&@BO;9|9JR3zvjXpxN|;?;$Og>1HkbUcMc$k^_LXyZ-@SO0;T-7 zZ#X+UH|xWV=H_61u%~lyaj-rJIv;NP-!%Md-v8xU`B(e^*re3h$$3D(AQ2S&X~;KI zgShIkDT_<8sY5(n4cH_d>|Oc!A1wa=kxzi+&kOx}ynefoBgEO&8shR$S3!)O=fPFs z;aU4Fq2RCMpWy_#ev_R3I_CU&{QFDb&y$(+PkPXQ>@ffa0NDTRF`%dCLNu7_w^@@e z)zd+sxZGhNQ(-9x z#ijdn`vgC0@7UX~jpfH-_(^p9MfAzRCV2SFx1&?IqPx%aG+k z71)uOOrRzSK}B~wipnza`945)W+8{}k$hG0Ug(QS$&fEc+5ipVWrM^!dAznd9l`bU z!HQSM$nY165ats_vq_l`S%}Z{m+D}U9k?O`U|INOVm?9#W>NUYu~S{BxQcs zztEr+xQ)BQYCVvT*C=V9I@xqT-*<_B<|~GBwW_aS6TT6|^k!@t3Kk2ji7kVR1xKkq z6>WqxbXD0gJh<5Jt(Wb8p-P8Jxp;@2{IXvM%BEHU($*N4?*JW@B6~u7eb)mZ7;s`HC;rR>}?O$k|=2<8p~-_Fj|ABznc z)v{U(LGr~>8cU$b*~wYRhUrqSwOZ!-$EbkZ*Esw?Ue32b(XE}P4w%Cy_$F;{0YMjz zl#7}&i@ScwgS@-vaPi%|DMR0AiZ~|Y$n~ZMP;ucKOA)geM^ri(th{r^^C9KhjPGbF z*tzMl)?B~$_W0Ta$Z&VQCvaNPC(qW9XBX04=41#EsVt3Ln70GBx`Mzl7Gg*F5SU&H z*(hmdH|jz;1DSV;TDVUNfkjtOkWGB;)dSE{#;(gn@6Q&5+EdVt>9U@Dp=pG6VJaxv zpeUG5sm!vXCaqe(2C21kN-D#u2LUb08)Y5krV*_5)|e9UtU~OEH41Vw7mGRHTbSqM z&m(EGfB_%PobfD8LH|cA7UaL;WeU z=Eo}D2We@IqaM3l?g63wU7v-m8Tn>DxlMUvH4(vfziQPTa{xhcDST72x*4)NT3r%- zsZ(S}Tfa!f`*vLffq4$xf1cyY990fYr)b#et>ddbo5Q}Eg>v;G_g-Im`OPhYcbltW zd>M6(YPcFX9HMD~=w8q?>HD)Fd-d#*mMdQ8?RGIN z8I!_LLzO%8%F!zLY3s9r`p>JaG|#+da$c8xD~Lp-V>r+?O$uwehjzfEMg*3r2VfJ! zq3XWSp%=;;+uFSA{2ZjJQBKPScT}@g_Mi>c!PRfi@1CvQKxaorwyRd zaMS{~b-@xG;Pu~J?KmANe>T7udhd)`I8g8w0~@V>;v-@`i?@5eyrJ(6xLx%{ai)1W z3ZnFD*HNk~>f#%Xqojr#AKg}XW$j=A2K~L#8pC}Do7f=4nZ?Gn6q%tq4zWIQ;PD}k zdN3)^R8}OdmmQZEPY^5wO`}b*VvrIv-ibIT+5XWbf~Xj+aJ!ttya2kUw+>A^oLGwZ z%l;*d`2zDkhmdV)rtB89;dXGsmb0Hwx4!-6?lAmzU-f~J#mmbIER&?uy>%O>Zfzr$ zV_rv_0yffpO$8}KPo0LP*p>U6G$Y)~tHF-X7p*KmuDFd@TF90aqi<%+z6d=Rx}-_< zbV+fZdq=jwG|ju?=SMRbSnM8mhnFQrI8%(5$G>Jx5%h*@rFUekffRd2a>ew=iH*nz z{)bSuXovB8L;1GzcP~Q{e>M$;MuY3u&Rt`?e zh1Fd?&n$&=RV8No`oVL-WS#rfdF2;mZkFI?_vP~usG&hCND}NF#hgc<%PX)7z3hkQ zESK8F|I zg&JB!gUd*av6iKV)V^+g8%Z8E+RAR&c$;r#MBKG|j4-+ddir^L<(x}BEwxQ|%T(3gURE5K9%|?9AiWGak`8DTzQa1Rc}E&5%Ua z-dGvpo_t`~T^4V6x0NN2G1%!F>vna&o8}Lz?%!KugtG9X^7z4~$U3t~r)oNEs+)rB-%QX=9P6clvYskKQmHUI*D$M#rip<4@SUyFM zQbag6w>OQar`Y^{b=hJ6+4{9fodBv9e#q{|H{YMz|?ioPXm^liopF!x0}u!KX zUrxl$WstYVytuoT_{wqKb6kGd`z~@@7`|T_!fn`Mwwe?vF9?hPgF3x@ZPE*db90ID zywc83$!nB!KG?WT>K>(-U8&7ZPQ=IYN<$E{%(!Gs<;Xt(La-}*ZLo5u zAQh4iPVuZ9I+T?Ni0b{mi-G0~i-ai3w5UObe1v^w#03>%52?G2eq|Yva+H zpVY_)VKxSIV!+oLC=VPydHuHb*4lS+;)BP;UE(-77GiE!v4ES*#bVyEQG2lvLg#puufeA+R%Zo#T!tk--${S^$ zsPI_~Tzz6*zk0us(#@Gl{P04=wrIt(9XI+jcizI!B)13+H62^Zo>JcLdJZC5SKP~ODrEh^ZSz&6bBPgxh7)53*2to zix3?E(kZo==vM?Jlpp}U%d;ri!Gr-6xu9nq6w-!fv&?T9`vpHqE+nf!;Ae7rsKP$$ zcm!+)J_~%c2kj9>7!-w1?vcC`H&)zSmT~GGvQZUkYBo0f(d(eEnmLZdgj+5&(LBqr zZI$j&FX7J0UvaZVrSEE_ffYW|%3+Z-9xAEdpwa*Xn^LvkL%KR6!krsSDNK#j(tzIR zWG_R!2UDD>V;Gh(B*k*$i$-Q5~zyc&xuCrD)xR<8vsWvgLCP^%17p+qtA z7Fa}RmvAQPmC5{UehXD;Z1PT1^Ib|J@)l~WOd(4kpRz7NS*c~pc)LYK8l{RVYV)`i zqbd+0ayBHS1bjBT4sT(#MQh~~)7sdUDxA(gQoFt0RNf$^Y)Wq2yCI$R;nICBIX^cz zy|$T7nuNq#7>SvNH7T^B)6R$A7d6?EOCU&>FYeRQWV^h94=R&RtYo$`AIdZ>+|7~e z^nP_$#Tr}sp&WGW3O*s#Ii2wC$FIgFln0lXNu+Hn6jdGfnD`$^uTd-`mO7S6DG>_&4MhCNb!_8hZ}C4Rzk1_`O2GqErG zQCPIq>}-V!dalL3=rFP|gewX(Zz*txSQwSphe55Mp|eVi)Ax$24vC5KFwON$1~lme znCvIPnW&DRyyPFK?t;qA`Q-I6xD=)=vf-7CO3R!@TdSguzdAGagmcMNaTnm!n%v1S zTbIh#@Isios&(BiobHBm?3LQu#sI-5nd+Azj`B~d9E-@b>7IO5;E5O$#@(ao-80yF z%0!``aI9CRhv5>iGuv4Es^tLj-LvE%H<}sZrBbuPn8@ULDTDDcGaER~SdIN0$nN{n zyphD{$O=S;jl!q+7M#m{8*heNgd0tiq`QggR$n?i8~O3X!-s615SwJ(%3*f_+b3Sn zmKMY?7zpRc3ZmgVg%9)JP`%$U1R{sB;qZ#Ev)l$YxN+HRcaqkM#T;i+&?i^U>Al945REU5@uD`!&HH>T~iu?pVPy8zpz+^R@NiH+=zgp+~!K>I9%1w_$Gc zpmDwda580VAzvev-=kxRda~oRuXW(m?rkggw9*mwLgSnx;hZvJA!H-NdnhA$D22ml z(i}33Z!23F;=Pq35MGTFE+CmXE+?kp(=HK&Mke?Y#dzvUAJZBBDflf};M@0ngg%To zp99A;6~o_ZMx08&NiN9W1jA7fL-(pqdAcwn>E~{glm!PkauX!&n`1uZH$s%yLv4s@ z8ujGkK@~$yN+X{J(JyL!-_79Z!ltq@rSR&m+~)T;1*^^RP(yNi(!XQ9hz0t?42Unz za$@XThh)f3NW;_x4OF0)Tgki#{`yRJk}h+RoPvP7SE;8G6Xhr^nlV7}?-skQ&)zMSCVjEh2a z)tUb~Q}d8SqsB_tEF!JlmR2&|&$zUbLp-+}9!0HLZ-8jNd~;6Y#5j?OveR;)qN2Vv zCQai8Gx&NUTUm1tT}y)lqL5wtS-heGt?sQ41yYWAjv`7kLcz<1681g}%nF|~6pk-9 z&*qfQ0KEuF$FU=hubx+vVp3=co8AmkR$=NByvJXT8*V@Vusv@zE?%Djh9Nzd7Q~RU z9nc+qn&7@=3)Y;p4Qx~%okA3S{**&Dux1gE%#dox$zk?cUx*>4@OZ)Z1(%OUOFN_X zf{a4w$R9^F4DMCe$hs7i6p#N?+A?=YOt!Y>Jn zVq!cMs{y)k@C-T@%7xyCnBJu+#h_zQNSGv>ua=M_)6kp}X!;QdyjpY1e42`GDwP$f zB~;NrLE$i}<38jj3*a<9sPB*X#;K`l^F~iN3xPpud>2d8f1w)ZWY(HnHOX_tE8LzP zB>Te?@KiRz9{LB`ebAl^G}$e~;&`$CxCidkJOHq_P=%KiYux#Z7imgw=8^gDTAnj5`#qY8bkS`p>VB-)M-w`LGhVk;2gNI*z9URwEBz z^X#pK>+0$r_49)Oe_pR6S91`*!5PNk(C`bq^znH}t2E99rR0lrdnG6z-%!h*&7DvT zRVVpgq7WG9sCEDDATmjdRS%n#!5CvIA{ zKI7C;o+C_OOo;Y8cvn;4`bZ@Mm4z*RQrF@2lOMM2SC}Q#U*HwJdG)L+ui4~j31NAig7aKPYLiQM zVEt@t{p<_K>wCnMGfUohGy7Rf-Z-(_;S<%g{?Z#+7KVH;EnNFb{R`g^w~d4jr|>pA zPdH^&)oRMN7g%yz9=;EG>?;UN)DPr{YTK!eQfBC`ew=R!b)mn=p>5i$6f`&%=+QzJJ>m6jID0`-e5lG*Ysdd6WAHWYZbtu)qe9J1@1SeO&D#TKCH8k;Iz5?Our_=JjFy(x zKgk)2H!VXC#U&4N0=e7GHEe7UNOd@&7bq%%=n+X@jLXs zPSv|-Tn_9gZOzwfG0xX=v)#ctjt*s!>CJ(WFPZPDl`$-;>wV&e0CyYC`U5XKU}m>nT8K^58Q7 z;eFWu`8OLS`L80b&jlz&ex4xLR!}Avb8v=`gIED9W&i+)9Qoul#O@Dw+TVTce)kdlgNgrix%=f$`)7wcu0Q4T{d;_# zn+NpB=YOsV9^81%oUI*QtsU&ie;%8dI=HzCP*VPy6~8Y{>>U5HSg?0t{k2SCHFL0I z^E7c}1F{0x{+GfE3je&go!#HmFgN>4aYr|2+g}S2b2B!GEyV6;K&yuqfPYio@lR`) zzv<4yiHE`*zZHI1UGXY7m|I(TiJQ1W1b*l994s6Fbs&(JgPjS$&IiN&2Vh{7o z74n}d`p3Nc&lFZr;1zc;bNe|E8F2whH#ci@UJf2^@I$mPGZu4p3or{Oh>M+t+XBeV z!VTs&=K*rFbD99afAs62zKp$#tBJiC-&UPjbx6{PY(bn3`4#t52Ul5hH#3OyA8X79 zk6ChgYg1+3?JRQYpz(i7-u4Hb`bpz|Dc}A~<3T@FWB-c^>d&9$A89=KZ=d!bY5ap_=_4^M;nBaQ#RvBdcIzd8SJjPjqg@rb}90*?qhBJha7BLc|(0*(Ju zu)06d_+O;`PpJ{Of5p)Mi?aVOQ80cJ?Eix(7{7_||C$;B^hc-*&>uMxK>wW_30(hL zj)Y&W|2~5M@pusbi5v;P(D)02|Mu7jY?7ShKmhlzz$AY!PQn9R55Xn=8W-WA`wz#z z;v)PON8wlSiQkPjf3x2EQ%<~p94CPb#L4v+|0}C6-}M%qiKU!h3~Eh(9kD4>xsdA{ zkW?-8xc6+}x%;*@>h9sx{pQQvQM^%?r=RonS;kX`eZ?+*Aszvt z7SYI)IXY`;L+RVEh-3(R5=ia<6+)*U`{Gexy5N+7$dj`nWF^h$6AZd#mag_sRR?MI zAEOudu3<3TooHqqjuPy=+E7Erk@E}r zTk9tXifK&Y=SEWv+|H#F+1p+d-{eSDs*Rp`#+)s;HoTDo*J$ z7L!%^Y_DjThqLtUVs@Bfd+5d%&tN3UP8Bt2-Po=faa%+y)G7_PuxNB7JM(r<#2dG1 zGFY#ZTTPb*n4m|WVHDk+(5&T$bUGDsA3?@#-h*SBNQ zXC$2L^bhe7{KsgWTE67m!o5hWNO2_849=V6L~xipxP(_P-B>BcEe6t5Bio5<(oA?K zu;O`_j2b7w;ub&`svchQ8Jj{k1-~et&?$!|eZO=RU3Z1QBi*PW?;}7H`8xSW+RBku zIK=|Lxog-ql7SYXfO?;K-Cd9j?)9rW6zLicjLh(;V0O+B;vg4(hSnmZj{p}mpbWZF zrCtJhHVSoFOyrH!E|bg`yv7P@Z?opQqZM1)7+O)RA~9#eI|KFM@!-CIP8w~k{RgyXk(M#^M+J84HANjEt0f%=SfRG zO?mQ{Ix%Zqf^h6>Z!_O|iG14^sePiwZ&*j$mKQ^ttR?S_AeCXDAsN9{+ifcIRB33d zN<+0G(1C9!yltY_WEI|7JLe80os`D;40T&pVwU&D=a^Ez%aLskZ+ zC4jw~qo6E%T!4vWfi+WdTv0g1B}Y!%bu!gr%4SACOkc_AxtJnM*$AoHM|d_F8g>8x zEoqdFg$3>w{!9G8)y|B|t^d=Jg8mei^gNsbeABp@k2-N=IFhja@vOOiZx-zA*{b`% zG=tv@9B7AE%}zyM3Gd{79v7dsg?xDbKT8CAN?eq8MwqEL&zq zy3Ecdr$Vt<^ByIOjjUZ7_&E%sA1+M$UzR@~ew~qW%JA1W>ShzN^KiHf6kS-`x7)ua zO^iRMOk0yG1!v%av-Cc?A6;LclL*b*s^pa8rLU*cYA79_*fJ2SlzGtEMh zuEnk!)Rx?h5+&$Orj&SJ6`Up)ul>dV1`f~R%Q7p2sY9Gg0TKRG+w0@t%nJQU=7gC$++MS{w${GNV@MkR_N1ld%~!!s9n8J4O`*zYouI}O#naN z&(x9^v&!!ulepIBu!_*eynn z!+25Z8<2x-TWc8!B`z>x0TvPpY;#fcK7bw6iE({+^&uUFyQ7T$H~B6kyF(;Uleat zK5>Z4K4Gx!!vo2}G+H=%M5Dm?G*OOp9XWLBZ$rzyyayP$9HOSMohH9eEOUs=D<{YMpoYye0MXZSOzkmgu}w@qz~~NO zD_TX`o{~Yn)?LpYi~F>;a=s*rHmp%!zqNoJq@v`fJe$Cw9lI1kmJ_&HMZl9aEXPPO zvdQk&p>t%`(c$HpiG@K0=J0uogLM)O>o%>M+mLNZqV_F!z;NdBuBgI^J#f z`98|byBj%ECAKd8Z`WAleQdcD(3ddSM&BchU^^L+A{2=2mb5CMWI+ZkK0=$TpVx+K z@~oN4Ta0{r6Dl}X5sv0svX(^PtLI(uE5Y}V_~0%0^qUUAprHEE=j>%a&Iom9t3 zqmFr%I)OMWH^$P`q$ouf z;1abdDWE~()9%+?Uzhl{|DbS3-x8hP5m_U|da?#<%ft)A|}{j;WM^G{H`mM|a- zWRlKV&(hQ}2;uEh#gc8R1Krkk{IQXr{;bdoV9!Z3dqH|as zc&-c{2~tjf#RDx0jl7Wz)8|{g>=%$ebh!;}%DQtu166>U`eq1Ch)I~9$>;9Q0=7?o zLw|z8J;nL&0|gxuGQu;l(^p{p6NuhFYH8XSqf1N)*tB^NE8o@ zj{^kn_E)H^Sq}TMbYzi=B_T8axR=%RX-=Y>6j(5bC!J8Ca=(ItSpG_YKt&|sVx&H!biD{8jGHT01udO zoED|k5?Fm!+K;PO^HVoC}@SrZWtw<5Ro>1M^eLVws$D zL^1C+`ll?+PTYzc-oQdCkVG{dRMCC+b#RhMSeWFZu53y~qTUX3V5sI|;WD^^4hr67?l>Er@@NzMlE36?i~2&h=&2>i~(QOoYAqy(P(VMR2Q zMC)N_qkSzL4=`L^74f87SqQ!$K-t!i5`6NdfyyH~pt^bFut_iFzQ#0AYf2k)QpilC zECYRCo1>c?uhyg^cFBPagehf*meG5R%!IN(F`qzN7nmXeT{H!u(wTbA5~xKKE)OP* zaNd)A?{qdi06{2b?ji817Gyj2eEke%8+~3)R7o&KUb6`%jBp=?8kpPc!6uZqdH3QnsTTtjI-jk z=YbB8&?kT@vmin9UDp0_xwY?Nymjh(208d%85l7$g+lEoPIrbKC^jO3IMY?R2|Q6v z`7h1%S1G^BwKVg#(V&30~1AmM1zBMnpyFlH0gG5s$rj8W9z$ zr>fd#EhAGTw{E>BGrCk-7Lq%rUl~cGmHcA30(@VC8@|%)x~%}2BlTTbENp)(D3$EI zSPvn@<|hFMu(ac}i>I+>$`?tYVZdKnKKBsPokmIPJlCTmEYe$Pn5Ia^zQ{TPo85ah zlCO4HVVRS$JPm(pdF9T2QN)+@Y{_|nvSxZ@6YeVh?F%uziq+Or>elfWxLPf!+e}vN zcfPIKDT$vpRS694?^4Xgd82|{8&Yw$d9+oBzLD_~m48&oR5iRGhft~23T6ka>y@)W zKT(nqev4E2?yL6Qc+6Ka=C3`Kn;eZNMv+-qEwHiOxg>|~}$S#?Xjd2d9 z2XKtr0@z;lAc2V8QumslklFe4yqLdLMGjbrMKOPO!eGri9JuFSlAAl`0#c zoDAgzfCR7|fvL3tnBj`6%Oo;*2-l)J!Q8-EbQua9ia`JYb1wz99gi=uv4vpusu}HK zT$`m!W&jVYXbhM@ly@*WH>aEZjF=&hY{DeD?&*9kocUW$m5%~so-98I)C9dM6pXcg z>=$wB#M+H1o-0P@?-cV_MiW9xzNj)iV9(~oV6^uch9 zndE)#sEX|R`q9aqo@V=Nl-1IzMY@UfVGYI_-}QTHkwmdtZFHfJPP*<;%fu@NH=&cm zeF-Y3%IGWY;?snJL4_|h&)ag;ZW-REC|rjPO_ec^DRPzdq}BOk7S*sIP1-GM(^xT) z%w?+x!)Qkc(!KMKw_^2I8~8LeRZ2UW#TfJI$x3W+Y5P0Q+TxTvu5b%Ve2B((&0?72 zR#+A>`-QsQMCJ~Qo*%boJ#Pcjs6V;!@G6Nj4sH7gc#K}Cf6m`ZuJ*M-nT-MD9xJkQ z&PEhIZ*R=r8O7*(D?Oj=u*ceE^vV}wOKLpIk0Y$ zPxAwE1)1Rz_ySU9X@jRd3vQsqGkVB&NdjJB@yV&l*(ailYS@WUB8J2wVvfs%NL_v| zFgdoA`M&FGChRS!i{XA;$K=lM+lJ$a#Kz{ZB^fx=Ci12wlc*7E07LOPY;EzS+O*8Y zRSu%d%2@Dfmd@AEaN|ud>DCAB41s0Ofc!kKRSFHN1_vk!M4Iw2=oZetFJ()f(#sV} ztw$@QU5Ca`_sHwDvm>VVw0d^1S@}KJ1AMu9UTudWwsY6{ulAmNQ#VDyKK(ur+|OFS zCEnU-QBx^sZrZ4HutnI+M zZ^1VviZm>ga@pAK^~nJ@hwx0BKABjc!qb^)x{7V#@^pJ_7=ScUFUgE#HL$d6fO|++ zEwB7xC;f@=t2HGtqE*+5@YKR5B1SRi>I`gnjPw)b2nbuJ2%QJ75(b_L$GX7Iet!?J zgS)OTw1+t%;%QDaOYSOuMT;w;*Trx^zo4zn1p)X7Sj$CHaP>-x)lXLr2vru-L9#hD z3y7j{-eeM(bJb}`r81f-#k};VZkbM=W_K%x?5GWMGEh#o?3rN!`Rbo;Y`GY~kDAXI zSGR-FKu?LN(wMlp&|-2vzZ9w&E%#(VDOJ(_z&e#~Rodm9-ZvjPu%&4iQ51|@aZ$_g zT&;_aeFH{}i@7ABK<7nqtLfIT*1S4U{XI*=-cGp+y_J)1_fg=} z`6X`(iq#CXPu1Bqz8TAI1F(O$uzq;BFxX_ifC-rpnCXE0?lq+qExZUvIb!<@0>yH$ zV{f4>o)~TdtFo?0d*ZX=EURY&nYwTGMSZ&Aq8>dgl84@N*OH zDSe}5fV)zFyI|&;`lM6h%w+_;X*sIpr6;F>oDS<6(g;+*M*_$^o@uRq{2_sc7o3Y!- zGS-6eYmKsq;7d-X-i&uNt$yJzYM&AG5+Y+xyt}(O4T+NW*JRs-}@@eJodtNd8I0AIsv^6o#c>4!@{Y7am@8Q zQsq|u@chI@Dh@NKBrc`wTad~V+?TVA%GH9EU*yYN)eS3yXvQO3{D+EA+q8 z>;K6*j|e;>@QA=80*?qhBJeMW1Ae)C|L(H>2cvr6^S{{ppTq$V|NP14`|pM7dF1nt zeE#3f=S{h}Il*A?0~H5zKk#_~@IkB#;CbNV-0a*IoaW{r5a>6t?t=*V@5Sr^a$11Q zc(_0;U=vO*7ET}#%)-NNYRY2r5OCFm$AXg!Y|8#9_Whq^t^ep+kMHnD1RfE1MBovD zM+6=b_*eM+-%ok}i@pDe&$ILVDRsKoL)z`1F&uyL{$EM8|AE*S{7c;XSFtbn|5!Tv zUswJ=CFK22C3gQc*8h@}_diH&{!^0s5G_gdAt)wv&b0l%RB zyQ1Bnx!}RSMAd>1+h2pO{SOtL&q=uzGa!Gj0jFzcEbESiXCjTgx(14!7w@1GJ)Z#X9G*A)i=xKb7w^oN3EH+mhyDfrtO8MHkWvLV0$=qf>ExE@y2;cM`NX1ujAqtk-M2CPg+cuB{HDHof>{THBjwI{faa-|I>gwtOFLu82g-T2Ja7n_Hie zp4RI$hOCv=F9CLKaag6RMH_6|)5b9i=ptOY3&9)ZnIE__MYY_JI_ce|j6SNk$C^#@ zQ0@{;kcVWBm1n&i1Pgv+WH7cYP^* zZKA;;AP_d7U*_`B6m9yYIObxdwdk_;H|ib39Yx)o>7Z+AxOnl=cDx`&+EyyYsmRxM z*M%S-IEQ`8)@n*T*j|#98anREBGejO7st0JG!{vx+XFIgy)*9tWfH1x!DbrF)tQGT zs!e0a8j-JhEFxG39uk)ezd}kC;bAh>d(O+IQtvL#GzFN^xz!yZ*(!P+>M;Ua0V;1_ zv0<{M?JUoJ37XFkVG)0pv5R)nKlz;!P94RB{3&U$Z8gX9*V__d;^U4EQlc;gIFT|d zL6Ve_)z8w%OPITIPoezn2mqT4@pP9)nEX0wwW$k`2AZ=F6|?B-g}2aAl+wMl)z!rA zuW|(iRZ1e8SSnFpdLC$qE%W zn%*nPejfpt=foM+pL%GwU(2mDC_zacuUkUU0$58SJ;ew3IqV9f8&S3Z60~q+XWAd= zQ)u|6Q%<3FpeigKpNe6VU0-q5Rw&xl5Oc8>x@kYhw;rK^CgIt$=4a3&p$cJszVupP z^7}32%u)uNjLGlGiA$k!eFQBA3AahlctrB5zf7q%YqRvQ7z<@*&T%i{@|d!8Vi6;b zFwrT%b~r>QCpA`Rvp}Y=vOQ6Sth$mghLbwexFq?ARIagz8CB_GpjF?(VdcoM3r|+I~dmQ)L2_2$p ztc!V}R5_y_6woEN>dRL~(goK9l!*?&;!t-h^dp7mFC=|LfnVll8sfzpzkePca`*!i zC5E~>9xCYL!I_N!{tt)A<#>`IWwjo?F`vYW8&*hHD(zTEtOLa9|mt87As% zFnL>Nn-WMl+dipGjmu!S3?4hu>>jmQIgAQpP>|kCPSl1*3X7A3^R_6pyeA=fw%oA| zdNze7s#LfMbO*e@#8K#JJQ*`|FSJcV8WD6S$H!u{E*4hWA%A66`ASC5QGJrIHsfnP zMTID-&T?ooCz5^B$<|UdLX2h#{f-?lBju2aYW)NXQgGpSzs}ysE%1V0obHkiZsg`P zJHnOs@;q_WAg2CoZIrb{PfA3=%Ba$kr?VnnOj8qBqN4ffo7Hw^uvN zYEPdY8ihj{i`4emIL#cs)Wof$t!wGkMGJndzcFh%9%xT#QfN zIhF<=5AGo?HB}Er8Fmc9R>lNNic;~jr8UxTIQRL_pjT+2Jrj(t5}U7Y^{i#*6)D2( zg&FS-lqnCBGVt`CcEe-AeVgg3ddkv??Dhr&#$&6yQZJ4bI@+6j$twn}R*RLKmhv>v zRSbl!WBipd>W!rO0=@-GlN!`mE>C}DB7lz`_0t{!g>zRgjpg?lmdIK*(A+V;^VPc< zHxI#7#+8&R0X{w@xmQQIm%4b%TH`~vnQJ^cX_`q~xEYz4R<9Blw!~0w6hO$R^nIrZ z9ZR)EgdWV}Sz?;J6;KB?bsgc1xe?x|PoThpt==O6g56>;p;#YoH4S5TZM-i(DFZY=)z3m}Cw9a)Y5W zWRG&A#_%+Q9x`k^g@S?d3sT^s?kkmfWexdIKskeC||@6%ENSw84UnY zT|kB>03@3)B(RAwg>day1H>xtyR>D`HphdouL# zR_N7?lKCL|R6qfZ%VnN146SWA9v4=#rJy`4%N_rMx)O>2Dxt4S&u7vUr{$QUS;V2% zk4Mo#VntFb%E>8eJ)&#-3<-Ab%9N$j#&a3n}-!Ife#o1nQW4iW>*V{Jo18DU^leN1Zs_$>%Q4 zG;iwuKla`NDy}YF*M$(=-QC^Yg1cLAcPF^JySr=f;O+?ocX#*T4u|CL-ksk2-+j98 z*?rF)WZzZEibI*l?IYRHZ*`m`L_qm60C%6zvytC# z#(z$U2D29LMUUX62G3_!+?cdIS|6pj7UZ@d92Igy-zx$W ztf3x-AjtkrI3==ksZg?w@@oje>W3Xu8%|NW8}cD)qjzu`{qK?SUGg0ofU=kpaQJ2y z`5|@YdlM6lOVSqyF(xcAvYfv3`1AS#MAC2s_w#TNhOYZsk6nCdCxWM|2aSyv(>N*8 zi)h3`{Lu74u!DHRNX7-vdT&=GeS*A^$HUQ)qDPkFcFViJ0Nx$Il977i;V!^8FiE`sTah{Lk8hSK13+{Pn@&@y8EHyO9yY1#@o>Ys;$q^XrV zLVLipsgVvN5LQPn_XGW6HfTI8cnp$n={knC9pvZk1& zbsX@$cn4RQZ%VtvCP`Pfj^fb|sVa?XcnY}gjW6CIA~7A*BoOqlPJ4V-fM6RvxDB;_ z0T5H-VWl;QE28R?nV1Iu;LRW193@zfL%*E3Z-1UtnpV2cui-EXAP(|~*))xivk(Sn zpC)4fBXnpPI^!0!8jkh+AlVAdR%YkDBOLZM0vj9COj)c(X#j^Ev#a;)I4;6opB3YW zs8a>b=H4~C%Va#1i)Qih`P2e{-x zfE0og@k}3(^}2zyCyfVj0Sdwn6VfEQJ2&6{T*bwhhVV>@vh;YQS>?0|G>-9{;-DJzI$|z&1XLw9m}-#=Fw%9E5+NQyUM% zA!phFW`ErnR*%D6gFAd5Zcx?2mxgGaiv?~gb-G=uea0VQdjCXtqogGrL1eVC44oMG ze7T#pfK-p`Im?*0EfG?R%t=;B|DH}e{bfUQ9pI{mY^*+hruNcV7Y&bD6@MGABR)JvRCP~(au>(*(ROM5C8;`zloj93OY zR7go?+aq;Cf8GFkj?uSvL!h`U@}U7S`1Qk&m(t3E!^39doQgq5R?GT)p(Iw#gH8T= zhf<`hT1aHQ8=5KC_AT+FX?FyD{&P+41TQ)ieL}Dot`^CkCcL>oLmc2}#eBLE;Wikh z4j~dRo8o8ld)+~yU<>0`_HiPua~=T=pGK9Y6lrjpxe+9hfrT!ox(kmf^CF;^k3I^* zv8+^v*MDtFa9C^r?$EC~6peYX>GS}>)UC#Lkao13No%&K94I0aosGyLyG#30c%SBx z&DRL_a)59ADHyZseEmG`IRP3_>WEP~$E#uzbH3g)JH`+iEB{c>bC3a?SQFpYlSZvM zs!ZH-d;%$_BY6lsky}6YnC#Ru+V_Ejq$_5%K1)3VYMYw$1Jp#iG_IT;2x_I+yD zC;jWSLx0XU0UzxlbXO7trwAD8inuzZ!^OkHKGM#m)fRN_%2E8d#UQJYv4A4hOB8KM zGF&Urt&YZQD<9h%vmdKI!XFQLw4HdFQs=IB?)k>puzs|Ru^l}YWS`+1ON_B;JRb_= zwdTwR3fbf@@M+i^Ut4&GM66_VH{`W((LsuIta}sg?Ae81Aj+J>qVC=8pRH6%Yf19* z5p1K9o=?6P;H3_^ECmt@snyv|0u7AKfNq!wGqAD*?`)c$KOz*Zs%x^$ly{DZtR>JinkP|^;mcTP^S=s^Xx4V zHz9OP^nYh7_*FajH(S9Ufz_{+o9!17|9R(^E8*vzzs8HR z{oAYuzh?i-R{#1JiKMBOIqhrj9(#2bhCdejQB@7^)jG{e&yHv1U}2&8$JY3)tgP*6 z`K|2?4DHl^l6^W&T6sf#dvzveCK|?9#Lvb;!;Hto%uGYif=AEJM#IRa`MY|*HvB(6 zHysNN-K(d7mGyNxJSIlwKbG9jezyPk{18ZTTK3HEBR9=3ER(~s6TU({8r=p-#bYd*;v_s zcao_6;UwAp=_DcXzh8+cAyTS0AkGXu4)1fu%`GPn^rf;2$>*21eXP00f3fbEECPI& zO~jcrFP{)BoF|j@;3N%1I$Hm*edS(_s_p5*@Zda~?#}Dgp)qpri?(3dc+cddJ_ zf3{JtdL*H;uh*h6WUCvdUftLwq&d{!t}iD|sr^Gis(FRRiA#fK0+G{J)nZV#B==4z z{)PKtS8!h3T+=UaUUfm%QGKxWs%7v?I>oLza42exomurW@>6g3SQPDLgAc6*P7*}R z(|5llVl+1LBA8Jxf!U4Rbm7O5ABrA=CF%T>LjCcQ68VH5G&+){vN`F{e&ppA*OnZr zDY8sCAT1i%aSt?ayBr!S9d>c02^rT^NqylnQ&k|KJ~Fo5g1l-(DvKMb^%CJ3ndIUZY%`#xaW+uvr+*ibrSRpu}v_| z2K_Qw__V$g7#5|Ec`y=mm#HpeA&O=3_y}JhMhc|5u$R01hM0Sa*tvun@UYoXMWxXX zYkAaGVFng`m5<>{s~6YTzpLV)NdXCkE7whaR3VqwDMe4>F~6o`3Y4Tc5S`e_q$JA&OJ5vP6UtI$aqvAkA9D{O zlfIm?G}cb60Y8G8yo^L&2kxGbR6y&yJ_GZS5kf|`3EYPWQ0Fnf#^IjDXIYYf*wcGQ zNxLy4_%2}{ut(FA`aE*F?{}!uN;V@l>#5?~*!WH#X)= zPfhtGFu?d_K=&z8VP$$c&g^Mm!GdXaN?R7UQ)PvbPld$5YP2gbsx`uxW`7_Fv`JS7 zhZlI5jBB+>B9Ifuq*sC$OWG2*FE44GF(YbOo>z%Ytz`vrh4`ZMx_-%uG!!|OMPJXM zi!-Ec#|(ya_ak)OD@IQ8XQDo#=xg-=)(BvdPyC?Pd2r8V%YP+mAPwW&RY7egqUE7V z#iAmHUjg@U?B1px%Y{)-U^WOXQ_d*=GIhkuba%!Kk)RxgG+EYXRmx#qVBVvCY;B@R zhGE;XUJ5&G1zWmww%0DWT!Tu@n*(=orPQvs9XQv3G%r$@k7*l+*3kEmCV7=POA_j0 zypUtaHV5BRq0grN1O$e{I;@t?&G~ebhAW$VXW*b1J?tKnkKaQ1xLSu58GJCqUd~D8 zGc+CiqO_{Xg*satX}zyJ1al?9*=jATTd0S`Ccsh_bV8o8R?2Ej+fJm+bRc1K4tsai zF;BQk{)8+;#wOD2kbA50`RG9ow@LKyfy5LL=KL|};sVvWFKu=W^jS$7(bplNLH zY*a%A!t}~jy{cLAJHVb)Ba_bJPh`+%sA)bntmJb#9V>#olR_rTiRH`ag{rcOTAz!x z()`f94_G#mKC)uB;&n$c&P|5yrV1GDijk;IU0H$VY@HUr)wk0u$z#)IevMw(Fo;}= ziY%@}bPQBu*QWO_dgNVpDJECnN^}jab(>bjiAtNiP6vEPz9dW z{YT6Wi)tqk6rjXTJrl*e6SMb7^`@*7w097fX}$ANM}cZ6jx|#qmq$D5Eq#8=R_bF1 z^HMiQj&1X~Jfq13Q5*d8iG`zNqK;NRTE<(8$7wAnFwxuVlNGz=Wt)V+Ci!h@kh??( zqD6p??YLnLv?CHziG8b}v3UeJwQK1(qAP|0?%jm#2>rqv*alAaTG5guK*$L6=<-j zo}9X;XtOcbgWU*IvDMqnDW!?=DXMQC-9iI(lm-$>VV{9eayRmaE=TBj_63j_n97^a8L!WATwsB{J<8cs5#89$)D{(GOyM#}?+6lxO*i<29t8@IDb zk5EoQ^%_fhQQRw<`XqwYRuV*)Kt;|W(M^ zu$Hn2#vm!tFodpV+A4k*k4XY3e$YB^sb$*sXMP`ivc}eHF%Fw{T#?_SVde0pJ(3yE ztqDl&_DUnePV=DYBhJT7QD=47fUNFMZ1Fy4+;vYpK>{sW4FpDjbtmlIX&1427hGv2 zB#iqVAM^Z9jO(aMz=RF?cECUU0bz*v)O~ z1P@sd|AhW%T@6Zvamx0pk%(zBT~QzyKGujl+f7|~4{*slRshx_w_W)@u`g^o(l?u@ zV;)*4HPzh~;s~<7xI`Y2U1#myZnfoKVL7AoD>qKR<;yk9-X}9HzO$V7u2)brxi+nl zOeX=c?+PSIL}pkm&h`#YRI&G$^Yp(3SSP21j2xW*Zsfo%3xNhfAjK_#wYdR^unLU_ z-wqr;s|*7PkdPv%r^hnBJ8_-Pun2~&QXc;mB@JbW{DVdHh|b8^eArnLgyc4MQ!9%} z=;Q8;9@I&obmnmu=*_5);~l8NQI_xZD$vp9#Gwpd3k0Ek9-*#TlJE26hl?PemRWC4 z5c{Y!f6~5(!LA4I!WWqzPb%I{GF>max1POJ&ww>^phE~PnZ%bmTmc{%#AmeL>5CAa z1<{4dY3|eU5Nht379TbAXLIZH3L+QGC+lZv8bqzNykfvJaT=(2u2d;tU|qKG_r4|L zju{J4+4xCs?>%|B;gB!hJb1e=*#k+#nkY)jyv;WA}Gv5EE1_nl^2yNaA%{K*bn)#<5SEA0p{8hW&A{k_qFId zIi49rFcw4(WI$(5C*PVIe)fwEn0qrTNHCccD{Mwx*QN{}LRovBy>FF`pHlcnazERt?y)oFgW8(yN1CR{dQ zwY^j9TqZxH>s`i2b<*+c!7C387L~jnF^>650uxsEs3|X4$1~e2#4IBPC#$x?xfh&5 z4LO~esdmb_PhlgZ@_4!MG8y!^Oa~ig1N#I{lpJkZMK}5i)?`H!s6K`Lu(}cSFvsZg zfh$WMTYEu}Xc;F(MY|n|3QChLbsIqr5*<%dkvz+6?Dtw1Eq5YF(U0XMUg1TOc8(Kd zSjmsX9_B@R$R8wYNMzm}xkw}Sw5LgAp$Ec0a-AaI8cn|%EG#%YGPTSu$1 zWX3hvv!3ci2Pojh_7>21rBj}OYm7H_H1nbpXvllL*IJhf7xbe1kk4VOHOemA$KQF) z7G;hdHw#n|cIV-!`V)6H4#dC`-Z^(zlgx! zf^YxN;q$K}yitcY1>O{RQ{YX3HwE4l_`k2fKOcPi3zYqZ7XF67e_g%*R#^WBApXm^ z-v~I{A8nNtU2F_#Wp#}WU%BunYX>`h!=F|6Y=7YKU-@-uWvE z|5djyuSqLlXKll8?fi=}GqW?}u`w}dz8=`_wT}OFIO89bTHe}T*WM71j#kRhz*P6Q z;WP{kzh3co@cB0!`Cl3EYp~LoKb`H7E?wLZIk` zM8FkUMci_%+Q4m2>58-T@IfMe*fQi&Hh%GBb?zzVu7^8C6#!6+=)2{OU=V>Ik~hT` zw~P^>NEFK8e&4M{2@V$<$J4p3-DShs!@fmp`iLcNSmA^jl!lCf7?q+9oK|Y-6*TkjA644vny~^t zlTRHEkSay$DP54qm6=kg%n>CW5(b3{k=eGBdbz@+AD}0m&RWjt0 z62pj(_ave#8ctNvIG^WSycKNUt^7b2XqjR-syX7w@bgasKC}TEkl31{3OQ9)mH9hi zb9kx9w^=#9Y&E5?Q}o`mYnTomES$HX#iXAj%u*r5cZ%3FxLP(YR6q$!OS9Vu2pJ9- zqOhZ=qbv&qzHi1jB$&Sw249*iE+O=!DqY`GzfpN$ENH$ocFP%vpG3dX9T0QO; z)rr@mXK!312oapcO42E?XwQ5E=wP{wzu5Yw|0wc)mu`O~}sgoTJdYlzkmWHHp+{H_&KY&zM9!nL3*Z@a8007OP%%@8x&?L&t z(W#cSFocv|WK={hUz@Hi4b9|G{@Q)s8QA61w$&hDMd?wbI1!wRa{w)?_`WTguQ z(5w6siAgC(R!SjBcu_7W3-`@9(zKQ}d~mp+oqZ~mT9?2|#!WM3fa}Buts!YK$faF` z{TFwpPS9ZRH1WsmQTV@h)r6_gl4rn1Wb3|^lGp8>JWJIT?=vg3Cu`KEHa=(bc%o^` zOx+FpR`2E9CwS|X3A`f9goXntMjEkr}2 zx9ZOmUY+0O696f(8@KK3>Y@p+-J-(~OX6bqzSzuulroJOMP?8KzZQTw$Jt5D4)i^7 zwicT2j?m|=bPgAy_qdepH)TxkEd^`^%--i?U+fJg-mZBgaFc;6g;FZmaSJ>)(GiQ` z39R6g!bDHy1Ckz@2Yi1d^!ow;#sECxO6M}_t6%%|>yK-|O!o1eGEdQNPj55~90Dp4 zto^W{{^-b&4BmXAkUZxzn{tQ&!)W|(^7OZSwRzuzx_4H;5>)ivnh}T$K0*?cf~qcV zBug{(xjXU@V>SnB)a8g?>4P&I=!Hh_SpX4@GyMXzP$CrX@9&7a?A^&(KhLLE4D}*I`O)8&Sk5xH8*?Pd^GZvg zomub$g-w_@TR@K+alY4AWauWOB3hVC{|G8MmgrAsVeD@?9~KXMER5={qcSNuiQGnC za~F&pcFEj<&vBr4C+7)&wYr^$Q2PZA1BIW8#LlM=fL6f7(t#qCE;vR?-TffacF)?5 zXG#@0b_tjYUX##b9v(#+hQ&ZA6^3S`xXjeO?2`pOmET5R+P5t^LW%15(>>FI`P;&LZ*jklZb?8b*k^hOs0qh z@{MY@17M<%&7+KpN!KS+lb)jLJvsjfH%R?pVwI#JeUUN%(?Zf|i7d_=A6crw5?)!6 zUpFh2HbJo=cn&m)l7vS#@2J4xU@R1tDv^6KJt)A=wt_d*H7AP|@ZPwgt&>{pNGNhe zjHx}50S>muy9(5GYd7*0VZrY>g8OC?I@pQ#E8H?y7GaFzK+f-*$3sPc2>U=XmgtmQOB;&u?OhrQH zJUB7%3o<k47ndw*3&Wq5z=kqkM59$z5q7l zQ&Kuc8@pO7^nSk#(}$Q665v|QkGQ@;QectPa8XbWb}^retZkCI9eKXNRm1X(x@L#0 zO5npLoQ`bg}I`tIokD?*$0_Kk&K$K+2@RC0j^1?P`@yyTh1Zg@eOu$!e>% z?igZD>Y%wjfPH>=-;-Tfyo@t3gXI_Mv4ndQGCDJxfAPG`4TsK@)@nMp_326>W!R>b z7=csRzTH-n=mcfu#}P7fqVg5lM|B|w={90Ir>n+AI-sBw<-B zwUfRJiQYuD3w`U)FpxsQG6$b0B|fv!ww|!#)xuWU3n5_jJ-#MymAifWGhBk z3iS21QUXc?yaTaN2lSs+ec9jx=op9(Tq+Iyu&fv20ff+`Kg_oY*>a-K6xKAfq0dxU z3#p^CblWt;_C%u3=y{2;xEFsH_wrrZA^eV80>s~Q&2Y=@) zDh}`j136C-5|iRTAd{0hvVlgb|GW%@!m%~L0(S}oiT&l_xvI+RhBY97Cg7omKk0xM z4W-Z?lEqL)vXHlXR8>ac|D&|Y9{r59hF^_sV^-!M{yO%7J< z$J!P(r}e~{jM6K-i$<1?cbJ~+WMp8PEGqYl&X+R2H3^Ba z6NJH3`=fF$%^!WDKbBN&V12kRTUtI+H-5|<=4tUN9i0SGUcTvUArQ+jIh+bGLR9t2 z66IIVu5P%qqXd9U2NPQ4aJt?2&iqn-d{i)E{GxM&x&Y9Dd+m22iyNzOWchtw(6jg` zJ&$$7;DBbY!S#V&j%rUv)yGe6+H!)jnsatOgRq#iXeUMKy5P7tieD`%hf_8S=))4^ zRf<&)QMETY<8{OSNb?9<2iM&>b8bLk1#^zTN(&}DlMC>X zn2qu?>P4i>dNe(56+%zh2>WW96Ed;AZD_F7$M%R&OS!@RZb##iCQdVASJGmcNgUll z_a_`+lcjm|xp0kmS6zvPB~T!C8nld^V+b#mtp)SZjgd8>Qvw)evmCd@Ev{aV4z7n| zS?*`fSeO2XPijxQjPuzK<;!2z+P|D6U=Wd4YBG7<-bb)W8#|iv-6EIU@}TejP9UTtg)OcK+f``vJLkaW6jd6{40m9 zl_<&v@PV>EPUdd$h_-jxeLyMKQu~?9$WteINI%l-RI^az$M~suEoq6F`EYAFJ3C2C zgZ605i(2X*JhrT(Q_YJOa~6iZ>T2kP^s5)tL~*H=-na2M_x{TnI5YFNpD(NA9!|vJ z9(hcfjeY4tcr5QPh=Lcp6y^f9K~HI(Qh?gQ@1H+pzJ77^!Wsqa;N5%xe&i8p0Da`) zzJa>MOjyGXyx5B8O=4fT6~FGgh3t-W$EVDa$Dm!6pGecZ%*b{p6H8^W8?V!r1sTL; zK6fy`>EHjoV!D*tlU|#AIsYo9j4<&6#Ld&-Q2)5EWfMc)T+9a;S7rdQh(b;{CR` zbFr)0QV9y_rY%OIedn-?MMsq5qD5&+EG2t#1Ds>OP|Mb*?_iKTXLHej7*u_rV+ zy>W=!c`lM?x_H&0t^N>Qbt6(1qp4*=3t{%LDcKnk|b4_zw>e4RAW}Tp;hE zmOmG&m9Vz(DwR2gZS`-yXnO+!7~sqPX$S%PZ-pR#{p9nblq9XBp_Q?{2_C~+YlHt^ zwl??&&4D=t>j{mb(Wh`v;^vs4#EYwVf?DW)3M!LGxx^%Do z${1K!=-CX|jObXHSpHF~GF?3eeFl1VeQJ7EL)O>U2CQ_{>;`&_)NF=KZ1hGdQ-y9f! z5c~f?+W*no;MFAYD|Y`+9T@Dtk_`TqLBRgY?eOogS^PTkUsm@&j{Mn}Xjs|s*w|jp z7VPXl@4jXXF#RX1#cy`=f351ARz!gTkDcY06Xl<;K>t(tFZ}aBe`z(L_%HbYn11>I z{;~SmrfQ8T7VEiN+5heHiV^wf zcdAbFY-;sMMw6Bzb&XSj7W!;5`JHgV&n~xn+8x@02Ac5(bDbx8sPB41zf)E`5)jmk zc+O$Zl-_M*XL56;pwf<#8hbS{9T@St(5Iyj8)>04t4pPR zE=l-`%R5#5r7m<_g~qhV$O*CBiX>r%rub(Cdt8Irwe7k3*@0sdTYS!!KC8Cm%`Vgi zvojo6Df-ocFd_Ex1AbTPJC}wP$R;hDs5V!XqwgP6^zQf)I!2#a=-a={u&kL}$D&Rx zPjJvaU2CdJOBG}Kq0<^~Pw79J--`w0Wi?mv3cLJBp@CL@AnMhYptDhy2D*O<$ecE0 zm%7c!QMu45w1X%|q95H_5NiexK2)gzw+96w^puoOaFCiT{{F2{%&Z~3j6}6z;>7$F z^iRH+Sy|L;2Kv}twg4!g<&o(i3E{Xp!y`f zkU682uR{2Ys0A}8qn3vMFtKF2%9_=-L=)*WILw#P#?v5m8LDv-6(VQOd?uwyY*&d{Nj?L~4B#X1YVngE;~mgF zff710RT&6xfgzR}s?!ocf~qF)F|z4U@uDOr@n@n1WsZDFnetK%#1F(K-o+#{uO;kN zzON<+e4T=Ez2-y6$;mqrN#?QaM;x z6?dUc!UC$QuXmj*mM}yXjwwO;paMHxf?x-j2aDNF)wUq-f^Q8KR)mw8 zNHfv&AA0eT?S}*cNECXkB6mXqgM;V&KYYW8dyrg#5gUdeUM*Ko-OBDmV8Oh@n+s#g zkx7&SUw}N$p9V*s0-azBvCv0~0G(+hWRB~dDLj!&1I3V$F~wR$Zif!ww0JFFZ^bus zR{b);5mU8Bd!RSNuljDA|wfGHsbj>kQit!kqk_ii*V^hL4ROeO9-RZ+mk1zam ztkCra<-UH_(_?J8pvMlgLU!OdsE28rRs(#hfJNjqNMEG~Wi`rS4-SG8Kb9$HCp9fH z^EXj8Zq6GG9%Fhkx9M$=wVhEQAH!Xp2YrfU@(2&}|Itg$E9!0w=q4&rJP7YakM8fO zkTCi6Q13c3*G`klRI6?UE0MLI{dOAgkYIVP^iEi-`1s7mi%3qnbUTtDn|x~h=`kDS zBBELC^QNauIgGl{GSCFP9wHhPrihq8FyN%!hJW1lm2Gt|lSF1_AioWjWvHLp>N4&2 z@RfT_f7Du#N>d^*6u+JY2;@|e>BxPLJT)(NV$1NO^0L+LteZpIirh7Pj)r(8OhXp2 zX{u{jw$Rxcxg&1{>}eMnefqr5lo{nHB|TZ~czHt`s?xkKqv$@X@txK5#VMLpX_#`IMZ-wj#0#G!(! zSIh}eBahA+8PSjLe2#(Jca2VEW-2cv4^y%B^pqQUX7}k3I^y_%d(16nCe;9`nI=;y z-Y7_>EO9p02Y5X?(j2o&I58=^q{-(H+iIyokf;AcaIe9^LIohSF8#y0xtI;CTMXdG zkW3VE>Csp#j>Lm9gz;0@^ro!18fQm4@mNC<=vBIxOCVVRjt&Lhjys+-0GG&5{^wxD z-8m=I_R<{PR)exS$X~nw5_2zCb|~_1LpT6ACfK;^Bfrqw2Y`G*&fG5u8!d1G!9ZKN zx$@sL|0bc0$j4t;sqcAvnGTb*!8uFe#6OnfKC-DSv+hx=*Z9Ipn`l|XF z0x6+x!YPR?{%k7z<>KbIq}7X>@%~D51vwcHZT1OQl)OtM+V=dFB|IhO;&C!%Pn~0% zqDI_$Xu=>{eWWuywnHO)@3O6#d?OM$@`T1E>e22f6S9vgg?kb)U8<=q7k6`BI3kdE zBZx4_yjedEMoroT!t-Vv9kCND(FEM9q*SfT;S$~=dF=qlOmR&>GLAf62lz=-}YwWyNP!0+z-`;!cBlh@5A(AWRRLs7tM+A5yDPp(l&8e zUiQz067cCq>DTtEtB#3Q0nLX9GbBW-c(xfBlEA`ncN)I2^sZ9xKICzoiZdX@`_~3_ zV;Q~oA{zfXJFbCD zIIXx-Lgvo7I;htgKPy$-3`al+3UbMgKJspyKy%d)?mz#$EmMNB9 z?j@V(Ru;UzueWUmRRL<)tdL^${7OIyG6+f=y~a$(7Y))7qO{i6#8oY9MvVNR-9BZF zb1TcZHFD#%3znyIr3r~$S!kM@@(Gy}RTK<2ea94j{RQfP zU&B(|B;%bcF=Ox8$ibOTNi)kD-=!a0zs7)I#h=j1>^I~iF50wS(5rP^ck14Q{lGfvr&qmU6Db(QXt%^TmuZWQGW}rB&XrB@YHB%o|CXr^^cH- z^a$)UY`bB7wyfIjp8j&6ULv9B9?LFMmx}jJ0pvwsOE%zQ-+~_5jma}O)<+#;?=>2F za`vIH<-9(v)m&bI%)@>5-*(7MqB<1>R_GLj-~*USV_{pI`UK1iCSi$k#*V+jxW~dJ zGA_F*R+*^~UgR`8N=~#C*kw)|*CE)(1S>p?(R7Qy6&X(teOpzIyIfn_4 z1I8EeRF>HCeGa}Aj7-~z>D^iZt>N3L?V~QGL79tDvWo3gp^@>!u^)UKAJC}y&fLYN z0gUp;>%Q$)m-yDIy`v*ebcA=SG+ z?eC+HZwSpIHv&$J7gz|=Twl_X5$(^!#&Nzo2+I>zWN?j1O9%Bb-WD*82Cd8`e;mB( z?H!PwbQGU(0gCKrKb?K|qta9ld;9iOdWW+^QDY2HP1=igbk#erBj+AMbQb;-&;^Z+KC|x~Wcu+Jm0Ip+> zf-&0o{Y(i#AxO9jh2>s+R&%2*sz`%qa)75#hX?Ahz89(EI4&wngsBgTWpo_~OtvLh;8 z&l>DyJ2fsMb|7b%lTOPMA1KYv9006MD0-ymCO5w-ehcQ&{fX-m;^XnD&ziVRlmAeF z^f-mkhIwf8mNWc?>ssJnPf^ zATIfWB5M6L8s}TImGI9S&g<>FhfguF=bl>HVx$2;5*)PfO+8-1{%+60B)_Om6A-QVB#r_tYCOBp0_+2QLm0E6 z%yJQRR6@661Ik4mUk2Q2v%IwJbBmdI{EVrF8f?7rzCO8uZ~u!LAi%q z&#jfmI$YQ+pJ0b?&1FAL%t~M$x<_vM76Insf{;m&XZ7v)OY5c6J-iUJoS zGPMVLA1gbS=*nLtkryH&UFS!|v$l=P#>hkwnY(bINTTEMth-huN^nzP<8oZ_UAUPZ zf(Kv-y00)A;7MRVZWIPvC=6d@zNfj4J)7h6T}JYnHPznxBJS_LJepEPtWTwjU6c{S zV%c+1=Bq~+Ne&%j)!J(cyc`Fg_c4#g*NHO1ea%s?*2dzbfkm_>cXzBF_fe;(MoxnS zLi}D3bO6v8(Cq#sUE#IBO|+ zSJ?hh`LOX4y9arOQi+kgGepH%C=d39Z^>~)=~tv(SF@VuUOTy_RV9Ix#P^zBS- z>`kq$@P0nl)w6c6=O*~&@x*hsw6OZb;cRKcO<-bgZ^J=LYxRjn*T7oOkVfCylGa(* zhL)a&j`lwdmXi9%!!0fU?iB|5|1sRg!Onul`ZeawK%dso!qDoROV{k(u$Yt9yOD znAInHT`PS#x@v=yMoZzg^G2UC+NM@TS0<0&fbuDe$JizfpmIJ}vE+CG)>{b^o|}|LuC7;df8( z-_p_;=>Fmj{WGsF1KqC}sQ>WlGSL0IdV&3MN*8M+p=>Bg5 zx!8aHdG=qMNB!~E{qK#p^bBmjHIEunQ@6$(NbsDkEKUM$X4Ne{LG48Uka*l1l94ip zv8!tYZ)5UZ_d^IqsNL6x(%7KKj;9g!>0vARSZ?(9AHm=b?KMZ8PNqFv>zB)EcEd7U z&$li&CWp^2)9!+%uiP6JG`y~+CyjSWi#j~ar-Byaicm?5P*Ii99c*3XvyM1#3&;~i#Pi(dcDYN|M` z+{D>r$DBB#L$AQ7J%h0tz$XhEY?dluuEHuni`q&FGwl?!u-?-6b!nM}D;}%oC!@5| zonPuH*1s7{tE!00Wylm5)M;jFWGag0uZk=qyUj)2q0dDX8kY|{H`~M3+$IkB2g4fAEiJcpppRjrV?8>oJ0j-J@Q0Nt3$L>EQCJiMoVLz6F=wv_Kjm zyNhLEa)L#m9RguLyx`O0UB@(Y;^2zC4rTn9Dsw54L*C8hBMSw^R(Ad>kwb z#5{xm3_Sz^LLMjDkGziyafZG_shPc&#|?PP@8|++g)lfxRq<_;V+jzr(xCRo%t=8G zN(lVaM)uRbAoRoJbYT(Gyohhz?+j)2$I8f&(ShK>Eb%wP4Np!JY|Ka%5r+yu9GQC2 z#h#`cm;#ZY7^raCU}*TWGMqqF3e*6_^easi%b=zb!&P%=lVK7fsUU-Z5~1BDXhw^V zy1Gk6a!Y$o7-JesQig7ks85&-$AX;%IZqu0LLU+V<3f$bgPnK!atZu|Z^27jMFEY& z16Q<$jsi6W{DAYV<@kP;ujbFBT?rjmCu>5sojNpVEfZ022;0D^qALBOH-~ESP8kHX z1G6N;EtD`Bf%D=!-&pt(sq_<4>=2>~Dbrz@_d5#+chk7RC?h_@inkjO>XZ6RbI^E& zG7(VDG`8TpZFS;TW_Xm0>Bie2BeC} zGmlSYOJ;r zPy3#)3r1B?c843xU6D;s9Sk%>uF15Q`@7rY66lB zNC$I;rFg6sok`43W|yPsf$(9Ym5EbJG>s>)VWMVZ6ny~AZ#wczP=3Zux4SGqlG0{b zh>zOI_Vq7|NiuED$=TD(@t9wsWY_i1w*g19r+n|$4Tu05*_P56Zla(07^n=zf~x45 zvvL7y43tdcne~Rlqba`1!1VoyQj@{7*aY;k4~tGl-lLzrE!kVbd5v}D_g`oqq zS@}W4$AkwmSnLsICy$6fBQO#A~>` zReG0Ve6I~g37+yEEF4H@lKB>DCSJFw$-g0PJXa`c+{h`n27HQ!y~Z0`327LeW_tie z*%1Egr7#R8H=+VJGC=|aL34XNWBzv4m>U*8kvSYAB^(|P){9k3BDPR|fo1fadZVbJ z5vB-i_qP#gj)9Tfa0(d(7}ZJMnVaf0zR9q<8M5tRBJ}k>t2Vb)1;)iTSITc|vFQ$% z0&2k@6b?)T>GX8kM|C=05_1az#?zXxX7Dm^{G90Q4H*o^8En>qli$qiHk0e zKNgf6w1%)&lp8sBs52WA;6SdK8~D7(q0W2PG^1hEJWpu=a=X1HQSn0Q4{eGCjb#Zg zr!tdZ-)3v6dJE%bURwvpA#ftTWk3vttW1s!!_I;U%zeDRAhwtSNrSa%@@%Pv3u?%u zok`A1ZPPz&LcmP(`UM%+J`csco+Y&$5aR?|$7FqWl2-0){PFv8=S|v1$Xy}I3vdbe z)X4&}oJ|^r{Nc1Q22w6HJ+6S&D3K=%G@MbSCXe6R%y5p&8sy`wSA=*Ra;3EWW_^8G z@uGISm9t}tzdmp{b5Y}>iLuxSwSA3z>du$=JcM(KkH$BO?LCgJ8X#B+(|Z}of*C3c z)rODF+YoLEDXSpvI70#1fx+>ji<`*hNoU`>RUVaK#W@QRkZrc8h^qC?LELx;1-06@ zXh7dh5Zu}#HF_8ui!Og*GVZ)-US5BnoVF!ZF@O*|YkR56Gb?=z4+UcHr=`5JnVF$mA-r9(1TNNGWIEvBiPBo>_skFj%iuoN5eb=&jXTvmcTr zTL|Nbc7V))BjG^S%z`@a34>$aK%gz+s=jJ{(5roi+2X|=){*mp@Y{AxV<0wMrE;_V6O zdxzM4W&c#Fn7_GlJn7i!GYwKbImL*}sO4ODp(!7Xq>E;6E{dIJfT}c0#L5p3^qSVO z&oH@U5A84zXwdc3U}ReTvtwI>#Hxy8chsEIa`6=Uw)g2Jo-^uy=` zR&rD?r9`B~CX;2Qe$_!C1Bdn}7?0$WiymMQOBJ-uEHGK#WqK7H_xhF!TEXj%t)3Nk z+HxF^$AqRJX-P}%I5-kT82dHHW;DmM8NUHml{!_~`@lR}?-Fo!ecmYcI(sMAZF4L7 zTi}c2(t2`gJuw3e5%jpnT0)ALXI>A^`J@)kdA8Z_PBd=g3!q(O=Z4N&KSXga#74&C zaKf1i2w?8Z{J9F7tAxmf4>ulqNdWJJE$(Kz_%TrT?gf)rIk**7l(W(lIn+E7@(dW1p_OFD7<=yeJR~0{TS%n zgqw%l_tEya6u%-=6+Tp9hsA+A^g;SkxuHtps%&+KuX=yo3)^*u9mfsh=#uz24H4!& z^+&_>m}Or$_gII;4ce}FyOADU1{w2EI|YjYbbTrb9oWxt-OyTI5E2U@D&mxo8SCULrg=(^4zU33g1?J`k31O&qS*PX7azGy3B zb@BY8^M@>KU&!1j+j8(!(woSn1;cdCxHTPf`8}CX_x1I~fNxU1ucGWfrAu9X+QS9I z4jk#79@caxGwEMsv~SH*h5bJDe78D3v@_{4s$bh?Nzu=e1VM+PE6+D8wUsFypufY@ z&WxR%Nb{n#Lfu%V=;uVq=8|g(oHAF?K&$caIk#DJ=%`uvSxfuu{WT>5U_jj|IbE?N z2fotzf%*IJZ1{tyWYnSNXO+Nvp?a11h_SE-)CWR6kcB}{AKpoBO7166a`~_cu5q$M zRgr}d8}prTBuCwMF|In`v)Oc* z8=l+-V>Tu<+`p-|Z*2~XcJIkmTKYUc`SW7CFHV&%@XFZ4aeqt8%4S`~uwINjv$RN5 z?vYP8s*InsbZUu?L3EW~6<9HmCb*)4Z+uRzCUmP3Hfr`Lg#U2ER{p$_R*D|H*5-8? zTycrL>~RUFb!D@yb+vY|YS;XA-jdD2Jw8p=i{qLtC+SJVty%-BS?@v}OjajEPEYPZ zQm;9wl1?Uz-^iYv#R`^W!t;g+#X5Dc5@%t2VZkFsYRyp$8%G(xH;H`PnowAKvIRfuap zV4~98GcJUlb^ndv9V)s2N33XRu#kEtf-m-X?L7?eSMug~@lGkswcf0|pkA%ar+5Vl zjh8)!`SYCm9K%@!Lu3}DKU{FPfN@{+c=3}rX6nD`WVU8R_IS2P@#lYFU4I_HngQ+s z|EOEXf0C8y)5fox=an45xz7LI{Iv%=UJUO{`a$#@Vn726_2kCLp~t)I+z#Y0tGeVBHBVU&5gz}h`S4RT}{65N?i z3iU;1f(4n+d%cHB3^H~wiK=~(Oo_S2U3c%5fXzt8AiG;_MKgn5n6tAqS$@4_p3P#J zy;Hp#f^mLDj1RJG(+5+$nKq=!Dl}Mp+#fSVfj|0ks%vvyg_@+sMp ztcG1vo%+F+k>khD)~um?--&;k>-@_x=HI!_-?`5JFXlRbGn@WHd(pq6G`|)2t-x;u zek<@>f!_-J?3r2og(EJpfY z7@)ViGyFXBrSS9O7pv&!#Vd2F*5vn z)m3l3QE!qkv2eWMo8F3}m}uWPM{hs>u{P_EiT)1^PXDu}i;4NIDhr>1mHn-{iizdF zS6BU^?f<~oEI&KDm>5}TSr~s!{HF{|9JDN~e=W}X;|u+B^#28l)*ox{&+-3n`tpCc z`nE>)j%G#WV=OI_i8GtbLL;6rZslGP+58M{*l#J+iG1+ zC1?~eYR@nbKzBN`Nrbzk-w}fzVXx9BAmVi3R=X_W&@4vOaA;Lcar7N!g-rQnesqoQ zb7fgQjf~?ZvsF<@xzp;yD4-@i1wkVKwbJH$%D_|B-84!RZ*`SV=}y<4vso1YZ^~7E z6DVniTK6g1Q)Paqtm_O;q@Ii_fywr3(WOL%j-i@wp|`UG@al#yi?>uB<IjakKhG-*y{4c9!<`Bxa?KtxdloT z*~&;35;W+bp`IBkdk?hI)JoDln{#`|ClL!Ko(@O&LS9E59xb|?+ZFRdS|4|PKtE;4 zvJJWj&1{g3!7O%D(AW0;OIU){;Qsf+8Av61!Jj@n z9s$AUq+oC>gnBi<{>NMNS02Rra&uTS0C7M-K9159F~57}lyZGobC}3*obqyKL=n{< zl1-7 zawoPMtEsNh4;WZZ2m__f**2C)Bum;$GVU35{trS?afTZ6-9NstpAH%;SXwcCGr70=F`l3wY6(oKkRbJbz z%s9*62)d_dBLzv{mI`elY-1tqKJ?avrCK1aDf=+5f6|%MfVN12S+%&mt7YCmXzZT; z-R!{Ox(PLRbX#NCqCPK ziQbOK5NoEj6Sj;c=h74RfY2!2js+*!<>5ZocM*cg5;B}tLlA-{VOrJc^&wgT8x`sT z2?`5y2j72~T_vN<%|N@Vn_}g?e#2N97+i{(TWIl98HMxXvVM64@f7R1i*Vq73WRIm zFoO0Kvh4IPRsBi=+mvFdkDYOCh(2b;o@Cbt<2K)VZ_Fm?Yy6rG>7-dreGnS%;l}}D zzy3MWL04nCH?{82PqZ&?%RvLI2YiBG5Qa%7A_;p2Z_HijT4c74(1$&JG-SByfa@yJ zmOkj=h=V?|!HNMC*A#ICE-PJJRtA)WeGi9kj5=ek0d^>)wt#OD`~A~=Vn~Xf8WvwX z?mma81RqNJ;CeDj(-c%%Ggf!$7Z3{+_0QRi1brU4G6cb8X!>_3hRHJPiu?K+`yk>u zn8-J%0-?SA4=@6uPQ4}3FkPMr2>R@3-6LY`Aj&t}b#yXHD|WV5AH?**u*-`cBC>S! z#y6dyVC6JltxEQNk@%1p>I}5(3kK4i5sM(O*7} z%NHt^KHlREKR&lh9D*XqGVo!4G@h%`KIJ)kxC&E04%3Wrw&ZkEOYptnQbrnE-R(fq ztENrN;;h#WYvfzE$>5^q-CRvGIK|wA8C(kDpMw&r>+Vn;Cw}Mp67bYf5IfuFV0{>& z^D57ne!qDg15xbPS7ppyBnpzxpa>~N{rQ zj^ZF`rn@eTB7Nyk9?3)}71kCV&2(_*6eP|h#2{_+j<~h9=eULHaD(fL;S(0u*~)T} zjGK5u>*1x1!ce`MZGQPp?xU3~w;$E;C=xQ}ye@}Ox@INb=$P%}xRW>|6LJ)6`ba+-PoUt8wAkx@bRwj_Cc$Fk|P4xw~;DB_khj;lF z;x8Emzi0H<-Pq2xj?UeJawvC5=<0lsa-`ON**ZMvUECmMkV)ib$4Hfk3OVGuXrSrk ztbaIyF4<4nGHM)vO|Ty4YIzUpb5d4#0l1~;m_yke9ioj9y>Tf zCE$0}TY;B{m_x`&RF51{-;RFiB%7Dp7`5I`d$6!`AAZ%0e?=mZCPl#=AC{sJ?VBbI z1VpE}6rSom)T4p0EP%S44XuNcM9}db%zYpzP+l4$-Zf^1duN;Qx|p{eYg-9@tYBKv zkl!RJHb&PyG;@P2&=@39bM?KO=+c6=%Q>#KWvy zSqUho(9O1%ivPx)mu0=?_>n+6LP#6s4^M#C!idd1A)4BQw->-G&l!*F+RUpl&1WBl zO{P-{{j62GQQh%y<;BaasKGS{?Q+(f=F-KyL+zODa{2I-hbWLnmFp=^)okP1`?hKg z?&w<(&gET9M=Z7kJT3+@F1c%g4QNCgx7gFcbp8SmK&0~~zHim7C38K7gO#Y~Mdj%9 z#v>(Jo^ysRSRMoSE-~QHN}r#64*of3_!Fq8+Ri?5X+yIm5JO@7bCgJ@K?sJMdQY;t6tkE5QJ>_ zO*%kz-L-zl1wiHeWW6%+;Ge0sezXuEuEnpn3g8af?b07Mt;s$%htlb<^78{>Ov6gb zsw$k#Pu0rXRAgzkdxEbO!ZGRTB-@-9eZ`8#kFYp+67k7nUAf88I0m9S;{l!2m6#># zHyJ)Gur6w-Zwx5UEH5TP4sl0Q_~_#@|5bt(96-p1e;#}s%DKeDA7*qA^<*R;?#oA4%n+B!w@FaOY3+T8$2CY=^0r*x_tI8 zefP9|>iC0R9YAzedg6G~gykD8vt7MtwSCfMu+sJQVYM@A*=HTHDacffONBjNRNvN- zBlZ!IImgcA0eL=~wd|P+P}ios7we~VF*;v4D9szth1H`+L$C;aQl^d>SfU9@!BN7%)nAEr$n1Vdk>M^ zXC~O8iYRP9hO$TIMdZ6KWY-^HB5Y-&q2i50r!ijUZOs&2Z(S~HQ#Wu=FM7J#aJWDr zX6G+XW5b9d`Y{<@kj5;W1s(_nBIh_B=|h{Jd!4Zc5{Q$<Zm7!Z*{Q7Ylz$O$mc*iJ8h` z3D$p{-atIXHM!r5(-fFK_nkIm{K{|eXvtB9ED>daX+e87aigjeHBToryod|KKa^klN&vl?Q(9TzLAay4Y@w`6+KJ_wPd=7pBl|ZD>6jLO|zbkpWD? z?;q4?xyB|9IB6Y>U_}q(D-u4uWXYSV@S>Hf9dXibLC$0G&USRP?QaqU6e~_%7g~3$ zz(liAupQ*vQSn%19!1*7IOUd`tu#~zxJeD~sa~(civEFDh(pRF~6Q^L#&&$;g~0;D>qHPR|2Va$Uh2k@q@27?L?mGMec_ zJ*qpJEj$hy>S|fot=V-K25SEXDBe-AaygR5bxLci`ZA-+w=;Wc!0M56_=a%=vPF+5 zO%j27cd;(&HWV7~lI5b60PUO;KErRz5hzG=z8wKw07Kyu)XhXRR+7b=>U*X262F-x zJCmiLrA*+X30$9*vVW)o%~LSPnh>mDgG%E8SoQp{W=ujDBx3Goa!MpaTMMhp7Hgl| z5{5NK@)Do^(jq=Ip$$D<$|Tdp;!ZjCB4FW})t6TG6{Y^PHO3QrN3lrtQJJ#zicMoW z_tn;Jz5C1iHo!0$>zO3-{j|74p1s8Ana2k#&zrsTrHcZ_V~cPgak^03ttpZ zKlyQVsGaceTLNlehh+kRtc~&lxK`JuuOL+3(%HsI$_y+B(M{ZR8VEzd6WmG@2hk(< zn{K;4jwb7)gXyN?fcxj342<4b%5lP8q}aP>gGMAtgq*8j=5JcNw|M8Tr%Z+%o9!74r8p}goznhFe)_z4kE zP3X;nY{lgS3Q>AZM9TP{l=K7RkBqj3q^tO$_EEfzQ{GBIC2?1plCCVe_F}mtmK$e8fbU2$l{Rt7Yt$(@)lPag8;%^47>|D_c%N z6A-iYpz~Ja*W)vEzQryU&E|$Rc^W;Ni*&ud3^<|h9%bn5)1;Ofa!5iE2i8`GJFiLU z3U@C&G-6>IYp#Oli&k##kfSkWzXOy2RPlKHnEm?N$K2u+le5D!2o}B!h}X)KpHG(` zsDRkEGlEscz66S&cN|4j3@MQ5EYSD)`bhc(lUs`k=5>@iuM{O`)YIHKp)%CxntCR?;7J9}( zJHB9&N#k$J-pdL)l;!di9h)s21=-+xc2OggC%JxQnvpSeE}&Oh<(1VRnI3UPC`hfO z^JZKTXgCWU4wfM4`BVXsN_z2-{Mp|7MOP7=4O<%x14lblQimD;-ekx|{reHbdb(WL$4+e7M!$p(@0$(D!wwxunyX1* zS}(!tpl2LjWreoh$d_Y~oELPiJ0;VXZs@Qe6WPx3cwM*-I-kqZqUDf@^pbCxYnVIA zN2xJa|Lt!Dek<@>f!_-JR^Yb+|2{SB57Yb4 z$MnCXOMX4Q{{v;<=Q;KNa_j%r2*$|pFB-uZ8Gc1n{!STS_!UL@>9qffo%}y!N#3ef zfBNxs3O0^!J+b)obkatKW_kiPt{OkHDJ&c;w2X}SZzQLG3^n}4um5LRl0QD~-?Ahu z>`eb=mV}9x9iNqvk(P=1Em83n>R`oZWn!ge`iEYyKc@AkM*s0d#lOWm_@(%NeM^=$ z_KLQ821aio2SEo`{9mHKr5}V{9Yqy?YWnuNsN!4C%ukvB-?0wWZ^Ov=D@XK? znTlU)=FboLFM7rP5pR&L4zPaf74w=`-5v%}Zq=nY`vm3s!E{*UR`atn0DC;ZgCW~c zeb=9mg?PvMBxJZbTw0VNePh^;*>}I9w#$Trvjyb zh);R-eRWv(7v?XQeFKNH9tP4lid$59N1sgXzpm1JD{)6l>(wf#CuOUs`4l|*wMQ4# z{Bz;Mw>=+15Kn^aA$ikm_~V~!1GWlOPTQ&@a$9k7S6&}QkK>)=+o3Hg)f@De`>h=p z=m43A7XDk92Y%&Hs|xMPB(`Ob1qPBamU6rIU?Vz{dhED`lcF4!!qbBFYE<`k{uw2G z)?JZU%;ye#J<5`1$0{CXmDV>UQM0nBjm}O6r8}zH1w2nmmj{)5=uN-a26ZLXw0mVA zvh1yAt=)dy=T-^zd(B8U3~Z^b`QPU4l+PKrX$C*e_M8i0>XX5|K=QM(>b9b!nhJlA z!{3Z->?M|l^+#~ze#L7U+9^F5?OCyRkV~?uu(XaNtbc?+E1wYtv9SGoQ&(?Apz|(_ zxgQC~=TWx4%ekBlt^(EGv@A6N4bI@|!W<73qeR)@jc(uq$5Z+|y1R*&oms?6xd$$= ztB4J5bK3NJs&DLsT&J2ZBvLL3umjiwSUKyya@SVaab(YK-pqV|!y9xpL#IL>*7xZL zQ1y)rPj0ncO$eBK^qiO$N)sOGFUJvjTbb7+(E!$)Rs<}yu&AVlRgc_li@H8AQNIN% za=I>5tPHTNa?(&h@SqQiAdrL1HRDbZ7yCmY2#8w>t(huqypB_U@(n7&X4$Qhm%-@Z zOp5hFCrAa?=}82oEG;EJTbJ#M;A^oEBSfICkP!#oPfaxa0vzPMUiL*Bh?5n?q5DO@ zJIP)S-q{ZmV`o8@K#r6OWU2dxF3vW_V9_Z--cQZ8N^cWZ9|p+0OAtphecPwrS4xyf z`$;%hCBrl)J|=~e`n<55wClb+Ga?)Pwc28ud%p_p4RHW@fn>F>F&rxdkOT}B#i_{Y zgl)t$rNq*Ir0>D8OR=`J0{EC;25y{i1Rs%Z($g<@-MI(9K@Ki{LJn3dA>SYejWVeg z)*{Xh>deYL;v*mapd1*Q1eNwG-5D3r&Jl#`@|zA)1vse8u$r~d*)ei#CYYrhm3d=& zKpfVI8xAmL8*9AX!Wfb1KCRyjQ!pK~ULZa}tJSDkZAmNMSDoOqQnx|O$Jj zLn=Z}{4ry4Q7R6Z^=nc+8I5!uu*BljLBPIoFZL)5t-aiAUnC zB6Y{gc@-h+JJyp3)$>qWCmp)CuHYqdUAwggl%XA+lrO!uaV~ovjCn_C(Sm`E_=ITf z`KEu3)JXVzW+NiQ?uZVvqc6w0T&6xlzj^51zO7?avl9(|SIm%Ls(DDh2M;jk=5e7X>+jNN|(PH;=pz}fj-ah!WiC+P$RZ#vT!l_g@4G~|T>Yh( zZ*w1PlZxv0<4$Mtmtu-e0DIFrRK)Rq5c(~PHlMcE)e5^h)};+j8$X`2L;t*QHVp{513KoSSjFs zpkb*wt|I?&8ulOW3zN;8ArhHp_@dr2pi8JFlK9t&6m+@xB zU`ODM!Su~xLjS_ikg!Kb&Tva2>&3*A+4J!LkwAcVe>!ya@H#4)r6bQzlyK6$iDNCT zuKg8bY6D^WMOBW_mQy@8>I^BPI@fH6obD7jZ4#N?F;hjcLZ_ z$%Kr{hL*`4sI={?C)tMp=^=L?Fi{1I!Sz?D3QU=R3|jRNy7JOj|IvhWO#EKIr&k2< zAW{xdgd#JIjc8mo2iI;)AqjReT`&CfOeXz|b5x&Kcda-KH2vA^pdYFhh9;@srHFC; zy=0wc`ZKL+?Rb_~o&c(<=HHrHbt=`Qy_`zlQ|T8JXLBqQg+E@Ro6)chZlGo5^KW=C z?-ko~k+b>s+_{_71HN3Qv?%WRwPb1MqMp)cR4gs?Vh_3<$Qexmw!>FJHa0(8?I0b@ zSJnrkR(;7TYEfxJYxrlXz)P6J~Tl@*eIH3yfxY?BmHSSi0MvZ499wQHk( z|NHf{Q|_Zk*ZlmEfRvEi+Bk~BWaJo7qP}^tXAiRc=}zpxqwAYJ<3N|Aqw@H5-Jg5z-S@rEH6X#XkgOX+xnbjNF%gAIgIIydH+$OYOvQ5 z672`LY_(BO2;}ohjpEn$?CSRmmA%8KLl;Jrg<7`yp)BFHeCWZC?j}Dd@U%NTDpjzq zZ*0z9ysyWe`LS6bXp-1VdK@@QOu=6mj~UEd!dtNizuyo+{Md`FMeUvK#g%#X>74s) z_<<~Q$K{JPX+EfWfTN)G`?cZ_3X^h;Ez9Arirhem^Pd`7+MRmjhAhutoupR{q^E$X zD~496dUzMvpcUb;-Z|O&kLrMxoL_cYxUfKK#CnB|$I1+*NS{WOt&2xseWC%Ju#fMv z2{sTE%F@6>g0x-YzU-$n9Z*w~S#j(jJd$$7(TVdq3Oxjt`5&iRQRz-oFJ~VI6vhU* zp_4rC*TBPMWy%`iF+sofau9*fg@l;DyhU5doY82+hctY1C$;p$8X#+!49y27QMKde zn(PkQgyxkLzMb7?==Qy?&H43EUvltS*3XFTziLS%V*65|Ho*?9Sh<-JmBLRgiCgr* zC0pKvNl@k|vVtTx>12uCsPa938k3LFbc`BQI5^w_mP!EN5{P?lftqRQ5qh2qrHzUS?JcR8#LI^19!s!`4kBjTjwY!9vC?B;S&V;Pf4$VStMsST+Mb9#!FiHPw2 zbCBT--tb4c0*tH;G5Qo%_C&X4=Lw}HCA3dgsco21cL&cx`l85nq_RpFr>(W(Sw`n5 zvKxqDJB6a$o$frmANHYF))@pfN|drbeY#tm1pJuBqd-7&eOwMYV7M=XbIXR4g_deQ z;PB2!oHu)Qdg|nLgJP$YL*(TDuzql0U-Wz>?997OKI?y!IBy{%z0brvDE@^=v7N)V ztNH!xX5zQ6=OfeX%<7aEqx#pFit7hZH!yefSLCrvFBx7%TuuxI)q3&MNfjI_tDf>8 zwna^c9N)6%;I^n!YPQcV4#&*ijV@#j=6VM5lcN`1aYJhhdSaRrBA>beu`V1Ydw@L7 zGUMXR=M>eIQ6tEI7KAFL$~%ljw>N^$0Z36Q7AqC(b0KU32paYGY>AkN1E#($6qc7+os3#!V# ztdef6_fm-Z+Z=~2#X_*VWoDXF`MXjGURFb zMpuhqR1y=yO@I7mi#Z{4eK^ZZu%&yr-|T%wY^0y{1vS#^)z;@*;_5g%}zPlEA#gdw(@4D4=I6pz5yakNc3yMEjHN_HDsjv3Zg{a#}ePER8d`S+jv!n}FuP-lr$)V681mX-G2pCw%F8M%2a;i0wm z^t5x9k;~F2ZlBzbrA%p5)FP;F(#2^gl;IlzJ+$TwPWzOHaX-F{so)Y_sCC;P@n*KL z2&e>Fd=Qt3e09u7C(c0?Eu<(mao9}Orn~;QCXfszIJIs(GM4PJekVJrN=Y-mPZU0 z4r=ZAUjOj81$(tY3;Y#ZnQV;%g>n3%|A$|Ad8&4<5L=mNicsJ9cc9^N`xeZWn@fy|uBN$Y%xV`KK z)|6JX%T*MM?->+}d9UZz?w~+6?ulwOb0-w-Bcg01z0Q9lOZs8?(B*Uvvj8!LUdG>N z4cp+@jC&;XuoW@Hj&>_I2$vFtHkYok5}BoaQe42MPD5^~wi1~%`|+cCq&3Erp$#Q0 z`ot0PnY(rJcMD%UlMt(IPKyC1T)bLo*SB?$g`b+2uLoUh%pCnC7KwsG?|ne6@1>-4;jX=9uS-XGFbdN03YdklW8=cd8Kmv74wrxFsPl? zfIH0k@{-;JfV!VQAba>;@3Z5*&YJ=4!GKQk&kX3db3*^MWA(SKpx*}cZv&c!o>7T` zo|B%Plb(@^p5b3(?*Elt{kQGXzhdtH#ryvm=KeR{;6G%H{@(Ndt-x;uek<@>f!_-J zR^WeMfqx%w@XI0m(+&LF6V*@S@E3RB??Y1!EE+1Y8C@i{ntUUIx${-Xi@OYc9&|BoK& zXT|6EgLgrvr1UoPU#9Zg&VpBlquB4WNB|UO=G2u^D+y73JXuYl}c-0->7iR&lCM=bGX+L>Xz~m`}ySgvyr#C@Ua0WVEO@& zy$veK&5jpXw(fD|)zU@UKJ;@lYUD~Hl8x@NQ<=S_lX*lhOt?`CZBs6?t()UQaxnH6&cZR8@o^q!;vAY!(=o}BE5TjT=K}1KfdnFHtZE|`uu#?2DPSvV zqeA$;mdSeuC27fK2YOF?e|$6;M&tovPfFM4OK>B}zZ>Q!{If}QGmw|6e$8@Vf#bwgFE)`_1_FG4lzGuAlFfGB6!l?DHRjw8-g zHxVu&R)VmENDXOcAm}_t0-l4mjw`r~C5|Kdlro}V@>H+JH6fTLNQl zK&1Aej!dwV?&&!@o$ajR{FOXeu)K^G@XlUV#vBrRCRL{szE6oz)EpbGZE4vCu?{{h zqeNP&C3Vd&9S;qqGU<|gAe4Z!+GaPSNN-S7pGh*tV}TlDNotr!f?$<>h21vFQ+HKLGGA2j&YAp^!jBh3fO#i7d6n@pPkH6R8fT4z)}#;%AAnO^3UyZr z2fBA1%f!>#`>UvkwQ`oqjHa$*6_OeRB*@|CSZJyS4D$(M@0&_syRqZ2cLb+X-)nPE zZfbmt9CI`<@gZ26SmqT=C6;u?;_i_~&M=5Jg7uDB3j^{d2G+GltXHPN@|3D-;h(bA zqz;^~wD?%59Tpm~fN-4p`H7_``ZFU*&l1HwmD&ERyND>;Yzeqennu0P!uJ3grVe+7 zEVj~~W3+>kNvr%!sNOIXXpXE62I+XrMB@13oo$X-x;u@)!|!^q)ew)h9QL-b&u*C1 z_WNO?cW__cnY5aK5qxsvn_{h@juE;(xiwgKL<&8{;A{{B%K1!UTTf8iv^Mpse=&T0 z<2NYC!Bvm0pPDMbQ7&(9$BV7YrXeV?4g?+}Rw+HhPASqL&04|doa=`x4V2O;!LkU5 z?rR7(AY71Fzkf<6xcZQlUeZ=CcB$l;Gk+&(`kis&+Vq2`-t{%WK>(ZPJN=;~0-3-Q zeO9@e1Oo1}fNw~w9Q-*07+#1&7WO<>e`5du?<6+*BUDa=RMRC%PjL*7ungokM|0dz z+Z0)u=Z(YVV$q0;VgntMI?;gwbF0FIkh{Zz0-BPv=grSx2)TN2hI}-!t70^1P1g?i z2I98wX2+EhlUb+DZ|lzx{rlGG^f(tI+k$-00DA*L4#FKD$CGrn~6ho00PCQSY}@7J4gn~vAU)S3#3o}91H z_4kD<7Y{1w_X1jUx3#hYW%NLW1p>=E>3x!f)rE;t)|NklD+J0+5~+Qs6o7ypcFyCr zh?IQ1`m|%fQ>XpB)*HRoQ-}d7>&s%L^fbLEx%&pyi4aWN5L5bd0HK|#s#V6LUN`W_ zZtQd{U_#W;UdWlmOEIhNs|~P-D5_6tJ|^zI&`NRS@eTBes%I9G6a!b|^g=aXRM&vR z_VC4w9v6tc&O%2p{2lTA)=$r?XiRvh&xRn&=Qo3UA-VD9J)Rp?6?y| z&K@6FZZn?@%H3+d9Iug!)i#xpkwF>DIj&rTJnKgNe zN4h0jv_KDv;Z`pe#2o>1>-w}x!E)?|p92~)BaK{B_7jf?Epr|R)W6 z_5uQS2|4M4L)Bi2VsenyfISYlj*cM2&!7A9$9R*}E_ZDe&pyb^ENhkl^hW;vqJ41I>@czqa2osEi7mO&2OT#mm8PM_sDNyP~aw-g_XMo zRq_&76_9C*DNn^mEcgG|XTE?PjDPJv87Lx(JA1V5#yRxm6c~&_Yg<-#K$FW#3N^!TFskkl#|+`*6NFuu?hd5% zL6Agh6w_$|5Y=c&3T;fAuZ;tM;@VTn7FsQRY>}><6WbzI3um}ycZKa-gKw`Ykgl*O z9mAlJ+!myE+Z9O`VKibFx1x};H|%T#4a*Fj8sJSdKsH&R%T7S(E*O}ToMNX0n}@nK z>YS?&uM9l>?BDH0uP@0Rxhtz>)9Wp&Z)~#+iK!NsXRfq;VNx85A?^E!AiQ$zhJ==4 z!|Jq1{hi>1@ye*i;aP;S>laQ~eapsE27M|i@OkJ>4eF7~##&L&Bn`A~U)nt!+vOBO zW#yD{w+{ANdetavbH6lD)mNDp*L)efL=B>|eG$GN5>~77symVlJ3KY>A+6w;xpLQ( zXv|m`QL`)#rE${lF%O9)KGEgtpzQ51l17+JN=Mbv$FM-+!6 zDI=Zv{v$*CUaHxU;64v6X?SrgzPu%yz39*eJcU#+zbh+@3IUY6A+U)}hlIH?Ig7;* z`W4ctU{ffWp`bUx<*L4im)?5%23sC+sS@^NZFf;Vn*L)m`F&cd|6}ayn*ETM=;M5F zg&+KJ2HKM=1Qa_xA$PA#9cD2Y7~e{a>w20rS#EYGVpC}-2+!yb5+be4Z5=y{FS*^Z zgEQVM!bIux>FG{aHI7XtRg7DCnrwv|OQd3b0Fzjs=)L`sZ>@slBxSu+sZUy!q z(9w64AH?eQyy9{2#{+FFZY9)WB)8Ax_TX?tS$Mf{IV8t$!NJlC;OWSXTd?a;_-Q1k z&ntikW-8AxYC{7DqLuEP&h^%I*=Si)eediq=y09NxKHUG!Lt}|5G|%+%*dYg@ww#P zQ;eSYWDT{&J8a=GfKC4}P#CSeizkM@u_=*!t8XvcdwispI(M|yK zsor_0SvnHj|6%VenCsZmEGx@mW@fgSnVFfHEoQKoEoNqBW+sazi*bVYY`_y^n*dG^s&1BDbG{UF(5jygdt(ys#KhQn)Zi=f$ zil_O}Q+K*MOIYqQQRe4aS1VQ=r_V8oKL2>&*A5vPTu$jU0tuO#IczyA=g$%se3XNp zOjPxFlPk=XeX*zsPX21!S?CPdS=Ce+ic*Ir_4ca_B`G=_n?561(Vi>SR-2oX0fd7 z+LYTH1A{HK`a`~zp|aHi3nKNvYHuRffXd`25AeX<;F`|~u-8t{ySoC6I;v|=S0m0Z za1}pVWfBUXS8yz?BMPQHNa7-9VphH<-*|)!{7C(9fln^ujEADsNE(#3+_g+&q1&?v zy#t`Vj|zkLQjxE6*NMk7u)wO-@dHG<`gPTG1@Fj-H&JMG&Y>eNrZb5t6~zMJgY7?2A|PMdG1+0fB-Ns2aZoxuN?1pXbk`WFoSE3W>jHvezTNYD1m?fkE} z`fr8%{{UD2O?c+Bva)ut*I=M$_{ll{6_ont%Ks`*{7;$6^ndL2UxA8$!Nc!rGu!`- zir=a6`!oPL+CRJA|AHGcy>nxRKe6)vKG1h={KwJ#|G6W3kk{Pi239 zqOck&8G2{@Q4B!C&Vr_F(A>Nh_F_wyAbe+N%uloOegHL-xpsSgI`zS}g}N+DyM_^4bjH(Ot+ ziNIb}ZT`uoUiSQUtL$2JWD&J~KtW+a=YD_p!ajhH)Z--U3HT+8ymtm^9Hmy`bk#B$ zVZX#M?c)d3!k<&wucKH}r+;K8QO9w%4T$$SaP0OIW85!hZ-yi@He6|#j?-8xp@%vH zH#Qg*b^rHltin1G`6v?`R@PlvViLgz)IiqL(9< z6P6pM@ON)}TZVle#p1iT>QmUa8ozZwhyvX#>DPRasNOt0u+?@oA*gTPbgJ7XiFRZ> zE++J})MC<3knFbQ*j!j*Hcsj-tLOTo6#lR<3%z_o*QHnyT}0xb1jdI2*m1@WHNdP& z%w2GfE`|?`>7rPn=PEYRG8U<-p}_LJ6aI(J&rbLmT0xW9jjn9*ul}lwg1!}hxXWc2 z>~NvzCxp^qXA%N_ENOKMq~WhWaywyUT0qj;ulwgu+ znK&zM^M@Ghxp~iqO-6e9MyKlev6O9@yJo{pUP=_#?Li6`yQG}|%tGI6yr1UGgK@*| zmk%8kjMZ~}4nx`@DgkbWSLiif7U>tpbe$RFgOfc2#UpnL7p1f(1)0R$nphzEap(`C zO(J$!?N564<2%!S_^qKq09}xBth%%0Lt$k+U6#AogJ9>eqM32{ zWKAhTv|9PBgPpijFh@m7QZAv>;GtO43qAv6*unoFfV6m|s~^2-AO z=TqIYX*icbI3ZM@IYff0>CM>WUOZO(h6a1`cI@wUv?t~mFy5Av zAau0TQ0l%|e! zvHgm=xSslh+zQG{_cWw^r`yi){+i9Y&BoZu8F5ATQP&jv?ml*k*ZAv-{CbRz+Ky!( zvZ7YPVf@$NJI5@Y&-`Sb1Es#5FuK(N^Ie6MzyT;33HUxu(lE(l6&i{0mOoc9YBik=Wfi)en7b_!;%=p#S+itU#LRDz8}aa5feE_2 z7GXeqS_+=uN`#7tHD-Ng6f78euMOof@-+L-h=+owG+pt+RIYhrpv%!E^+8Bs*ghjk zpt1M8s4q)AoeX}6gyvv#~QTUKgi!gbc^{N^7O-e)ZbaenMU)I`Z2QHrjejfDp z)A0eNo3Q7VaY04Hl{sEfgQ%*QPc$1yh%lHybwS~}#63ikISBGYh8P6_VHyVzgrf%V zM0yiGp?y7R?nL{5g+}z$g*B-@sQGX|E19ztEMFcxWqK&fj(Ez}?jqX-0wfruq^C$Y z2!Vi&iKy|4I~5sHEI8T}!N;9xGn#R6_1#(XpqGG;_z+8H)R`iF|s>&dwR#fjhRM3!Zu=e z%66d>EDyRB5FG##WzRbsHKhr<{I{OmHt7%bS7B%hdPeT3w8Qim)~^*(=8RKt=z+xN z23DP@ml`P#I{~G^T9^PCA=Ehr+Y;BQRgI>6&gsc&(6F>({1 z*Do7&fVm7l>cn50KEbbN4xci^$l4%f8r2GxB6Dg##1t`kt$bcBAkqX7=7u=Hrn##0 zSj)qmEY4Y%tW6;H6y--;|Nj37sf_8|TWLK0tZ9oBRo@qmUo5z|V5c1ata0Rs0nD4VBK#7``E$#Qh$Bx(T3{4~nqo-hszv6H&HFI?WsRhWNOj4%Zd zTQm(apk!uK^v+k5BY^UT;?g2b3vSS|u?@isW+egPTjkv?Ic92qlLk~B0cFqigs7nj zg8^Q0>;T+eKull894W^#bznxVEsrd3YZz5u@$Rvvb2a68W*>e_RJYP602!?^G0gyv zif^<0rd~G`Bj$mN> z<9g|J<4EdBW({MP`XGg0uKFrUypH$yAdUep;R#A!5>(O~JkZWkc&;f-fHEe&HZNE!Y&MRj9 z@aQOaMs`o7^$uNj-W+|Urn71YgBLM1H08!B&T*mDRbkIFr=#~*&d9@eHA{RG(JMp) zd+_(?!jB7Pa$AnHWonRfi$M<`42;V_quKq7vwcZDF=~WfYjwr%BJZvH9ZOD+*7sRy zao+^hr0m9#YtRCl`0sbjI5w8%^Ch$ivmA#4zQy;eL%VgSC$MjFxy)d;%+S!4g)*2a zJlq4fe~1Xt;mDeIXJV9$uF3XzA~9E75oy^dgvMwRZ%+dE^BjT_Wi!+{ryh*=>wig%0z*0Y4e(i3G$>BUaP5#h8iJB)Qs}}kRLs7 z39irPmv{P@Joxm`IaXQ-iYyB-0$mf<0O)#hOOcf;I@u^2iS8wlmH-~t zju)8cR&?j?DP>EM&+!Tb7Si#3bs6)4xN=E$V`4|Kc&y%PbwVG%ihD;-G>YA83ot121?YyEM#t&%JP%7^(Y*gKZxCqR$c&(97DBcWS9cvB? zC#W0Fx0`&EF>s#n1bgWrbSi0!DzPOqPV8!Qt%=^^K!4IKwbJOcQZT4|Q-A8j!I|`K zHm|iBO(_^#CD^b zz2DKFnZurK<`HC{_e+eqWc?b%i#1F|I+h2Ok%*wAUBdh!Fg+At7M1is3)xMthq?tJ zlzVMjr66SIQ4P*3t-+24EIFQKG7f#g;LCZ=cedv4K>B{aCq?+1!^2p)1QB9F1c&xS zcx?5&{*lzp+FVRB^l1C0d+ipX`g*zk53Ou&MU=DgxIwk-6!_nOO57c8p|;8bZ_7dz z@siljU(lg8h(2F?p4ruR&R<@>ghtE$*bKEOenF?leU$~)iN9`M+!hC8_Hkh;19s9} z!fNYO_xipIDA(<7#Go_s5&4^i`P#C1Lz$~=!IQ<1K!`|u35^n`!W#|6T2v5?Vw;CL zj&d8G{^EG*EANd%a!8q%0|k{T=AN^_`ltBj1!U9BtPiTIo`K>zh}gL9g3o4;;P55x zyjX-D72sjAQ<@KXzQNZ$xG^bNi(dyg<)?+HA}0#_xNrTCAfW~hw-PVgImdJwU?WPw?-as#-g(?xPc=EHmpPmAHe znjY9M$_K3t&%zi}A1cIKwZm?f;ut@tcqT=HvgJ`1o&~ z`+p1(_)pr%e|VYydWG*leChv5AN-xb?*x7)@H>Iu3H;Y5@Xzz{pXBsUO8N^Q|9SWR zOP}~VV*P`_{v81Sy-@tUVEZ5Y+zfxK@BZI_{HNag2e18!-~T0~{1@K;Z$CSJ@wwUl z#`FIu6lZ3}V`QZNr+n`B*7ctv_j`vqD=Xf+kxb2u$3V+UP5XaNX#csZKO_3D(7Auc z{r4mKuWb{55BmL>|Ew_o70dtpgZc9!|FO=^K+DATi_X2Hz8rzcg7DrZeohR)5v1Ox z1Hj>Jz#;9l-D^&f3hIW7)I2b0ENsVkdD3(Hc8e5RZe_}7Kx&Hug7Ps;RjNkSu_Q#v z@a}lbsgYfS(s^sHeDQg8#Ol`MLcd=7SUL43QODEq?9}jfBN&B-np9_$wDw^IoNDiL z?8+V<(yKUO?;H?(uDPYW@^=~%@wTKoaW$H~ks&0i&%Mv1JH>5YYgEqR*5NA^36P9w zoTwu@6N7fuyPzY+OCUqJEmLb1)XADuBS8`ul2)k;W{d48qn&$RsV4In2J}QJ>lBbB zFHcWC@YR^58@R#26oB@A0qHdy`1YOh&DEqbcCz5+at+ba;iIs&S>NgwT{aiA zWu6G=B`GIXaAw?;!9}wh&C3K=Yol66%fTE6o!giBDES?`PN_Q8;NX#LDSiqOZexTr zi7wA_X2!e1@jeWz1gt8VZ=b&eCMk!sOmeikq4!=Q1l6ezY~W`)t7%ft$F6&$^5qAx zRAD^FB~%}1X~)6v8Y}*YNAyoZ*^h7V%_dr^8!i-=3AePo%|d{~BOmZyM}dUwg1LzA zHZ0fpqMIB+I(PAh#62AVvlm5FO|nf&w=v(#%we3|M&$rF#}$swu2)^>zHHipiSe#9A2v61mtheDo#HBQB)rsjmP^tttiyc2W8U%qvNjhSafx2Do zkvP;!a9jDs-oc$dBZZ8O6ShCwqHr;8W*;GCTRbJ}p5{d}HH7;s@H$0z1;Ub@Zo6NWfj>#PvzSk$W=4CA11DkKtsbO>%oKLbVQ z9=rFjH=HTyr_OpbUcnQkmUoc{yEHzx{@ab;28U4S8B5%D+KM)1lWhbB5G3-eq)Bj^SI?e_P%1~@$?rshrr@>6mGArTou_otvl`V?m?8~_u5XyI&n zk+}A#z1TwDdjtU5{YDd1`87{5Pi8*eLimX#-+E%|iFor+&jVCw#yfPCOmQUxRUFe)mWX`1;X4ZW>}7mvnX5G=5-x7d0Y(HG5v>iOj(!@$gj8` z&FT){0`TLXqUcF;!l|IAcL?GPPjqs(VMK5xP zURGT5UOa12N|`dpw4GUpVwKu7J9V}5saj5)YENo&*`u*%$v8T+(>lrZ0;kArS8j^S zc-X1kixI4# zIi`RIHT#ezLUuagYX3t{W`y~`TmmKp*V?{=5M46)`b%T0RV#IlQJOi~kFw;2vcl3O z_tgs;;17*(5G$&TT^(M-Y<+gsw8Ni38!K-r;N|>Z01KIqacR|YWgS5S<9Tj4ujsgL z2nS}WY20&~d$ltqjtes-G+}F&=bc-25Gc77u~kCu(~7<}MwAq1f4xgduHYcQFI#EP z)&bf~aImQ_!=aWw_(EWj1G(W7e3V@z^kBf?Rwf6N0LHT~5o_t({Qgax8>z@>@NJP- z!^Ic(84`6$+#s3Lmczeiz$Y!Px84B@znut1Bk=mu22;!{P+efx2XeSuUBY!ntn>{}E_VHgS9izhLy=Ku4oDcUL3v$V&L*`tWZR@aa(MPBLN4Ne* zW>^s{=Mtp%eTdHm?oeD27b_#>u)Zttj&qFzZ{tdERym|XI1Z#-rtuV~7T z7+|;B`{qC9?k>FaOzvC<8|=SwrPhPOD{Tc6h46|cPJCKEHA9k>N77=@Q?D((8gLde zwrPHi*}-$D%RibSceNLkQo^cB#FLB7136 zwn%?>OTmB!P~H{!PXV1;j%Gf6~F zI_&9yd))x?Vh|%fQh_gY_xj{otwqJUc#QFJQJK@C7G<0WtFx23Y0LfT$@SJMkMg3a z1?eH3`m%^(zHho`l=8x9ew{~4gBuDpnryJhPE;J3*CT6Hh$Y0Exm#ZDK`rx2#xpO= zBQNpGq}IYvuJ4<4&re?7kl7^sIsK}2v`Vo7aq3Ja z;wB=|Ib@RKGIz{8DO0GTZU8vbtam53xr6U$WevclezG(PZM=sLPI_z7K6#V@I*6Y> z9(E>Kr&_brKDoz?g*zzk$PQ(EFaj2zn&_uEU0r=HxSUfM@*8?-F_VO1HG5S7mi+-`fp4Jm#P-cY5PNjji- zi#oNuJOGf3*@RUN|tEdXZVML<~7bjXWRQL5L7r;9bdZuR2EYmx?Ya}(khRk zM^cX~`c0JnI}xSd z#^rCC@H>Iu3H(mrcLKi?_?^K2m<0YEMCljr?pLDp=h)Ie?(F|~{7?TA#r&OC{2eGU z{%HXIWkK+tb-aHEO7HyUZw0}OKmEXW{y~%PU#gnIbnlhNe;mmFJ6@K7mX_|1)A@^j z-=7Em=cWH+AjC+^@~fwrrmkVX+>iY3X)cIlLE|4|4-zFu6A#!L^i|}u!a5ZSghquW z03pZ3oN(UA-00khTyyMG^2#FQON%f7j%kl&JQ;QOD4KhtP)amRAW_9ckEy#o1N{> zBa`qmLcQFZdD!>6TO3MeW{0h|Nv6IEra6*qx3p5?1{d1F*Fx7qWoD?Cd=dy9`o5nO z`LI6r#{WK_)!h+agLw8w0H&@oi$uosxqh_!0;9U9h9wd)8PKRt# z9sQil5)B%t61Sq`t^1nh`GIj?oT`T6k~*A?Cx77Pmo#CT!>WXYCku9!%S-U2nnSbU zE?@voW&n#huqv~8z(!Q__`qX<{t0p#$+?wF=9^Q2u|ggm9)OX2Ie1vD;%^Hr6-t}> zS}JC7h46#K#!E*t6{@3ujUcQEqU)G25zMPSfJI&c`KJuB3%3OP{Gv z=Tg0K(bwG@7eJFvKsaTVp*vEgw}6yiiNHzMP*o4A=;P*lgmzA8Q{hYO`#7ar3nG!d zcY;KeE%^oJBM zDl;;yiafEPfMU^!fKTY>hNUS;`c=7J0bi@My%E~@rV%p5!*p<-9m@{E?Co;St8=Kr zG-`3M;e)dZJODq;2&(ekr<=4?B?x_#`$kLRxXCz@Ac%?XL{ypL@BuFZU#GuLNq35I z#u!~@21rmB5diXIR4dTffbP(x!vcnD(tTDBc@tGK-e9Qzgwj_g@C9%SqdAD3St@YO zC`JP$A2*aH;!lVJ{fa&2#b@AcOQh#DV60GpjW!*88^;kfT;y{b{ujhXF*ot?$TnlT z8?-7R`3cAikel(tz3ex(X0@ltQ~RcFjWQu%G9D}Q{&Saa~X;gL_b!PCq~ni zq;QKwDOyVqpSv`Q$g$KxlX8VGup9vhtrJl+|ojh%*VZn^hY zbo(G5r5G)vP=G%MJ6=(Ujm%LvKqI60iD}HrvQ|OeBxE}ZtR@*&z;aTB1>+&e<-R_Z z#e5>Y+&;Z{cTb`Aais#YzC(D`d-Jx&(s&)pB55OVsyhWo4Ng-N;n3ph6Db|zk?Sx` z>7g$L+zg~*AS1PK=C`~KLUqH!J0Lf)kfJg~X z=8YO3+12kf0#m%fmp^hH-#LZ(dJuh3fEBW%?~tlpE1PxvxLs0%EZQeAhypl1BgL}a zDBM4!s8>W^S`Zrf%wLylA|%upoh%d?DXx_$g}xBA%ii>0BAnns$Z&KydO?A6{{RVu zXWzL#SlfC(90*xqRWQdg|LiK2B*~n3xJ;}M5vjvOP#P# z4-$lxGJJXieRdtEyt2{A4n-BQdta+6sd9ol8n$Yc>GGAd9j1?Um+{BVwzi5K(b`2z z$URbJ>EMr&L4s9t3fstXS(QL}bIEQ6ZIu%tWLbr?ECL@TzeN{(9Zajy?~O-7(-#zt zLNjkRn8aP0RFy_Cn8je2IbBmuDj{+G0%G=V$rYIn#)W5-l4F`ysfqfOfutNJ>_;Lm zs&>^r(smB=w>00{iJ^zSDeIX#_|>NvmRDLc&$D2PGgfiZKRA`ojS6$x7i(v>ySzT{ zP^s07cI?fVcFeCQcqy#su16QN6k5iNlsc|W;m@{FO)-7`*Rb&oyMHBwA0Rc7JiB=h1|tG z=?u=<+GU$T3g77h$e|DTizt;mcSeI`oq^KbZep#4<>K-lf)Z(Z9S!$kVJS9tl#f$n z3$C+R(Q;L4Iuq*7{!`?t%EAFghMp6Q8+or;E2>JKjfaSE4|LkqvagmK+EL@t?xwji z1Z5oM1m3Xv&|rcj;w%G+a$Ep3v1&_YP4Qmc@kCr{e$86t)LXV0y@}TOmt>q?MAC|dp(RKTIW#$PtA8` z$Hnw{W;A0^z9nXf*64ZY3mQUJhhzE~2Z6becde(*iH zW~6v+p~xzE!$D@%sS!Hqw8Bs|e?Km7Y&@+%73@gKfLn+-*|et;5+m~W@bUcJW=)NOSR;-uX2PAXxD zv^k-t><@BE=*zSic=Bk7wYdK9af^#KtDOB--T*hN|1^6q;{q(?9sq)h>{$!RLGHWi z5fw{;ia)w2A-LN;Gchni@_=`+BmD^6kYaa@u1M>=0gJ;r&JM!Z2)IA15d2i(dm-YVL`3izA2jt|O}6Qy{mj>_`h!{Ma;js|)n)3kaiNh^!SD5)lPcbjNHFLScf$R~`VVnS_Xkwr z#;jb(ewiBJDd%W`TgcXL$2{Bl2{4^ZxxKY$ARfJ)1kRmLcZjJ!&P_aQ0vM@ z&_-;o_^D)@4I0Z?G}vWratE<%TtC*Z3m%y#{ele<>J;s5 zm3!|1g1|nSDeP3P8X10Q$CgR63wUf_E1sWpn-*cg1;m$1PY*16!JVm)6jY^Ype05k zY4gUj>Mdcl&hBt~Mrezj*LCEXXJo&%I3nkDxady7md|%v@NZ z9fmO%`K}rAdmv5|!(G$z$@jxo3bMc&XNNapTlQ)9$eCr$zRa3_iawe3wX5kMCC>pd zt(rZR%E+Q&+Qp%dc?U5S$)}U_njaF2_2n#2cU$?T+7)t@M^jv3!`6mPT84b4olLw_ z(d*aF#>Ql+mFfc9bN345KXW?U*%76G^a!hs#wIeG$DE9695x&*7$jxteL`6Tjkg9* znUfS>mO~s~4{SNq%%2CL2DUg#PTv5VvLBn4t^S8@k&qYOz% zPkx*S{au>DCK>|vAeDRS$iw%M%rF+f-+W7j7Q*GzAbsgn+l0k;1ead6*#>_>t?~qx z)v_qyBWQ^q0d=o%*!EwD`;wKtl&wcMHMBS%Td__EJ(we59QO_a*iMw=8k6vJ2aZ zoxp#60{=V<{>l6PWP87`;GcKzzu1M$EPpK*GXBlh`|I{y#{a8*m+4>DzWa0Ke-+;S zC&sk?-08mp?*7uf`;SMnGSI$HU}d0Z`iqL)pXc-cMYjwLjK8AWWA#^o^R{$rwXUOi?3PgYGn77xHV4B{PDNL>%G`-y^k0tLJA}0AH_=lY->D*vYUU zzrNFqx2tsEuU;M6V>(g=5*G)PHtu&$%U9)XM{XW-XKs z`b$^t^o?&rkaQk?q3Y5V5B+syrFaj;Y8@RJ>$Gf{d!$yq$!*m7`MbyMWZDsL^b-~I z{WBXToWqzUR_3!7FVAIU;wJ*-)mw*6WY~L|6EZOgp1zDaA{{o+S|-Q0h=>EgXlN+; z16Mke^{iCG{_AuZC5_7D;wD1<#>C6iQ&hZ~xZ$7^*l1z*lVXbfbBwq}(qm4(-;NgALuh#5qwD~(liiNY2r1znWEDS#Rqn>@@5xTc3O$0z10SUUIw zw9SMB_N|L98x;|(s&Dgb8b`k5#huoU_h8?ZZf+i!xw^4wQ1+egOpqG@HLtY#pxD#d zI2OfB3`a*M1MMSJ>EuI?zC3-b_D&@RT_xQ#{}M=s8S<5mowE&G-}Pg-;=9q;o#|ZP zluQkBmPI2UkFwTfcCN70ukYZR44OrsOJ13V7j9}hIiXxd9?TqnS)46lK%wC#3yy(T z67iuEnHfcvV?hNZw!UJ+$A$e=b->w#U{d-sdy-?!0><@OueT0j>C#2a(6S`yK}$ZJ z0I5Yn3&(<@L4>x{2Xv?_-Ano6se}YsrE6|$h|>cY9K#BHlA%AGzQe93QM(ew{fD7| z1S0ED;YK23gT~pOcxOpf0e=$V(k0CArHr4d>4TB9(Br{nUvhgq_ zN9D3?-exx{%?p^7dI=rVEvstk0wj(sL`5{0$d4wX@XWT#a-iR>z8dBqAT9o%;~aM# zl&KGyjhCXonbCs;Efltej4Bok%~Yk1{&?5=dd(y^y1o0f1hlWk;*rHWFx69bvPKbn z!`BWwb!1vXJO z=Ra|R63Ijoq*i_Z!8Av7r!YkC5O!zr-?Z!4(5O@)B(>25>?z-6wVM>{4B|vs&pR8ttgO8k16pjhQZ~P|l!A z-#xCMchP9feyGWT7cXUMe}p0+eNj{{jf@CspiJgOnWKkMCxTpnv|jp!j%ZC$AeW5l zv{F?wtDG*-Rsgy6Xrwev1=yp|gMcSeg-|gJgToUMrVlkErtcA%OGx4te8IQ1@v9Zb z>afu+eF5sv?4iSxCK{C6MRxqufb$%qM)7haKQ>0*}-8Q@;ZrC+T7KK9KXQB@Ek`Trjp|K>^Z^l6aJ6OIv_I1J%viD*~Z$=#95&6z_(^6Ws5NFJIu8lZxIpD%nnjhh1{ zQDwij-(_s)@Zx?E@+El-lLp>GoqLRWc7=W7a~~fHV)3GC>uw+R52+T8$Kou?+BEny z=}T8SKGZmC{Lg5Hz+mxW`u>^8$buR0&Ve%0kr@aAG`OWs2CS$%YYTUsVc?l_ZTmg? z1OU5tZG5xo$IU0I0YE6ch0&A%+aabzPW-H;4(bWOvMeiuS^RjA7(?B@-$O(!EAH;Z zo?977QqTcEw_2j7TEbVB!$WSlH4+O1K%slP^9;wB)X)uiG~rXhVbg9|&qndKCa8xv zhNn1%?)h(g@%h%4HN+PKZEu#%RgH;kOf`9d`2dyr#U%_Hy2KfM7qP`Hn6n-&6i||p1te$@?y(Y1Z0zbOO_1J8?${RB-KKvui{1u15-U7O_hm%W~K8`4y0@QmU!li>A^sW6hV1m3We| zSn7kroHJf9D=m~z#EUeTJ;Vd+_}cBT@-6=d&KFhL>F}eIFAl`*&Ki4Q;n!@0CZ2@? zl3a$!aW>6Tx;<76D{^=Iz@)ZLC*Qq)tU*3(V|otp*YNfQq90|+SvM`S2k@_-gq_3; zy-E@4fi^ulRreL3o;W=@O|ef#)|#_ke0IBtsofR!_cvC)X5-&UefYtmU&D%us=~8p zx-)J({n1gKcxd<8P8ha89Jr}fZN%=abgoiG+cVLlZCbC@;*Ao**0ubp6PK-II2+?` zOQHOB!Pd&K{4*#FuA7Y^7djAwf)0`8m%WKN06rmbMgPL;s|IOr93NSh#*RC~@7W0x zBl9<0%e_JF3Xge?+$$r&d84@i3Xa41Ley3*R~)e2qadQ%r=03n!V9hes5_%4)JRIP ztPK&%pk*(9gYaybiLt)YnWXv|+x4IBQoD+d^UQ}asB9QC5TI%=Z_5Ye1_Lm}!Q1Jt zMbJ)R1tb>Ea(gC7t~T{d$R$9QyX(x*NxmzZlN-!1s=Ai1-CLSQOZ$}{8YwIjA3Ki= zS_>9G9jsi9dY(Z&DzwLrc63_1**n@&FO|ruq^vDewr=BUH=PEI;V0WdzQAiAe4{xC zIMSwZP#QdA=i>Z6LqW45C*tkLDLB-I2UHVcw_(N9v_vaPrvf z2tsiQbmd}v72yLT%50x+1wD1WzmLdzq2W3pf=e-4IfkioO2Q%?$3qoto|UexJy*4J zeZ*yOh2A;bnvs6Rn_7qNcnVPZB9nm@Wh8x&1dzsvM^kNOnP1-c)Y2>MWW`(Ij1|j7 zcYRsSR`p{*M^|cpevbmhYaO&qc~RzzfJyvW;Bvc<0z=BG$1pZpv& zC8CnJyF^cROb#ZFP;N*t+5_*LY@kr_9$9CrVojx?|=#kRua>1 z5UAoJe4^-Xmp+Ga!bApTW6z&#aiV!URU3H2%P2Oz#br?=**%kzVW9PR5@r|EmDkKjkm} z-0Ob`anXn<(BrW&|4FC*m=9^7VC0}dBP%FOqiFQSL5oJ%+RA~G^L>!yU*Ikp;Xgk7 z52Z!=_nuFBrk~Oxjg-JU3HxK%B-5X>L;sHie*u{PSYl*)ueJQ8)$&-w(jKcZ`n97| zpF5_4(*G{8lt?MqaQ`^Xu7i-~LASL+m63Q_WGGm0d8o5<{{sj^((2;uM2`|uqJ+{G z;77)m$#BmPEt$`!qc10+r9Yld=Q};^9wIx}I3J#mc29nkJ}sYVzuh)=dfhr)?ZBdz zxIH*sJ&laUj!3K)pI-KnI3m2s7q-J^OUSEINI5^45w<3#mPg4OEI2+GwT|Gj=%jJA z%BrV7;T}!xtsokx!@Hp&i(D0wi%asy+PnO=b|Du0>@jZRpjrHy5l7T?i4M^}3 z81xlD@uU^5jJA5iCqSyN%ip)VZ}-X`QdRiPt?E90?0WqwQzr8{yGKOf@kr##`T8Tp zz5)Bw{plY2-CE~R5*lF+JqVa4_qM(&PU(e6=K@t=Yi((3#q&K#)L6xu=59X|#=U*y zSGLwq;Vg~T5>K~}md>Z+9h@WOEc^VKtItt|j$W^qoRzN^yC6gotH954)rd=ZaqM~m z;?-{UwJz0%jd|{k+97$)od%x0Yb?{baV6>6+_1F+hkNhw8-ZCn#EnoX3KyV2V+})vYcL?qTcXxM}U?I4>B)Ge~yKCX@8VJs1XYcOb z_nbcG?LN29=y%+a`asp9=48$FtTn18>-o*+FS=sk8>S2$wG)HV1iYd3?wcow^0)3iram59 zx+ob;#SHw?a%sGdtdFnNCzY`wVNE2vOTZdl+Zg*p-Kg(u*-=s%2O@b2g18hKhIqSxuAlx?7p#>u{O9 zVs?5ziX$L!ddvc@qB@fc%!KK^&vh??F=`)_8yZO4yZMZ=E@1H7}R<2IN$|tT_p7^Et zY`K9GU~+^6eGi`ILDSM65QHDKT@md*&E`OqN>d*M>#nc#p?dUYqJybAZ!!;y2Ac5N zx*i?SnqUb+6G|!3QCdKi=pM}R&(Wk&nziO?rtv&%Z!TWFc-{BEJZ4HtOe=T7DB{Ar zjp)jM%E7-lcG>I9(DJ^|_faY|1MdJKHN}E$7c!{i0jjgWvv)4`kZwRtc>9Bpo$$x5q^?@1#etIoaTelpWEtCS8Yph5 zlW~n_?>TZf(9HGlP6DoZQ##1;#c3nQGW0wS`SA1REKvsl0LDBytdJEFtTh8~DWY=| zs>ypzxpj3Me<`+>Z~*iaO6n5*5w>fitsDC3a~@_-H>@&l&O5)3?b!vS3zY-7EViw1 zfJQ@GlxNtuAYYARE#VV;hW z9N5QLQTFY=5b7ot5)&=}F5x;t@`w9GKeODxSOAKPK3b`!VS>nnnehf|U$C7i z?KUZlDZ5lR^f4EW%>XDa!WN3=$_(5AS0CXO%s_`CG-IsqSHxUrVQCCl2a3*m=i@im z2AZEl!Ics^a$_77D?Z*Go1qPddG2e6h<`R7&s|Pbr|!hoPP6@>K#mNYEgl)99Sw!e zCRgUkTQ7rb6}E>oW-x{I)#f59S2IH^wO8$^7c!Uibv1myOPUx{Y8ZrftFRRrn)FfK zwQWKQqMe<@kc_>=3ZKB}3zl20k2rjdF7qpBF&cL`*$W}2vgykYe=I?NfhB@ez zHA43h0?3H_{ZLXlI%GyDrA0B_x~s7CP6xTUdv)S?JW9;pW=v%9M!mwGNY z&XDORhxO?v2!cY`yp7^|kZ_zQ-~+C!H-I9S?D($kGeKm@i>Ddzwx^EGc&_ttzS6Vu zm$~eV?~&;EFa3?scM^{(>CYcc4tsASj-wYRuhEI(+ou?mJZdLlK*9D@uIyJ0H~I?U zJL`F%@Cam`kU1}hi&qZBir&C37gl2vAjyYYqBA1*=lPi@y|13nK7pFWpd>*M1M9x@ zYS8L$h|A>qK+$=nZ<2q4T@WpfpY5l2Ar1+=@wKT8)VD+XIMa-425wP`5^Gfhbsm>i zg0gSW3n4bek*>Snz%L}-8(ba7RqvGMsdIF*e}$7SASP3r}Jya{Q9MyR7B zxbM-E-A!^*&CnR1oUlAW1Kw<6={q7rwN0eMIJ>%TY9-eg!wb;}_f}GXB-4(#ewD}q;#ZZZ0)xbEW4Nn^E~rL&g@9C3Z$`kR%7Q zi+ecKUwH!y8SR~01~T`nPZ}&AdaPI!&lNlqRX9yFZr{hP?-Yx2g%@woRa2kElwo%J zV;oF|YQefh%Vz^Or8EoNKc7N^d5*O0Bf&@G6?ou*eJ2{em^5Z!ZboD&&xMTYj65sg2@oT-RWh?B-)4q!;+pZHMkgr+*Ko>$i0{V_Ms z7ZX@z$~4@RZa*KwM~W#u5NBT5A)d`e~d_6o%cI^wu_RTV$+WNCZ8$F-q@xE|>JHrf; z%2nFS51(Q}FcTq*q`>X=rF>w|xq%a+Ta8vZ*0XJeHro}HK)6OfYf{|l#fa(!KuW+h(ez3Bq0{GFS-Vt#=5(d0qEO+Z4uKS+#M#%h~!DqQtUoQA+ZtqUAFIB9GQC4mJvEcMDz7@r|1x!=Unh` z?g%(|;%cVqka9Vrj`PMRm7Dkab$H*as=_XhxrQbtm_o@B;e) zpnlp`Rakem0}=6N(DtEw5{|Pk+SL0xSs54;5T8{WzDtCg&GQN?zA% zMN!xr@onoDfEO!{Y$+#{`4N4}gHR&bHu1F65cGK2VhnK3^xIi;76dkuv-Y-t=3Yhs z+{#gd!0GsL3+ecb^-FvWKCR&i8raj0Ugz2DKEi!mg7$cFubeAqsOqU^Df7hYxnCF3XKyCVrXD*?$ilH5C?1Ir<(SJr31LS;wLGQGV0lI4`oO-@xi{~w5!g@y zv+@-K#@>7O`Ua|63lm&E>FMSlBBx2DmzP>WhHi}ulJwHsI6S>iJ3J(D6j4zTdxH$L zMP3!114T_OfRH(if!!u4+%DJMV=vVG636-=OmtI9WMS_nimCv}LLwWIp_fD=GVv^P z8e9eq$f`T$&&w|UMxAWB_#QTyz0H#uf9$Oib$n-q*gEMWL1htalCY7I9K7Rmf&K;Y zuFzzgixuHRm;03>BIzfBcoQ0hfu%3UGRiP&H2YX)M%Nd%RFe@EhEIje5Q-n{-h!Z# zZ5~?U$mS*{7d^|lr)M&d_?Q}*?0gikj|qS1XY9RrB~(NOORb^crTUmYY8JBO)NA>i zn(pWqI93f|e$Zo&FM*P19f*OIfHx!}5-Z|(KZLBth9`+5G~iM0We<&bb|JwKsR#X| z?NaYv@3HXl+XrZOrK+IN%Q`AGUT$=B9W;2?&+?}~SEi>Kswv#lx>!$qlOg6zDQ?`J2^ zwDpMGecqKr2n9WikP^2(BaJB6+b*O!>lV_Gq>yCWE*x%%Rf={XBTGKv8n>Y3Z-1Y~ z2Xfxs3Gh0{@ILn_m<|52kw6NSa6jGW8Q%=%3OQNpdYaXkFl|xc(7e9X;d9)n`evl?ZDj-Cy3~4!iDJtM=LH zDFkOpk5Sb2PHhDbn<=)*0%{w-(L8WzxGMK1#zjfHs(l7qk?Nzy!7iK@t0|VPev)X- zY;39Ro-?hxvw0%?LDLy&{Bq0nx0pI_{GyEozr?-ikk=#9#XQ&FavVVA<0XIip@ZYE zRdeaw@V2K!5qWxXPbG|9-8<{)Maj--xUHT95tz8-wm& zbRhrZKlATjgZDok`oE~-F9m)n@JoST3j9*w-><+wfvfx}HtA36v0478)A^Td?pMa) zSFN32__?%=p_#Fp@GD2e^T&LiiIxeV#K6GG#K;L?rv|+K1Q7jp{kvM(athfSy|(;E zTmR+i`v==gOLGd_7&uwI=Cg|n^AI^XnHh4j=^GduF)%aI>Tww9(=r1L8EH9~8H{P! z^Z)=uCS!mxn;yqsM)%rZ+}goW&)UG~ul-pV4fHvTnVD!=jG0+!nf2KXX!V&HSZR$J zSeTd@jTo7XS@eGPH#Fchwz0R;bL1h?v$eG}GthH1v$3YPH8lSD_Ukj}D|Te)WME{^ zLnQE;Zf`{JN*@tOnd#f>*}D-iu+RaB=y_oXxanV0x;PbtKk&kE)4OuMie_cxsQ3ED zzxnZN0KXLYrNA!*ekt%vfnN&z`xW>n=JUUy$$tkw|4+4c{xqHc6MoM6-__dr9jT`O zD}MevCH=}jv;L*l4(m@qU5j4G-p2M%g!xaroc{M>Io>->JRx^8)ze zsQzngotcC6|BbDy*=UF+yw=_+NPI(wJzBg}Y&|jUt1&c(YZ4Q^#01Y}3P3ihPE{9F za8Ap=JGk=7Km8#7E$z#RAp{uME>CTSS0NQHubLGadUbX9eK$VBYjpJpVtaC{rsgPX z*ikXx^M!1`C}ePECdReB*LnVQK=-s_`4`SscGE*Ls&Jo6Bt@&Q5`*(}7Wp#th&AqR zauz54oIG4fo5LDT_q*$E*9Z3Ab;+NWl#6>8;qcqki>g&_O^X(bnA4>5oJxiY8a>O= zjzHlVgJTN?D zc%k&M-?>Gheh{I;Zwn-cXns=lr6=cKw`GLKc;RTD9W+e2@c1l0+8R%$Xqzi>B2lLC zO~+T&$K4%d^-bp|{Me6HTGPx$H-%Z6Es2(OXR-uO-{3#HFQXu!|81K&`^zFM4x zaSuQO8G3@c-T}A$N3yBg-^`?1hL;LCdFq!W>t@SgYBkNa#{#ES$yu#7GAG#u`7EsZ z_7hL>9eS?Syx9Tf*$AE}MHBWlD)TfNW#9(Ai?H zqpy+jynu&D1ZJ(JUOo&pG*CTwxl8fLd>))16Ng~tTgVy~HgyH=zD3wz-^>Jmas=9* zBrG}d&4+ckx=QPO_68h{F2ct&y2Z%)*g1fPUCovaPLZz{nGtp-yNdMuAw;mQK&eXGIYkV;c^ z8Om7=^{tpNwKQn?v-~k(dh|d1fQHude8X%SP!NV{TBC#G*-UD#K@Mkz72Qc-1{u#x zBqmFvl`dr|00PfY+~`N2QYF(6#Z1wIc!bm@wj%@1f&vc24DN0EQsLXY0zx+O zBN8D3y;@LR-JZ?1w}}RFutJ5+l>y?AY{LN7LxKrO%?T6g9PneC7fUp8@^ zP;J0X3%M15WRJPrdK|Zy2 zXY(*ueQjhM4SfJE$)|)o|3x>1a449Zt(tn5LN>CETe;~Q8L-lZnv~&t2f^EC>Us|7 z`tMqB;18c-+O=u-P+XtQTb1WiRdzhCjVm+2(BFV(-`$GJm74jup2 z721vv!8duv=Qmq8D0cI2Po^>LF?Z?LWb+1IBb315_I$xD$?59)cMu>7vzu`YvKmoM zS4rjSEegLxRn*3OGT6Ak8e%rouf`U7DadGmU|?M9{AjO|uFi8p$`v}>do6)0WH4*V zqOx~2yUC(ROflFaShdG!=;YNX>Z=Eto8EP3Tv9Q1eg83PVPrnFwkbLBJ=VSysFGxs zgOi7oc8qb|XMg#xi#f)LYl-0M?~J-?DR~lC)Wx2Pl0B`-6g5^5N{u?s7K`Yd712C~ zkYfP~WcXuDdT)&6$UWwZYYu<(B262qcwHy19OY|qB5ReN*^S-YwOd^II92AjJsbuD zFFl-Yl#`gLCmP+IJxk{5*+5r)?QPA~f?C?Nt%oWSuZWfljaWN0L+vQ*pF6%^nzL!G zX={%uhh-)`<(qrAtu2Eli>vzuMG22%)UZel9U|${3h=zo7(CymY zZ@IXiZV_4@m?e@c+%+<4&TL`M#PQo>2HwUfD1(3}@2EU4U0LF=#hqt& z1sjqQJsN%P0UBXIdn&XU#89TC-h*kc%m^QoM~?o8vqnk|<*bkVH?UDCCpyIJvG0}B zb(L4Q1?=tlI?qq3^eykT5hc-G{NKT7qcPy*P6lOex&fr&LHP+}^jh5X7;oFDDfAkGLfAjTA zV8F!(>VK{FU94U-__w_Tv5E5oXUClA|saHQkR#S*IkeXR5 zVF9Ae#|g!{e0J2t1&W1x7wRri&b(Q(hGjnAk-jda)Mi7b=+5X(`96<*tvnm3Hu}4} z)08F#jd=M(&t(tNjusF`%dZg`&%jXigvs)~;cIeB(YIH}Z}6Jl%bOOPI*kiKr+5>b z+^U)aZ4U0s*1Uzk7qVR@oQ;E3%UM?YOl?z-Yi`&?u*i>RyK z;~jJ7#?gGpP-e=R=O<({xh!?mDj+_QEcqZ{SsWmwE2=#);3Az)^`7Zj97rvGqHJ`o z1|68H0DR9Mp#n`mHivkiA1A?Si0AsH>RbR-M`^cryG@Jhd=G);>vlF*3G;+q+!RIe zA%L@t=I+PU;r7YSkMki#yp3#(vbHy*xk)Lk(nR;N;%lJFFnm+zB+fU)Z3ZNbKIyhS zs5mCWH`*DK92^h~DS>i@(#;bLBCJ@*)2-w0LOZiKoTvZ}EmS&UxGHdsZ>cK3fp>O? zB?Q*(WPKbH$ykLz3@(72wW>qWRn`c}W^CSqA4d76(@9Q(V?;K?m+*+-dKg+Q<|F-a zi`dZfyoCd0&rDnqm*}&w-?E$=OnU3?1EEr%9f=82!C<4!(#SzS2N?3@26Tmz=^WH{Sv=pe@)ON08(RBquNgy3C{6LqcrKZ1 z)~iL;^(MBf(-kxCd~{z*2TbKC+A1un6KR*I_i2uyn^X zBm-1(N~VljrYuNqu0V_rUmB?Ic^mo63u(Xep~%P$iP{H{1MBT=S}{>tRp8hyxon(P z@&+<0d8Jy7TrF4(xb$<@BeW+Gog?<>V5Q(mztSpYu8k=xCFG@OS?yjqT=Qs-QiC@# zh1wmfifuoOOK{hwl-nexI<>ZwJ(}O#+&e2C3h06vuD^8?QK4Id8-Q+G?o93pz{Fe+ z@|M$S!p#x?%+<`ph{oqQ+`HYtbzWrP!d4D(jJFN`BWj2uJfy|xPR+gYtqpqayd!lY z9pcs%7r8q7VjGhp|5usxJ`V(yguvAB4-}h|(@#cT_P}9l>F*DmquuFe$~S9`lGomz ziA%;M2$hntgc0VPEXi|oI{D54Q6|}B7>HAMCaaBei{w3(Cz&UyD)^S^1SZ8`JiWKP zWrYU2@o&ln){7M7bY+PXEv@hs-jLS|x!<&F#qt*WuI%$0%myrJs37kOn1<{(84?GQ8-T!4Obqqdm4IYnIMkyQo4at z+;L~bj4r{{IEhTm6^|iRVoSX6UBNCzrl!hNUiLY8t&$k-%a_V$DAm-L)Rv${-rzz* zCC(u9LU}Jy4Lirrb^y2;-hwk^uNVg?q}M6oTopu^J>_t^3)kVw;6=OJ>|!Tn`CUh! za|w)LJYXD78nJ3fL)+j7O0aZjlgm@y+D$~U<{5MNK~?%E&Te5PZUM+0%S{m{9Em4+ zBPo^7P$omvkm$kN_>II`x^^=`<*GDAXWK~4R@=jL#&Z;)bM+c#>mJ{SiG6USM$s|Q zW}8@dR}6#8qJU+zwEDHNXQt5t(VyT{AR_w(n_UC=&L0J|nT{L@*#oossf1lyQ^N~L z6@qrnja9|eI9a_fDi@M?zO18HFmU(vQ6%qZ(S6v4-944#*zi&*cB;t@uCUD#%fN^b zMUOiRU)g$pOVLv|9`>PpbOLADn|kkx#?69^8_Cq?F?8dN-tmW6$0tFP znXi;+HQ~mC;^dZeKtI*ma zhzf(0yPj0rnqZtQRLH6Hfjoqdc-tDe3s!?889yw>;(1m;ya@U@X(RL`zG+?JhkPvW zs|r=ai#R}}NPt8k`Q$&6sDoQr!Mx2o341-EYX>ETfaMm?VJ?W*>teGa%t}gGlZVzF zjv{bl5uS2-EVg|r*>UAL;wgo6p2mF+{VgCsl8_W_L-yec?y}DUUQeP$cossZc^e7-=uzsu zo7b=@9uqQMAafY>{!RmQ%cUOo5E-xSYKkf8(^`t@*ZBUO~twJGNfwG8oqV zcG&{3+Q-T4Op=?Oo2anHEH+r(V%E1iRe#rq_RecIVwgXu zyju-RgerX*r!`++^p-@y%~vHN@?{W08$s}ePE@);SMB8WDYCdGfJ~HfgUFwLp&`-w zTM*W4K)6`iUB?se3gY{i=uj+sXr*};=f&o?mA+^EJThmPRxS)`WeY7yV%LTWbZ9`> z=;j9&P1YnAV7Xsla$Ntzgyz51)^NdAC+2Fg4 zX$+IiL#?nH`bbRS!td$)!`11=Y3UKWyo^rjyLC@Oc=Khhd>56vr7j~~dW%cTD~hV* z+!@}sV|SN7)OtuFW96c6LR^WE@w2q*d4$YCGgB|S4MoXzt?3u1BZXX;cMykfCU~Bb zKSl22nL)f*Qdy(&n3|1f*H*M>T(-Zh&C1`^VL$m8_B~@pAAb>FjrRA}FXOYI;@}}y z?QQi0M2}bFkz2H`urzl2DnHN$^ex;bFFRY`s;6caqqR5H@6T?2b%i}^?YY%i(~uL` zIdkW$sn)$dT4f13*B*~|@mgw^ya^haW;r>1JnXv|zqP;WbYNSj_Ni6FBMK6As1Gg) zGf6(USU$-voMv=!mwji{3yHDpTUj9cJP$|;worh73BuHTUcb?(=((ZYR+nwME8t3D!S5F^FEl3?_OvUU95q^fq?X(sQo>}n)OfY z#xKPB7h?T?BVzq45%6Dxa{T*EsDIIc{Ez?4F9m)n@JoST3j9*wmjeHO1^#g)1k3zm`n?LahIL#G2!uLahH|>;DsC{U6%@J;d6G?G=(XW?-W=WH&IN zWi~W2d_}DFS!vnXU$GuNeFkGg4u<~@u{JO^WMN`uV4>9qa4^31XXK#OV`E{WWi;l{ zH)J#b7&9<2|3a+)$8+R=qT|2+BlMpb?EgyBUkdzE;Fkiw6!@jUF9l%!iTV6*813Jg z&;Q3IlYrk?>c0ZQ){d_$)f|4KZhxnr|9-+Z+wc7KUlD7zpDEU_z1V&xQvdeiCu{vb zM66l9%BCUQ0N71>-E7p%n0+di+&GOB;JdTRj7#*P#eGuoC^fgJX44Frb?z(m6lYp+FG8x_fg^F;Vf=ysJu*^_<=cNGixcL@sUG0 zn}jH(g|LZ>>Ul>7!Gkp0N#&P5nvdj!>nNZiS}+LTeHE<=i-yqYVDK6iYB3rl0POAT z!#rd5H#L{8MNALaFP=V+>0RGqE1jpFg6worhg3~$c1Pnx=Oz1k8i}y>d^>uR=(?{P z!tthznAGvA1~mt};bn%%hM~a}L2lK+Y}JT6?CX$SS+xpVMtF_kXs^1AM~Q6|&-ivd zA5z1Jqe@DP&JA*?N{WxvQQL}+3KB*qXXW)nM;;W=YgaP*pP^O}>qJXOZJVWBnja3} zjVLtK&V`_wD&F*e%%&(61A`H6xkyO*7Ao-0e~kV)n1Tb3J`b^ebVVIEGoAfJQpEfR zHS6e%{M)&8tdj#%Y(ky4q3`>U@m`+J4iWlAY+#~L59L%@B;H9I+}s*|qyi`vcO@0U zWwG3e;&wZ`F$u7l&oF5|J{RXXy4<7%iZ2_JBv0P;26X zh{(yxX~o~t+Lrs1fqGa~F8Y3}+C9Or)Ba#e+_?(ST#}BO;KYhhBK5ZVIHMi$rIP`N zunw&c0VTP{89Wi|nBfV{Hy0I$1|Jo6YadEWiSRtPBjQ7a+-uCYu;?J`2X3frB2K3g zH=plDurY6x=V}O?x9Ys@kXk^-kaeLZbAmebB!ZGu7sS5Ml^7F5m0=-=^hVquA$Pg| zMpJ+5dtG`Jj!{@e63k*Pq35v&U2&kRMd zHc9n8OP}>*AB)7%K#B<8JI2?+NW}y#;AARdf>NcCfuJR@FO%Eyg0oyr8(1uM2}5e3 z>D7Jn=P%Cz^Qxf{@6gsIua?Qm!VBFXwW}`rwBd(&8ZsZy%PW|kQt)4>ry+RQ9vT}@terfdX>wg`=bR;=5qhi&0p15bhFKyqvTXyCZ-iiH3=*i| zTxV<8sLH7o=bQBof@-63unrJ5mm*^%b`4kV{Eb9TVlru46Ch4AiTXflN?BY^&ec&4 z54O?5!PTjAu}+yHSVBVw{GcGqYtEEo5n*d9LaN$HSVpxDUE}V-h^OW05gkiqOvPrD zTYa!8v+iV#bem$c^U}+U7NGrpJ`5Y2#H90NCamyBq>`jK$msIUjjWcbLu6V)TT|4gQl+X2oudrkLm(ia&JO2LMa-V6CGhWEuI(c99K4O z=bH#)Bb;Y_G}5vuus@!F^>EB2AdXTyIVH7J5trvkg%4~&s=SiR0ac6IJ?>G= z85u248(F(bkSvgMHQg-pcB8?{1%~nb&nk~fi(q28YX`9@1Jk+ge0asPU|>IfT;U9* z^MvAvqHP2+qF12EQ<0VH7L77ecxaBy$67SR1p|SD_BS35gUa3^dAB*y8k%3Cbcm|& zd{{5k&n{{1n|`VK^nNWH`fKcj&P>S!<2r@$23Rz8PlCe)yF1 z_8CPYCM@r!YKG`g-V)xmckuMBblB9iic*=7tIla#o71Gu?epUvzU=yEI?9dvy@R{) z8IlXkK6h?wV~(Pl;R^<0in??7BP#d!hx9|+s4NL1 zi9%z}_v*0rTw zX)C3T#Vl$=R8AaesB6|z$e zl$`Imn_F#8m5;yofwnOZ}sWd87p6&C5LvGRg6ZP;Uu zlVW)y7tp?%9<~Oc9lj;(ZPYd2_VSv;8X>r`7q(APhzRdD@mMN&>O{h^bPi89$`~4f zjbWz=a=S8HrO4_W5AJpISmr_&yK8i~q-3vbZzH>txru8ZxC=08az<_$4 zT%Ac~sMX;C`lDM`Nz-6SxT=#W2j&hpO(BGR(b&YS+or-{f$wp2&4H>eTAjqK<`9GO zHITNzGGAdJ!KLmgFmOzG4Rx^WN2Hz znC^$)HdS2Q?eMkHjM7&DOVrQsm-HCg6Si{^PoUDJh1-%T=KcBX30% z+}~^!=4^51g;Zq>1ztcLl_!jrBZdyTOp|99Q1B=#$OgxJwbN0{CZo4+h%RvtPa|tS$A#wWkJAl`;r9sCK%aS~ZI_hL79pQw6NuL>j$>Vs32{LnvA+oRdAOBLmeiD@r$ z8=iD}10X<9iq-aO$y3F-nKFUR`ZP7ah(7q$g{Vat`1GCQ@WBF*PA@trhQ4p71dU-*#r$$ zGwkQnk;?X=dk~=|aclEbI!{74bwgC48{IY}B`irxi=*`Ir>mK8S=!Oe*Sh10S=|Kx?^;xJ{%L(v6YE9Mt4L zc-kL(4gNt0^aGX`eLEnle^ApC%TPzox-|pZ6IgQwNz>?;a|4FR_MYl;aeiuS!O2$U zYB-nB50WSC>!V6jJ}qe~9s-2Ghfm+WI$_asvUdirO1s=5e&H73LI}kEE>78FPbS{f zB+1XJ+3W2GDxwpedR}@>=C&Gg4Uu5ps~|FT1;0EUp+w95b|J`;W}(fyk}@DWNf5MV z@rZ{e-_RB%*hCdUwo}rHDz=Wp`gKLa)`mt#V)l-k%o#qDZH5m=O;XX+u{UYSt)MxO zM_?yQOU_U4B~44!6b0%NA4c9 z$`5;?<e$GF2YdE){@$oo6zN5zWjFTF{Op5F++SFm}ZTw{&e z32Pf|*z>}cn3TI2vAkgOp04Mp1Dw%LGJq22P?&s{RL;+dJoKeIK)WCx&nMx?mxY07 zyU=lBj8p9A+ch*ba~&I0-LHFAN&mv;=Q$Em8iVzrv|fO=1E=EhA?BmPa=opJ^Sd8H zE6rBB-IOaDMtjEa0F{8ZA4_Q$y=ba7{u{X8!U0;~4fk2$ z9(C{j#u4AHHbOr*w;ykxX_b&7lq-YGN^-O=k3N@-bz*in5R5;UtQRxUdN)y5IyCV0 z=(ss}(B7V0Sg}t9`m8ZR?iSqf_e#Kt&~qz!)Ywh3K%v(j$;cxpBhx3l6`KD?3?Kid(EYcqX)ul z;k9N=q2mZFz3vNmxv zC1B(Lu>5{|<}a4uU++Qu?LL^kk%^f#5BXVhJ2`=wArH9;WIOw8ThNYPzJ z$-v#hfWwfS?=`*Xui-_n$xoKn4xFy9$wppo`~RQ6Gw}`1R|+Q%Ml3K z*c%bB(gA1<00343HV!&wHUNjdF(VDZYjI-+`qx1+0%!pMP9}CvX24%OAfn%blm5s} z`eSdeTRcRjj*hmR^z<$+E_5zTbT+@NUY`fdA< zlGT4Z@vnXUl;`$eyT~le9L#_H56*OTM8m>Hvp@Q2OvjE70c_-BJAN2}FYV$OSu|S& z6fIg+<@!`jFAXL6djpdn^Jg5hKRBj;j71S01cKN#+3*z=7ah%hq~~pJZNA@l+$_60 zvC&C;8egN+dAxhYghC-k;Rx=WCn@&8c3DE*{M3JzG4BV-NG`8F=FlBhJ^#vN%7Om^~g*g1Fi> zU1PkU`mou^)I1E|hQ9h=@NvsP*h-h{!yzB{BJlkw#O!MG63&tqZTTdtwoO-lg| z$KuOyE+?GP6|kl*{t!f)j?SIJW(66L5f}?^}4lm+FWTsBb{lO+aTO$5x3VOMYI;y2m z!ox$Tby*5ZqEISUDURC+2|xwxzIMs>wEZRT4un+_p+Po$d_BS#So>P8N2lcxBPA@d zL#)^d4)5G8G4-{8rOY#eC(_5J3kW5!OndCGa&)%KHxx`o*F!8lN!De}byhG`i>#%W zg%&p9R#rErq`JPPIAG6p3k`3U-n20$l{mp7#2xHcmVLJ+0?J5oCAr1wrb~I~cj%x1 zkB4FgHO$D@n`{h%WEN=Pc@~rmBJlFFFs1xD2NVit6C?{*-RV<7Id61z{jmDCIY4b( zgm7H(-DKjcMr7%}OWSKOH`(a3I%7lDuf_+lSek+^8QTELXNC1GkdaofNa1B6rb$9N zzdVyuyq1s7wq=cKS_Tb##+PYJj$W!k60%3b`Vv>CD^B~#@zYeDd~jLl2QouiHrv3N z(prD)b>y_l(b8#u%}rJbAd+5k4?nSthIX&DxmoN?R%*Ocjxn)*?NmpHZ?D#TO9pE^ zL0k*=J?nVqC^8+k+q-oUy8Rd=g`5)!U_yCajpr2;!x(WY25eue?lSTycO`?_ZQ*yk zzG>t>JFQM2e?#vz_B%TCDyhiuC|l|yrx}umLPNp=cU&J| z7H`2Ky?~wLg0!Sl<=ynK3Xlo&d`Io-IUiX3@^sL>LRouu=j7nxbj_9Cwx< zmN~4xvIm@MLV5zLBf`fd5PZ`u_Y^QGP?+v6W~QZS$Lpd87Nze2;*M1?Y^qpLN0_B= zoc2b}^G=P|k!)ZDgm66<-gG2L^xPkU4(Q3ZQnA3iBWbd}{C&tl<*Bjoep-o0?uk|Y zmOomt)(Rft`p^dB4Vic>Qk#l@|B={hrR1)$%*H%svKq9mRgYP7eg@4wVNze6d2(p3 zC>KDcO0MTbwk8hCV+t&EB8qCepSg8x%4egrZb2a`B+r@556Wn2K(FF*>C@*brcmE7 zxUT_{?n9v=PgxMiWyN6?^hDdS7$uMWVAS@JM0=Ln?VYZPYlqX-?J=4JaA(SmG*O(3 z94cjV(gNGVZ0Q7^SCKGV&{{3N<+c!gZ5^b#fhEX_Jmo+p*L2a04siVyRbeX#!Ez1M=-@ zXK;&$oX#4OAd2o_BOYBC2Ph>!P7}M^3-=_N)kI{E(ejA< zm~@H%0f;4*_-?*F&}8e<5?HmKJ>4XhN--IUXus_y4f>j?tB^ZMtxr ziYt{=Dzs2+r7W9&*}3c`L#0Uv(|j(H8a+@ zGcTpjriPWKFSlQ@a@(B{&X6RN!;M#JM57}Tt{|z|821Lzg0d+i>02EMUIE1idx#B3 z-QU1$V>K7gt*nP`6)tNoCwWrA$sH`U+e6|`7SR@nf^O)~rOS4o>6XTpE%ple9QO~) z#cu9_M6vUZwVg8SIHu}QyQGBUDAROV5o$=!5w2QBx2%DX==uP3yJYd>M7nm9>_qp# zPv8gD=d+awIA5QZQawN<1niVE?2LZje7lx>6?=);bb%%Q@z$%<8(6y;moXWLNruGv z(h(;MKb^kkiMkAZpZe9!c1Pa*r?8}0`LiT?c?N;8LKicjhVhL&(1e|0fikd$0iJMTZL+pm|Kd?Kwwl$_Dq; z6EVHiKyC3Q(0w6JH4CEN#lBl{9tC%!nZJ_Fq| z4tx%?VL;ujunco^)@qyhXcu-8si}$`FL;3_{=5ShY@$Us_*8|I<_ux98K&XBP6pPl z9H-)pC<(B%Yj}2jL^?^UNCQS$GZT{4iqgt%oYU`L+W>-9nEONtMj5}9zA$@QRV(B1 zWi22=1ngK5zXY%GyM{X>^e1a{<=EV?p^5C$v}$HljGcRh5XN$Z_?((Hw{zD=0x*SO z$#VhFPwkN!CNUl^SIePN`Q&iCr980On^WPWRl(dc1#W<6El7yI;O)E2rmjV4W1fB^ z=Ke*9kT%4f1b-_Ucfs7{boZ5o6S5x&_$Uti+>=CGp1a>QUyZkpAMyC`( z?4aJ~BgC-?*cJNd5r7b6dcxki_`>Dd@ES@FhO5ntBC!I?j3Jc4q|P ztf|Yfp{KV_eOk}ua*dY&T-<@%e%@Jg45R0zvs)3^KJO_K;|4p& zMc$*f$;-&e@&Yk3h^EuoLz#k&CV3-8q4qhR3&)C;35h$mG1K^%w9E+6()%^8_2xik z>^=$VR`AMA*-{)CoxXE#&$Z!VTaFtPGkh<`kS|u|!8^^a);oWxABX`|%6&ey9wp|7dpLfe~% zgLPdn=XAr$SB2keZ&maMK1Ic<3es9M^EwCzd5SrE<|xfGU~Y zOpaa*I*8;4$FM152IGV4=C%qEfzq|za#`c_`UixCh3F2&u$ZG_dBekCL2`GJrEJ&E z9^nrnd95AP%GCoRI3CkU<&ve5O(Jpv7KW%ZI|hR{Px>#g!ViGHX^AN)$9fBgMhbfe3-4v2FF%y?uXVFn@4wu)K&Zq4xC^+qI8xNg&T6}%dy?7F<;Tr4*s$< z3{*nZG0C0U@$}#wvAhzhYC`XA1ki(F5&Us`_(7bTth-MikUUc%$0d&q!dDQqfF@8jsAeZ`pyXi8y1dON z7}-mp|2WP#lmbx31+|j#_Gk=9A^CaV<~uW7-(ry}T<8T&Wy4~@ zD2Y5lPgYozsi&oIiBiXeiMKR{q{;y1>Ba>WWcpwkxmgwRuI_d@H~?XY5Jj?r0Khh? zJV!2_KJ3*2Z@^|Bc<h=qo+l`w~Msm+?CAy)QU- z66YS4@jOpx3wGFV2&jz2$I%HCS^V6^~&i11uU zSF?`twBa`dmVyaE6Hsb+2Oir4_b6w_nwh1(+&?EDY0yO0nkm4J=`854P8H6?z&pSt z>H9#I8J?*U+gVfS@$?pSUqv!2YW*jun8`w6AI(BQcf*sC-VRVi2`B~g;F|8{B8rtEtIgSmCM8g72$@Y)Lmvm5Y zUbqx^xpLH|d)l655N_JuK84sm3_I@a+}kw$CExM|^9qOOaJ4DEYkvxBi&FNd(LrK( zOZ!X=LPQ`cyH-^M`DMu{sze|$f&8T-)Zt=4IM^q)C3Yr%mYOs|1Bw8$!n%;03*liu z?ir$WnmmD6KF*a>wzyET_^?^lsnjVHZal!Yn!EB@Z&2im7^1Szx}LNtd*0w(lS-NN zhy9d`WSUw#^6rI8QnffB(6U294Jc5^BydbrSnDKQ6PifROl%jN@Os`@^~Ty4UH_i3 zi4%$z4(&T#!dIdtztc0jAwqZbK1}dqjw;nadwA&^b@Y!BgQoGoXR;Q&{(w6i={_&m zh1epuc7T=NH+WN5=)z#5*hOJ9aO(&_#p_p=iv5UvuK^)s2va~IqLl~=Z87%7~9H6eS@|&IHm@gwMO2= zqt34`^+X0Q9k|aeV|$_&+C19khC|{y2Jn5vFRnpYNTe);GgbpXoTSUm%6x$6p+^VI z$y9}bW~Es))@2}oDV(zAw_;k}rYPyCW17vRJ=n<{`94@v9X7z?1IpR4FE-WFH`}0VM-o~{Eh9lfFvV`R^ghsocS}4_G_uE{)~|5GBn(~mF|=%?<(i-G z<-;`VqO@4QyUHG5?yY{FDlIc@-_9kA;=c7Y7%r~d&p+0?d3{`e)b$D9)*Goqw%Tp{YA zwufL$>d_N7;L3>ef%n^o@r?)+h7GJ#8M*vzoll~I;ia)xkHkaRZ=V2PRVgLHJxMSM zv=YVT@;5z(1M7imUD&SMSKBxY&fM%=+?*V2G_ThiEW#d}65XJHX9FWLnq#765DeUo z>HV{w7H6Nt&EXM@BTG;Z!XluCC;BfnI}*&~&uvXk_|I?Ogyq{w#h&F;-Xt;O1#Y-g zSj+`|Yb9$B@sjPRla5?3wD_qED$Q8v;qXu*E5@D#*kknT?)5%=tG>`lZ5f z3rXn*LG5)nQ7SCLAUVmLxrGfYq1KAFsfcE6@}qp52SIh^7k8+LlEgc%r4@}1kCyw#ZEAPo?GgXROI?nt~b>Kl^a z0}9u5StjQxK&wjQ=>?1ui{YV5mSldD`U(gjAKOIwj~?$c|K+j$y9(z$pzS@N?Y%Sa z|EJdHpS=Blp7{JHoBO|V=pBJ~1l|#NN8lZScLe@Mpu;cS#lKhK{F>hXRX`i_Z`lif zEPS8m-{<*1=J~&LVAD|loq)D~xB6c+VE;h}_P<^Kj{@3g40H?)nf0hCSZEm-DCl(< z41NZ*QL|9!|4a_i)n(Gtqhn$CX8~=DbkzF#y0iuq^z`&B6!Zo(KRdK_nP@5K^l2IN z4RjdjSm=Il_m;f^SnN-4wC@`Jq-n&E}cFFy&fY2 z1+$KhAq5ki4lM&SlfEG}Ez3Wf=jj=B4e1$J3@DhHm<=iDnRN9jbZF?PDVUh`f6n;^ z`qTz2bnk)7|A$BUUmySe9sZ8MI|A4F8Uo_IKN> zo}7Wb8kID^AeFp15hyIqKx3t%C>S(Dj=3lDw*9 zI9K{Bp39RUT6zGkUGT)L_hbowdj(gC{l^-gR(lis_~pKVMOWi*wBJZJz8rH6df|ep z>tmPtTyevcnfj1ICN9f9bPY7@cOmt3r+#xesY+m=f(%rQPg3)2Lx0P=ni17{p4rI3 zQh$_I9IjR2*xxu$VX0;$tFPDAeu-o3{k{~AYEv5!@T^ZOH`CNDI;_v3qYDWXN%0|d zj<+l|d}`c2cP6S$B1yF{S>z;=UE=lJVx*M|EpvOQSq2odMLxM&L7{l;vw}j2gNLI7 zk^t_d#!&4DdgtKFr}Rk-UlEfOI06X7;Z^Z6@x{Tpdw+E%!vxuRO2oNmVE+5?bP9r)p=Gbh{rf z=Gxa|hmz6oCJdo<1}oGSHEW5{n8>NiBfg|It~n*RTgf_Fpkg5R&E5Lpc>W=Uxr407 z*}?p53CI<(a>#FM`WUoIi^M;Dm6k@_R%r~iyHF-1 zBn~uJ7l|Jff;?*ML6kC4W-!eiM;*o!&oW*^FpVV67NaE40w8lslKI7^WGc_3X#ASh zpD?G(lvi83YlkwXmNU7Q9it#1p}=m+kYFuA{Nvf@x-2pnvrlyhDNIYRdfdWP!fIxfPP@?9_xA~T{`cFX67yg%vhY?<~tK& z<~g6$i;rbSh*Y9dH0yrCnYqo$87`3I2=0CX{IMChAA?#Qcc?!4qq>wVKgF3>H0&0Y z0WGkEi>yb=m)UQ&ecn{Hd_4Pg2odDn-!DYJ&x!K%8o?#(Fc0zm5c0W#!8w^lZ=o{% z%n6FXp__mJYSyw={t2acIAyj{RpT;?Of)|!gS>%Z_AbBWp^VahiGJ}#J&!v8pKUyk z%jRKW%XXEiiL!7$ZI7VxluY^A(M7dsJCrcjclfMZ(20WZVyQsV>Vzc!kcf#w5(5%K zmYIDnF)Ww8Szctzi9Bdo!W_JNFT9r6MxhJeSP&otE9AK#hVBV@~m4VkWK zK2LhTcS*)$dZbLCW-mf=ToFt@jKvLvUbM9`L0_3rCefK$WP-0Iot|!D1jq42aWz#H zHQc_;@ns1%vATL^(6W?pEh(S+8U#FcJJ#a$X|Gh=n6t3>KnKC~`u&W;lE zqkYZF#RBetP)W!BIByT`hoUfNQ1Y#tsdQX zA*7lO3mN3;Q9h*~x)xA?cGX=Kg5io?MH=EA;2f21*5!!GRV<8(;NuMr^2L~gigBvo zX25`}O{lIm^F`CPoSPIWBsXY!roc^VyTbKNAT^l@&uxPppw9+JE=^klVzzd90lLy_ zQvsvg^5a8q2E4k)OX>030z>&vWKJxA3}scEvKWCR0`&8Qfa2xD=%IPb)OS^SX0P=s z-gkgJ_hvOdiE!qPa76-cwwA_Ugrym!kDY|_4)ASH8L$Lkn{ z74mkPXG^-Z0%USgEie8>N>v#J23eBQ5v^W@CTwo@0FtxE0tu?hWO$BO0>p%J-ck+< zHrNgp8Yml1eqUBZroo9heSgWA>S07M{3r2 z3{$bhfz|A#OU;v?aT-xoish32X4{rwEbdh|2(Z-v$pmYGdB<#tf+fv_BhAb zUvj$l@^2Tfxr5v@V1qgzFuemACS$Slm|q3)@q0`fbe&Rk#hW7?DncDpla%E&tJH$S z5=Qo$T_czIdL*3hqd(Gr4ik6`mu*_?%n-Abu-?Xo{MOLC$GxMFSntZPDpPXhK4J9( zI}4WO;~fW)r8~gTj-Ui$x+lh~+$R9f*4+b9M_yP8EBa2hLOLr<;Z+A`W**x74kr8~ z>6eHoY4(qwe0m8pczvE-ugI`IK)AS!Ie-hTIPm}~Px_e30_&-(TdDFtRhfbKGV3r9 zyMQ)-z1u0Fd5fuQ4$$R}Z8cwt&VDXjATPUMjF?Z9SE(Mr1zsE`n6@trS2c?kRSme1 zVxqU^p(5^ipbBbY#nCMcEqeijPnAoqrTe&q0q=xabZn~1-&V3Xeo~+bd>&l{y+p2b zO3-4Ixq9VIuxnsI;6XnaX5fB)1D z6C6#@OWAWxgO_3Ysp2(@;Onw;dKLq1a(syrlyf=t-f*>~Y~{aH21RftS{ zvy@Epu~%~82RR>;?d>-wp9~?B&AXJYj}TzKMTA0!hpd()h{!vIx?y$05~7bnXQ`u* zFzIc=J3|3n-hE1J$Dwg6eF}-0vMpQ!O^Gdg+M_M&X1s11!iVDDjh!Oe8N!n&{2y*% zvS0cdNqU`3Adg?SIYi+NmT+ZJA$MjzBqlV0M2=hyR?$wQOcMiteEL}i--aKaKI>v- zf~(-P#uZIsR-+l@L7GRLpQlUZn8)H#u+z|&kc(fLM}4qbbt6Md18Xva$~?h_7$v zW{)q)eJehc_p}sa(Llb72GvqO$spzfBM)Jq+H5}T9X!vYgXm^z%(qcHjKdlK$ZY-_ zYbImFEA>jftq}-J$aC^;zPNV|~|mgpe{6pAm2Fv;Ho z4l9#+N2YNCMJY{bFT37GtB8pqw4 zz5vQm%>kqV3B&WsxSYX=Uwtc$^O-^@PuQb4jT`yq%M>7?E5OR%T{@xl@(d5i9qpa~ zqAzFFSX2P}`Nzo}ounQB<=Q0fSjbFN$aXhp33EWq(R8Iyo>pwwMtDYO;LEM5tG3=E zkSd6^{h+|&tY5c%GcV(Udhy)!MWmeVk&=`pyf5y6WkD>8M$KrKg!$Hx%~#rLvt}ek zoze=(g3!z6W99H~>l``06vn9eMk}+$Rzg30{Yp0+GgQi~F_yD+?utaK%n}psDY{!cn_N*A6R$cg(psH04iHFjJy4v$I zWQn{qgs)8U4uUx?R!|FiyoVrkP;bozzD5gq7Q*vJZOwvPZbISY2;l>zHsb4j>wqN{ z719}0vhSWvon5D|Lz!f*2leWhwwD3u?QvD_rr4*i#n|MEK6DU(t~R67vR{HU&2DDVY zizvGPR?N`ep>5tp&d=~P<*}*22QS5YFrp|5CS=~)HrQac9Ki$%5UY$0B+D=P6~m9s zzbQvR^0t`q-tFM_>myK(o^pcH0;e``#D)8D>ccmieIztjeq>S|pDOq~U||9e+E3gM z{#5H;2}Nsf*5IQGBX~WHj}D28=MKW`{NWBXIb@*8^*lUh`>pI++Ip8odD1baP?m<0ch4YHJ~FR&Yyf z1KMIMpKXfegf;nM0vJP&!Hp4uJsWvpZ3d!VP^&n!1b$Ab=Iywh$>;P?DgOX^Tniyf z`pENTb0yRIsg(;hSDBLQX=NVDM0npjKB1Z~TvJ+zyC@erY%^T530OKu%PZOg>W#mUNY2qy+Q+4Cu^0y@Tsf5=RGN3eseM1Z>Aja7Du2P6pqFQ#82 zFf{_P;FMtcMR?V9OF>psv+tJ`H&L5*N?6_WExPSTkAo2R@7O~Q#?~{|1gt6$wuE3T zh=2k`*e&{BKWMr_dZmmWQ`doMbjw!w%1dW~qU2v-R2EjW;-FVU;;bnU8{g#4p#=Bs zc6Oq9XVN~#BlL8>B?o`Y9vtjFc)EI)ITWX4on;|JBLzC1k-k2dx2-jyG^|gdbP7H9 zR&xw7=?rLiB-`9np=&ZG=GwTHA2uqAfqq%#|IVS0j2}SG?=B#N0HVo{3>+ZRR1~Y@ zYDLx1E^xc?XP?C z$I1U*RP}!sz5bJmXToM-_#?fnqR+f`fIP0Le?4zV`@7y@5abdR(-th*|9@ z>kNY6O(n;#t~ZKna%x$n4w}{|Ys%VoKT!_W-bo!>B2=9;9Xe{zgFPJ24vyQdqLKzFh|+n>4@ zsKT)v;cgn^Kvz6vJuEJ%C0bxUoM2&6a{mmTzRHD)M^#J6 z7u6sh?cPKGXBww&(*Fw-f2MI7l17B>}cyyC`JvnV;%0bP6AL%^sp{o=_q+>oCfyGN;C+#Hk1 zLFf_|TI$M7uF_YaIWoS8O+;|AD2y#5u+Vx*9Pc)Ct+H6Ex~L~fJ1(YY4WLuq2ZFr^ z1{{iXa~0>&SD-q}XXR`tbVYkD>!JbFbt)bvCLrJK@(_8o6s?c~n{l~n2*jv^~Q(-vP!ZB)5f?j%_kq=gW&Opaj|@>7D6QhYw7r-ZR( zfQTdTK`YitSbw<5j|F6^Qmw=8y&nwq+SIET0WOFmxXC)K^A|QX%)=wLK()tgJ&FTS z5d~+~aWJKr1*`MZ4;!5`A*7sUwBCg87S#n~)mgex2@=bfDg)ajzeJ#S0CJ3lB|KPK z>%TBx8c)i?Z*^HEVn3<^8WE)Za0O&>1le20zbUdgQWT$|gcZEwR|COAe3879FeHwQ zCTBk`jwRE^EM!werjG{FDBT&cRTfplPHecHbRW8g|7{eKY#qhPjxi!R$W#%aJZ}Fp zsR(ua!Uu)mBBYvg6O4%gAh>=g{3^jcMtwgj8CvC>c*>*`axa4K-*2ZuwlQwLwg{X} zG^s(R4)5eK#?qh#aAb~-G-y$4L7!9da&ugQ<8D_C)p;0}Pp1z>9hqcUhzMiH;nGaE zXh#XhfHI1k44jRklK^?@T)G{+SiAXmUZI+kBumUq=~r(Vp?A@?PhO>@2@<~Xk|=wn z<|nB78lFNLpN>8&)MqL0d_U>0AHnzQGI<8%V;^_BA{B(5rV0+MnKs~R!}2B%2&XM>G#AfM^e+vbcCyUDyK0rCJX ztpFXR?p2Dwh}tV|5;^kBu&m0v_Ll9u70m(3IuGjMRG^h# zDvArCa;DFa7(WHBgGN|h!=<-*Mq5jJD^bf7pbM=ECDI4S(_&X8E3WBahjwZD&pV`N zStg~1*`&gBDO@)u*$U#8%2JYPy@;v303jt^wDvjH9egTZ z-vvgIB6eu3u77r>p4S29XwRF^)h)|k8s6Qa-B~s&p!u+3(}MdO(bcOiqWM`Ce=J7W zrD>QKzzj0x9Ay*kAZ@a@h1bDe{UQNyY#;o_pH!WoSS9HS36c=E=KBPd#otuGk@8=jJHcS>4 zPfeP!%+$vSG&=^h5h7vw{xc;aeMltC=^8TNVEIwcVK_e#s>JzQWpn5=08jL_M`?3j zB1U+^Xap#VMj!TV7~Im-$4<{)`^VdkExCK#sY4QjwM&RF^&%%NVHZaI zn8l@L5F_FaNk_Wt4=M6!7x*rOx3hZ{uP8WcpKPD$r(Hy*fpzmEh{X*FA5!&S8Bt`K z64qj;`!cFhrtGB-qVxm92)sN=Qo_|^yO^=D$GhM!mQLG6Iz~UTfjd%vzd4mt=X=V# z2S5b%a`2IBF2ugw!f!wsJ}lMSga0mr&$`wc8${QWb(3a2JnC^7*T%`S@-+xm?!Lbq zach(C#t_gH0}J4rA33y?_9RlyxxsUkd*McpIVPT8S1s}PiM@VHV@9bd7?wCTR#|*@ zluTA|((N%E%jjGuDjfPf*29lViSn63*84{IcvP@O!{AWB8xfRRX$Q$1-nz)>unKwv z)%FiA&2tmAXU`lq)#6JHb919$A4H62p7bWRQf2&7F+kZprBxI&WOeyRfHjE z#mTfEXUugqQi8e1#JAWK*SkLf7{4NL*d=xxuqV1Ns)^i**C?~P6Kf_J(!Q`*M-nu5 zh-copY*I;MG3w?-ECj1o8Hrmp_pb#SHOL@GX zN-~futoGyqqtorhKGsliU$F3&$br}h+jg_-s}(#15(8NX`kj0XSmq%mI>=O$DX&0e zp-YO~W5JdFrZy!JPkikS*C~ESwKa za=oSa^AB6hqnHx4dUsp^A<%0$h~AHB(kf8}DC1>rd@l*>gf(ge8hbAA#KORca=6j4 zE)5ho1YX3G7iL$ir;Za~YG`mKXb;QQQ4nwTKVI&7KQs%~0$>VD!rQnygTWsWkH}wG zESU>D+tRi#dce93Sk_VF1lL!9}i)>%X2Z0 z3aZ1&{qB;JHIgp2mB|v}i8{)V6u6w=lbkP3NLmDQ& zu^YK0wMkXxzL%wL0A0~I*99(a6Jle$&%So#!fueX+IKF>XUVvsFy<(MAE9Y`V%3`M z)INXQXis0VjMs&f5ahQ%#JSaqM^Ho3-}|oPvGTJqK6o1~f#D{s&pB_HoFk0B|FtBf zS9T|hWtP>G_YJ$e_@ziFqdv_}XN!I7@p7>eI(bR6EHb9nqMb*#v1Gp^)I6%NEVh=e zUQK*IAhd(F3IARlrug7;ufa@zND+r`ePpO@9m>4x5vmIspP{`6wx_8y@yGYaHjvMU_#Xf*bzn&hRd@AsRyZsAeLR*stS zhlgWF>5y5p>msL)#kcDG*_68NH)j0T06AOkj|EbpE)`3czZ_f+?uYq;@Y_jQq*z&` zxRByseo1N(Es9Wdt5Fw6tDuf)yse|M+A3Z;XXPtmBeEjjWz1AMJzx^)oYX7sG@S^e zD4wⅆY;_w33F?JWR0~1o%O#)@UVTJ@lcWak1*D@5`d!*MyQ-mjchSd||{;Az6`- z{G4Rf3Vmx05m3kqKht=rkcWXx+?$Eq^A1z|bWR}Fjasbu3*BS^(q(y?>?a112wxc- z3)d%%(!y7zF-^noMTTJL;2r8=E_u3P1-#^UjnAwLU9xy;#4d2ph*z;g#IBO;6Tz7GKJ@L1E2t?U!*$ zzs+f!iw&+UD%9tY_u9Do@HNWMrcsn0C--FJ>(cD=msg{uyZQhkH}KNtZ7Q?pp09t)^7Um%!xp_ot%b!Mpy{q=mGK9pgH#M{yM`(27X} z=7zpm7Hp}vtdx|lwz@IIE@PddXw2_^`_+Yr40 zjUBk#veX~~lQWi~<@5-oOt)JmW;V!&Bbm!iGOzjX6M%`1pbAHnSa3*0E<;2U|A;!n zocH!J4Dns!6Uf1WKJwKE6ZED`w|g+v^uhqeOWB>;&;=b&CNRpbMJ)(Q6%^%e)HTW9 zsW(1oZ8ja-yJX*AOz~Rq%6u7tA;=aK$sXX%!0^B!Qn5kuBuCJ9XV8e!4Sy7xu@00^ zI55({(Mt*!$?!pR??_ufYt9XhbfRxoEz{S)WZy(dS$?LvN%EuWR@M_uZ_AODnHVNt zl5mMomy7V7Wo$+@7_J%rhW6wT<(==|bw4A!PS70AM_>Cv;2I&JUjZ>p)wyA)kr+hJ z^+kJsoJWZ$*7bOIbYdpdmJ|frJj(%Y1)tAxOIYVw2oP`GIEx`~0B; zHhlO?fFFmhu*8wmLN&QVXF1ZWD54Pu#$@75+JKs%)+bKAsX-(8LW% zA4H8_Z{a-j8DIHMG6LJB&n0Z-ru}6kNeJ6vfR%DTekS1VmGLJ>xfC*)9^=xI-$^&> zV=sxXYHl|%Ty?-eH;Tfc{{`Qh#Epj8~?la1t2_h&qLYQo!!AQq$V zOSr|4*wJA6bR-; z1$duhU^ROBC#%Q8dwaQppFqb3KK9yt(II55W3T$2Ipllu5%+q$nq{G%cd5BB(>`8V z+FQG_OOH*;Fm%F}?=!QuB}}7KS<<=O!2*bo5^w{%OQLnpT8ebu#fPX|ked>!7a49= zHQ93^xso$xMnc7}b=MDi7^heCxvHZ*!Sc}H@ti6w+iwSXhr~y;mO&#;6h9zYtq?p; zz{XHq!)gO(u(yvbu6Wv+6_FWeM>9V99#&QF70A^*5#S#VVnnG$b!N3twJSa36rmF9 z6wZNo#RlPdmI}$2td2KScX32TECd5ON-z*(=*2vCF~X%GH&bDeW4x5q&(F76o}PSz zsu}1>OtK?W>bPaa+p~6Uw6BaeVi|uj;g2X~xs_&}&#)g0sc;k0DY_tSwYFdAqJ6jK#6+66V=qhE;DUJwn0zPK(7j^FpB z*H%h+sfwja2oH-OUN=}(=#)v=ViS1cWFN2Vv#oFlkd3~qt+*ppPpYMM2NZR6{+blx z78l!2U0s`;(Z01Jr#o{Jt4gl!*-{HM!XRCn-Y!lQ*H`H?t!2Sxch4InNp|ZLniw%T zhY^04Ojjq6a?8_mn)2gJJ^|JNCXpPLa&DPA;hsZ#ar(Z9?3V+oI$+M+3?inA z{?z)7kM&TW3r>dt{2o>GL-XGmOQ>Je~QKRNJE&rz-}`xEoQKHwJUWJM_hzF+`dZ0VSFL7*-0F%)^~v^%t} z=$jGWet;uW<{1G#X&-AZrA7r{t~9lQD_21z^qM8I*p~PHaF~*ux&k|IvQ!cZyN-c9 zggk!pQo+uwa4tvT3_=}4Nk-tC@?N&+9a0gWxRhuYnqNLb1Z&kw48ty}wtk2-d)Vh(*# zu;;J)uU@^_k8fCjU;qOhj-~%Vgh5CBTfy7EdDQsc!tG*duj5Q%X@~b)k@xRS-aj9` z>e-rD+nZQfV*l3ct)pw@V9$a3%a{Hyh2j?0e^z{3+EM;07pK&-vY>L-v8JM-q^9~e zizOuf`Em=3fAtQ1y+4;*JJ_24st?!KqcSizu=vf&_45og|7yMUUmC~%)pmX!__>(w z_r=Z@)~x@Jw{YvyGcp)3>N8R>Q|mEP(9^KcQt0Z?(o^Uf=&>;A8~jZDqM`j~`2u=| z4D@s?)XWsLO!Txr-_J}-p+nDPK*6L(^D~)%(U5_Tp6NYb;J-=e@lQYIf1daHr`!9# zaPS?0cLd%Mct_wJfp-M{M!vu=w)>AU{eO!vexKg|lK;#2tCssu^8R1)1?Yao4#@sv z5e6OgFD1vHA`Cj}Uy2QEIy&lK>Wu$yu>$n;e@k-l`$_-U`2R?(!0#~p3Ekg`75Ez! z-T!IC04+8P;~&bJeaRrZW@|jzyFfTaQ^)HQ^WE5{r=-x{$n8o z0~0;-Uor>kehMMbglaqvm2UO)28qdkSWSRK@ zy%LC%Tr;2=LtE7~)7BLbv^p#D?b%H1IlQQPOENX3^zW#o;Hvf&l>oiu%TO(3MHTe; zM5|lUvDDG+$%oGu8YN~@&ylgZpLLzh)f8YS$9sj6>|tb&QXAA*pD%@XE+x%7d+jbPOt2mYA}t1+|a3jYSuQZ#5zTjqHS3DF4bYy=e-q zxD+Eri_S1`fC{U>OiJR`u8FS#ZXj{>P|6o;o*u3yY-@A^0YXOBnCWoK{H-7b0dM25BQ6}A+ev>UH{ZNkPg5B$n=3w&V*uu5 z@+;XXuq{PgAu-(Sxwz91V*F1F)}E;Z>&SRzfMkGSCZ=VdHe%{|MiO2`<3J&^$(tGN z`RtNo0+zzY5D=jNYxjXzUBxY1cMMd_{WFpHJhToto0R*i2|#!>xBM7Qqwz-=6D2Ge zn)gcX^_z&8_=cdJdaU^al4Dh79nDf z%yNhO#YkLb>?{OL(W=V@w^n4i#8dU{4@i?C*P)Me(aS!7H3g`mq|KrE>hx>IAafY1K|rZOZr#a8ltZGslh9jP17nkmOPj=dwnc0W|QM35!FW#$5VrPRvHtc8g+>=j6KX}C!LJSaq! zpzM5*HewcszkEZsxs%AblLrZMy{gG z^z6x$3`3G%#zD`bO23ML=!Wizj7@32w*<|MAPuva^veV%Vz+@#RAfH?9&>h zkEpZ6Ox1TkEO; ztZizG&0vyknb1hE%BQbHTD;sl)jUZIWV#=9x_5DoAJmex->sG+S<@WNT(;Fb-zZom zh=Xk|pzsIcSY`=e)~KlteLkgYNh=`?E4g^4I0~{~p1?*(lQ>KGd^?Mzk=T0T@OnNx zY*e$EYjvg6y|!4$<)zrJ8>##wtr4z5Boj-D(~H47c<%1_RHU{c7aYUJT#6ly1?=Uh zu`r8xrHzB-(Uch_O2yxy+N-y6$F7nMW*@FxW0{f1pyK7YJNttDsS?TR!ivcH30rZV z6kcA=CbV$=(~g#D@qisYLeC9_^ z7$F*D;9h+(aTimvH5fW2$>Op8XsTF~Mo#yQH)H^x85O&Pv)Wq$;bnoRuaOmM)kdHu zoE<#vI4TWCL(VG#TpmS+zENwZ$A-eebRtq#+iJ&QG0Q(SPG-mimc>@&n$EEv=dIkk zTkL1e&!0WDJyj`plplC)HEH1mFz@J};v<8@O;kirRU~*dx3};}HBG{m!4AE&>w+K^ z`EPj4p9w);!46{Ab+GGPnYiT@u^%4eUuj=`O?_${Wx+PwZ`CP^#jzv&Y$d=C9jDyG z0*w6?4mxAB(NBb&()LH$E2AT->IHoli*SFpayk@<5@a@_a$Pozo)}>KDhV_%)@=#W zTXy+Jq#iGdf=CQ#HpC3~wx{!hn7|3s^X!?9OnAMFK<$jqgmta2lZ3$@-LU4@M+Z;p z-$6IgvJH@X#&p6xqx)??4MQt)!D%Xs4}7kNxSBcD>sdP*%0k240>awN9w1i3ntbEt zy4)sP;Ogm6r>d$0tXzcKMARn`Y^mZb&xLhX+&RPD6?YmN#NH47aJdzY6d-*R5Td@D z3ykHk&jAF`i9;B?%9i_xm%Mj^a2B-^8+~;QGTH^5uCXD_H28G3Ip2T&a{sKJqLt|p z=K+v`g=(OGLHF9qygP+JE`e*Oc1D}t@+u#fn%w!nv`-d%H~f+j)I zZ6ijyVaZc)@j_oMRwxWR)_cV$da0cWfEkQUHcjTN`k_F$?B34K{V+$uUw+7@Omyo# zV&_uq7C0xR_3JLK0&x~q8`1Uy4QhAa zS3BB`$IE8Lba&Q}DvFVe=x~8;?sttvkOt_O_l?P)1ChBi%85idkNKqdeFg;wknVY; zzb?RJF**vtLVtXki3-#^m7kedI1F5RRhgJ%mZw!oR%lb+>Wh`@WHdxO^pQ%1k3eC` z&SBtMl+;(jtMHcHid9iGk?Nh`?-u2-RDuyeH`LhBkd^Dwbt>qmD+}DKCr$P6>kzxr z2*M>9rUmSuIW!!EKOdh&*CX7XJj|e#st!$>*G{&~myFOdfBZ2sM~oG@=KNMLTtFjue*(rDK``4*Fq=^n_&z@!+>`+l zDqT>V`lhPOXDovcfQkZC*n>MZdD6;)Gh#921yreYgM_Tf)P zFDnJl7L%>GCaMJJ%$Qo8t0*gY0rp=gD=ZBA*RIlPE;lg~gsjmy_nga%#Y_O~aX)Hf zyXmk}R|8bUj@SEQYliGLbw&}wOdX|gR}oMRn|6&y2F#i;Ojz#1YQ32SSw}i7nVBP_ z3=3|4U<$(JtD#R~227Tpc+9Q@jKG?@P-n%;pVwnQH`O2a-8AU7cBrOB;qQK22QJJm zavgG%ul@n=oIF`CPc>P^iAlkGnwF0FTGbK}X{l)c&<&Z5Oi)+S3o@bxAuK={c&REf z$hTH%1bKhI-R?sAn62!?Hy$NMrqdsvZ(Gygvw8GcCI4rWXR)-C_RA$z64#y~@@W4j zw{-zl8^N`Ny-}7%8%AbXgtUFlWBr%$$64+V5y;+gea?}THc0U77AY95+yu3*UQww# zm$jB@24>Z5d{*IS;hi|ob`#_n+G+wk--R~tJD@klG>^-7#&B*`;(&E_bD0@2-9;d% zf$0>C-AB9`BT}%wan;GoSZ z6CzA(tHYb&=tZUL)om)@3E)*?QU{DOgwt0MRL$6+$@_6g1%m;;m^gwd_}?IMUJS&; zcDxb_g3ded7TwWqGUcx$%Jz+&{%B=tEg$89$E6I zGM+jUDIm{$CQ{s$g%T)eanr$WS8d!KLZ(J z<;6;nBgAGfj^EZmQMNw-puYO&da;7T%rB0SsbSj*4YYzwi&V9w7Z8k||5R;^vh=T| z+G+hzO55Ezi^Wj6F{6YFhqguEi_}X_dqN@M;cB*|&DMi+%?4Z}!d?^_m^^ z0=z_YW#5kSF)}UJ#Ip#hQkl`MsD?edL#hX=NXN6jjWvXaIw#78P%rYd(j>tqSc32# z8JeS|=>cfBt|=}Cgp9qo{JSP@7qOQiTcRh|^;%ue91Pb-U(-r9l_qD|YQW&&!I*e> zbWZNp+>NO>R{IW9?GfN>+G$wB#Coc7+6UPh>xX?OuG47-m-<_OoLFzohvA3VW6{tf z4k*#ND}C3J4k!=k1Wy=_h#gpmX|Wn`E14Md&Ue@wM5Af&q`Kgbb->V7VaQo(Ao?^bR@YiA6C(rFEW* zKa)vqj>?CbtazmSvO%v}JnVHHU##c}#|2S{!I6)OcCKY5iqhC!LHjD#q@9?k>V@6=d~VwOSOwPT0|KUcp^$?9Q{Ap~!DrGig&r#^+Qty3+7$5typ*a-p5s_Xz<#V3^WF zbEt?T^p&gCv)cx9S?BgEWQR7rrofs4u@~liz5`Du*^oFNXYTeC*PaslU=ea7OQa>D zV#-{1e2L6IWx{7h4_x)8H*zcj$btq(I$}eN)uP9hFG-BH<(z}1zhTw7)2o-kgDz1L>mDMT%JIEq0T@=>`4_Teb>nPXCHTj}SN+pGdqT&vfdi zwHw*k2nsWz-scw{we6$5w|!7SoHDsAQGJriut3!s3wC9}44uS!g<{SOx!bQu#2T(2 zAWOoxynJmAdi)hXb1CYwEBeZ}1e`6&*6#Ge=!v1WXs8i|*V_0y;5+-O@YXf7o#P9_ zv-`&p?ild8k;x0SVR|TCf2s_6&D{9oy2YvD!oGz{)_Fz6gCv!Z(HeB=x=)ZlSLW?gztqM-V;e`66AD8bc zn#s4MhM}i2b*FmK?^ALdO9jP{%ov0BrQIBvn_Z*IdgjtFZmKydnXKbtmDf# z{2rhvROhm?%q4d&;4{}p^(#o|)hdJeQ(yIyM*ifUPrFKOJTC*sK=EIe>%<-!E?Tx) zhLsAIEiwDA7rS%)0b;E@`Z>EEvg0WMXTp4=GMgl972FCyY4+!RWVu0Q*I;ak(O|17 zRA<-~o3A+j!;iai_m@~LT4HCSUJN5(Q2O>dq25t>`6afsZ!GQ$vDX*0abN?{u)gT4|W3Bs~9AE5VH6R~B zd1T1>WinU`u{D@VBz!lEo+1J4_T<4!YE`_(x&9wNf{E`AWw^Mvp9$o^Jl{_JI>kV6 z_#>`Le1_CVrrL@3*C1XAToN?1Xi~}PJi8yCD2hORhAITPxa*iIFJ6>FPF*t0a4}mg zz^fK&S(A?5)ZVEu(0GZVf7g)oN8t0%zpnq5ef(`m`fW&}p=VTPpy#A#=cH$(qG#Zw z|F;o;f7q9V?2YvujsH53knta!{kQhnKY9M&8+h`Y3iB^=%KYvD|5o6)0>2gbt-x;u zek<@lufRV|g?XP=@$Y5&-zus<%qhQcE&sua@&~u&cRl}mfT4-oUn}mv4kTy#FAXsK z&u9Pb*Y{7Z{`cy623CgmN#gX(G)Be-?*Rkm_ox87;rmo_R(57qBL)U~149nOe-toy zAKqeQz|6)>!)nAxPxJnn_kaP%dtQN&jg5_+nS+g<&CvLFz~Eo>%rDyl!~`CrtQ=JA_olrUm^#r|Fy`$uWkH!DgW}w!T+7| z$n;B=|0U&rZ{UD|fa6b8rn2(?KHrc|^dH9%-bWoWy=M>p_)`CfA-wY_-(UZ|7{VXF zia)RLAH)#eE9}3-5Jt2#Y_Y}Wy!NV!#q9L}Ew_gR&@r)@JxtEW&}id8&UhGKYdI!9 z#@5EWJ$gU7j8&MpIFK15($niXtIXRURqm}>WO};rW$Q+b?;Rdh$&J0XrDwF^b!M+c z>`gDH+jhPjO;4{4A`(@sjl28s9A#!?a(tUzG_Im7&5?YL4?%+cpd3+IT~dE|+@e-` z*PcgRp=P?*PMDRUV4Cf2`!rrjx_9MAC;jH_&f6Do^tt2;(Y5$->uKc+FUH5*Y%!Vb z2XD^d(SzoQloFShCjn|lpGyUJZ=NSDxz=tBCBMA7qFVh$E1fy5PB%6TxCX?;zOekd z?-Tm3Qm+LaJ97K!_=etgP98Zm%lOR~o|#4RPxY!s`YrbZS+isJbZ|{ecXVdLA_Sm!cW=w zr*;zLgWg9t241F~B-#%S23J-62TqJjs2y*P1(A4qgzNLh-WA@YjUlro(HHLg|jNF0Lr>JYo!F<*^}IwpbBnHXdJz=FyKybR#iQ&0Xle6OC5et zV@~(-VV;Zu!1NS?NwY+)`)U@(xutJoIDZasq#nN?C6o>eJ>SfkPe9O<;4 zQHiqIFv-v-ce-?c&RadbLahF64v-41SjaW8tUBq$5jk4wv>AZeb>7#-g$i74*JSLu z>Rbsk1-AgVAkq)8@dNwa2~+3F^fG=JBkJ6Of*9G@Bx4W?rc~sUK8sKNam6yo3Gr2Z-3bD2R*iF=j-I_n)U7<%YRD-6wwJ%vEmt*zOg8W2}0iKvg zCCxGlLN=;%U(+H(XYD~jK|i`UU6n3V2j$MJR-0YntWbYnC=MW?vlmE?2t~0@US{oV zXx^C>v(FG?WiW~I_}N5~?q*=9c%^>G5>;f46Vfc$_}vcn$`XSXBDF}2TUCLEF~js0Dq!Ty>V5MAOz0=X_6*uQRKQwmF3R2daT@g^ z^`dN)LbKD1OYeM`1Pw!rGyh~G3Cvywv~QtRj?7@s-Rq6rZnu}HZ)Z@ug%L4HVPmKz zQM<}d^`UrxD7^JOUv3OW%{HF_1}_jkPCF>1LcN0hvd^OHFP??F`&Z#L^V8{fhX$P9 z*+mgmv)7^!(UpDp#+Sl6>$=kR+H?mKBI(-_({&I#c@#*pA7>6o=Z>UT_HP`@IkUHE zX!|ccc!8%d=3BFd&pf+Mpy&$s>O{ne!evEWwy+KIl8eT(OBsc7chp;Z8o+hd1cNjy zd(-F-1CVZq-{-3G6VRuZXt|}tQ{}!1VEJ+hhs~Nf=ictV#JVtbj%oPi7&Q>s znD+_O43t#jW!*PDG_mp=7IG%rs;HN_zFZy&^ov-sf1K9M?8fnWdUCB+vcZfdTIPpL z_hq&ng6kJ&kX7ATAZp*r${5OXj5Ui~yat7mVXd%95G}!gegoFK1oC=itV4r1NNGM< zT2%4YkoXf@9GI0YFh4K zZgss3uw(NN2G&RPj`_(i^F?&8ul=eOUzh74UXf3o6ZI{jqg&dtpJ^qAe+YZqjJ+vu zVY{`-+XC>(tvO;q2Lzjr@Wi>ZE#XW zwoYa}pS|N6tj+u|ohS$p{5Tm9wIqKyj>cRqr-xHa4`bHBjH4L?k5`}AgY^H5Jj7IJ zf4#Z6_kP4D=kb~cY#rEFoe4$>PIQ6dAGG$EzNkJ`gL)>;L$K-q}7(ch-N&g|EIu`H6LhRHxw_fWLg| z^i{SBS*KN4Z#`&%X;n^mmRDvEpLkPeO;=~tJ8zeO83AK>?JUg5Cb~7iVc&k#kvQrK zL7mXor|v$rBYExz4~F8+H{MUUpJ`4y8q)*|J*!*@L#N8gd*2i&G-W@1tEZ@UM!^nl zmcB0wd072{lcV1a1-vU`YQdU1y!EWwj@9mcdwei%lzaNdk#pu<)=BB3vQEwuTK>a~ zLs4kAdJP$7bGXZTcy9KXu(E`Mfa1fZY`=@It|Eos{l+13|IF;kyHg$m8psUs67N#SF z_a-Nst(jQ~ANsKg66-hUa!x~L{PjJB!@Q?kQLOlt7<~t)$IDr9ot;U1GPSy@g=ok9 zQ3zHPYC@w0`4ec&R+Z^T*9;5RYMkc8>g@-rFuZ=QZ;#*^j5%@iSSY6*!_*=F&Mign|$2w)L_^33IHE3spBK1W_7?6pE#E-AKkY7PF(KvSE1bF=4YR$DQ* zl!o&NXh%p}Xl^79#uBr)Jf_{+#NohJ7ny6Gd2Vqx-`vO1ZMa;q7cy1fYZ>KzN)s?rV zT%iZwE9eP7Lr@F0!orGCr{G~C%5OA0)7OYg+uJ*5#orvvN{g;Z9+4u(dVoNagCl zM(qcVPn2w%UzPOqIwp68N9tuPg^Sep@>U4{96{K28u6uu$;72yw_2e>xr;Dy+=+_2 z5K1p0rckWMg}uHT6C!yorQtcB!R2{nc(Q-P4`OY9>DB1^pcs#b^98!-2|Mex0%nWy zMndC8vHbP7>Vx?EwRj3J=rIT4$F~Iap^`+ z-d{W2qSy&zJYV7OH4PVGco4u>e$-u1rY8 zK-=d&Tru^0-e)a-fjMC}bl+7U5_Du$PM^DkF74!`AjRU36mZ?4t$%mg-9N^ayCG1tPFUg}cZ1>jRZ* z<}oO>Q{`zca0ulo>qZcy0CPV~2*s(1E?jg?&QDbby1RuI$F$RGx_U3`@0L?fpEr68 z;n+We5b~5~*f5H$1%NXAFvUg-{USYq`TYWa3-jV@*P?!|n;f(b5w8G<#~ppLH;sER zb9C~Ir_$J22O#@ ztw-QDz;Gzmi@ri3NoEe;nO}1i3WJa~=C$cC71}*~stBWP+}`BA>aZCetrU3Hj;Le{ zdH`!*m=$hLwZ1iui8imH(*q^SEj16J{l;%T3~Kvk@r79tW6mGbAMImDlpg^<8gn}k znBYPYR+eo{9;oKeUayIAXVJk$7b!v0k`^;pQZ0$O1-_?b6n>f~)U5duKejHeRFIji( z*a#JkuSVbBxBCUdTz&YU+v{@LiH*b-=r~M%frOEKGJ-XMzk||RR{_-F?1odlK_-Vs z9v*8$2f0wCw%Cx^sBJj2$-7VoqI;O~hq= zkZax6kDu!C%9-ZPwvUjX{0pO8xr<}$O^t>6R+3Wt1wpJU^?~FtUU-z}gpA#o;_oSt zN2r*yO)Ioac@W8h;~g?;j}3eCdJbhWfe(azlrJs8H*n5k+|{WnoB2FkA*DRo%y18! z=@E6VD1BAL!@-87#DXuM0e;EGQ3o3Qa+@)Q{o)aegiw32__3)-!ix;+NH98SqDaF` z1NvvNpPavs(!=KLyrDe#oOKZemWo@rE%XmW&vFx(QsQNPyJdIc=J#f#b0b_$OyrXH zMy6;7M{gf5A?m%;mvG0v*C2fT7Uld25x!%oR}SoSKiahDE5erq3&lC1{1_z0y&ghmLCfa)bCOP9ZdN7ON=iw-#pPti?%i}&L;wF3A;i2 zsDpvM{(xA$HYnPrlHzc;$oH|BR!1!d8j^N^f$D;_5+tgJ`%-%bgqPtp=NQ>RO<+kxCWNXzVw^OHPY4qbqiqa?N&7!ClA^`UB|HZ0oN}f& z#o}i;*WleVW4D{3E|4%aArP1~FRG=8aw@^UlZ6)M2jCoi2DLJ;xP|GAAK||+Eov2M03j68sXELo>HotO(w~($oC!Y z1eVZ#5TVaQ6*mx=3718%WkF;(0Y0ce@p8sazCF^I1$j5FdSFFH8rz=S^dQiaX&*5z z5Qz~aw%H>q_t~`rg%JurCTEg=Xs(=eIwYt6@JiU*0(6h&I6QUBownAmQUPO1wd9e* zO@|xa^Rwul49#34s9y_pLD?8#wXA1_R0oba=rOQ5{Vag1MO|AJRULp@o$uJn72Ujk^M^>P}w0Z|LD zr`j2goU{Hy`GIHH(0(iaxYg8OdyQ1KQ)KWOo_7D92xg}`>ZRx8e0;g?*xiG`O}tLuVeh`OS-K9I zk(gL3h0Lqo0=|R6?ibN*toV9(WfKKpOo-}JTyA+>WUN<_moQKAtsJ*#f$(G|@LdFs z#MhYyFNibfi|sOP7X>(fHwc?j=8`mOTh=RZ154Wz!}tD?IA)Ku0OVUhx;^Jb#j^fw zbho{WBsqxb-zY%CWZ|NX}2b(KR+G+U(e7Gl*>)(Al3hH($Iip&^>o44C zq3Nu(u7_Bwg$tRrZV0^E3X`Ue?a@nW*tP$>>a1hU>9ow6Md#(&q$Vjw+1HY7OMgwd z@7Hu0**jio?Fh8)%@P)olL2ek0k&1jNlBvjo|jCbPT_Z zBmdDj@{g?D|LsQb-(<>vk)z>vukN=3zZLkcz;6Y9EAU%^|9J)ec_a8QAHbhI{=XPU zepT;(Ayd8^1b!RAf7kQ>J8Ax}MsVi;Uyb1ZY4yKn1m`eh*EeBcHlktYU}vIXHfA=Y z;b7KhqtRz%*JtElFfcJN`G-bu21Y}ALqqm=(ma!a0Sz2gbt-yc52>#2*`*-U3|6~Mb zW&2A2^e;wmhJR!PXZ%k_aK>Lo@P868uW0M|PWd39r;{-@GS?Thb=CS~H5LvQT1G|! zc4o%E4zXwYwep`=@h|swzmFTDeV;+k&Q8lrz{1G($1%tIG5deq!5_Q(a|c4U{}p#P zFYh1ZcS9v(M=d&eVNp6|V^>EVI#F92M{aI9Wo2f9KmGLo?%e*jPuLmTJDM9iyr1;T zl+FBZ%6?bo-K6~=+3>%P<^F|+)Y4L_qP<$&Ff+_9xL@`VjK=_+fHGO^5H z%9xAQn#3q0AORH29|fgD+P8lH^I27^X-z8uzd{^cY-!W-)$waf5q<#A{ql*s8*h5m z>~Jz<5h7c7fLfi)aM_}}o7c^(+Gl)RS%eNAhQn2h%bSDkjq4f8eA#ibimCf`N^G5Y z}e_S)Q}cEim2HC-A| zXsx{?>B4TeUoIH=s{FQheb(bk2ghuUinkA5wp6Tm89Cey$?A*?Nec~0R@C3nuQ}$O z%)PcWgS5;&Aks#POwau#bb@&RsZN-{V-6&bW>Q z9&z?qaB$e8TzS;SRC+{UqXyzQDR-!q9Z*<299!Yr@&URdfNXCcMLgQH)q1G)52EwbQ;UhBa8lw z;%{TsWlzC88OEx|9~jvP7Dwuv1E!PxnoP{W-jcPhLt8m_xN$#`x`CFuT9s0-91mtz z9eG<{KRQw6FN$UOHQMJ*L`T2*SO&C45F4wkFeuOF8V{#34k!1BC8bF_-I z)8AursTg3LW3<+r>)<_jk{n+$D>I=Ri;U@{VAfx6yu`}6EhtxEsg2EVEH0;<{wWLo zR;60lu8M{fI}}wbE9&Fkw;)oK6Zq-LCv)BxBi?v)#PP)4!RbCv4hGA~vQI~m@y?+8o5~Hu)x=r5-da0+LtW9$Y zJe0Y>U)H#EqQJa(^n!B`DYiNd2?L|1iEg_$W+tMje`aBl7}7fFi`5|5cilX2FF?7( zgsvG`yKHgJDfGFxSs}46B*Y5x5OGa|+g0f(QP&l3m(zzDOduC_PwcJ-_Mz(n^#CQ^ zD)RVpIa9K2@c7u(jE_>YmdiCJl%bXHO;Tug3B&XR%?7AIIjBt1nFpoB zNyXGtM~dqn8q~Su^JVh5=73|HW6-4mc_x=^k<(Kl>`Xa=38}c58gxmj=tKmRfqoLM z(zUpwr41&Aj7ILkVqA9Y?-8dqg*X!qG*hV27#v!_5J>{d~IL#oIWRaVTOCo^Ok`vRISjVB;{hB#K_vz=KSeYvh9qzW9ku{+D#wx%>MM+JXi#|Q z?AzK(i#~pV6Y{g~+WHx+x$)@W#Qo&!62H+~=A%&gr9s=}k@_MNhcjZh>ud|3gu5kr zYmb<$hbrdNH*xkuN{gQOm0?PlkkF8>DOEnG1aQ19Zd_%4rf$eretXJtvimNGUG#b4 zToDAcoY}XMtK}f(XLmK-%3Z`ZZck9b3?YCB;LYqm|k33lwK&36)#zIbjjpnpZ z$Zf8v#xGq2&vxyb*ow$3fm2I7Q7kS$w1p~ek;mOc~JmO-7PVirlyKAw3 zfYhv(ao6|&F^fPF2=$7Ejv``in{(*2G=<9?iF2M6Ah)QJ86&LVT9N4;|B?x7g#h-9K(?Oaq#}w zOqfvp0K#Z2J#lfXPwzGe8rlN;)~aO-KTZQ#xsu7G6$eBgDPwH#pk*v?b=T%{Ci>2# zSkslKqI;B-ojNax(AzpsX!~azKlr#C(^NwLtOLUO-o_7hS-!*Y0%}Z@^DJB{%2k#& zO%<^=1c4!kVL4&5VZNY%srJI$M#w$sl|d*CwO2}l@LTy+xF@rzkfMyfx@Qr)ae=fK z5j&tI@7hlOnjQjJ4=v}zkpe13^W~(UcjAJ8jpPWM7xHNa&&D{9d2wpj$>~FcjVYQjIWjUQE^++U49KS(S<#b* zFG=agf$gzq3f`r$Nor(d77coPbsby%k(zYPAt*x2qROjASTo&)z}5;F=W&zLk=D4z zZ1P{FxLv~<0VL5Z#vL(TyK`SOFaU~eB^z-@T@Z=5zUq=CUN~Z_s9>>owvd9kQGyc z-zC`GkTR4{>Egbs=LfHsQn$&1jmifKFfcaMQ)I|5)D#uz>A9ROTJJSB!eYL9HKm(v zJ8BW}y8k@-+KWFJw+bLgN>qQD9fIyPzuRo?R+@XCsEWHhZ+fm`Te@|Y`{Gx|0%cd= z`2mYZd^dw)2$6)4D^20ipVFhSc&uPPy|uwC(KE?$EfKF*v=&lw7c)I-ogOP`Wi9j%x8$8(FsVzs%`NaWU&%1!Zg9V?CxY+RA@nN86m zRt7)Xe;g1=LuTv0#dISLvJ?I~207UqL@~DHtXq5~5UiS1N@OZL zJ1_!zv3=hzM6p^)Df7n)n6*cnPH5gl7zF{;K?W2k#=w}=F;iYg{i9N#T^Ovogp*qP zLnhl3jv5)+`Z{(201=cvx5J4xUA4Ob5po``hg4Y;_k?QBF-GcD)n0GF0i`rLC(;9m zy(&{E6G0=X?U!-2R3KwFQo4YGoE}iA#RoF9Jsx?VA+Ol!3(Q6w?Kh50v1A;@8JBMp zg%AS8-zWoZEq!8JF@zLasOoj{tLN}EPY#3qP(M=WSi5S%}kF$X>!NYQ*g?JyW95b<^en3{>_D}ufXCDoAIFJX3Jy{G1MjK zQGC~!Luwu9c`MK=xK`^uxa*)KDPpHB`X`_VG|ZL<`kvu&cFfJypCNJ(BDY>`fZeaJ zIG`~{_g-z>*L4a*Mn=xoJK+{ueW38Q2t18|C5f+;ULI(^ZkHwq^WFu zqC{2|&R3TavwuF$;ya}i3r;ZLI-?&*c8ZjnyUA$`H1C_-1t0(#&yKuk0*8gi4j+L2>s^ezohZ zF68O*Cz5XGKp6>umBJ-$^%~?LqB;`sPXcmGAc~XqGY$=}%!7ke2op1FdjFKt%EPgt zksm4{8lyXZ#S%1ZpQ_1D|powrxVt4&)qTU$PDp0(HepLd;aTj+G-y3YrKYPR@e zudZ&lo0n56EiIl7Nk=%8K|Ys6JxhU zv&m<+mH6Xc)~a`n^J@1$RnN+fT<&Al)b74*J$mYds9-t~b)MPcOJ3b-igGLSm7k6q zR;aOMmtOg3zwSu4E@8wAOzxmx3e0+GvShzLA@1#2o(RpkZuRawEa|^ey-H9G^XVJE z;tV6s*N?Mw+)9~ydLe-5W>oR?y?yO03%_#eUYCj((z50J96(jJ&^8F5JJ97X?QG)) zJ>%Ew!OtvRTF7uvX-#n`J+&QM%V24v8P}0_V$I(Zp)N$0ij^RWDYw`Q;~s#VX6(7| zMk*5|+zq1f;Zd!MpDesBqob{#+;ffnY_5W*_9kIdC4{@k@{US!;P+OPL>#1x<~n@p zr|Y=Cc-&eY4e3AZElXB?Qdih{bt)`dJ{;MRFOsAZ!)1aVQW5ZOc!wpecb4$-kH&$X zDeW-T=WDXj@b*ok)8Pv`y#PhcX!dUiWVeF&G&G&UJh+n)PHbY1-#>uJgJ>{?u+|yR^^T$muPA6wJYtr+ZC zB4%u1mBh+|DWpIOz&w!?huV@7(zix(S_FrAu^oFgB(_izgB#Q^nvhx&Bx!(}ij~w7 z!%ZW_N==x3_NB_JtUz1yO`eEws?7Yj8`r3?6a_bo3W4=y;gXV7Nh&=rc%{6J%!2jP znkp6s#<~4G^EzU>B!=WFymk7~Mn4KGwiD8}NXG;TQJ(N}B7jED+Wg`01IHLiItFZi zyWL9MsCErQ$y3So$Np*BD8tr2cuCyYz_VU`@)sgm9AMSE0Z%KlG>&unrI)BFKotTKZG9( zLs%6SKIo=3ho*ik0+e#sz3)DE#A;b$+u;5-56sGqPaZl%qR=|rEb>%2xU|T){#zVB zMR*_c95~`23R*AytYl9S4jag8_u5_e!{w~hGvC%oL&$5_7Q0eWU%JwX!x2x8?Iur0 zi`Ub|D|Z*)N|)=B17~O2slL-iW7W>t76;GTNptU;OC$bTx6^VWw;K(g?RajGjT4E| zdiP}i?8~f9Ly|e;sQ_L(e$?CjBUS>v7B<%TRTKgdNx$emiY zO#wFQPm(HIe#Ez-oZ#}>sC5<1(6DRS?fPdsRV6Rn=X!+p?70Gk*tS_jD)NhNX2^#B&rQkRHt4*E{Mz$@Cn5bIhlg2N zxa6hoFI$i?pM$vtQTf>xw0zJ@L7l7QY53r7>lqTi*wI!_BbvIGcHa9FN6%YqnEb?y z*o!(bDWQij7s=yW=j|x7@pfx#ezUvja34&zjsdbobYLJBxXFGq**xNywF~@Fm8_$v zzN@2;i3%kSbxu|RcIgYvm)Q9^({MahWi>BLW+g7TiKJ_r-HKq;7UepWuQAv=SA-V6 zyl!D_G)1{j*oC0w=f~Gl1sL@fIK$DKO;dj{XJ2jJE<9KG=o5YO>Rmjf3;Zt=zSdQ= zyd1cmkFBI{)uQFW{uEY$k)vHU24ZRG?QLEC4`-V@jq3NG&yTVL)n~^OD|A)X-#`sN zGkMa(;e*I$xC+*S-%h)!V^>gBYKUmeg2O3gZiH?qE%A|Z(j@@S4l=_5sz&>n6*pb2 zzD3`suiN>4=+-djl{h;lkH$A3^pbiNS40nN%-*{q6#s^!>?qH|Fagb>Xef5bVk(6&59ce1Zaf2Fnxa zd|ClWOmag6g193u9R*IAX`oiix89-LV&h`F#r+fNxryWtHQ6XFwrsr4OY%U2J@o1k zvZsBTD&SS@fIW(@Aqt8ZfbXnseyhYkK&m13Le7Fv1E#P+E3QF+2R^$1eIEsBTp+Y= z9gU*iH@B>(L~5GdJj)HDQ1H=#h(QGh)LwiQa{3z6byWb(+=3^&rmB6Wzv9GK`b60c zoKr#bDM-!4Ktj885n~l@4X0Jhf+n0LkJ>dy7taaG{f!k+EzH@ohO`3TVuZc7XuX1s zB9D;ongfy?lp+(f(45#O*}TT0d9peLOHaG96c7J+;ruQ>EL!*s`hDu%?t zssv~jqOprwB;*Db4}&VN>l^!6FwJ>3+lY=ndlHh(%R6NVDlX3lGzH3!hQQ~YYT z{4-RoBG4pF09TJE9&*%~rs7CcRwty#0%e}{$Fj?}xHhvi{+~;5d{L1SZ%aNn?9&Vd zn^-{8!obUIa^^_FEPRk4C)-Un!mXl+c~rK=X6m0nEW{n1E-tIuamea@H4@dqH*g_# zGZ?6}f$jFgbo16@b|%ZJ=sL>0pYIn+r3iFd~}Z=WX$D*)o;Su+w*_0;Rzb+fNmhn-&lZ|x5&)-WWRTKlRMG8jXw zJCoj}eM*2m}Av z*F>_-TOpRJc8vTbsC|WzLsyB2Fn%feUY7%35o0h`jdEO!T!Z2iG$Eh~lb6O5;ncp+ z0ir^KIoD%aBU4aEqjljh&hN7aT`O_B)W;1I7%3sqeC4zqw1Xi`+*3nsw9CKgH2^-&}n9bX8<{cu{;^?ji`waIu&Cgu2ssQ@-Gb|pS=82ucGFu%DgU1lkW zxX=2*wn$w>;_~0+EP$M(1?&j};4;~m32+SDfpd}kM8GHEBZq!c0;R~{K~BgeFx-Q@;^v&L0_oO=YbYocW9hS!KvgNQKkw$s^GeD_Z2JlYlaA; znYF@%B5vk?$I6nt$#0>>*KfF|#8$!WX>76nerOot!51g>12$?1MA6Zv$%>(EhQ%qd z)na;&Z7Qz6F?)>jLOLjSl+?~p&-6(o=zUnIIHyN^@7;+SLh9ZMqsLapX08OzGB$s^ zEgh|_nQew1dsEC)!SPrL2JejZ9!kLj0J-o z2t?0Zd~a6Ao)_v{S4@@~ul-H)mk%_xP*~(uCs?4?b6O>2uu)Ek?$6=&OO*ms;p9Rp zQC@z)H%zEVL3yc9b%iymZx}Ln506MG6XE|T_jwM7d$|&b_jLIwf7@I<3K55#ph*a(J-|jwggQm`)RZCw_Z(qkf;xuKNf} zxnw`3L(KJF_TapkS7tsbb!XPlxJW}rXGQMNDz|` z69t*K-o}^>EgqcyNRi0bPZhfHrC(Fz@?3mfUwgh(e;Gg761ur*B&!2%JL^i{#3N)a z#y=mf{vn6&b&NfiB|EfJNL1DYF{3a3MH_dW2FEn?O5Y>Lqsv00a=)UZ*&XSp^7_mC z&Y&#Gj?sXuS;vazko#Hl%ym<|WC+5D59?2iEb&WE?|O%hmUi0$hn7sGTA4!lx91wn z5&&H=@q9My$y#iDel6wBN5j}`Y044yYI1{mWA_qnm+_JBb{kd4E6Vgx(-Dw$$Wuxe zg``Og!xy_3$=OnUCQqL4H)~lk0CwokFyWIQMbFlB7I&9aN{$Y;E>}uHCRybg^ohHA z|Cca!?^D(aqeQY5a;7svS?rD4_$Vv3l+pl7{8gZF&_ZqbE+l#u9S@-CkDa6pnK?d< z<_E!Tt5Uhdm#d-Og_ejxjFi;Dj*_QWM>WvLgsadpIkP$R%Oa^zuo&pim(s$id+4Mv zGx*3*9NFFKDruq* zmy8Mnsu}{)Sn@5~73Oy1%=u`A>QR$;8_Q|@vG5v6ab=7_5Ca2v>dR?t6B-UCN_=V$ zVp;$)FJ~~zuBw=>5^V{_HPw-7%aML@z2|}5to&FMvaHg~X`|uY_8elRI{vuM1RX#I z{;Wfz<<4DwPCp-v={mdmmkTY6fZeXyP4^w}r95q$MfTxwv<)1drUSI?;fG9tiO(Ks z3rA!q>Ql&Jha55N{jjB+up4AsO0LP1r$t3@Ijs{-PcT-skJn2rkCK>6g%wVuHnYC( z#ETda;>)^teq0?Ddt0BRshUs9_9vwOwE!vm18k@(;13L-Pn*h?FwzK>(kMC{)(Ey!k zYy^Qui2#KcwP~QKyYeqG{`ykQNa9N+l!(ayM@v~9YF*SW2o6|0-6~Ufg%l>v?R10o z7$pr9EElh2rwD$ROQ6&LhrPE9j-yMnb;T?TEoNq>5?YeQ%q&^V%*-r{nVBtSW(Lb* zW@cvT`unEm+@7B9zS9wN&YZZRKNXdcyLRndnUVEY)w9++_={yTZL0s0U4n?MS&AD( zFIG|i`$ZsvLazk)kek`d&T$$!_O>$ux)<9noo$w@?6MgTt0bosv5kH7XHyC&Q*(*4 zh9#5&mnB86)1ghHR@Z*rIcv(*IMRUW?V^KB=H*VKmMp!7`&6+2^a>m=XQP_KRQ9;> zY%L=@kaB;yHWL#hKjb!bjIe&vq^IoIQGF1`E)y}%su?#_9r13WW|}>s#9WEh`mkmS z$0&>5qJE3C77@%(K1KaBho};t3o1F6HB+T#NtJ#$#Ft9IWMi&(M0Cr}>rIhT&$e2# zD(Am9fo79d&;{m=NsC{8Q*j(t)^JKm72MWcB_)pPIAMaG&cQ+IV?wVgI%B#3!Qbnm zT0S_!Xg`CczrBW~&(NgGqHN4;ng;^YG259av0iYJ=_2eQ)xxVMpjuHZ^eh4b#!QI7A<~(QYcxy>zGn)E+5&s{)(XUe<8BG%wB~iLVU+|B=gs z>8nEHiET5^+OpJ%VQ_c6O4Z|}@WK;`plNBFE|jmm5zZUcvH{F8z3RhiQ$s8qG_h6| zV##Od@L>3^`zgV)OK84l6bal{1Bzk8GrCM=hm^Xzk*{+wvndR`Jb*97kp&0Rd|JiQ z$U%Fd0<%FR6dOcbG_fn>ilPP4cq_o@;~F{Y=igTlugkwIXc4Tn0- zlkHj#qsUTH%M7%M>aJxRJU+a8UA&yIwOCk2vgfBLE!@Yuvdo=2Crm}2Mpq3zcRpfG z4kZ2L0;z?ura25XAireWOd)Yg7v3u12I3E|U4hgC5Z2uk6ZUm1?|PC?7_hL_VdXMM zHAs~aXpsrV0v{D6s)3;EohNT?HMtuK(x!XM&$(!=(0)+Rvm75z1l>JFVN>8k>9r2ZyOE?goD*5nyx*Lq?iNL?ak&W0~qn4FBd}0H4_+o@l3;AEJyX ztQv6|CBv;an0CV=ln;o7vu?D1rla(aY>l>$t;${8&@lcYIroi>ruNQK(CUyqNpi&B zu6E~D&6^@FP#@>A%J(F~aFg%>z8pNBeqZ^UJsGC%M0#Y(hPy$%#*>JewGJpP%wWsT;`; zvLK`?$--c4TGwE9vURN!yUxYLwa9IF-&C`r+q38=xC+@g*8t$cYimcyjxFt>FT=X0 zlxPWqc<&uP$#R}B%$&6N`z{s`C6QzO6Hje<>fvWNF2E;sDd44tm{rrlI@DQ7LDEdL z$!%~hOHk?2Ky4yj*Q>*V#V_DI1k^hiH1g~m!;of^vKhs{DTt(mG@&& z&EVzRwN#Rh9W$x1zMH!3{pxPVRnOj+TqwRszZgleV_P|NEmc~}%B7!{S1;U;bZ!81 zgu8utTL?{~opWnuZIjgU3|eG-_i{8eqPd*tEpNFC%1mO*b~rXEV6g~A<9U_zIm%W@ zdccdfJy9S!=3oglde~vye(5 zEqA3O5D(ka_v@wkMg;PSM%N~eyb~hpjc(|om&z{eoe=gQ%Kj=lh`K}g*b@DUoeo2+ zbp_{5pYYDO?Nrn$MH~AFoR|T$DNgT>gP=x}>{vG(&%4ED6yYpInjqL^-D@|_X|P(0 zU&C7?te_Iw;LDPhBmtgI?*$FRy39v4aeGY!Xhtu%y!td20?iVfzY-Vic+vV6J22=k zCvK`zC&3hoW|Xew$a|{PD_wan{q6wP!--}c-3Ia(-XL&53qy{ve-Ec){wu@Q2Tu2a z(-AQ-FfspT>HcrR=|1x2K5)7Z1wIt`P~by>4+TCH`2URp{{l|;S3Twa3a9(CdjBh& zj`?@s-2cndebn4+TCH_)y?q$ea7qJn^6HF@IL?|FNF`Bg^bB13&8dk9z+9#(G{)PoG1d z9bibyXb52XUC-;W({ivH>Hq!218mF zR<_^y(+n&uw5%)uU40f-0|O&=rjL65|3EQI@Np0SP~by>4+TCH_)y?Ofq$i*|Eo4H z|NaZMt#_vi0 zw7>r``=7q|Kc4()#s1^TpC0W0q7z=h!Ol_NK~~q!(8|G{fSHwn{$C24{&N|BIh6l) zZ~T8LZ2C`O{+F2lO4#(j;Erb_05JTi^M4GXW+VUr{y?XGpEN}#0>(chz3GJ+2^jx8 ze4mDf{qn$K z$9(02L=}pFyEZ|bQDIE1@ONCUm@Vyv=5M6pM!~tLp$&1`o_w$Fna;q7U@|pawj#_# zPSwHp9!vd~>v)N^$HTkwzf-C&`| zET0UuinEH&XH9TMNwf-U3hA^qQ|AvCHVu)4U9yBbwO{;!9w2;bA~7L&zbRoWiFY_C z1%YC>qJbnaf$gJDir|?8o;|NzN@1T{U(#{kwKfYzJx?X>xt@TPLX@;TxU#gx&#(9i zY%9tm?1476;{Qml9tbw7#S9MCV^VqCq*bOOLqIXr%=OJ8#i~f&8|Xb@Y&1@aGD8h# z53IuzE}$(uH%xWAH6m&CcDDtK|D9dUS14cL=%`iptEi=7ruXLHshCjA>pYLnoXaEX z@w3T#nzpIs)AaSR)!or;Dnnl)`W2!do#pTJ>i8)mt1mvH*w$hsQXsz2ZVs2$dUhUe z&W~qKEvlv4`{WZ}^C+A;b$?0Xl14);n@kp1g1=GO72*K8Ud~a=Z@4DBcXU+au#*Q%Lkr^cbKp?1 z>$KCahF=W@pOmKYG*ntRvz36|8;(#fHS~1A#lUWs^lE^`s}m0oYX0H3Ed`A0 z&cGlD&k`zvic1VHAlj#(Qa@JdVUecTWgxZOXK~Vir3sORJyD|zWuoT4DN8Cyl-NL& zBT-g>L{Sz5SDie?>nDhjg^ktZJH5zjdZUB?IMMNI=qEisvqDzX%|?Dq(kt9!*6izx z6#iTYIA^c_IjwJIvE1jMrX;HoM$jdp`BO!iBeY@CGHJbK1?Gqc8c%FIo~hd(aV2J? zZ(*s_ea6UtW0ubEm`RTrafSbp(=VKJ?@$aHa^nTY{N5M+tZxeouTbxHEY*~#8`n4*2a4FVUt1T) zTZ1Xv6le~HVTq$S_Lthan& z#SaOg6xm)n-gDh@1~}C>9u>LT7wZ1;z7+{< z25pzX#J`4Zd52sOADYH;1!4gc*q?MXdC0#Sx!$E{bN?!!$8KR@WJ31#nL5tQwCl#+ zu>oim@m-pG^DOZcm{@7QwPwy@nl&{aw@nRIGwrn~(TBs`6F0W@CjkOb zYKMsS>^co@mT>BFa0@CMGons}$9*RlrjR3EJv9qAW_LcVotWCdrSFZ0+qjLJry#1; zL3dPSdBNW(g!zDGt<>IIntZHge_n6tJb;UY1H5nrHV&<1Eg(mLfWUG=d&1+pw|0OW z>?s@VOTVhJ0^dLyf^F&_LPoC{m<$VDDKxow-e*rZS);amhd`Sl z2=98j$Tljl#>c{4YEfL_hmPW?T+0qP2BTB{5#&q8k}e8i!Y&fORiZk!!j3&9-np}vx!8#)BcGrD;LM{Lae2$j}?n@5EUC~q+EZ(2d=F*fg za-QJUID|0G4dWJXTsnN(xnoM^)=OgqXtzuZvC!DQ zYbjCAIV2?$#l!XLBzWEVs&he{#?yg=0X+4;UV6yMtV`0vj>)5J!` zbV(+4dE~wfC2S4{5=MA2y7S)-kvWMz2FMBuSTNz74(5SE6kh5cm6bJVJfrs%?NHy< z&@3L4Z!vAm5Kalp*1BcM5NHj#o|C^H0)MEcFY4=#)3pvUA!%&9^fcgTLRSgM!ekRUrkgMH~9(-%d#i^t-G(S z1#WFw0&1ddi+OJ8bi1~$McV0|FL%q+Sz-bzFN}J$r}dBW?_n1+2RObBK#^(fsy6xz zo=Z{e2tI~f?bdA*(<0s(F#-Z^)n@gGPdkH+UArW2o z12eoD0m4uf{ST$j=MYU;%7%isltb$CU?bntJSMWI(8eN!s}LKTo3q1|B%|_OlhR@- zyANgXt|%O5y<4LPSlA5~(H=KS33!A>D}y zEGE=Sp)91g6CC@lL4(tS@clk{GU!pc*lK~)0rhUXzz!0#1Abc4`^X^U_T_@QFm}tMOpXIUMZ?RZH0MxCy0;@4hYyuHK1!Gg%W)ZC^Fh&7cxwWP)P?zE)@B(w} z1U1Lu_O*j2cp=P(?{02pE$PydLYLP!Zco`w)6&+exDMB3Sczay8kQ}Obi?C2fGq7W zt@Y;93Y(uZ*)3YjC((k9Zu{z?-Q7OItH3eVb%Y5n_}{cU6paVz11PJg>#!w1NVmJI8~N+#HWz0Hx7O~X{Xr-NB{l-= zqi^*B3Zts~7l&T;zN#AgalqVdEBL8Ap|Vuwns_0xBXa5&yl>V1`zp+s7sYF+)fx2D+!lAhmTe4@$+AYHPCizj{}b$JwDNw zXXB@&%b1sJ%sH~SwvGy)%1nHY(5eCEn+xc}&$P(MdI_0*^No2|W=KwW%1(Ey(0&ig zq{iD*$J@K}sWdCspW%3romDdD&ph>kZ>J` zuNVoi2bV`1V-;QO#Xx&T$`s0{>Sjc1<)M<+_IhvZ97!R&`h+*3t618v`}ucXd#V_I zVidK!*X34Hcv7e4j+h5Ac^cF9$xGna7r1>p7_6QC#i;Rs>U37$kTp@cR!E?Wz)&VT zj3WKa`;!;!TcXMQ?S`;@Xvh1b6A`s({!oGu2v>{Mil;3#ZKdm>q360Iw(rav-6j0F zC@8s1s*=TV+pbgy)cU*(XF(jie-EVaOC)(wJt+oBP-!5Fv|!4OX# z_TYTQ{TZTYXe~m(Cn)o7w;uuHmPU-S4{kuLgaWc}}~2>xI6dZ!7BymrYx8SIDZYA-4n8`QeZ_77td5K{TCmZr)zAjpHC zpJRoHYGrm9MMq2o?@F~+wk8(I0narUG#eD0{WK*!d7#LU$0x7}nCsB8JgUTRR^d51AD(DiaOEBXIUM zZM49Z8lGn3*oMr^vT|exp|2|lya`A&20{ccS1((3notjlPtX!EV!2>uwF*%%$Rp!b z2m_26-v*(ew)YnjB%JP{h&)J+kR@MMYms3&$LV?`?KNiLj95=i}a#axDg0h7@vW-KrUkB15Kg3k^ECT~azK$PRTwb7d8$ zLp`7xPsb4!GRz9_(4%ZvNZ%PZDK(eV9g<~e4=_QdA=^)vU^r2}j;DpEd6bSO*GRJ+ zEh7E=>E(k__UUUinNch z`>?4I-&LK$bPrkX^VDo2X$;CDeBI6|f>x{L8g6=vOEBo&o~eJ}B0%Y_GQ%l7q2tF_(;cr6-G<(I7tkjlfE7@rS%$|^=e+GFH*b$TmtI5-piQ2t#^l zF|aNfxG*S&#KFlZxW4**nFGSv9`z%o${kFn8_Wc1;5n_@)1y({b*b23LTpvJmpj-- z1O4sb-NzbABUSS)aWE8l1t831AB&kFKvmVMO2(^7|MSkWii9?3ivpCi2p^Qg9 z?M!b9S9G;%vCZ2bdHO9X!(&%lq(KHUDXR`!B_cNOc{K;{7)qndlddJ%nHJ=)IO0Z+ z8Yxx=shYO>&uW;3lu%{|N?~3(Ng&xOM6|34Zl~k;p31IBO)b(4IezYfFToLLQJ@fe zFH-0p9@ILPIFBDT_yi(FxqzGqi24?-1MYTN`q|mE)h>*z+by9}>?rU?3w@erfg`f? zhvK)Vyrl|NM!XVi(M%ht?m&M2>Tso~=rSak zMCKLc*MrQ^Pl1XS_r34@S9cB6LqaJ{o$9^ksGINHu#1j+Hq{;oyd4h_9+GTHy=rza zUOdMY5nR!ZwdGqbhecab%Quv2jk{9}oy^#Dmz_f`z7o7|s+V=gZXhy`-J(|mVXO@= z2Tq-H9j|R;dz2J_L)>+cWuh}8KUEMG$b>PoCLDN3!x1X_Bf{}(X7YB zyw>Eh*gEitLO%-LOkLFez?-f1q&P3-g<%mJCFk$)GS?QP$=f;Ue40A1vDbKRN`9$l zNeBGk4GaNv?Z6HF_Z+7zAB6fxNA&;P5&i!v$LU7{hyNzp(CC9u|8M%r@Xx3DpTC9v^Y#7j-}|A!hXNl8 zd?@gtz=s0=0-^pVZv1!Z`M)95+1USJ$^SC$uLyOPe@3XY{0*Vb@+YDGU&PS=CFuOm zng6o7|90s7ztE8F&m;X`>ilm)&i_tBHeOzOSvzYRLpujkLwj!SKfA2`=Ffjq%JSVb*C>IVUK5?*a{y+*>c-MU?T z_wsaq9j`509@lxDHJ0&yd3!W|e>zz{PMWl;)M~2pD~V<5UfcX6jpg}#QvYV-*u0$d3H0zR$Qk{feIhip&fZ^%?~zt9DhmBZ*!gQ zZiMdSDCJj!jpMw1YtKemX6KgIPmlfV=naie+@BlIb#FDJNEfOPQWkt28h>}12Yp5y z2ns02M%J1h_CUL}PMm#4^H|tFMVIloNMe#*b1RBs?;Nx`AL-aCnte%oX-f98pP(jz<$HcXl{ZTc|D<;a# zb#Ss0O3iPA(5ATI9!aptb36q%{!+AV25P?CMV*<->-c2qQOC z5R$YP6oJy>BA!?YBWd_m+p2cOO3vK&gTxJ()Sq&sr1qnn+E(kg?X&_L4SVx6J576C z32$#(Hj&OaGBd~)ChLoL;D-e^58{=y;tWrREA2=bi}3uNJ5D7so@v!b6t0k&HDBR5La}DA-igv0x*5&07|!Lz61?YpwD<2d?2$#$2F{m+S8O zRjdX1u0eq&caek$vTU8;R_3i8{mF`mc4eBFvpNWpb#^uY^vRF~MG^FD2% zrw)sq_G{hK^gDNQ0Zw2@-YgB_#*1u9t{cZFc^Z3?tGhkrJh=t<4-0RXj^_4&wa&S9 zZOzUXr|a9>T5Is4ozr7uda#n0W9NDH`St5y_L{cSz32JLn*tS;%7*EL5>Ev-7;>98+eg3bFa!RNCn zta_Uqp9E&;+uWY__Cz8h7_GCs+aIT+8Yl15yj|<3WT>jP^l{}ocAL+D!Qp{M0)94H zS*p)lvsnZ2yxqK{scb_R-H+cMvp0^+wNfmh&95$ly|!IyNQ1dMqhCWF88j<_cqERU zLtc|-EKZMNRY4fu^R0gCjFlwgcr7@{;-M2+vXZv*uzyg9%Pt76_85)+HrnhvwH>ek zIq3aLoO3^FOkYt6R?4Sv;|1O2)qi(t4|)T12s7nx^8~Hzl$+XP78zDi=n6v)i-JGS z3OtM>%_?HJ_C3Sr@`VsT{6*%8JZ~Gf0gQ~wp`pVt;S{TyR)!n0g`je_ zf=w;PxRdVVn@QdoT3~%|1&ftLMkEBpsdYQR|=lUbjMpue-MrP=a+2TUmi&S{q$=?`>@z zt2mj_ExtC-kQ8$r^!h_znXOyDFgU!uWX-vQU2E8k%A7hb!!pp@aqQk`ho?$g zvd)a?Df(@$pDSbIv=K{0wW#w~@PC zva@&DhM<#F=X#uN3{#ypTUAs&7S}x5o7)GPMPj<1P@Hoz76MQD3D!7I{8q9lp8018 z(9y$D9LGD&Dc#$87F-^EG|?D<6?$RT+X~X_QM4;*kHhsy>jZ6v5?7n~Hez|@ENHVu z&>Gu4pLyOa1_+PE<2R`>wKeFqbFfv8!K<_58h7Vho1y3NMo&e=cPBs{t@?+fcw~`A zB|1Ji;+W`Um;iUop#%zkA`i>jL-DlBld0N4zw1%8;)m3-il=MP6iU z-tF71bNv+-y}70qW@-!?P=!1dw63GGMKu&urCzHkv5x z96-9(Ru6Bo0917tw@y7@*+>x5o-C9}x42qV{l+9=$r-=x&tCJDl=$D}Gud%X`Xa*~;*^RYo|ubAP24 zdF*9I;j`;-iVyZ1iLCz&0Q1E&3{v*0k_xwNmZ9pjo(*$x4fP4enyh5kvCQ)H?^L|# zv!Ty=h4!|J@WuIrb8^)$K$Vuq|I~OozkW5TlElXRh@;p}#ODgDE#C^y<(-mlVX>@S zTR47#*xbRyceYhRlD6E_UUXG(qQS%YnL)|S)AR zeS1`k`LAeC_qW@|B`>eZ!T@DsvK66vsGhY=4*UjOzqeSQW~YPfUtCVmMQ?ZE63w5y zS+-Eie&wM?+FnldIPNHwlUZkJxxo-TSbw8#S8^lu>6jBS2PV1Ot&EkChz7Ogq|Nqr zG`4)U;*99Zv$@}vi+R_w@)(NiN@kcxbp9OnGAgkcZj;KIBN+McR4w^ruNMEz$Xt9H zY*)Np_;N;xJCKDd6#D+S7^U~kJYa!1pg~Mq-^Wjc_@Wr%p$$k_=Yip<_DdEIeh`&L zlM9rxCa=syp69*2?#?qF@rldiH5@D%4OyhV>O{tIsUF8ymuL`?6ynOe1^Y{nqj_tz zDd@|-@P?CL--a#yG-u#IVAPVN}*cNIAHdv6{N42#Dd_P19?dGz;T z4v4oL*MF4+E?#q?CmOCi^JX$Nj0OJw4}BrGI;K55y6o&mBgYCNs0KPPH(x*N>L2vQB@Jh9~Sfjj(Fr1 zHz2){{zOz>d8UlJ>^ssFO{t?!8O`8lFrtKLgfP|S?hS4K_?p&d#90R%sg&|joRoYo zrKWO&u|XBM@h^4AKXa?+`A0;xi92I1JqpmUzqpMR5wp?1n`>4Lc%fF^5}l;eK*}Rh zSvDUsNil*|5n+Ha4G!24L-%Q7M&Cua_;vaR{X%iBgISg|h?ZgQ8yZH^WLBwbw!g$O z55oA3ffHnUXdZE*e5s{|-UJPDSs#BsILgN^KEu+>^^VkSHP4R(uGPil_|7)?%Lv4! zaF;ankl#0=;0ExVc=-qn3!>>99Qa^fsh`)T1!Qx)Jvs_S2my z6;eY!+oLO3PHrrnC8*WEu84;mLvmz~i6Rr3j3rZeyr6bI-frLrUR?dUBT_hU(WPSC z?GQ@|Mmr_3?%jx3HNOH5v|lb^Y1ZJu2vSUQ;$4I`PDi$safwbpjoWd%27EoX{%Jxe z|HbH2*A zy;lH8)fDhIQd|d75vU(T=u9@;ou~_LCo{rs0RJM{0zWx%QlZqWqXe9D%3VeNW3Aou zrwOwzQ*I9lXyetv?&WH1AH;7!9@9584p4|M%7j5Csk=++bs{w$zkS~eY6;+fVsFs;+&x% z6;HyTe%7$Iat|pQWF*aLbR3~wNtKj>1r53$jPhbiG5^%g+A^U&gqDIphzSQVTf)f1 z1#YVH4N=Z<{KZR0wRk9nA6bOW4{*l}L%ZA9F9kR>?(<&{T=_cK9i?+ouJrEplw&$I zJr7zkJ`>HG*M>A{IMr_M<7YzcLrIVWZu*f%WuHNm(1g0Ce`QGoa33+Hw?A2vKlo?r zJith7?v0)I5DRUhy*5Ra;DrASnH{!^g6Mj_1O^R=q!3L4W5DVtx! ziB=+pZa{-#>a`ALC5VLPo?}Te-p~1-L~voG=%;$(L2HbSr_NysSCG zSZ}b;N}JH~+$F6w`@Y$@=9Lr%5wq-i*wKob3?zv7Y-IdocOCx8ev|<5RMCQo z@BS$auJ-3f!2?@<{xX8Lt$OUT?}>8DQffv>>Qz#K6=e<-a+b!-awOLf5`= zf|jXlv_6fy$Z07lphf;A#-qZbL*x3<{fly;K6JUykg3b)`#W&N=hAPOsV$W9d+o{I ze)hl0CS*ielcXz%o-g`u1jj_5j8?+p2#xKuFfhX_ zwP4Cjhz4id4FF&bC=Yr{!O^=Qgqp)!6N|QcF45n>E z#bgkU@oR`e^}u0-Tw2aYOQ|SU_{>cw8VgbvCc~nFcbL<_KL}Z@a2bZsTyz)^Rdqjy-!vIpbfx1f31*ZkAtrvY$T4&;eM_&}npI+w5; zE>ofW(?Lb4EmEjkh8+pij`U8bT`z>}d%YNNndCEc;`e8NbUO-1AcPUK1*Q~H7{#UJvt!hkubZUQiY++rh z=a_xX9S0V1QDyO0UIIgQyDTv&xESWNt+Ic7VgY z*6I42^c3%A`3+6%yBdjIFizGp>Y7gA%mLHwM~h3UYq(}cwVuzH!qnM*X#ZoYixHY zPP&94+;8Kf7~*UONV>fq{#g70pUTzcP66?>KTa8kS+$h@^?b8Et(I#kV-hwmOU(DZ{sCM>Q!D*!s>P-yFS^4RCa^@Z)>R zHfp@M-`oazFRwWb^1*s4;wJHz$5rtC0^c3vhW}f29c#-PWq+Rw~4pP@4zr)=GUB#A!PBOErijB$yF@KFBoDhOhfB>!W zlEQ;%=_E^o2df=7PtTgo1<2yEeNlLwVp;8{AhBfD?KhPTofA+>iH!SMhf17GhBEy^ zQ_>~WvGJ8aDeDea8cgqMPft|TL~Tyn)I&m0X{qB^ZCzKAMPS=X^LbcB5HG{_xllR&%{z|b)geFO+&(K3UUVe~{{Y;Ie!LCO{2>h+m9z}-2Wqc+AI7T@EbHF?NTc5f_ zezLTLHIS)SXT_?Wlg7QX=#?&6a~{WdOmZ9$RhUa+&GZ%7T7elH&T4WZFH{>}X;QiN zsEgauF^3KP@(lGg>(>_wqIW$4#K@UdUGY9U0vIPri+thP`YJ4d0RFMn(+vAG}) z6ZISG7d7M{BonlYPGS-`{xU522;sw7^liVx#)|<8qa5ncH2E~T0qtxz9AeYq7ta1d>~SR%1oQty8sT z*zV-n3vQ9B|AR8P}aFXhH%9q`D&H-*P)l@H!exp$6|Eg1q}8xsx(fOc{!NWft=l z^N1-_o^~>rL~iarQ&8;}Q!vwv;U`jZo_$$8o^$44&%q{0E*jCP7*gjzOolAz%_ev7Qi!KT z1;+lI5#(f?Kob^s)JRSE+(v4pnT6Iw-?nO=NRl5zf37fnOBwB0N2-ix6u*rMQ`sBi zu0gop&d<$Zr9{R=Y+7(dMBA9o^U_Kl5_sRJ zo=6y%j%DEVN-s+=PQSq zya3WCXam&73MT`Hi4=uFH7OWSpo_W+O1JEhmHQC1P<`O!X4a@pTUkzBEwYMPM{X#ZWa3|W2{etzUpK4Y z>duy`-DXhjznD_&Q?Ln-Z3>$1XUQ?EuNN38?j-rio9x%xy##=&((UYx3=fB=4w1zzZ8(W z)2AO3{Zgn^_O=RTWoCst2*ZZ9D5(1sR$CBJTV#7R4mz-Kl$YiAnqgss5$6ss3NNdMrY8Ce5`Tz1~Sm~Qt8u7eZ!&>ZC3UO%PqaR$ab=8dr#zwH=qMU`g8 zJmjTt5?>CM{lX086E@qDF-d*M6aNw9xD`c){=F{?H8GTLgzujGt&a=EyNBsgWXe@) zv=3iOH-pKWlm7o@vg{By%AgatR$mPT0>N(Wr)GdSEL1khmfJX#M$xfr;^V? z4h0{6LFOo3%*2i%7m!*b(Ar%-0O$T1@BW$-M(?T~T5p)9ur83LRAgD|YYPIT>YEBt z6Ct4d0}D3)8t-J2FLHR&=)Cq>>rGw(N_q9 zB!9ql;D4KT;Tq#dDu%A8l)*<7f+88Z6!HgN=W2rY;nY`{^EjdUBqN;y^~Z#~8lcsh1q)=Y_*4qE78=DnN8BYlw_!y)znew-jH26$cc#%> z@n_ImZ-azDt#89n;f~T}Dp^NwvD?taC~E^GtC1PC4m_8qT`s(~nqrRyg1B!byco%R zsu;0xwt0y;@#d(;`lvM&LVsg~_@!zUB#@Z`K`?9M4E81(CkDaSe|oE=BL5P*g0jLkx}WSX1p` zH`PE&M`0|vpg?or;c6&b=ywmdl`|&`DN*f(xhO8B-`)8sHI)&>x`63Y<6`PoYZcC7 z`?gJrb54tI_^>W3saxDUN~?EnRSbQ2$nAe1m~(QgM!f}LJW()Re({@k_H#+J_h=a&QuiV+$vCP;Yq(CUP*>HJ>R5WI*J?)^Z}G? z_>ySDDLFIUUStlS3viMq)H_S1-s%r#-;vI^C6?TgV!ng6s{8q#nH?9^5+Uz;y9d|-QWWXSJ#}^ z?BkN6IGXTgCH@F4TXN$Iwj|!_3gi2_xGn>}Z{j=~fr!hb3xGt1mz8Uz%~;k*Uj9-1 z6h(W78z9`YLZR_-7(a-nN3%SkzIK{&d4fcvBLs*N(wXBqrAT2@<7UvpP+$nLmH$=e zmdX6qRgp-x)6fzdvsn}Ud&w4V+0>QgqIY`P)HQj9QpWENXXhx~3#C244`NExU%Dji z4AZKokwsfJpw7ark1f`1zv5C^Z^6{4Mf)xf&qkKA}yyBB3?A4yl>ot{Mc#O6+xU~MDK?S8=sa0Q)OSvCICqN;EtptD=CnCDBl@8W- zmRSsk!-|z)0LDzrcNdyI>GD129(m3@d~mKDK!f+F#jNYm0m^Rx?k^C_z2w_ zeJjt|*TMGEkx>Ppa}$>LydoGoRb$FhT6nW7CsK5b$hDU)j!P*I+w<>Fj0Q_;?>Fd@ zv=V&A6BQd9?}E%1i;_f`Wv4W>;&;x_#5I-OvbKt4Aswr++Dq*z zq_>^)lE?MOl(c;}-Pes__znZ}fexmhQm-h}jO2%y421r(eG72vb{TVjN}aEvJF`Z# zd}@i(;#I5{PT(YNS`jMJs@z*kOg1Qld5n>WJ=S1SyW%V-BFyU80lv^W`}%-cY)rWZ zTUsRk{_*-OCZp0#tk}YgHqLFV+RbLZ!0?*w{bUhNrj>kVsuZc;^B@d zg}wz1Olu)!nNZR=D9U&KDwzZ(&%bdHl#xF1BIUy3;k!;x0|hQpB9*BsL2W=v$6WCD zpSefoU`I!SmqK9=WPxoY?V7St?3%EyA}fMkOIy`_&t`q-jfEkT9*#+pv^ynE4qas3 zz4Pwh#fns}=G?5x?$*TrG!;!#TovApi~lK7`}5*5>-J%twTzQ@N5JdCrGeg)m=vdv z5(YngeWmi_OTLnlRYN~yO?gG{w5}JnX)_EvK4JgbM~{NAs59nd(zu78qJU9NBYnlp zj^1~{I(k0JG-8MxPLvL8T=AxGYCv38s*z2EZCWmRpH#%>3Ba>T^I}!<)3gAqY>avg z!H51x#(Q-Ql~D4IxX#Vtu@o?+XV`$!+`;KLnGQIL^!gtm44h z@8DGW1);IZr}|lj`9+7_Mc}!HEh#i#PtF(ONU^0%(N@C%Us@t<=+jc&5$ZpD8wDR# z)}?6K;cZefDel{`^VZ0qyT^*7$iLAq8V?{QE4kyfL&deSy7~PV!j?+y0PP<$`mUGA zfEgc2o(XV%3CnlDwU5%Khl^v~ObuDewii21xGCmS(2W;H_2U`GT}t3mXLY&xn=d{_ zJ7=jHd}L!SblZp&qozSaCcrpBqv!2t!7+|=`Y$P(y^WVQB*u?fBR3QaD@;OD_G1tiP7rB_mdFg||> zgLi&zmFIzuL+sRwza$_VW_3G^e%JDW zXYf?SNpj4j;>+@3+veekdS-w1{Ht`*TamOEUJZX+NcusmU)eC~?nkcEz|PsIz6=+fTr-{a z6{rdcBST}Kd783zl{1ajLUeFcI4@;qTH%n$>I?C98}bw~8RcrJfz?3deoxPAL~@j< zO3T!t;qG%#L`H;7@Zf>CvmJwe&j_{{p+1R)wj;7o1M;cZPQL+^#GEjk--jgk1Utk{ z6;Df(7np;syk*N{t)h_-9OM*y0U)@)XQh4Or&F}&088QqJn#+hbcx|WyMmHurgO?g zQ)dz>N{UNur(h798cWGeWwy-sZBUBEqSXQ=dB?E?gfFLtWZow!;(hNrYC>>eX66jtyF?2|dJoCqrq`BbxBcpgMa&ZQLLPFG!Fz>ajOPVJ z>cVM*w`5cqpmrm^V1)`MA!`AE1m{Dpaf^(RQjk2+=s48U(xBl(ur@>`Za4S~i)DUM zXGRR>t+uPfNEHF$;U0~&aE~WTLxRQD!7yH&=E$=Z0@RE0vJXzHp-L4a@oVBrb%{{H zq*1VQG}+1>kEeSIkw(U=2ZVt`;4wMMXdq5IL^YR!PM3Zd)~w=c76T;A9S6StZWAFg z3UeE()7ha=p2w5)PMvE4n0@r-2WC)`VAi16GC-Z_sN?AJEcNqO%Zr-F%C2Wovp*|L zN3Zs9BsFW8 zBzY-1WKt4KC#N<1?Oc=BI58SGUP$3zK#IZ8yv4}0(W0A$=Qjt|FMEG|;SDu1Npch#{u+Z2 zcl1hkrOjJw_u&rsmmMce)|=8Z9Za#4Pe6lofUR~L4lPEz))Av?W;2`8IenYzhN$G$ zZT2!b5}VEYtdDkBZ?Ntre*Kx-%I>u=MAKjnZ?2P7R;!dB1ORU<-R_9=Qwc`J;DHzE zkAAV4xw+JJLDF%+^wQID;P;QsAWSm*=|k;am?_baF4|C+`5vK5NLW1JJrko6?e$(! z%BaFa2=-v{PP+v(P#8*km9}(n5fJsP@XXpu+`oQfUQUv0hLVs?Akm9>2N#f;j`dL9 z3Z6}v>ueT&U1dKAAGvB$T__c0+ zePiLs&}`)1ZceGS{=^g$sHSF*ntLo^;oK;B5U1)7756k}n!^lNNomQ1jlR4~dGq)e1^+C-{nZ~_;) zqySrkhBk#MqJd71D$=56U%f8&dMHX{{4d-3r=OM%si`MK8M$Rwj0Nshmk_1=7oBy% zr$#GBxq@#NCUbBI1-5t;8=~~HF{Zs0qh1vmV5%Mf&erJ3e21-|+sBpNkdTEno*P*M zyLohF!+XbiB-H*60FF13{zmj^HF2Y+gbbO1QvAReBBcZrZ zl2#r_91s%LLwT56{%MXS@{aZ;d$Ot|c&4g4_N3adF8TN-{xohxf{ahozgd6ondevk z`Kl)s@pa&Oxc_`uv{Fd=^SK07j@v>W@{HG!-HxO5La2OLTB-%N0LwP1aX`yUU(9*1 zcXl{yM9`lbDU+D+5(sGJP|v@RgO#{vf_0?|%9+tAOi%D%0z(yi#)D zekvgQf#+`yU?hq}R$Bcu+tU;hKJUZ(Wf>5d{W*%eoz3%ue_U29Q5QnIJ0$FoCOGT@ zzwVY<)Uucy3TI)@kyoGMmvT1%0caCKc!GXJ2`4DQBh9G)b6t)+$YC1(YDRC3jCwMKMMS#0N#HCtMWI0<6mrze+Sk5h2i+y zh?AT9-;F=NkNjo#_^%WH$>;yc=l_+@|3^$B%YO@#_@B@I_q*?Zhe`ZT=l@rjL`Gu< zCPR7yMk)qY14AlCRzt(@e4dGs%7B55iCN$9yPEFb@^M!y+wb3tSvxrDSsNIM333rQ zIhh%<8_*fC(K9j_P_Z)88&EOQ8X8mSu^G`((HVSOoHEht(J~k_{FBfBlh6O7z&{H7 zqrg83{G-4>3jBYfz<&dK{vYs%|DI9(mCyfe*8V^9d1jXXusr`CFo{h6@`QJ@HKLK# zGclr(H*&CXvNtet_`V3!zq!QoSzFsUI%s_F|IJ?ftKx6C;$MZoL5hDB{w65?Rrs6l z_kV~|RB*I+GH{gDvp2GK{BDqmk>|as-7raUO@0#!K|2?t&H~u8kU;F=hF#ea3 z{A=|8rQ`peH%b5f3^DyLyh$ww>@mAT4sRhIZOdbAXD=6u&gfdUcuEO_G2&%!v=hL9 zzDV7G1GL^qM(?$pZnx>N(@QALSgbVds9&Ijq0{S|OG;{LFLv~ItJ|AfIzDc8ZanW( z+ngRRo69OQ-d;CL4`&f?XDl^ek2YVQ34csxydMdmbvCm;J|-GWXmp10p=o+JGeYJ* zrvxfbW`o^04>*f=4=Wn%o=+`(&_+ySv`)$4XncGgS1%J#@q_QyVBJuM@4VP1J9c%d zyRoOA9%i2vQuR~e%u?PT-zit8wAfnSF5X*cz7UW`x%~z-nZPtxO6y>&4h7N~;ofXd z8APQRTyJg{?ko+8p5dt0mpUVSc5-rf-d1&461>k?7I4p9GL*^|I^V{omWX`1dJZM! z*(LFbGI}RuuIb)7ynu36ol#i=sJ%@HFQ~0)L^Uz=d@iFzJ17uZmR<5|Xs&|q z+TW(47cXA$FRQx`Ee3i%k1}<>#wHjvZqY)Fefk-(^wa;iu^uqbEZBNDJszet2tGMr z!#Q}mZg1s8K4mPI*g>x^J9)Tybb0-PZn^@Y5l4rsTd}ReGGDY#1@L2G^A{sTGt8jP z?s<2qJXj^Mq3&^WdU*AAeLT5CKBDJ6|6I^+$C*yA8|G#(hQ=mY-|llhfG0S*vH7xa zwHlCO!?IK}q7Gp_&+518csqa5!j8<_w*}8z(zl>;!?~o^$@wUm!fAjV;Ka2|cu6v3 zq0zZ{QZadB#Ticp1D8Xy&Xg`BJaI*vIJoC77N}pnf=j5vS==c9;97A3OAyTXK)X29 zl_+P>Y0;7Y{TF)@w$Or;n69eff~IP1dj;0vcdUX~A<25P^g5>A-ux@g+Jg)R%{ z)EwtGf0aXT`|tkTu#|$PF?9WrQn!aza%c}7gT~D@bbTXxVesuQ8DgWy8dIQc1LR^m zbK}W?@3o&OxD{Ke=uq&>DbrF7Ec^`oqn2lqEWARu{KObZ%VVZ8v`ZFtc6_*vw9bm~ zH74R>K_(2FNP}oj9V|fy}Czowykix+CE>cpI;wgeC}wv z<5I4VhN&KI+8xA-jbc!!*Xt=iSvquWFo2Zr+F1gOy_3a+>BH#olScG7&L>9RRJ~a< zul^XO>po4O`tWRQYj<+9)Jb=As4PEyb8@L`s(ZRHwvJn9X5jQ#Hoh*$QEVSBnEqgp z1J_e?M~P{D@z0}fc{;eKB?$H=lHnzIH7%>Oy$b>OE`_JW6XE|952LYlV22N z#=mU9vKb>ItfGv4Ce7M;B=^n;^_L;3Nb4=VmpchDLh;)rh6i2PZEFPQ=>}m5c;3)jPm37-Etv1c_Q%(s2bgKY z&CSi#>HR&NdJU;qzS)P#BZKtQ)sL-}?vCybjLYudQxj)2H4l%dJn^Nmtt;IJgA`mY zH9RdY11igZ7A`g7YC_JI2No(|)|Y-OR7g3$<5oI9oaq|vOt03LtfBZlTRzPn86TOf zd3jq)=Y4e5^?>tKXJ<=j9^LAKR`qLbP5WX@ActnIj0hBwg!qTt+n&`!6}k;A1!N@@ z9Jz!Cx8;Ut;z~YLFbU_mBG;Mr>Io#$@yh?q*w7cbxF_3t-V=vgLI3!%_AU`;qYd-q zzIXX~N#&uDt;?UXjnX11>g8|X9CX)|mG*<~a1in*YROYDi?!6{^;5)d#&Ub>yaI8x zyF;t%16xh?%+&TO-_V9m*R7u0FAucGsjA`EIJ6%F*M|#G6%+l@OrG>nCd~{@H}Jz? z>3rd*%QHSN5j>n^Oc!KjbPFcUANT_(Q3iH#SH~AiKG`XoEX}E#XA@VT3_o^edc1GP zY@eojX|PB=Bp7xDEop!avoMDfmO^?Y_xssU_5tV_1ecqH(9W9 zd(Xxr9>6Dzg{_Y+mntIG&%WsC{FzgkmUUoOnA~{R+Dn$~TuZ{ocIOJUh60C39Q0lgj4qy*pL!CQd>kC^j-FyMUFB+F zbh@VK2VF{IB9DLT|M;W6VcA->oQ#=E6aPWS^K+4wqNZ z9ZEITc{>Y;?UnM~_5I?yM{4w-`5xl(L&G;^I2_Kp^V~(!LXNWU=fvIjT~EE6I<>-j z=d##q87arg4cC#yDud@?sOZ+CpIBs?eRKAhWlulM;%L?l3}SVQxcy zagaxQ4kJH?)Kg;~)6UXigN(JXhB+m6&*AWfo71VP7g+m_OPXpMczs@qw9JPc`RZj0 z1=Bz9pC&e?8?G1HAt1&?D!uHzDSrrSfKXu>H8)f@4AWP%ne4E--;W-O&TKKq_$xKC znw7=cn6ar27CrZE4HM&q!?{_%U{fKn+!yE3!sWx(g2!nsSb%n6Ot)dFz7!J6 zAo?6Ggp+jY-P5C`!#$l7XU>+E`4O}TdsG*Qj(2c+x7X)rs_=xV<0+!TdAYR#-P27w z#fVQljJqK7?C|}X+i}Pm-n?V+e1AZF2kH^J$Hr|aA*i%k^KzG>V`#$i|A=+TF<;?X zfm6Vy0)PCb+=zgT!5owRvX4$$NKwV5CAXW;p80u1rh1*MB5bZ8wa?u`BiW%78jwYG zWWzs6%$ti@TLN~%dySg7vk+nNtKZV;Pi*k+?NL_r*hFEz9iii>En#mQJ_2%{O{t?r_c> z0YQtW6P|a}?9Bm#mZ~3;8M{dw6*6{i#3>O&=IexFR<$0V5f>@@tOHPRM}gKooYI>Z z=Jl+CEH>0%0f-$uvuJ(#kkOEC4v6sVY8#Cuv0C0wp;UAw0z>EXacp+z&rvrW7(8!m zw`Rl!vZ*)>r4+5zFp|b6^P8JGCwt1OrzEIPs6056Nw4p(IDjc`5X@Zb2dTRs>bkU9 z8+=IYZ=^}5V=#?LE6P~Jz2_QWpipAXvG1<<#Z6AId&bxmS=n0YHq-~(K2T#-#g#mM z$LT!!h-fbAQQ2tR+k!u?`D-~11Kw^=*HcpNh_5`|-M&4b?{BjmKYZM7vap%kKKd*J zFZ6Kokn+}(k`2ijC7rn$Y>rAZPzp>oBViOaHU{TbHfBTzx-Puq%1)osdN#LmsDiy- znVSSQB(WYHIb5nKf8L)g&$wgg5r~)Z?oy;+yOOM}5 zN)Kzp>awa^$uZUJ%Na2-|kzmYztQKNR8Xb{}lTHQyUjj%A&ex&oa&$KA*qm1fKhIRejZE}f;X zOh>vp+QBTixH*Vh5;@3Rc#Y_`H2Z9Uo;ENZhAZ~V{9q$iW3K>dVIBjFO*KbUo%Cq= zrF1l$F|8{l_Dx(oOIo6^7V!mJ9v)LQgJt$pqz z%Qt;%Kr-2>e{OM>m&FLc`)7y*`rjr=4ON$wj`UUP` zs8GbyoRijODy`IVc+V(X_#iq=#!g4xSVAOjo0=2WtLn3fB*4~!Pi=`^@OV-r5*UqB z-V%h^DPxCM*|4pamYY?g?;5H-@cx+aU3sQjPT9#S1R5`i_lo<;O^o~qp`uJW1|I(= z{fx|^R@8GHpI9D)TDJPETMt&iNQ~3hg&6;1;}qDKZQc5XYQd|n$3Zk>4j}v<&Q6;f zsONEvZOF}`pb5wNvL889Kl2yXcs0lntM;9*UUPea(Qy#WNH(X|92PytzE!E$ z$3%r-2e`cvtJn}Xqh64mQ6|MnyI7~R4X?nE?lb+(66BnkS@NzZGHLHChDTOjv)Low z+d=Kk+$`&<+}a&{9GAm+Q9K zN#aE|(7|Ms^#wDf5{c8h2-d8iA$2V@D4Us{SS!5dom$Rf3-t*8I3ef0PN6#9u zE|$R^UC@kqA+)!Xis`lF(NVP!b4$q7s_>v~89Qu|4n44mYAo1%ytWNHPO!O5(Nv+ z)+J2StE@+thIgEVve@T$v4Q&N;|&2E1)w6?J_Xiyg6{0$X&#@A#g!i zu8ZWQ;MuQTa<{5F+WzvZEF-Brp@X{f85`=iu3oK3O>6ETY0UJEqM35a*(~;(^nB!5 zRxZ0Nru8r}69|-ZCS)rf*iUbPLf1YmG!v48xB2*697dI68+0BDtkI)Spn0)Rs&nr` z8#Q*lY$uk0-1HxKodxZjQNq(>QE2V%g;y}fe8{TNtnE4ls=zLalgmJXK{hfNi^!_s zFLSxxjHNz_d?4`LDKRJyU>0%%KI?@j2`%O*PJ442OhM);Z540aIg|TRfFn)kgaU9a zF-d}zoUaL%eTxHK{0K=x$QV0+keypcxR?_8^a$~xN}Yu5vV7ss`XJ==D#LNmsg$xn zctzrhW8sY7n8uz3QEW66GutE_gmc%#XT`}&SAQl+;k}`QT;^Jk(`Q)s1OTO?J^jGm z(``f?b_{Gzg&SH&X5SM~3>PVHo?tK)<_zG!$zTZ7LPyE~u-*wm$7b>^((6U@*iSSX z(Qph;`btyz1ByfC(vA60FpyhBG#JB5jB1kwCV|H`e6H z@5hRZJf>g?Op6gSCjbpO`18yD6$dlH1~!|hJe<9mDY%h=fRVmHGX>0S8zGO}B91g7 z*YWmvV09U-4M{~GK1O#@l~cfHHo#yX%o1f<5tiDH#l&;TbpY&SM^Yv;oqsTYSU7Bx zR5Xp$(v1K0ciCtZ3Q;X#{MhD&DX5>hAaT-^aj$^$hTk}vCmy@YmjzsW-1;@D`G zX{zppE#Ep5<76``RnXUDc?Ox(wj>=W1N(jrv(=^-mkD62z-LR;S*XQFIvOs7fyisv@kj??2$&PuawakbxbH8|)~ z?CG7z<@4yy?toi{;Al3Yy8|xllKy=n-sD6w+4ogpMpXr9uS1q}P~d+$;1D z+Qyz0#R2AY)6*)C5`k7yQ1LkK8WFa}NZsfCW{qqG+Z7!0lRc2>Ui0F_WfBdy-*3WmP8>=T~Rg* z!YE=4kVdNqEIBgAI?4M4<(PxSGDT4O{v+*CB%=u7m+C~^ zn8I~o3O6P*P>)MZt0+OhCdHcNv+!Yvyy|16lg4|Hej6SXh*MYIkR z@*2hU;End)nmlCIz(HFBC=|w0F=dbCY41|UwaW%4k_yKWLD`tq&qv*b;muo1JsI z*y@6j;BlG2)c{cHaX3az3OA!>m!#lWYScu_$AYS`31e{KD;M>^kGMy8xY%F$e7e#3 zW`wN!y`5my%T-|;y}-r$AUGOP2P0}Zxo&eQPxpHrybz1BBQ+ZylzuS^qh84N$pIYs zF*ZSs^`U{qqtL2|$j$VBAg+2QM@c`~Sic?uIKFN|F5gFDroZ48UT7FLGOy=ezTl_7 z?o)PN1!8cPH#{9bc-lXGlB&NT4xjThzx?fo(sP_YJTwFgFM&HBOSngApyWx^K zA&;dZkQTw$jN66m{nhXN32u_r;9M^`lO}(Rf+)`O1xvMZjhiRhiCu1bP# zy63AkO3P<-Uxq2vNO{N!-Xqv2n(y; zh3|vT{?O0*n1)?8Y5wc;g-SW2VDbnD|Hu-_+bP-V)Rr_Q=en7}#7MmU1|QJVbYrq* zh2D6|g%M?N>OC^55{0KWm{MgO2NYuUg<-)7vCZBX*Q%e&&g27gf<|P9X&pAf-rL6O z_X@AXf=Qe%?dfAk+7=F|1>yG}ff3{kDI=cu4`8*4I44&jFgkmhR;}nvb*_2=sJU6J zpgB!lZ!;uikKoDrnX8~tZ|fX z9y7S{-N<_hdubMT8p2qlrZ5^PgOO54-s9!RTgdENU}evCFN$X1yth(*woN3Y;x|XduN|Y ziZZ+TxRSh<8Qi0yGmu_Q@%N3l;Y;7InGfGdY&JoHH~~$UqgpTwZ9uh6@!IPSUeh_| zM4<8M647mtqsZ9Kpt}gUTW;{c%-B+~)%L&k5BiGYsLOkiG`zQ8M&5DMhnABYn>#!4 zaxMyau3AuastUIXaNg@j3_8(zqC(dt>W7CeS@40&(S|{%h?8AK-@FK5e^!(;K;HAO zHzsmlr<-_MN@M>jDFR=TqiUcN=oX@F-~$g0>DSb(uemSx6c4~PL(Ob1bitjdWNU8p zJc`UHu`f&vlx~}hq;h3E6|^^58mqL2R8mT9!`}C2zjxmz8!%e<)H~nHHlcedZ7dRe zo_toc!d>>wj_;Mu++hOj1rXxnTK!bwcpe0pd|WFHCF%qh097p^rm#@@RYqu^itL@W24PD)=XH0m&0`;Ba^* zY@XbPGI-VRpZpBQ&fry+fQ(5v9KOscFuub2Q`s{{K)GcbYk-Ud85F)KN8bm58-YwC zHGiMKATxtwunZwIX2!rbKH`9@OMJlqR}I0X@WfyON7bE%=OI|y!bg=?1(@D7g3Rf% zqQFxrz;J|u>I3D6o#SDQFMpRQT|wqfK?M@X9O0>c6T(+jp}sK5 zsMmdBqNNAH6AuneN40s=Sq%t2)b&Qfr~CT?@>{5V61S5a!V>`G+sf1J71rbxMDv#n z8)e=A%08eqyVJ@*$rqzA&CpvR3HI(S@eSSbu9);J?%K6f*2>1$QcwQW>K6p_OM0ne z8|@%Dt8c_=)XA$)l4LHiR&J7%)jo+DzYvm zI|9^vG74pHtamlgHZDPASIG!ra}4)uz>#x9t5`dZv--6wAefIMMhnk{P$D<1i#jQr zaRRo}@IH91n_oz4&L>wXL{ac@Z~g%>jKq$JAgfnY^Li4K^9Voo=MH$S>%1yt<~wFe8eDD6ZekO@Xk5E<iam|mF|t&2@iA3dK{H3qI^&eym2p)L-_34Wad;vlUA%EEh zOIl9DHjQ;iIFV=2O3r*^a`n))-SXr zY%#k0S9EakH={dXJaDDNW4mNX(F9N$7nNXPPZec4*SAqgG(eHKb&iK-l%@LGpNbc~ zb6xU$JLC4O^Sy#UI1!sdwaZBf=`#jH>Y zf4;Pz+ZD=hwnk67M@hai=|hk2;RpBTzJm*9!pxY;P2T{tpJ#iUKL!Tumgyi)8CpYei3J9QC$bt0X;vBv~6f`ss+ zr znG+Zk@kh2Kf4#aESkTsF9lhJ6r20_;JfG2E0E?Z3HZoYA6c-HF5F2x})@eM2N*0&y zFGZ|I@1=#4UyzuwX}gH;VR~3;4L2Dspik0Aa!7l0&;`*}D5I=!U0STdOx{C9XL?~9 z2Ft@-#*mhVCzAawejoH}*SB8!2B)jMffMKnQ;868WW$OoI#^}Jm?CJ%%?bi?d|8yL zrE&hyUBZoaRuC$0&50C*Ad@;#GiDvMo2g+{{a;Uuj2bYb1!7bbdCSdF>~G*&OBr}w z{8L*`_6KG2PI>JJKg%}VhcuKz8l)|f@r3e!q_z8m%%Qno|dm?3uKynx$WC?z&>0={zHDUcT ztlFWF`0S41+FNcj8>xYKq{_^LrV`H$EVV!W4-&wt=1zhn?U_9sg!7i*zZ{RK_X2@_ z|LE)K_fIdVc5|eOC_%@+<<4$W-jtO%yn(v2WPf#wgBBlyq zN-C!Rq1Lv-T-FGmqKMH`sF*Hq?=%M+!(dj#6(`o9H<^@vaW3Ns8m-&IM&HLr$?qgD z_sO5-E*IXdu6hRK<40ZmnhH{RwpLK!4HSlfjg5V>BPUiErUE0a(oTMrw4J=Emyz6l zrk(<+t6h$}5Eka$V5^tJ(l>_SEmvCU|GF)a{uOQAy^x1XZcFU4=CRZseb> zqV-71n|Po;QvpxrpqpN3=A1d7TpixEQlq3X)GqLvBT9V=L)-kSIv0_kC|)%y9m}X| zgEZHs*dw?@_mIQjkR_pDL@G~U*Ou22$i17@9(=oHi5%s-R6$>AJs{s-d!1;c!&jqMf zd-->WNb*h}Y7Vb%1_;q3L3Fo%#N4GrcunJeA9 zvI`#LyW&!MkN!{Of^AeN79o&i5&-*WxFaM< zUNZKPTg#$Zxy}UKeUFv-YmV82i^GDXBLYOo5SpOU%V3X!3J`rcjrlx%U1J- zN|t^og;wbcu3sUS8Ci4haDsC@TIuM1lxS&P?yCoFwIQGTDBQwVzbIC*wN9eAqK+@k z2x2EtgvnQ8_JzYfNd6rC+5<7YQmS z=v>nj-w;veBSjS{rOAk#~p!R zC@3xlka9|sP3mWdPR zBAXQlj-dO9K-!Vi2$0IPA3M(I4H!0DWuqwx2M#gpng)*Q;oBz_oZcrl6`VPoVaUlz za)@lUxm&0s!Pj##7@J0k2F|SlHk_U|JI*hxp@v$E3809brCR{$vO_?v;;utLF4?Zb zyrrT;e6Gz~-JW%`&rOPB2V1Y9ZmmGf=CE_4OSnkRxle$PsN2%C zHX-E)F53-Ns1+_`e!z+jdip{>O80%RLKC!9$GgkOLs5oHw2G&``*P0aXy@9Fl>IkU z06?qF0yLQ;4?E?F5r(%%hV^EXX6&5R$D7H|FP9jsV#>O7EYCo)FB9GI`;;FF!7!5O z(YoW;DgX+_J>BASJRYg_f=oA`xw_-82*vN<3i84f6^!DNmH=;e4P6bHKDgBa(;3`);>>=9wIDS5egg z>l#Tx`@HsB`e8caYzd(_itKcGnv!Q&YNex~2RkzhOho&3;7BlmHmX1W2YYW7R9VyH zdqd;W_-@?YVdL)ZE)6v9PDA7F?rhxM-QC^Y8h3Z?(=*@9cixMMH_p{uJy)wDDl4iY zqgJkeROYImd2kS0vNu_DBPBtKCj0cRCjnkftcj!Hx!zz-#4q%f_SOv*RQ_$a^M&(B z7{}r=vKqg>GXe0)+_A`UB)wF2cmv}*5=rRbvfR8#Pna}E-AdC`l3T7sQ8rlV_}N7#yii1l1w`Ll$O;j)MKY!|)Si;RgIC;iH{H(X2xG{=T`83*o38#dRH$?fmh&rKNi_FEJ!|YWtT5 zx==tn#$jG2M@44Be2QwO3^ZAei4Wn(xg`><`m#;~GJj;}?J5lpcUaEyUM-?8<2Lfi z?^5rbim{Uv5lKRd7lXbHxN$X*iHE%qHm=8z?B+NA%aIRqvBchuiWy9NR*XS^!4(@S zWb96$HZZJaET%sA1ts(}k43Z4`s!?v&o+3z547)z=f z)l#hHy-$3^@W`kYUJT8t4a1n$@_FRLTBICY2hHQtDqK_Y~%! zZz~dHlBNoUyQ^TF?B1lk;W2Hv%5K*t^_NYQXT2McxcnY9x%(lJZ_kSM@)<_u^8YaZwaTQncNi|fX?fubOb5ESZo7}YLc z9aY>)qPR`CPM+w+q0}_AXd#s!xH^HHPoLmW6)vOZ63kJFxhN$BFD0W0gAT&R3)g^+ z8zG{Ay8(V?Z+kMAfFQBzjT>V?135O~CW0~(Iik2&#Hf)$c-Wp@S`?i42`5r6m@8PD zBk~Vl(=(W0Gl?iyX@eUNDL?v6)6q}O!$EuMwDVv4=(I~E_d{f5ADR=8`NhIi4*Zw6 zL}_T7D>tw+Hkjd171Bp?C%{&>4Q=TvlJU4INsEtj@?Y+W7%FVq*){x(*&3f_?TJig~g3S=S{5#@Wxw=FgBK>aki z+WlrBMv?^nn+~U7_tfdCGXP!5wAQbeUEoNUdqKR=y$0{wf`a?^xWa3V%mOKFs_GLE zcEX&E;EvaIcg60oODvduxkM**W9=YI1Nlth;OvQWVvvi%b%e#Y?&QoNRC3ibC$$>k zR#TK#V`j`4;g1}Y%u7ntjI}#S#X{c2mjQ~b1ZKIc&9xqnyWm9IUwMKC@-Q<-DB+aD zaVl3|#sQ-c3vnd-#gVsCR-y|U%pDauYbq!P5HP8x@EOj84 zScPhgarfL?gRe1#@|H@f;oo zZ}DI*JM|rjP6}VfM~i&N52a;J67xXTXtBHuBa!qb$c)pzkHBxTq9vPM22By05Z}-I zc@gTCx(=^TvAg5cY8Gk4JvmOdMX75zIlKZV0Q zVo#!!fP?S}Tk9Ah?5UZ7;8~!f{>_Sv1dHArQ8S-{)XG)fThTHn9Gj4%<^TbKm95m6 z-_zMuZWLy6bj=g{n`sm=yz=LAAnYG@X=XGITTkX$a8W;g1p7EY9!DtrbS5H=z${M1 zebAd^Sp+d7D?I*8d^$d%VsHPsz^f9Djem6_`09Pv+Y{ImW{bl%tzRP1$-*vic?4_MV6bJNDx+&O|KrRDOdstrIDRc;5(H%P+}w_a~PuI>CK||J1>&G~iHAgKW8j!p2NhT}w=)=6p&?#|dN5CAc3OyT&Ws6u;hsTqiYHhu*T)E;) zyx2sAmGj&(Asja~U(imd`}8UcL~1meW{FV@ogUz?|D}imx8tgS`KV&h$j=s^#)JoQh_# z)A4uet+qSWl8gX|Ax3n>fuxhnpT8yWQal##p$p=x1$SjJLVV^WEHwZmM$a#v3NOsNC5nGOP;W}TyK=1kt;ZkI|uSyzu+VZK!}A+>GQ zlGG}ML?Cw%Q{{stPvN~UH*>FLoo)Oi^&Ut@3u{BaLXeDVkQNN zt1?;>Naa;4+uCL=ORUq@8Jy!8*1u<)?Y&^`hNYVLYL?j@*L!mi*Hy5pA}N>@hm%|r32*&G_{yRwN%#=@ zRb|v9_S9C?0qHR~Me|6kTXjY%MS|7)1rWMhhhXU`4(3g#5~LkZVBbb%DdG#|RE;Th zj~lDV`FDhdyMf5u-6=3$kTwO^{0u+eXBd9ckn)un)~+o%yq`JcCJgo@+|(1{As_U= z%;d8%hwbHX#1f;5Nq)_&73cCVks%9o@zEtJw8G|}l+OX^eMc_W?;A@A}ToUT_bLB3NG&U&#_+Qi&tK zGK`}vo!P<~$rP{A+nwtN#?K=ytD7fc& zG)3DC6=Ozj_Fg;CF=}R&zezD_uC?FTR_agcNIJ0d;|hDN!6#=o_yc5gdfW09D)rr( z{WH0Hf$QYbyqdiW{Ko1`ZJHDCL#DI8@1c1v#OwTbeqH?8gg?Bi^Y6V)vcNYoTdngy z=&I1S;Od>Tg%pW_h|+IRorNhv3IgaEL+r5^ex>s%N1$7XOO&`4LLt%IPT2DU7QgG0 zEpPIpO&?$&aOE~yrqvwSlk!q#Q8+5ynAlbMCwSph327ZXA0}cySe--UI<1@WXml00 z0iX!)nZAt&KH@rv`7Un9>OTVogK;m zMDrRe4ZoNRV@L{(Z^OE9i6Dou?{AlEDoY;|H2)$-{x$^uEp+*i!LiGF@hKE>{axT7XD zqST?9SSe@0vHj$oX9NJ)wofEK)7n(T}OX7I>yhTt`&^}R8%e89`@~w23RoS;fpJ8BSJgEY-$?{DfX)N zBA(lEXmMhk7V%H31o;c&$;ENqIGlOEe?6p(CQ&H7ORek*EGJyqO4vX7TJZoap3?Eu z>Hs6!B#zA=n>Wy(BUtX2Uvp%<0z;E9zv3O{GgL5-Qx?r&m6LUG(lO6a36iO-Y%;I| zDNG}5&Ir~VNoNb^w82BDv=xwpC7pcDCf3XJZ-6Locjc8-?oYs1t3$>$W{39W2&LR1 zSvq1%1}7sixE0U2`pJ_)dUZOmn111>k9KnYjAF0&)cXzzoKDPH-~*bKsF@&_ar8C* z%#eUnIWL+xZ|^%dE%aU-Xrj*4#5Cw@bME zR3a{%^9@=)br+Y?ai?^Efnc|yuO56s)+&7;0zJp`M2jQ#^@#qSx&c-a-U+%tgFD%@ zJnp`JH8&RuR^dg}deJu#lDWrus7dOVav8ds`XxQ`!$^v$4IJs!PI6q_?+ei$oO0rr za@QN2FvAV%Rl4J)f~I}o*SNkvQyDRQ(Ov>xBRF3zaX#Kh(lQjQYrUd!YC_s32;g4p z^9OE(=UvCk>xFRcC*ql>_VlSNqj%RL{A#85r*T_BSiCRT-#cqd1e2D78mi%bOG3Mc zRVQsu{73BuLt$Fl*tbrPs>mSVhbm#Mw8-%0&%X4cnN@_syW5o`e0ThvhD_pvfv3S! z&5RG5P*!FA@-vEv={UsqS)!Ea!w64v(wb1QN=epf!V>=Gd`m)OND%q;H-x}EFTWo& z`>Q1BE6eSm2op~pZYAB!xvA0la%xPp2HH2U&cj|D1U@k@9@~Q%?9fVl$jliPJlWY1 zj8C<&napy#UksGc2kPOY+xupGEADAdraY+^$%c`?_g1&0V>YAlc3^f#h5_%3J%!RS zq+{rl$u+E1(jVY$fxxaT$<2jc_gu>S=?-bLt+Ml`J+#jp_7K==@<{N$Ym~o5FKGzkxwY_ab*8$rBg6nqP0U zJ7^(EIJf)p{-m*n!k#;N$pk`WHeiQWV`+4Kc9s6Vwj|A#_(jIUmHxsYdk0Zy)CYo1 zp*6A@Yr53!Gi;&bOG6^2@|`M<$lBoKHZ_L=>t=9$Nz-?wq*sY!avUNT64s;<+bC%H z%K{5$mUPY71lh;heY#2Uy9Ka@GY~7q(SsBThX#RY7M=#Yv&nqnW}B&ets7l_wz#xN z%a(Tm%Ofg*kT?;QD|DpkUhnCmc`6g`a8fCxY3HcPjDc|{+SqmiiNj(TwD0lpO3~lK z7&Mld^&cG3?sO6Bvr;4_sh_z&B$Ht6l#-tP!C~e%W{;n{)WY0L(Nbs}O3}J~X>Ru3 zIZAU+HyG7+GfU8Jyh_pN>+^i_rJsw9!!AM3J}O#y&2e(K(~0EJ*2edp#$i{KG7Anc zHw+xpCD*rI{Wt1YXQNPE*B@6^(ul8NdXdAO*#@H5IN2!VKtJ&+Qtth7s9XGSG?Vj% z+IB00`7sEkJYI#w&~2f_&=jg0Y^6S@xPvr87m9ATa?y7`U7;U!(hGh@#7qJkczfIf z;cG8oiXiJIVv0SL>r#0;YuHtLrPf}t&Z7Pi%H9tu zOq<&!n367bh1Wpnb^au{8|i7mw;mmt9OPABkBNf^mV%@=|9fL%!6v*}u)rdjgGCEy zL&Rk}+e8~X^vWg9%XPkPbWR$-^6Nmh%+Ohf((HNDx8!K`+$x$92Y4O!}j~yKP0& z&!p__TsF`1xFXxMt?Jvmb$xg96~Su>Dxvn_a%R3i9;v(Cir&$k(c43;lxtlKD(`CE z-evE2*(%#xK35Bf7e$}w6v|IC)ofk-t9q0zGV%M)*9^k+ zT?nTgIR6j)vgxiH4f&h5=UC;+zmb}q`zvJdk^}8flSB2&n$Qe2mu&|MRuc9_9h#?s zVj?i_U?68BoIqEmiFWGEljCT@#W9NF4+&X2H`^saJANzC*CwZ6zuWU8|2ss1{#(fsGcVwx2`pCqm2-O&?*sDa~M9? zHv9j^X@m~`Z)*DADyx7a6HM$|?1OIIU&0+n;2C65VZb*gXN2o}HC?mmJ}n0dQ*D?I zN#xJL094vk2H-tvViM#pH-fpVH=YYzWdr4EzLYELp+6(+ZJpToByKz=0*6&X20YUt zi56e1$f85&ga zrwg^XSJGd|G2Im(>fB%f#@zv#u=^c#IAA@(OM9gkSq!P&p%~n`oPY9al!_SNTYB&d|u(OC|p3}@SBT}P+XMV~|h}9C8DIJgoR4r=&mWn%C zg^_JU%L^KuR*ZfD2;*~M`223&m{2LKBg*=!_(w5jqdXy9eWeIkX*i!_{-_MDn|jGE zqu3ur%Q`Wi)f+X8QLGhe3VydJzD@__o*dx5 zk-HK6Az}a_L>~<${K|jXgjSsGOj(VCiC~tcD&skRcFgG1>Y#6* zvA}{VX+%<69A0?L45tRLUk^SkyeIu ztQ!4}-Bzk5t_0dN)F4uw%=8CX?yih2if%>KNDVvfo+oJO4Kv#H(a#Aym(XP8*YGp~ zD*&$|baD=NqWmyyh!!@7w;2%iIToCUVc!5EcIo;H>NHxbbG<6(nnDvoR*yMb>=$^;m3D!yssEt>o>bvxm88i^lb zdFMnfd%vLZj=`Ghh*avaWhd)3>;xdjEd>Gu_mud^lLaexP>peEQ{eq);F1#Y%Q#Of za!3emLSTcUo4*2Z|2!2Y+lUiIa4{AM@Xo)IYSQvKee@(oVzR=UMcLOT_8y!zT2#xu zsplv;+P)ih1;)z2wg$#NQ3R3|`#&;sus~FAbY#~anZEmEr6+a#eM; zv{-Ak-m25oUR&!nN?puAvCNhq=ECySg2CjZ?UYe z1bO;eufJk~327d0bM11NK^B=ii}}MsfSqF;PxXZri=~bKasF~L+(bs5Sa_1LT$lo3 zl@((A6o9Rs)S>=qE?QBAmsK@iRMeK)+Egzf=}Ant&93FLC-ck7D_hdFl^-j!)kS~C zy_O~|OHu4YS?mr$ZPu|Wysoc(Jnw-j;+ADzY#q~iw&pDFMW)T1Z!GU2sO;Dm-6*b5 z2GE)FC*AK8+?2~_G%tnj)zo+v1Gp)x0qX2KXT&#!DJ$MQ75~<0pm!D+K=Vp=6CVBj zf<8Qt+7!B42BWj-{JW62$;M?W)oD>1P*37=r`P<%scu^UE9*S+%W0fXUH2o0#g+B= zkM-!!HJ2AFMxTp=YOjaKsnemPk1J($cnz%+2QBLNSChhLkCddo=2xp>cH`?$htIXw z%a6v=RzIXQat9sHlgaBscEcx=n$E=iA97OB*-G(ob#>i+=Kxb52l7^)Hk&N=l}j_; zVf~1+8pRLj!hJnzONMzd|{Go=9pymubNuG6(RV)8AvWQ4#kZt*#)T+}{f@2$^l zecLcUst)W~TX_lwbba;0Fx@ud>R>Y^=^(lTD}QS_4$4@y zYYJ9*@o+N_470Nf5-13Okw7kHlR6AfOKlva11?{!s5YFbHy%ptmt}UkFfM z?L-U6?uc8t;j6q*)%=J;uP(6 zk)g%faRWp?UeaP2Vc$^@77vZ0!OEmUVJpLpNPJ=1;B#XCMSH2EVi-bx7CAN}Ce{Z% zaP6)v34$Pd1bl$rYp@`3u&)u*c~p|h+JxForUE*Fd(79O%8 z)8U3*qzcxJm8~Jq(qH^M5v15Kgnx@4%JWuS+g;|3tki~`NUq@rq;-HB=*Dp~u4yJ- z7&aGLhziI*h)hzE!2KnN%?Xn%TAH$&-^p5Q* zEFYn9$%K^CWL>;s6@QaYzTiGbBCjtp- z_EPAti5_2+emOyL;)Y5>rBq1seCT%_C|N3b4$=3?#)R{iPNfW14P2L8FX2t%>C_rY zJ3B=vf?8aPcN-wS%?Q_RnUZU~xjfjh3b3wTKL<3!e#4@CF0R7|6|Kfgvj*o|pqCWy zpliinpD75JC`J(sHT|uDD1hhHzXAvBPy=xQ>4x zVG4x*NHm#aU+QitAMOohopxX`+Yv)Cp*6AYs!I%^F)b09_OdD>szeJa@2 zz67C2+cf!@eNIKPMM;tqVzvZoZmbzmq&| zR;4R64Q*NZ3Utuy5IA06i!eDlm{e61EihG!4Eo zALwU`n_R`MOJFk?makEd!==I(m3Af6ZwwY=a`lK^Oi%&*DTsb(Rivot?`XaIiA#yx zE}|a(O9i&0!6uG-Y&Oyf7^$+{_8n#nXwnI5Qrab=1pdBH&WCvQWEm9sybx!y;(i|L z;@~I!G@PM!Q$~NUSE6bToI{q-7JZY9V*n=pgzk~;xB_4mYLJG=H)?D0JhxnWcHN zJ2A0<|5sGo}2>k*up{6t2VcYjXC8@~faE7@toYteDihGYHG z{b$c!{D9}vb6u_CrR&KvOyElO9eXE6_Jm{hy1#IzTPo$%u4>1pP{zyp7~G@Z21rz< zv#Kv}5c}1B41J3F3Zz~A^ICr14+i}qMfh$CkjA_&6W0EC!?Q8md-Kg(NA8N_SQ_49 zL!-sgT|@55X7PSaZNA;oeY?CU1=_)$UN`inlXU2~g?md=u3DF^v%Kin;{BGC9OEFX zy75a?>i4K9v}4=;Q~h| z5S@Rg#W}WG7K91F8^1C5%1RKC^F}>PV(wW6pQek;`BdiL_5H=n_ZCP%bl@GM-P30o zOJ1U?7}hgxblzS}5I+2^KDJ_HjNDBLlmsQhI>0PXB9@{0=xeLlsZzvN(qBN4(?4-B zYAjb3cNti6NH=6nAuzd5ZPR~eV;r1`qDfC4gWO97FT&%8M_AYGWyS#030MT}CIVG6 z&K=?#^#vjB%V4A9+}($x?>sT%$aIF$=gjwIb5UZCjrW7p8YoVR>qgM5 zyT0@jTjcI@?;OY##%opf2dG#v(FX(2_cCGgaoM!Dy__-> zLu>6&9(C-L2~>*~QjG$b+7dkdThcSqaM~_R#r)**$#@$nuCoPx*~;3+H;Ya>gtP_| z=^-6Dq4CTPPbqytrF(qq`nEjR)lmi6s}{4<F|j3=N|FohSC}uH!Gu)@E5rJ zpVN|;OS48Znb4kYAlVGKm6=W}H_j24$q%X<^64;bQg_`$EvEvRlTTZT^08IPots95 z$2hS4&qmKzrf{PGhG>po5MG|v>dh&F{48zJx!#V9ZQR?a99(+f$H5w`)MD}Ku_O}o zN`1P0U!H8fQmp7?J6rT;3fHF8RgFvOX`ci}?z^V{+L`>JN1D2^vXc&=l7CqTgzKm;*9}5dtZXQLko+eDVQhgUmRWGL&aepk0 zF*@xF`!Q2%>K?tHNfdL{0iJw=YB?f7Obhr{$QI+Zg@&xOTCF?W;d7!0X503KjY1D} zu1hHQ1GTfQS8~^_R}xU?JlIBQ zr0cO)Tculj88ys(RWjIt9C-=>u;i7UlyGX@Ww9ZbN<(j=iv)4M%Y0&gz1Hr`tcooj z>(?PfMBm-eX^imxJ~K~M8z0njti=o>KVIsiA&2~4niGH;%G^0`Ey z5vwsPUU;l!<=Col7SRyTAi;^Wrakq8ELn{>Q-cvd`1w#!JuCmV`= zAdz{}RI7+BUMPiFG^y)FlA#gM;k@U_zC+=XuWwp%s_tc$=;(|ulg_j!XwqW5AzgOu z;&d`rhEve)K2O@>C3)u>S{imYEt4uXI*0*xI`_a;+RJlL;OpLS~fpj&7b!= zh9Y!NVA(Fp%N8nl`V;z$F@(_Kku)CdFh&qK#TaNg@^yUt)YD(r){~2=NeG- z!`;cJEuG%^=H+Am=E1Pr5fQ642RiOj+KyDQ2x4yhw(VRVQPDG-ASeSwgE^o7|7Afs zhU#iwxPJfu%&v6jb8{cF&NWb>Q)O|yY0;+^JhU}*1&l-Mal=4T0dZ5w z1Sm{9wFD$hb$!RO$>7#%**-Tk^~oayaEkK3vwKa^;aVBQ85A7(mAyHjt7p4NVFf|6 z!B^hO=_z--HV5+F`~Y@ppz~xS$p_MzkymF@OIjff$qh7-y$PFvPAP)wcQ4D>{B3^5 zn%^tAboXHz6SPiXTJ*W8$7U1>Jjh#@1(e|SL5CFgGT9sa{(i0qTb5hXqm!3;BxQ<` zQPKxCesU?_BPvd%UQkQH^i=}rPs?f)@Bl;{MGvB zOuy58vK=nVaS}mr;J8X8P||9r~;SDUh&Lqf!-flB-^> zIUDXIj&abTzo#`$Hh86F{gCpO$ORCf=@pU?N`aadFGzm?W(bVM{m!G8#godz?+w~X z%>+9B7)9$*$4ROW?T&YzKY>WgvtgF`V2ax2Ckd68jaffeVSQ`sm>;d^sbuVLd|gbQ zMQ-Mw)G>o`b z7PaA@k|$dIYV6@+eJ;vE8JDN!)AQ^4N$10IbWR;a+FZ5wSVYhMuo*4iXKuA{X`jvf zObQ58RqLsWlj&6ZJ#IY#6+(e%P_B{_7q(?2G3`|gD)wd)6O+xH1LSXy@J$J$wJ$Nf zwuG3p9pL;@**R0&jVROAvYh2tL9ov5M%74?HsvbS?31dnPi0v*^!*L)&^Z&L)?rF0 z47#+&jr)Fv@uTRg0_=VbS{H}C9Fno|#saLZ@w&u_fW9Y949XwZKJL8McC9Ek&-F(1 z*z_FNd&z+;oe!J|0Y`Dzj~f8cXi}m7ojUTQ_YLxpQG$YMhEPGs=k}lr?Y1Au-r)1; z(EOIDD9Dc~$epdBQ(WZxb>v#l@x{`DqQ$HmmpG$>;k|v&@rxE#rw@X7Of%NsE7RP$ z1@C_b%*>S4t-HkThq!rm^_Pp1tW&i=MndXd|b;2^`k)54;{5=c3@!#SOq4SdHTV zDQR5M?cmeJ8>9YJQbsY>iV*kx;+x7YeQh`+vHH!Q?;;Oa$(N^A|beFQGo3PF4UA_^DxXL$a5+Zwz@m2%H>H`C zlu%0MATWFMkH3O;8WF3PtfWF;JQh`_3dy%}ku9bw#G!P)uDbw?e{Ihz>O5(#0oR;t)ASD$({6&M%*WY_$ii=B)xP1>m@Km$?=XZ~ z@18SLFD+GN$$ zb1D*vSRyW&O?lgyBzt^f*YoS@wqVvgnH1V|%uo3&x-VdCB@{)mTd_nkmvC;PRTeh6Cfr9c2g;Zk-S*eTU zf3$-5q^R4eWKemlSph$ii{6Q47Srhf*(1s)rE~{B_@wya3fHm4z~@dPRmdlGfJt8JDyw`GTX33Ha62Ba%1>76 zD5?B$AT`!aC$q>WHE0x1Lo4;bC)IdFR_dNrFh(quluo9GBvs~R5>G=ZRpu!YPh$fq z@rN@|`v(&jk&i$7$Knupj%6jm%I^$d<=A3+oD!6*wQ3G!ZA~gzM8QcQ!R~2*5PHIz z&r$95G+yZ{G=Q`s-i3qj<#u9Pub^)zL@f-h#Vpt3O{lK_=7<7}d4=fHXTOM_Wny`7grdPN zeUKWi2Kxh#LP=kMRI-Erq6B(S0*;V_)i?`Km8x5El^;M@)l54$q?A9bFNZOL7t> zM2!wgmqT19;J~r_G0j-Ps>T<^KUD?WS2AD|>CtMYBkd4eNaWV*ut;=Fop&jR60Q-h z>nEd!r!(x7X_>e}gQ|HdoRd=LTiF$l@kC{ILco*!M$p9Ef!IWr`#UUN^P~(e`bBx~ z@3p16fv)2a{ajXhh)29DyKKzR894_v0TYxLSGaF812t)%~Adq7H0_pGZHZ{pdOZuGSdT!W| z%LzD(Fh<4q72_BUkaa|V0w2O50(QyNEaUq`4P^i_(?)1a^|$=`xTcNx78A+gXDn5wV67;-i2Yz-za!k3z z1SXucuTr}--y7`nI=?>H;$Pk9k`zyMYO#rYzUq(FSf0KQyxwUd zq+Tp+*`hZC+esZ_0-aqtwm$Lv_bS#oO20Q}cW?n_`mjspY?@r5R2$vPjU_f7-odQ& zy8uDJ{^Bp?!hQSHu#*|6)1iKJM^P)D@A{dJVNpQ^6cbjp3V)KEIYdv9PFZ3osv#Io zT;(&o@*q(MmxDA0PG3rhsB`eGnUNRO;bm-+b6OlovI8bO5nT>kkYqLHV#!6UnRA;= zT51y(Hj2?EidY+!eC9-~%inX0Qd^$v?1)P8%2tg<{rTJ`JUKGF;3Au<1|Sdy>4H)u4SW9cX;)o!&OPC&6> zz4OUWj4eIZu0OQap-bG<%u<&j^;0LY0w1mY08O&uQX#S$56BAHaZ9oq;8%o5$drq$Z$sP)OYb=GQvNgA(n&L!WtTJmhu z80vLUpY}n@F!k9KvSH;dP*?E417b;lJgR=kn!iJ)oy>N95goCq*r_O7?}DYvpNd+Z z1~*Q^QwOCnvXciG+8rRf@W`eq+*YI8UvV6ceOxQw5-N`mhPO87BOh?AgvP+!M=I7kNA;}v^ zMWVHoujJ41C%MT?d4Wi$I@10O(_!2`&G)i>Q#C%dY3srgV^M(^z(LVi4DpuN_&j74 zrAedV95U^v1Lh% zwsXTVv$O_1DuH?)ZrF@ng+M(wCG6>G?koY?y_epAGKWek9T34HtL0 zhYM++MsVT|0*r#yk^Uk0M1J;|8~g^(m9 zpp{-66iHfmjm_I>9sbf^v$Hx?G7B&7*Qjh#qVMbdU6a@Cz?eWi@KbegZK00_uY$JD z8QjH@oafP9@er+UPI--NSvI=n5=ei*p`5^tEE8gy0la8Kmwa2TyVOin6>-YhJ>k@? zMK_YS_HFvfC{T%Kn)Nq*MvhutM9E=lFN+8*yMv;&)I+UlMO7eN7ZG*XUpCYAg09*-*K{0$ZZd5ywd+Pa0n^l_ed) zq0C!AAZ%?h5nE-|YTpoRtu_lx{Y?$No9b-gXYp}~p&PN^%#G*=78i|MsP-GG!!CbG zMNLHWQ-rI%dG!@cr~{G0U<&I(6OZU;BG<}0K5RN`8)Sfpb8kux*}%SQqCs? zGsQNdn+H`Rm!DL%z_d>P+tiMw<_K zEK~fD+%86H>$ln$}}pQ%HK<=Wi-Q} zq(UI)l>y@(NoK5q4_-GXp_YKqlVjM@c^lpfWI$uUNc;`sgB$AL(v8A~o^eMKU;3MP9<3x)! zquL={Tng7DsnQL@#d&ATwvB9H}J-vWv`#(MQQEsgX;X&^C&p+ znENf?iK>COlTSqY1ztqj^>0muPHrhZvgUN@8!n@Ww~dH250>&+B{8{xg(O@% zF*(15qq^-*#nvb`0EVlcz7_w`?oRGEZ= zMqy$`b|8{JvwhyjeQW;kPQ6d_2q*b$6t;J7e$d``iK>SF`JCMRcngW!7}~}Cl}>uC zfq!NFQTkWRqb;Ne2)ti)e=uLdKJ8z9Zg0ZGRQ$Lc;>VVGW#H2Hy$L!VYKK;S7Wi{^ z**!#$=oy1NRFD&HL+Z)P|6nk%+wNyORI~%2VY=5hmQ#daGJb#VNqs8$bDA)N7`pOx zrI_-EK!z{86St?v^z9!gEHKpiZt(vg`8xe{G%>LGzf52De*qo+!}R@!>HA+yUm!gb zP?ed9n~9T~2}sMt%+18~pVZsG)Ld?nzdPus$-gps|DE-J6X^aQ?f)mHFFPkQGn)x3 zJ3Xrj7c)Jpseu8#0h56#J&>K9nbVlll!={{{l8cDKQVm`41q>KW-cRoW)2e$dRAr* zCVDPoLl$~Y6IM=UQ&s~*Rt}?on7;oj6vO|GN&ej&@c*v!f1~f81^nZIe?0Jy2mbNE zKOTVpe`ossC!_Sgc%=WWsQ#i$|5LsHC7u3@p8ppH>pyz_A3gtHdY*-zg-Ml}nVSX3 z&CE&51pN1U{@+>u_wM^wJ^yd)|0g{UGyoc#{^c6cb8won(6cg`8q;&JaZ ze51ejzCWnJ80@OO_S$RBy{pEv_MFdr?&A6X8^J8#?l=4nfjb255V%9&4uLxa{z^O# z0RRDi63^d8@Ba|b^YHz>p@f6I3)J4_>22T-zHP{<=IIFKd}3??h z^>e3~y}g6WQ(ahN?%VhJoD$9sj^Yj;y0?$~dH8t%5N@zO>}Y3Lp8zf}|Lw;roGK13 zu&28KAg2P<%*yze<{V(~&m;a}wQ<|?@6!+y6y#LplyOjV;FQ$89kmLlmbMOnhX)9N z@B!>yZEf|1g*pFNlgL}y+x#~CpTp!7cW^d?I{zFRP#-oMQx{zbHwPaC0D*9D1NixG zH~C?k5LhR_taff^`uq7M9Bdt&RUM5@p|F8SJmm%4LJY%_Q_90dM)h`5u+L>wK>+^W zKY!xvV5$mr(dB$1Db1+{^>ESWl!hS;Yo(^f4FLTHhngDffZw4}Qv(A)zh5Cv*wKzq zXBR8zQ`i9t5?o*q_w9Az`K|MR8077>`hAenR<1Cx*G1Y8uh5Yq5AkxdL>iZ%)zK_6M>z>C0)}Xo3lA%#^{;Y*g4K`47>*E6Rv}s^zcXa8&JEUfZ#3^}>H9-?L z1GO{(?gE{UM90nfH>506mc;~a+>P@{(Aug8k8iHtlyrYOf7{{&F775iu;HK5AC(IjLShqb$~q*<1BL!HeuHL5gJUR}NzVG!8!d($C8K2^V7mam_<{>xB*wxJzyl zOOdHq3&2drpwEwrSd-b*jV)rg9F0wm9>os2Z^32EjrW{)l0F|)s*GroLw9e+vNxCx zLG>+eG}$&Ackq77%a>RMgZZLwu1D9i5Y#srjbtAc1qJ0{$*YvpvTqM_i=B%H%2ri| z5|?R@MuVcT%7UR$s-{FikG~=3vENISB?E|OIfLWhr!cBZIE0=fN=d@i${W2#d8*r^ zo)wSDJW=9c6&pkx39&T``epzK<+aveuuQXxd!~?=?(sN0u16p^>Vs(qR;xU|4P}Go z`xm$|I5aPo(5H~+k-JL~(s9f(sSpbt`>&q!_FkEBr|5w;Cip+u7J$WzLbr)zVo&0u z2H)YoL$2Ajq#El&3=1OZF>~MNgGt*SNl9mHa|YDrNK?W@D=3m(;w8!Q+5^i@ zoH+&e{C@qT(vctdAhRW7cbjAi11_@pL!Hk>dv5b@34kqF)Vr=X4ZMRX*a zIia=uh$I_UipM8X$J>Zb#Bn-5biNBNP~Ci=x-6=Wa&fsRD(v-70Pm?HXl!LP^q9-P zuwC4?t^UYSZe9CPKc4>HVE+YxTaO2eJw<#g4<+&3xn_o)ckyJ0{IJZMPKdFUUpsYr z5RCG2Uc-`NvFwwR7LA56GZWo|Elm~1OIIpY6W3XEocF#>I3Z$y5uGO`ZyrCAY6^4L z(bvP&v{?XQm%~5BoYuuzkF$B$Gq>`Hp6@KRi@=mLuWN9={ylZ5h4am!b&++;&MSP< zE`kLla|NgJ6*adHv-ouARL_GYlOw1RAp9vbi`=|!{vxGns!O)&O(*HOL*^IjOqU{W zaF~rMe)tPhv!0?}wV4T{}C{pp}M|Fe4Xwcja7j$Oz2&gM3(Pep-7>V zP9BLUbD~(ZSUz?Ao-PtM98HT&*x7_2%_`=2KOz@CyZd`Q(tO8irPtHidgAkz7d}T{ zxq&arYgIJx>Z)GFE5>l)2Mm*8TMwB%eAXW#)(~GrvE=j=9b2lmc6_fh#uKR2LPNlX z0|9!xzDIXr7=@gFpDYq)H{kcwzm;aKfRRnSN3F6J!$=IRt`tmr7UtfW`~iVc;ei2L zSEikrER`BPytU1KK9O8?!0sqv!Hn0YXSUcRGcVKkpi@c|DD+k9shKPjRJItC(3F~R zQL7YrU4`99z=pmt&)d7^83(DMT3jTX?=xptG^W@B3!aq>A{Rv)H)A|8#z1XJpAnL8 zweZ~!_Bx}?Dn?5LE5AN2_@P$qTRG=C*{@I=Sz{1V+Vi5|7(V+UVTe2EdcdSftEm$M zx6&4K@5Avo$>-SYYI|*=0gtF1;d-8m*33VAxIJd^T5zFNbZF{)beYWH$R`{#STuA+ zoFcW79hZg+3X8Mw!KBzbj(0{e zqoZ;11}hiW;22LgvwNr@T%2&6is&7a)+*w%G@{dLJpxnJSCbA0oBGjauXXe-9v#Kv zooV6ksoJ%qSKVU}!G2hfQA~vxDpU55+DKC%OUQ^E71O8rMmaBADH%E8IX2mT7^=); zjI<*(&YN_6bx44kt)BXtOZHQq6sie-hH%?Atcxw3F8geRSp(}W58IQ<*hCxkA{wry zpDv||Zvm*7-g$P#e#Cj!7hANtI;J4|H6HRs7V9fbKs_~(k*&?4Hf5!(tVF%KQGdrR zQr@8>()#3iQMiw)mHuag#Fr|V!^)I>!jhZD!kV!qQchc?BuEUHt~5&giqRs58e6zA z&wMw7Don!S&2c}9fY`b|F|~tkhGl~@Qd|@g zg5q`7aLz5mS(|rNJoIwKn>n;}Q&tIGdQ7p25V2qk%^1rF(~ON7c9)aZAtMzg=S7#} z=p%r-W)e;Z{L|f&g~wg+4lhgt>?H~)=`s1wXZxAyXzW%XjfE_(uYYJjBYCWStjJ5x{5Yy&`NbramG2}J%=rT3-*R182KKzE^y>Zk#rK%`c z4VD5i9S^LQ@GZ)K_72XI6=P=cWpRdy4fprim82n`n=cO|#ih-Iv5)8;g*IruQurjc zx!L^;EZ||QC9zhm{w#OVbLIOktJVJIsUL4AO8V8ZsEv-mYnN!08^5c%R_@1{&20Y0 z==R$H{OgqU9YtNu{kMYQdu`_}e8qk*TpEfwDs=yl{uU$zUhq(Q@aP_%+GyGNJ4k)HzeSV)!$^notwfF84o2sj2>)s zNmCP!|I!+3%727UczrL?m&k7yuG^5r1>uY?s@0#6{4&!wU+8*%Y8&QeBML{%L7M5n zpzU#^3afc^&`mh4Fy8C4;r+JIA|1P3@yN2mecB<=%1m%H1_5W+uRtVda{~cRc76k8 zpH|$j>1cOl*JSZwwdm^XF2^P3HO|f)Qf$4cpP%O%1^W%~a{31NjDQ-q83@2iYrkp_ zd*IpRDzP7PvB8$8I#cX4t2%dmj+}|abRqVm^GIie-(>_QHiJWe+drUS{8P~u@84Gx ze=Ml96y*O{O2C$KJio22{&-mbw}r*u87C8{g_XS!({4jE6Tr$$h)I)20jS_80kyP} z^>T))dMT=zdfAxrn=y$9qYHWnz=S_`P#0r>hn=ncQvnYlT4OT@6Q}@e`}Si_TENdH zE;d56Vz)a0S_-gqCI@FIfR_WvZVCkQ0{HkjxcPwmCg$J=05A{?;)D$n3}goa1-Kvr z+`vDcSJMik0|cGT%mq{=rGGyg?41y;rHhNB04JxryE}(F7l(th1t*A~pPv&5=DZ_$ z{$CVrnQ-&+KzYr0*&#qv2uyUv4`w$p26MBUKu!7i%%Emq9uW9niMCA5dAPawfe>~u zA2%3wJOs>c%*_X7=Q9NX!Th}DJY3v-ccQI7zBT`U{=a*$cZa|o0(S`9A#jJl9Rh!W zNR^6>KAG7^7dG5*f+{6?buHyqDTc7yZp9M4Zy-xEUI5I)|&t=lYT zw{&eBD7q7_2Mvd)E!;o@ULyVgQSpiLIA%q8dtQGiDW*_tG6PEpMeoUv3!ycQ<4D_B zu2?yzdjW9yg$0{VbEjjCjW-Q_0~>uyaSDfNb6eEc2X2iw<4c})HnGgNE%1?E%-3LDi6<6CW*R(g44 zM7DiuJ>1?!8-#wV57FpLa5#K(?snazT_S!z_c2qP!hPbJXLfTYjtfboF@3u+KY9>8 zc=_{&IU@_tyAq_5>f5ODqwvR zt>(TX)3F9=|8dK9q$H-+&j(LkYf?bGzh(%Xx|Ga6PLm8+%u@|GOb&mGD7ER z5$%ZPl8mJd6h*8Syda^O@H<&uo;klT8QBsMDUeP>$M2mxe2L3^ok4>t&ofB!!C5mSDJ#qCBYsw&$qS=+z@5?5;a}Xh=;1^#U2MO#Ub(;5~PiKXuWa+J^61G^njCa^>@qV24 z(j*@%%l7=j8#Is0pg>>4h&NQMJiNE8t`JDtzfL7a^U;tTy)0l@N`&R8dHQlHc^GeN z8NIbTxW>qy-Ni+aK0fuNIzoE2Ri|VZJy+KTiAR)y)HFdiAE{Q-F207Y32YT0O;P*t zxvRBR+%O<5!S#(fok{g@OXR)ChDpKudM75I?SVx77TFhBcS58^53ZwngaG*?zgGmFC)XXr|}kQlcMN5`i6?6Sz*Ay zSf|9Gn`$wP`DRsZK`jAJgKewe9kqsCO`cJLY=}MbrU_LPCgWM64p}l+h+Pit#}2cq zjs(R(SL5cWYN3Y;52^^r%TlZlCmX_S)Gi5fL0uA8vpSc4B{*|VL>-+yzP zkm<|$WY?ZiI{0Glad-U|Q=CCB{gz&sWzhCwZt?^lwABmMQHx_*Ka-p2nPm}8>G5Fb z&Nbya3jge(g`sKwhqKTR0o%f!(Rl4;=co)h5cZ#p2^cyct|h=FX}a=f);|STBe_Gg{G6EeP@2fZ z{LcE$Mds!u$#sI5t~WtK^0@nF-ujum$EUHJo*3CWf}A^JNJ2_DhcZB+3qS3}#@a0f zMFWJ_ZcbNR&uEQ*ltzvW@B~&1BY~i)1~d@$eHUDuGl&skKydSjg8$4LvkJf1l6$!HXhFN^J0v z|2%7jwe>O-v!sQ;Yx%$od@+wYf)wIsAGILZDr4Y%-0UpvMDa0~HM9l}Ti{z{T5Vkb z97Y1_;8VP&F*uCgN0?VD7{IEkbRrBBJ{m-Rr)4Y8=g?5(FeOlW4y-@Ue{@i5=l!s+g_6DuO}4e3;lH zfewSl2AoRZd7w6iup=F4vpV1fr9CJ5Q9_^iN(InS`<)&!F_tph6kHX&e-V_mQ9z>N z-XNbOciWp)>qgJhL~?{p0VM~L9(eGAo`A9PeIvnrH3%*~XQt^Vx`~Fco2m>{qp-a3 zQ&TiwVBTc}v-NlYffq#tdSEWiT7rxT3I$3O+~lxSGT*=^pM^-Gn{hX~Xa9RFq2=tb zY4sjF!J*{cx$uP>?<EJAk4&q+6mBQXjHtNy~{Q}}Wrh*Hje`JbUcit`g zj6UWw&H_a0+FgGc++9>?`H4FQ?EVLSy4O8zQm4*WNL|Tt+ zGWq6wVoInzY0N!ncoF$c;?@&Tf*%r34|nswZf-&(iyH_wO z+}DRD`i?#(?3%F)L8eL1Z`tVqR7_WYwVIeSsx};z@8YCvjFr|_a*wta?OJ@#=M8&4 z66r^`4b95Mh=9P@IyQgmE&#; z_qt)IlWXr=%9XZpHRc7bbvvcpcOvWH48lHMdsdO2of(QT&Gqg43sH0&Y1|Pu{5(1& z@Sn0 zngG`xKu9e>E6290lOE+RuKMCj<}_+9p$-z(m_yrTkpP~fg#opdKl5v(sZR?X;Y*_(p<>8aYxcQ)t!d zNP&T<*xrXEhNOp){L+_J))rvy^H7_N1i)>APf}Rr7O4o5y@+xz*z9>_{PiO>rM8kg zvR&~fg~n4tE_nRWRaB~A1uF6d-{q)xfuvSnr-}FrH@|5Q2Q;CUzSNUX!$#6OpAB za{(XR%Y^>|;IMIu?8_zgP$zjg+pOj7dDij;f&~DC7tTjbpJl|<&&OE|nbnG$7Oxcx zgd^q~=Dtb5`8;cz8fiGykHh*|S;ym$jL24ubb@KNcJs?q4J2P|`!tF+GZoCH*SXd^ zULTm%^>B_Ui#Ciu5h^+2*cg;3jpgr-;|!$WKImin@=E=CJuP7g%Vc1XjL63cU@uEBmh56V)D%8;Dx z5sZ-a?O%kDqJ6oJj9QxR$rQ^|Abp<);x)2#nnk@fze?WFQOay_)A6U#I$`7`=Ij zXoHN0*zf&i{M&q-^F4z1sdX8m{A0fIs*L`t-&$G=l_8Fzr1!ga#@uX0Z7th390R+R zgUVWq^?-%mlpi4(3Ed_x$tnYwF3JWDY9?~iM9`xPP!zggNzX?T+9v>(;de*+WeKyZ z4}Ekl?1g3=^J5gyNdmQKA;0aWn_}j(-%Rx7fOd^-~3JAI`fo| zjkHtOCkw`5&Yf6KfVN*Rh_pn1vM)&3O_1)}q=2T)ud@g<7*|E`F%e25z5;f|;SzmK zOOiIHT`)y{96X4Yvk@{hKr11J8pO6Spq){KVwH}!W=Rje0h$~Y?DyiCeu)~72!Oz9 z>ORK2z+mwMfV>7EkE3+0K)Zgv7SK zq^ypMN`g}b=dYCOKE?M%F~~`c32#15T&O*-ZrinUtgL^LKKj6IK2Uef;mukmAcBe- zLo*QUTkBb-GX5oF{N?qrZ`#F5dbskRbvPOe zMQj`21R{gAK3UZ8wjL&R?DbM|8hd)@ew^r{F{e#LznVgEd(M7TS?)_KuRpGqT(c}@ z372646|ZC?sBjxu`4ns=47pL$vwZUbnt-vb_A1m<>E$|V7YT3rsqoISM4~->QCrx> z(np+N(-%C?8_E_oH}yWOP)6tez`xwW-F&s1*c!1jCN z`Zp7%(9b3+*Ld$2Mk2dEVKOB)mN`OTCCB^*F-5NX_b9Oo@EUqe^bju2nOXcNL-vqk zi;$SYv9vt4Nblv+^S_u}$==mxWva>jP95MMKbeK2Uxr#dw6P;;`&q|vBMv=_pd9vh zGlyTEgCE5oLfw)Ynq)5uuUDKO8>24OSqG=T7PM^IP*Om=gQ^mZy@&VHa9 ztl|+ITtrN)&Hsg`?oClWhr0LshXfUlK`9AtFM}vX>5yimK{z^uQ1UQ>1~W4<85KVr zbIqK$W;P^A(IP0@!m&43kY&*LzAM|bPvueIAPs(11;9EK7;UsgPdcSk?;5Ynyq%`tDB9^#d#&e>%AEL_L~A6ilA~c zw=on)Mk0$52?eD{1fgc~w>Az=(ix1isWtnGA@dKS4JUb!pn}PiM*Pp%A=ARt>yhoC zeB7G%3I3|2`&~uSXH{ro=D5S@;-W@juc5*;sJ4=`dHq(w?A8pha^r1-tP6=IXs_j2 ze6pVS2AZHD46O*EeWYX?z2_6Nbo%xrQwPl#sn-LuTsArHwa7;eTHrL+qNKp=n2{vh;e_WP`$l8ufIt;QG8Gr0ulH}3ig_cQdGl{95yMmsxyQomwboo70 z``&%>`{xm+@-b?h_v&0lF%{4g4uifv_NT@amq^3lckfqk2sip_!pm*&NU6{$P?;?b zIYs+Tm3k}UOFY`1{!+WcofK=Mb~8nscF)xRe`M-|`=K#{`R)&sZq#p;bd@it-Lsv)`{ z6e6r;OEI?N6zq8uKD@)g)vxruAY8b@M7l}Q`Qb+P`1t4-1gW=FvlwwLQ93H;;k73o zNIh2>JTF5H%ma0MU8;Je9nNPCJ`{xT&KsLrsA!IY z<=0q#DEZ%m^ZwrP`Og#)e7^|M?-UVtiirOg6%ltfHvb`&!W{{9hrk^IcL>}eaEHJh z0{{C6{9RNGGnf=&a=(=f!(M;lHu;kc|F4ROpV9j-iU=5Oew#=6d&9eU{w|*XuQoPd z;9s$^`7f>iP{#i^Ha7p<{?BY|Ku{1b6l?-whZ-9L*}1{yd@x%|n9SMK6vPWOH#g(r zHvdhb4Aaj4nJpzR%#PBG2V%kwGBt&HTbjaT&c>$vyzFMYFhffds0nNz-&{gZh9cNdhuOJ4czG8XSL78M-K ztjsm&CI}jTrgjGelR!8mXiNA_mLMC-ouh;K|FjQAk4%5&X)53LM#iov!%R4 z;0}R11nv;HL*Nbpz+Z{yf3Yh3Bci%ZV*BTeMaXR);qP((FRqk)|0-hg#Ux*=H>vxvK#q;znvR=I}(_)1_v+iKiF#ioZ0V}_dlNU z`ES^I{=CTl#Qyvpc$jm@ufX&1aQ|DtgLq+9G!Ot6gr9>8b|dj{@W9{)f;qtdF!i5z z>EG_`zv3wR7jjK`0sJsSoZD~1|L8QzDGdU^?vvZL|2i9$8+`li_^sF5>{IaVBw@y@ zKU0l=%|8A4CH;Md|9$o;5160lm+aH8y1GxNq!WF|OV?$LScAW4a_h+zxV<$?j}UT` z@AM2;)y0&WNY9oHdAsG2d6K_-nt?3pPr?#Wsan0lisAFn=VJOYef8Y0xb`ru*QHSS z=IZME(#+P?%JQ~1|HPnXA7}H`=1S+p`COMy<4pSX@%OE(@1IzTOY8@~zril(5IILx zHx4Xd)%>QVYq}D&OS-Xl%u{{c_QYUCMF09)zM+j&6(Oha@kH5H4M$qB zVRP@{tCQ?8e@N3O8SKrhL#6%)H^Kda#lvAXlXYG8&3RpX{J49!`&v@r#`U&so%$NA9ydrsdD)kL#JXfqkMj9Dmknl9 zM)41XXPTDn)go6?>@?;>0Vm4m)2>-`ZwIUi{yd3|_km!C`7UnW;Icp;?TVyH?~{TPr_JN)9c>r@vyWx4j2 zSK0e6@3UJC#Y+ zoGC^P)7qKkwuY}|p;!u%k(2j}*tjFKl4Zq7^5R4D{jIFnBLD`OV6cbE59ZD*hh5VGVrAfjGd)XygVesm?ji`^aaq4a`ac4@93QhisjT8l-bRuo>HE4!Q*rm zGM6#-+NmFWd}{`kW1|fDPV2{G^vo@lbzjk=#oRU(!)h#Ipb8SgK9XE~!A?E=sfIFy zGxSRwZrO^D58A<+>2_OJWMs zh4BTXHD0ZTd|GfLAt3X~%H!RvJwuqw)R-Pja^OGq684PzA)u>d9~a4KH6>NH{CK1X zc|}MADO_5d@JKOSTpnp?f8{*6LB!8Do7Bl{(Ihw7CbXZ>f5hsSz&cewc#P$l=grOBGi1gs*=EBKrXO+&q_Cn9c%DKRf%hV zjoA6+N??5Xgn5p}l>5fBYvZPr_X8Q+<|F3=-fq|0w3y6W60+qutzY%O65)$hLxYJb zQ|i-tx&^N5G8P=q&?gvCtjQXmooIxvt^+47Q@9gf#|ij2&xm+J+?+SFL!BHCGTifW zU#lW_fz&!0gYD_dVYH0a&IlUPN+@br*J0DtP_etG96|7*>vpcPsR}<&HL3_jp z$D{q3YF@)O|jyk>oxjL&9?p}&mPa_D)ZD`YYPu^L@n1^E!Tvgs1?}K&grIJvo`Evy_L(# zz2|!9|7v1lyucOlVBwRBZDi;vFD0~UN0R56=l<4|q0*z+v3yB1O@wL96FK{bv9*H8 z`V|wL&SH&O>?^xRIn0brC8L{teI0wR*f+kCF>@tHL^qDjsE=}Mjt*SU%q-@3DMjqV zvPnqCm$#SMw{EVtx6OoZ+{sh)MNEEBY<2&>Z zzD#2oy(-BtnGCfONYbtMeDi}h?lZ&NwALQ8Yz_C}5jR`1m-3pAH$LXQuD2b$3U?92 z#kIWoz+R5BUQ_cn65WM>$U9GX??54>iwKb%wN#h@`ca#mxUdx<@ovN;B+M?Vu<+i# ze9V3Xwm?HK?0VY%(9k)xL8E5GQg=BqE4$3$XH;3YxfbnNmXy4x*cXY9rqsrRpZI_g z;L`0l0=(+HKH2e&JhMX1r5ReiU!7tX2hx2{vXlgK@IHSYft}&gZx!YHRq0dKSCWD& z^);eHRUPb68UgE*V9_(M|uJM^AF!V;=ZKBVz4Aq!cCm&yGYw$``O{f znYMvda{72ITj%UF5w`M<`uO+uhh80o+!UrkPTW5Dfx`WvLIK$I%o}e8Kw0;$(%Qi} z*#s9^Nzm;kiu97b{%Dtq`ck}4gbC}xUX4oP9)`VnSNCQ_fC{BjmNcb4LG(vnpfMbM zA3M8wA%SQPiD$=l@!O+Y=8R{hL^Pl96oc;xAR;UKgohc6=6}w~5Rb7?#T$7Pk6r7V zHZhZ*sFW3d6hZMl?U3ZVJ;U`TyXuVzjwP1IsN(fU2R_YhO3Kp+qlJf!WUtc?6eX*> zv0tI?7vw!3#gnqdK*aP$Ali59#MKX+n{Wdg&J%OI;_N5J*JoiG%#4|97!En8wx^Xy4-rp6^bE#gP3z&agVT??FS-=z zH$Ux%!g`~Yo-fQ?m{~~e*Y=GkQZH1hMF0D6JkfGzp|ZcXXSi%qWAM&+>>;mIFo8jO zbc0j^TY*4sXU?`ovJZR2zB5oazYTd!qp@z-4j;D{vZH|;b*vy~f;zJ!5%zA(AmYuB zW(obERYfu4;#!|QDm-@=6cj9V_7B0WdThPpjdcOTyU8wPc&I)UnE0)^zGo~!PblY`Ti)TKqXy?#;|8^8Q$aaPPbCX4)%B$1QsskLwr$H ziDoZM!&*iKzb+8eF&eoLb=qEq9>P<~ZDKGV%6X6Ve@sCrA5nja-hX1!>N^kj!KcenlKw+Ee?00M`4P(UtBS0$p4H zL1uV+W(U9VW&p%UcVx1ta(7TmDJ<&(Pu##$7AMjr;UWUN4+IZwWCo(M+EC?h9}E#` zi0);>-J@r!eQKZBDrdxxS%JwpmxHz$nAbkvK$khBVqOmEVQ>Kq$R}B8B$I?=*y8a- z#`F1+eMPh@3u&JT)1CUJ%TXvZb1-D&^GW?HsD_4Zr>P|vYW1zx=sWHF^ZEv4TQY#? z#`k1eY;R=XS6WHugu-%Kl^W}k9^O-jx8lc)H&tb{<&WE*(>wMwb>CZ-Y?%S}5 zpU6-yVDl!9PClrg>-CYVJ}I?tB;e^XAL?p*g?Wnk{KLTKO4yQP^(8G#h$?wuZiJbx z!|2?hr=3M5Y=C-ZpX1l^Nq^!Kr6JckT7Q6#zR3GkBV-PF^LMMeKPcG!{JY{8;I;!=+K0AZV1(4t zWX2m&pN9^T=-e2<-^Vd-C*0ATQi?Tv6e9JGfYwE1vFY^XRUk@rXg;!4WRDCW-TOML z>`j;ZDVUTG(l=$01Z{b#XXv%IN4ZnB9>?~epRKg4rT)fuaA`VRR*(bH+cZ|%qtnjd zUA1d1_w2p!kG;D}jt3u#n=b;~iA6;g%?%fyY3d5dmg=vlt9RJO#<(P++hGXD87)@I z6_9zyyVA@hQ76ea&K#te)hv+n_%Jz8Igicf#U`4@<~%B8$7JMD=Wi-DTavSWx1TF2 zsI<#Ct1w*9>%xYXOC0_{2}4A+d-xeWY5aI+t7?a_n-XQ-DFtEg2cH9qyrPtB(--b( z_}r|yWh>heed3>HR=Npduvy!qk}O`8PPI)LlrJeH_FZQahF(x-@+^gcU2GOTpw5^s33^7&DEB`-bY zg8D(OA-}wnfe#@XD<=1Bk)`9}fdns)D87 ze(z5XB?MO7d?QEb)=lRWax5RlV+M_SgvV_stf9OKEOc!}>=1sG0kyTJ`i_wZDD1xv z#!G#2XiRv7F<1RHZ!=xn!p*-wV!i`=TvVctYG_}5`7Dnmp7?FY(+RyUxh6J6nhK|`$L!MwXMJ;twD(>Zc z=mKqarhE8$rU)CXK=T+W^j1S1kRXjtxo2Ji!b)GdxZ8ourP!oan=SQ;PTtA&gkrCpRqgqVh2WV5wi2@+G!6C5@RAO9e1 zhZcQ)I|s5gpSsM$dEQCwv@ZWO5~*L%3B9p(sf%7?@&FC|@rD_Rv%fGKtamkb}mUCsJFiaJ<6O|56fIJ@wkb0 ze0uGe>obEb&2~?f)8{V3_*HUD?AZp(aTidFL)&u6f{VyUwBg!ZMG|g z%cI3?bL473;dU-n(S?^sRgTZbec!@)#C_|ZdxSPtl^CVgqW+C3e5cB4NT*;-GEeh4 zx35(iq8aE}nsmp76W(@Ka>8tpT;)}&G|sC1^y>@OZu>QbrSOR*)rWfHDW|^Qw(1Zn zEwpXg9&vF$YwtOTHxAspHm{_g@(?PMkEU(%5K@yo&mdrXg3$i8NgiiAl3s_xnfO!Au3=lAT(Z%fbaTwT7XQv2?p%qs@^o$t#(=vjct__RQt6!!?M~=i#dM0(De5 zE~hs9w71iB0?m(PE0mXRbtrK`55p<8?j^Kh)1$aQDK6chR%}&hXQJV2&o`lbGx&?_jWvrPB2cQN*0w|`1^>$?7%?K+IxFm<+abg^=<2i)4Q8=E+|x(Lz!6u$i7 z{%+^^H$Qg!ryM_>;5kek>^MD)9XUZ9K+b<^tf26(o7>s_!x3hte{1gO>TLVd>)p(h z6KV^!yRCi(I|Jw++B^PY5C0Ftf$e}b=K8HMOoT7+Uj;~Db|6>{1QY;51b|>RAn0G$ z#r;>U|Del$l{fv1_J5X8aA%qRA5sV1X*ll?xI^F$fjb255V%9&e;Dxts}#AgQN0)p82%(-C+!p6L2 z>=14fAUl^am=_4EEW-4Ir;B03MTc;U2I@(&98s9G8IAQCbpSxlI1z_&= zX0E1C=RfLm!D=1@yN+9w7R&`H4j#Icg?=B{f3GIz1_OUplUM0X zIp3Fw^;y&WYHHeUJJ#61WX{+o;Uynk{L)RX4`-|kS&~<3D;5sPKX#>b?kTu109p3Q zY#5dlYC?j#wswlPr%k4Jv)*U>JWZR!@#aiDU!QfQSw)e-)sbUc(9PxCjgy;~%fV6z z7qzC$%?Ck&x{pU)DSc@HmK@C#*BTl6 zkX^>Q_ogdb%aBoh=#QKmTgL7>d$Ui~v#zf10##igXrdZc@ZMbKpZok?1!$4JYbX+Q zgewqE%ZqTeOu(U_K*ko&q0rN7%9Q+q{^Mf=scXWGzOO;Xm8CzX03V=zHyK5frB?sA zUEhzPax>QvUwoz>x20u;45xh`5*_6HEviqIr;$tG15&PaeLA`$5}b$)T=sV&tw9a+ z&m|h>v4y7zqJ47Pg+D57xprrjmXD}8VjQ8H3PIH4sgq$PoAQ)tB{l;UBK7>Nw94N7 zH9J?rRF}FvzEzFYW6`y2UX{-xXF;KY>*B~P6O&9YZB#NEtr3tVCnS|NjQ!G!eTkEm8 zzCT(0AS#LQ%(?y(GJJKOTS+gPyFxivVd41@lcQ2e#zsB)qeNBsmzt+wKR=f(y9`@y zZd!{SbPB{1_{+(>nwDGm-|*PCx?yX zp57|HLO#AMRPhn?36L9lT4l!sD?o(u5~pn69B@BHI0P6xWwpBUt?`1#R8Wv4QqXUQuTFiUYau z@asKV3Kz;e`eA@K0nEmv-TIUnJ&AAZ5MRV=%IL#cc0H+3m?!}9U{xb!k3itD-m&9I_Tva;}EmIQkwXR*pxE?J_Q>c2GIra`wmU~+3M9|?2+xvtc34oDlmDcZTI;*Wg znvZWB_1s$v)mYTd%#%4zXr3EM6l^5W@RyoTY0W0Z+QLIubY9gb8=_1`#5F0;L|~)V zAblh#Q{@?%``XHi?PQLaTl5g+15u-giY6T(ez=F8miPP~@u*iVh%7ZTZZtp|K1VH* zWe`dBc?a)el2NWn)2m&$X5r}g!}d+pD;yjSUzM*N0Xgk~mnVed_CO{4e!s#fu_|n0 z<=!pKQ`4t%*yQWTH#E)(%c56i%mMhm2JkB^1pYPRmL-EOXEPmit0`PuX-bxJqwP6A zN;Fq}s<#|27)bI8vJ9Q(amDZHvr!MWqgd;$dgAJ%^=D+(8UX5PF(B6)*N9BXkz7w_ z=H#h&#{~SEKkS5IB)~BZzQQJxPQR~GGbLJMP8u}Rn|nURTNOE7A5Fo4CO56pSbw$> zbsw3mZfi3NF)w6q+tT%cUi+a0x;iMwvQrq3^ZUYQI;PvgXXGKn`D>zu;6l ztp>HJYKv|}LRJfsW;MQ?v$FK7qEewP{hj2GjEGe&-CBDB7!|~A{_SoCA++D^R#Fd% zk|<=}aUlw;_CQ}>MRf=iJfIt}lumpzA%6VbTgfPbOhI~)-;CtzfsuO2{beM%_oVhD z?&B}N_&7)c_Pzj#@xmD<72zMen+*>fI=EqeUc5mqBdA*9Uwr09Pb{M4L!s>>QP{m; zf&OI&VcVDHWL9l6%oupDQLXq$+yh}6m#rx{ljXpBv5<`nE5`pGR<}czm?o+JIB90< zDw2E!_?4(?45>j!K=+q;Hi1(~d8GslJ_~Scc)5MX+rH5>_lElDqaiH{_skc^5{x)8 zoc+z7da8|C`R*^SyMSV;ARdqN5uw<^1pm(q-#xw?J!8> zYdiEj=g?|h7dLuA-`6|+d%wzA+Tgg4lI#Ap&zW_Jeg5Og^wKB3Cs0TbzRz*k*Q}le z%`eLZ#GwxwWtU8tiISr4+g(YQN^d9RNE}~>b*>q#y&Tg$ytsnp$H1Tm_O}iiFA9--d`+h_e)+Wq~4kx#~Y-$Xr zG}Ce+?{Rsk_xAn&VehS?>&UXKU0V#c7%XOHX31h^W@cuVEM{hAW|qaw%*;#{GhAh6 zRd;sX`n$94-#z+1NKX+uJN7s+PmCBd_KNk*wv3e5yE+#~HLz;sF=<&3jf1AQ7 zK9{;g&$EPM4H{88RTNlK(h!Sr=u@soXwssOqvE)$GylkjnX+!HmbN&GCLC~409Ti_7)rrwn{xB98_Dv z4x{;*9y;nfue_ED$AtLg2SnZh{bGsP1?!^po^8EnwL`D(F>u!l<~_tOk*GmCXo zgAK5#`P+h3w7~=XN_W2g>_3JH(4vjrynWHl#+G9g6+ZB)t_<=R(t;;;@#2G3Ljc(0 zTEviL>YH%GGtB`%BjE)^Nv4&)O;4zbx1PIRi&mCLy>-RW0uL8(^rE)~=St>A!f&zF@aPqx*x~6`_XaIxx5!@bMqbs3a@{Aq)ZPZysvjft`Y@;ZuUhU0m z$Gx+3RnMgHIbP8qzs|uJkB#x^ije-gTYx&USc<^f6HpXnm`^#kzUVq`rlwpb9)LpCFNsWxQV3BE!_ANnABrz1ho!W{k4Ek)^w6vvGi)d$z&W;pfuk zDNb9cAd>JJ!~>C0+=#)`?fpL1x(6Mm{8M=b&uOm-T~!!zLpZ4gdfYNlX*|y7^4cQK z4tX5MOgb$E9E-T{`C%C4;O}61vPoKC)BHk@b^YoJ(?}O_F{KCu08d9=#ttPdvr9gm_%I zcy_Z%s3>712gNRGUOhz|bMyy^^R<~!x_xGS`F3FieB~>yW57{-BQuN>585i^@3z6A4qq~bP>bRC zY$4M30zo;$vnab)sp*3kX?&Y{1cH1g$Z_cDeEmOV6U-5UXK_{|lzT`=UkMkAkgpys z&r%u{t%RatGd;~_g+$?oix*xU*euN!uC=WeL8-d51ip1QW@0X>Wzm0^_=U6sso(jQ zh~@y!{Ccefa#d2Haxk9g_$g%= zs2+lqFhat)i7u~njM2_x0!M&FmngX8{1*;IC~l4>jJHe7+gEAraIS_G_^hgOZhts{ zdbmS7c=|7+pnOK_j!Nkxq*nT|UYl50-wZC>20XF><%d#2tMKT>)JW21JuGxS<+W(o zW1+sC&fp)p(7e2_e|0*Wmqk3M7>)nQi6UG%{tA$c*I^dIzwFz%W2BU*v2+68n6eo^ zDPH}OqYGs~GM&WI+q%gpvziwUTHA8{6O~AwXB*YafEMOM-D40HYRdYdx;0beE5%nc z|Bi=?`b4Ekewa5~C1h7OcU5Fpvlq`JotXzd@~bJB+qxRn)<*h7{Re7~$Hx=4!&5Gy z0*fxn{`{&62F&S@^wzhd;&#mBN0RWSB*{xOx+BA4yQm+j@taf z%pSIz=*@||HQ`^y3#gPwC%P*(S@{Di3g09yy)Li1{`K;|5>(_3bIdAOhWBFKim*L50 z4BbCijQy)<_UW4v14HF_Z}N7wq0WfHW4>agM4S2w|}Vbh%FncrHcF13I!>pCLs zcGJQ)wBi>ejtnFm-X+t!RR}+}`p*s@*yhcT#|hhB);G=NncOX1qGnEKT#Fa7!3%=u z9|XS&`=dNB?6;ltq016NV_9D1M{qS(G5sP8HJx2d$AWaDZ!>koY{cM{dVF3XO2TPD z;XGJ*WFN}fQ3XUt5ey|lp)4cw-0*ARrMxuMalNOj;<&uZz4-bBhZkZ#95;T$cJLaP zBS&?;ibn_C&D0m`Pj;oxQmF2Ub+}|VKoy?sF{(t>7@V$-p31mP5;wNHHMFa1K)`}O z(nvvRK|RDZo0NlW;v{{GT=xWGnc$Cv_7+NtD$|gXj)c27!Af!ub8m`rX9w~X+u5k%O7(Jy5j9Hj*}r9@e+RK0r+xjp`Wz^ri0l#Dnjb6o zD;2y3j9VvGtXL;jv{-eU2lrVpr;yqtsIe>Ga2dBTnU$HWiS@HD#8H$b;V-+EA%J-P zS`PKf!?~{uBO=>*r_VjC+3hcAJ=&kk_wgA-$G7EK10=!H-ueJU3f(sYBbShsiBXx| zK=s(EsLnSo^5BEvGJXQ8_rF0 zzmwwrps`758|qWZ=-XP_+vw`Qli=unKju#`I+ou-S^p!oROer* z9RCYIvv-Qz-zxQT?|8XC>hk|Nu8iR~JQ>R$NWkB4W$#S4_x;~r3!wUa{~c#WPyNTF zzwcB3w*ULgobP}CP8R?DXZ|k>&@?o349tJxnVqr#yJ@iHY?j zU*FT@T#UgSKVU!j0OaG3MMsOv%$>Eb+m4MEmmgXDzy^*)2-54x||)?wRc%iLpAPs zwY4~#64C;>Uy-iq9wxx*S@rV~D$L{chRoHEW_3j}~pk>X?{`DyP z?D?Ul-IDUv?ID0J!vV)P98sNf5_I+1n2GbHpn{UJo-^T$W_x-##JuZC^IVcG;#qdA z`&Ti;)Pbgx+v%Ii{vEPXM^1=&-~D=ZtFud$`Mqn9^ovn`2t}cdM!%SKvR?X+lGo8) z6r%uOh35tmp8PnzvZ zZqSUT;I)=1E1eiArw12?&yNQe$JIYw-dn_5DhPDlS#Cy;OMZ>Ng1AJUEG*LhkkiY7B+sS)b2@{ME>nk`}Zz>;^RH+|AH^OI{U((~$OH(Dgdu;XVc z*LFbABYXCs!Sr+f+Ze@mU;Bh%R#na-U{BuF8$H>2^jXWyo0)#JwoP4g5AO(t<^-*Q4-lKaUK=auJ!aHfFW~N;3vA8F@vg4Qdp&5dP*^L6qwZ8dV zA4e8tA`l05vFn<3_BoE7Yw~E((Up`+NJ}$aX*2b#;UWD_)7cQ znX(D3Cr3`RkL)I?sx$1fHL^4*_SBVHm-J0k@#;k0(T>A2`<(Yx{=)*8J(r|JViX6& zlag~0x2ClkYtLy2`|-SG0vGS~x#%P|sH{=({RrZ<@*L)JGAA^kh(-^(PJ5iwo2E%v z3x;)O@#+lI$tJ293b^nwrCA0uQzDPvxSeE|F9Py#4BicN>)j0(Jbk?-dJ9@^su*{o zB6C724}`@bZ>)P`Zr_U2(#C$QGQJ;w>M#<^+PjbAQ8eVQ6RPM`tw?ANl6HD=l76#8Z{9 z*QiVyjkg<=R|itNh$_#;mgh~XaWQ+@E~i1UO63B8eMbX(#HV{)IZqZ(5AH0N*D_YF zE>@xaidq1e2lQl9H&>s*EYh*|2Nh2bw+jJHO%Dc#sri2Opqao&(gn9i6OCVVi=5Wx zm68_3O9kod50VnBp>bIV(6h;!#SCDD>Z>z>F@6$uQK*nZdC~VVI#+VoyEB>B?bqJRz}}J6P_tuGBwi!p@|cNzOLN@VRjRhygW}CUEGuONR&E(6Z-b= z-1E9_9BzB|3%vb^dJ7ZO>d0U;zUti@=Gq?AG9G+(C0?oCH-^yU#1lTf}1Th)xqOYAJTwpD}hFH6~ zdsLe0dUHkjL`=%R@i?-K#RIcfv# zqd+6(&miLRP8HyV2MyisZnB59;x-oK4)0p;ak1w+FVeQ(hSU3I*^-X#eRpw0@7a-j zwBoZ+gil&q?;?%wAS^gZPxl(pthP_pb-%Ha&TEnw4+@E7fE35l%aRK@N9&FsvU;0w zg8NOC8`US|k{S+D7fGeBH2M~%doXyDC8iiOpy$1~wEzf9H1 zeb@37iGdtr&1Tu4u~AhOPC(L&S{i_C5Y!DDimF?jJ1X-_dG~$@_;P7+vhNa&5e34t z4EG#y?^yW6462eWK2x_il!rokxqc#b41($3b+%?$zM`A~92c56Z)~ynkUQ70eFy21=60^$tN(?7rsa z8k=-W%J!OAAfhz9MW7mj<(OnF83`j$Wm1GZ}s?ZYD1H8<%roG|r(F#9!1Ge_`K^ryBcQD?VRG4?0 z$c7dQyRfycSWYV!jDya+t)`gMG1w_>e{#VBfM?ER2jV~;QBotTuILe0*tIe{JmRx= zg$26aW(NAz4Bckr(lZ%l3t=!sm%eVD^~+8suhMMLmA+7h9Dbb+Nxw_aX&gw!d@&#+ z9eDG-7f%%h!spc)A)3AnT&F=_o9Kv3Zx>$B$!GSGw4Q@hn9}n=BgFP;kud23=nB`T zI9d8K*W+FlvV*fRd?h;x3Zy*`qk`HW;7rBqGelm7$UkhPMuoo@$LO`_+UpmV2~Q1) z0d`9x6OveSRD|M8IuX+n()fDK@x*<%o+jAphFOTgwQ{A1l)-WVV50qUgCou|1JPJH zmt|QP@I1jgmp*5~K|)n+PM-$im13YD5M^(~Ekqz2=6Kq^7d`)a+_fTsaR;mj=8XVP z0S(?Bo3)yuL(-_5ZgD=;-|?AlLmoYDt!q$78nn}qC2VVy-|&2^ltFm!#U~su%z?=* z7n>sIYk+UH8Rh|5Y1C%+LQKJ>3Njf4FfwL8=4Jpn@^vOA*37o~jIOIx1knuMmDxr) z95y+2F&E2=6S9m=v?Wom9&snF>;#8a8$Wxo7jC^$jPPT3;9y|KsQXByfL1_J1gy8$ zMycGVY2pm*#tp$=SbBu4`I7W76S>>d&gK@VZl}p&8vZZYx6l@9vmOhzrM)r7bN0kh z?lJR;&3*|I=i%#RE&Cfp{@^xllTe{QW_tE#BJ85nx2RH4zU&mf0_sb|AD{MN_j!GL z%M{wUjJYHfeh^z?)=00_$n~^f|7NdRYTn{#&v=vlygjk#5FSJQMi1_&LSkopxP9qk zW4XI38wq{U5y{ZQJDHezaA-eN2u8rd2bc?@j~@pIOjKjsOXmM+%s#pmLCc9!8U=y# z4x;Yz(!;QUcW-!@&USuQ`dcQ@F;GoHqm7VKGA7}dDt!YP)yVlUW0wt)*4x2G;CbZY zQbr3377IFkm3U!)adD*H0duS)YJR?r&KUzak*e^4LzKa8v?;VvDDL`nmK7vE;e4*d zul^Vljhqmp^tAwuy<{%hQ>w2HF}w0lGi|g}O8WyE`mCR$w}DDXX#tC5b=B%tXDVB2 z73yYL5wN|NjzmK9$8f@EZVK&M8wFjW;ghYQozA(w!*_2#|0|w;9Qnn!q`Uz6qc~_sqf%)sb0bWangD$B#8x^ss=H2 z+%W>Z<9s#v`Y@Xn(}lI$59|+5zN=!GW3tty-gF6h*-Jv%HMH@}QbPZ8Qv;~|QoAj_ec@$`G=5u${h>fYq!6l&he zI!@8g#2SFWoshU?!}V&oq(zp}3cmWUxEp`<;fA36eyfLGO@Z_)4kkedFUb$2YUV*{ zZXicis*&B^N4u{@rnk{RzG)INi06AQb;%Ih^f7$j>=K?h8|$L%jGRTy6V12>syE#^ z@VtF|{6K0vL)nl5`^#1@q#JKaYW~!oW31;wH7Bc(-AFtlYWQ0I^3OV8uH6@(>KWR_ z67H?Ou=LJ=rb&|e7t7E|i#U1$x*zuVj=c<%?lzobs6B-&n2l zR8xdC;dQ#NFXQj?%St<(N}nX4bXgr@KuGr`&)5%KP%c6&XeDz<+6T~T7znoR2yuGh zPk3l}?9=ZS7W|IIwIlsN9O|(GA!oDo3nJ=Er50=9m}aFv;a|YWulkl~^>~0W4JD_Y zI4?5iA2e+10IPozC^UbQZT>phw^r*|N?*=aV20cf(iy_-R1AF(*(?~~3uq_wBy<3? z_sK|$=gx2+dSpgNY-&ooAKV1EjJG7Fk?fQiTAx=vLBCLV40N&Xkzmk;LdJ~WWF3Kw z&o?3mITz*%%yh43zse8CR{!FW`3oNsbFOw?p7rNL?!oZ5B%~eXTUw^89}!C9!}DME zXwJ>lBQEK(+vfGDAL&~-D$GGTTU(^!6lqt6e`GjWGc*iV5k%wzIgMOFbLUda7)!-} zL03SdV0WW{+6j&1qie~)&{+6&f}ef%G+e~n_6-TQm8g_)1e0Cd=8V8*i#UZxz}4Eg zmc^g4;)Yr7ZE_&6Y6$Y%En$BwJ|JsUHD%n-w0`VcY{Oivnk8WmRWb8>iK+UdB-G>Z z+eBoB5atl`a?(BOx2;`|3DDR}aT_wBB!SOVz1%wZ7YE)#{i%`%E}j$K&?@Js6hdMR z!|xk_I`~}iNMahZN<{1%)7YWapxUtnU^y-DU^yCqU0_%kzOZW`T|zHlepP`)2tu4@ ztVF%^m^_-$i=_?zZCipv2Ea9v5z05n8KV&De%~Ycrp`0$7)#u5i8HM37E86c2kF~? znJFmg)eLdjF%CmQ+T>U~q1PzOhj00acn%o2ACayy#aZto@DB%=&5aKk(6AIXr*fB< z8^zMoNI*iJlo&pJ9;^V!*+}sTRm!0ka#n9VKw4|$x4cawZsQB+;w06>hGwR? z6fw??BabxVn6^PkXz>!xYNLFS6l)9aMMx`d>Zu#T9_U+U433&4e)+b`CNLx;S~;i| z2}VaT3~>z0u17J;`hF;Uv!0JT2qcavrN)k=!)nlh=<6=uzyo zoH2Gy7TUiY5fvq%t#{c%$pZA%SlkX8ZC=#2hYXxEV636Nb~V+sey)nTFo5%YJ_F9k zR|Sb5=B#W9Cu`tWbB0xtIBv9QWGqirwAO#q@Y;1-Xm!_ODFYZjEc4p6n@|OcpI!zW zelhpjZ3H8~Qw5H{3Ok%7$L|Bzz0PX-1*mYhT5UnVCgt$?88Z%>Js+UEE=&Xw@qon^ ze&55(?AwhqN_-yHjk8mPK}Q?AWvtgp$rY5T+?M$IIwqx->oTHQM0{mA3_5V+aJ8Ud z|F@H{nA*zmuD}whP>;Ijxjgq(8>Rlv{u{~$aB|)U!BJbLjxW9C(wC11DJz}rBsG1;k zoi$ztj=}UgW(w@dp_C)&4qW+&413H#{~TJ*BGkCnf5?W$e!c9}_LByhH9kx@nO7Km zr)eVVj1MO{T(4|_x3kVccu9vFIt>HeX{0Wv@Q@Y++j1Y;D1q0{=2bs!BqW%R!x9bb zuawKiZyix{IeNQoD)g|qy~S4umOxW(2*jgte7P+}%*Mf%D2_fOKze|~Q-iL4zKYxBDDKI@7x;>NqFpslqTE;P z?+(qbBsYXZMXof%Z=rtG^*B8&K1dBdf?b%5t&j!-Xc;%)*;hb5CWuU4A!9rEYdRo4 z20Zwx8u^NIIR?QfLd1QS)iWv`1Gx)F!J%t+)J7Em%0L7>q!jVbDA)@@?Wuq$;qG*Ji(y3OlJnZc;CSY8(MN*#aHvsh_H}R7*+mZhL<*pug zkplT0tQW5on$Z4`r0HU_m?Im|Qo0IU(J1JNgEy>4)qMaz0E4W;M7{}%01i^B7NPDU8!4&8H;nd9fe%N8jfRHR z<8h*j7c7|_o8`4f%M7b($a_Q8%PMe!yWpG(=8VsqElGkgOj9M3L40l8g-SulG4o<% zEX?QrRw~{u?Gk(D{YAu>G>f{pPj*pTUj+g<2yXO}F?Pq1RF<&NFK?#SO@O5C3^?YB zN-(7_y80Q9SE$y{0OQqHJ*(X3Fn_{*wPG1X#I!gdf0!N-TZ?yTiT^Vv?f$$n+8ILIWAp1a#<G3UaoC#-08K^I9HcK+8cW$Oh^v)xhV9{(GvkhGo~xl=c-dI66xcqjtC z!eKF&(ZmxpzB~TcAs@nEKS7L3DkY!SEkvW26GWqDJ4E6(KDqx+egz zpIssTfS3;yc}$xy=));~CM~jXP>JnzBZz7UzCCv%IPo*Z1e-Ryf7H30 zD+%;%Y%B9i9jI|XDr_l;eX;Dd3j!ZEz?c`0fZgpbFIZxzG?ZQBmRsJ+U z>VPSy6$1SUX9dLwL(3lP$Tx%WOBv71TDFO_GFeH@L|Jb3=4CGNw=k?x;#0Fzo(4h$ zex{^fBEg|(iK@zgbDGjI*M(AzmrU|eTt(mK?25ek*b!^yV?D17(yRg3iiHtS zeu>(akrtk96XS%E0zyq)%^^kXQM)YE%Y8cDZE4H>g!^;#M{_BNkv*=}GVf*Csqyf+ zm`|<$ zJwjkq#9|4Err@c$6$mpTU7=PlNy{b<^*A@A=?1nD7c61DB_eB{7(=pCp{5CkIc^%@ zWFL_b1W^hGL`c6Vs6wPe=*j99Rg8NXf7xb*VEN=dmBIxavO)|NAqDue-dM&zt|!}diGbm)hSvyB zoeo{|QBsl!wKJ^ysUAB|Zr4*hAyE`%x~Zx&vY5=~s8?aYEt7KAJFwlC(V7t0NF}LO zvj&5KtP=_+mm$tad(=A<3{?hTa~5jUI9x%Q@vbsb-5EcQejnr;u7=M6317jtNB}Xx z8ezSiWQKJxk&nyzWm!xk#S8vip1;&8C@!Zr2fk9j)XhJW>w|w`H}ig;MYmc^9_0>r z(2aRb^=MZN4!W1>FrmihT)C3UF|%3nzXp1Sna$+5*C1fqWtlJES5c^{cy@T<6x0Oc z?JM{qvX0iHbx(phFf{O1v=*66l&L6Sp2;m8G4WtbL~1=49=djTE7H)vvz&{yl3!t+ z2_ZjyeF<#)^C*vZU>Td8k`=FeiS|A!l+RSgpZA{@tQi$U@aBW#upwe&I&j^? zyQi%?G@M~&?Fzt0;j`%^qQ~mt!=mOQD4zy1C`rnP*bSoA_?B*OiJK2n&Wc5uh3Neg zu^}#}d`%|OX0L~*P}chzNtp^aaHG^i#fF|BU_8zdA(sTz?u#mC2gu0aJAgs*r+Mi?l3!w^Nc>hO$T6Cw@U?s{ zsoU5Tg9zjrxJASQygv{^`cOQnt!&7xYsJ^%ACL{L@c|;uqX63%?-RAzem=nZ8iwPO z*yw7b=ht|94Hp9WRO{pzyGreMFUC~)AX_1e_;-Ip7O)4UaAy1VC|Xd8KPS3PFJk(< zCnCiU5|{nC$8`h=?Vvxq9DW4sjuPp8%@~_|kkw%N3m}BTiE#%lA~OQIDu5T2MVtzM zGYIcb|H(-LMh&cXVRmXg!p2MV5Zv8w%eV zr4mq0ik>N&X3og=!xJ_IGm`&KlF8$RCH87I>QJMV&sQtT_`~XxWknv&Gf_IkP!8Hx zIYV4dY^!7u6%t#>XbW*83Fhm_I9KXihb1vP2GO~|aVL6UV@Y@$)m>WDUR;zzP7Unh zIy1{cb0?o7dlPLMj`;$-6$^*~_|xF-p4eB$QRG`d_?4^jJD z{4(o;Z3ZO(S;8=0w#uhDaNshM(r!Vo0*Q#E2)Ut&TgAks6!3xGgYG&z)_}k?+WXDc#*pk*jF*^*m#1)a!vr32{ zy|?&;5#(r|Rpq>2y}0)CP6|7#wBc-$zxYF({kQ=-ATmbuBO8U+_Go`CQ{2jeq+MeY zK7Wj^tog*&r#MV`j?mg2Ep716b9sTGCwl5fJOSb-{R)mbMgydDPPRTp(2FD0nFswU zlR*#=fwItWixLk4G2;F+zpP!(KzoWHXA)znOJkfB{BWY~i}N4I33^X@<;e5LI=C;E z44q2}>Wvi{jb?oAgW9=n5Z!Uuy;G_9_#YmF*=gCvh1@rC5=Fm=~4w7A! zM<(%GRBF4BN^Fk&*Mhmhqm6=S7GZ!eikd8INz{j*hv%vpZiB1t+0)`s!X+=DP<@4X zob1T;*_w3K;y~j@WsaN!ugl88lKkF+s2Rp=QZF$v)0j#^(vBW0eZKGUfH%<0b^!Ea zNOReJv$I-S6^htecy?Oaja!f(xUDAf5GP(29hz4$my)WBEk`j>P-r8!k=R6xfKLFw zLJ-vR{YFuhc#M!8-VLr-?FPpCH%PLGckcHcg0GdqPg=@i2WEG(TGw{7qMadgMG zAq3UuQRIjVF7^fW1-Xf@&G?O3gtNk3VeuI;yt^8<_6_uz^Bca{(VSdv#!)MKA54%g-c6DS%kHjBB>OdK8*HIB1n_Z}^>p3A9!sH?v|; zm>eN15+NW=^0ZMD7~)4o8)fM@^4?n=Z3(gB@V`>IUgtZ>9r-$BeM5u?gOjX8@W0)6 z4K`M-(vHY?S7Ppgs&{ZmLz2flWvYSj=GJ~YAR}u=hQ4LtWJ=FRi>C$|AzQe!)aYQh zaPvG{o=oM06Usu>Y9>fGhfFiHdEN0e!}PrHExjfFilplV39Hf;PpiaCh0$SuH z0$;Sm$8T(d`)bV$NEA3PX?3^!3xHqeNy2%XAE}viaUG5KT|AcyFK0g!i+TnV|%mnFw<#lZG<#WZQZWL{&q z`PDzr6@+Fc#5|M2_@)`hyx;*}eih3eS&{5-Nl~xr8U_<6Hb&`hup64Yw5k;v?!z9~ zd!F>lS!|7LzJ5W>FVqL;QT&4MoD4fy3{t=4q!Z? zPFXi-!=)iLdBDKc7B%+lplM_OD3wc0Jc`$mlYwWU2Hu|u1%}&+mdrX%OElL6p`Qh2 zMv25aR>Z)a9(SXV`XNGJa0=|3uaa8>VBjdl7ak%)C01^Vo{*{Q9C1jv%inX0sy-4!07Fl>hYEdimFe{|dHdwy1v` zc-~q3D_|AfA$`w+{%#_#aC4%CL93HWBe9P6=!2W-Ub7_Sklfbpw)LcVae_ykrby<) zpvEVDo|{V%j`4{2qX*Q_2plbKLBR3xc@dYNBxyfexCZzT5h~5Ott<4x*amp@0l%jI z)XKt@m`qIctn;&e->ExQaV%?5NRHvzYcAv0b@e+hK6HV$ zT@c@`@&L3-s^?|y)V=Tu9jmc(703`%j~zNQq{pQ%RB8r$F8%6Rb6`h((kr(v>~zYPYFWZo8-H z1LVN9HZ$kFKAi1*Xyrg)1RS=xYC?!dw_AmA`8>Q&~A4} zeb5ufV4)F|fZ*q0&#jkYw$1puXi(}DTSv2B{%6KA<6FUzY zs)_=caT+bmYg(HI4RUPJmEdek9#F(sA3Gc?&bkcnmd=*$(R-kLEU=hNYc-*f$Pg^m z@}h>dtFh2kj9?;AXWhFD6qPbS0hwzV-0`FnB1FtGWA4vI@&Qg)lcH~ID1ah=|PVa*A=-!epqk<@DVvozMW@(6K z1_)PJd&%@WTC+cswX%gkw3fBolhBGsEK=j9WhV3IRoJg#! z0A}nN(tYVfHBqQy#hE|!TIv=-OiaVNfyS>A1>fY;%qm%UkdgiB{7r|ROW z$G%ASTZJxd#X8c~D$w9WNv?Iw3~VQ&^wRcHMdpf!qjcnswS#75mXaNcRav0E&nGoi z0&J7%CMhydtDn`Oa7LDguq_SA8uck|=k%wfbhKfcc0bsB~Rah^izQ7~imP~B8A{t$O+n9gBD@?17XhGZY09Y05+aLNm>w^QbwMI1IegZ^E zL35+qag*r^Rj9zx=$3YT|Mng~;xZZD{7+g=>EBbg|LraCkCxMqmec<~ww!*%kNmZE z#{Y8EN0-ls0v`%|DDa`chXNl8{O>F9mvO~^G#LKBT2B9P?|*7JeeXp44Tbx+fggVU z!_WVd_>uQGLE8U3PVmohBL6u0?+wrYr}&Y782``WM+}%*bZGP#X(@E{S#&AhFIi>^ zX4?1o5qcI~eHtAaI(=$w?f)!(gh7{4kCEy9P!@kNA=Q@@e3|VZi_XE%d+PB>u0a_)y?Ofe!^f6!=i!LxFzXR2NKf8kcx(dg@uZmmg>XL{}Vq?_b>VRe;obytMC8h=l@~+KlAg<4Aj)x z3@nTk4Agpb6!bdGOcdI>bOsc<1_q1*AmDfi9JrVM+j{a}(^9K5i`V0&PG!zUh`uY^~`i#^R zEIRL7dh~R(+AQz?)2IJqecj32>iu~@*uvIM+d@}gn2!V3-riV`Rr`H)o{3qT`nLxF z0}6TrCIbp)9eo-KT^${L9YzCs7HZl**7rZ+!T+i;|Nl7d;~xH@z=r}K3VbN=p}>a% zf8Edj0RaCee*SlK`@d7Z8JYj|`ux8m-s!1-Bfrc1bHqD6_3ub`s=vpB(^LNr8~<(f z|98sx`^F#4^4~Uo=ZgPD`n#;1jlHg&l(vn&h21+!o{@&?U&#{x<7@owl>b+<#Q!+k ze|R<$Gqy0L;?uXaQ~iy^{+p;u$|~55OxR2e^w<{mW@hStsQkN{R6Le8diplMC#F-Y zzjO68*uIDB5qe+5VXUj&&m zVzd1Ha*+EIj-CdaPBd(QYd- zE?)iRi6gg=$NkaeEaB|pu-ziLch+vM&~RLWs(p2~z_MUIWVTkhRFUiL`T6-|=Q;th zth|9}oJvxHiYjdC=<+C6hUHa$2q*=yc!+M_ri9W`(#GDMcJOjVYe`H+LfwPoAu(0c zC}&zqk8;wP^+GwW(D9~Kgb0VMQ8Yn7F-g2MUfa`zCh;C&oBy$4*b@&(jSsuTd*l1p z6BBPzh=fI%^N!B?-VP-1&feYQ8Eq<44+?Y@d7amt48?+W;x8(Prl6-82cQa^vGSKX z%HxdJ?Q!_`6pi(-=&QjSh@&WI=)_ zk%+|je9fwPtI5paF`a3PVy=Uy)+A3Fg4WmYK@4+qkpV?++$2Wde$Uq!747D!!!LRd zLxuw4xP0C3EwRfW!2Wxr(@kKQwJwT9r)Kt-pp`_Wb;ZSBc=lw;K@1yKJY8vNocC-C zgo0{S1Quw`zA-AF4TDLBj+*U$j>}BXEC#zDwJP@}1bAA}ssa(t+S)y+l5jD?>6D;D zANht~E8)+<-%&wQJv11f*YG6)Mio-mk20yY9yGwDbn*r6M<*DRe*-C}~sm??{7=Vm;=u5Dz5~B$T z4f62?3<(W8V#-b60y~52!NIlLKCu}2h-5%smR}GQYpL&IGz37aH=|viwlIJLfGSEIfU~{i7wDeRY#4u6 zgGK^M_};!)b-H=bFH{jU?Bf7XfZY_K6}rqRIpkCbqE=l`{MymCyLpIKgIZcl2_gA= z1Or1#>b95AF{RZ4jUr-xmi|XN7};P*_n|Gc%!YjCA*lxh5=ik*d7^u)C7OP%9QMgP zdi}K6m7yEaogzJAuY@%}LvhBwU}Nd&Lne?T^EQXT!R)+zCqPF^LNb^{T`l@jl)kqZ z9}6Txf(oAo2@*u$-01N+mfJIXi%ELm6wK zisCkoIibJu^>yo~i|xbkegd+IgAcL1Ok@fJgC8AVHKW>ORspi(G~zBw#kfXViP}_% zwF*t}5e1SzeI4X+q)dvk6Zht2zQT9BJ%kWMC_w?)lHT~}kR6i-H4oXEn^O$DoGOyq z?5`_LqVS<7^=e|U!EI9(l*+j{kixdzXbj8&9zi?itXXnflywN{h9P7gP5lP04^{DDbgazJvI6gCz;URVjSe8=zSy`YGxN>Xh({oFzyivH4T5_Boy&VV-$?e5g2Wi`xR(|i#9kkQ3QOrT{=6FrSmEhEX+Ds`}#xY2uofJ z83si?z{@%<(vDBNu9PKc*@0s}VPM?+Ytsb8AkyO}JZy&6y)5984foLwh`FqASquNzfB@nK%&}T@=`};8 zonItX0DbzzAl_}M`9i~1uL*YEKcomnJLJG-`mL)lC|$oHAo6eFfUsZyCljQxuMA-< z7yA~6J8bbq{CuV1t^y!T-v0LZ>nNx!(ml?#u^Nq`Z94A2AvUoLcCq#C^puPtE*y1_ zw5->i19{q@ypFUf*w3t&GP5BdUZ~C zq)V@CxSTNf7EaG@9h#J%B}1dG`qQH4)^9|bylW9JD+E(zTyZ9va%*t|m|v>hdO(eB zeT_i~h-Hp8gVt?%mM~XEQ+u>3&N#K)qsynF95%#!~dZ-wD$Q`*sa z*J)7BuRKHe8pBDos;Jq{Lsf-)q{JG53`xG(1lv5ya3PHqMPrD_h8}qe=W>h&PS&63 z)>7X7`nh(J+^p{NNt3rGXjO=}!5**Nx@=9Y#r)vcx7Z8~L+kllk)RLQLl`Q<)Qw>(eznZ_Ax@geGD!W&p#U;Y2X-dl#%v8~&> z5G=tR5;VBG4BXw_-Q6`f1a}MW?(PuWgFC_9-R&~7<~nQcedbzs&9l$G`~Kix4MwM` zdaLo&)2qI>wU3M_prwpOTe6-_nOk-RvLRY{zJLvU_Fb0tDpdWRpkd*iwLKwiLs(_P zOhNUm71fli;mYjLk=(w}=leBa>;*jiz%x`ADNbQOLJh2@qrSLF)Q7Tdwycw5twK$_ z_!d__TL>4UoG-waDv;g9rScS^u0gKsCuZ3#TSZnO;e^DA!Yd>nK1-aBX_1WA6zOyE8c(WMQF|yH$E{@lWagmvkxz%`1j%a^+55u8;`&k0tfiX5wK2g+c z4=0wE1Lr1DyEOkH>hqv2JzoKAcZW?#Yc1*EIxwZF9~wvf#d9lX6xk zu>L^r>KyXyAVZUK2S#2FQNG!A=ugWK4N=y2#Ly%=MT$q@b)R$9lxhw9c6{UV1lG)# zJaX^41h&&~hKh#^rTy1>x^yJ%6H!^Sq2qlh=st9xPkp0-PnB^P&B{TH?bFgENsubJ zXt8N3zi3iE$?D|RN=QuZF&|V{k46Ob;5t3`;2vo<^umE<=fb3+U>$E8GxpP?VE}D9 z$CPL3(%)TQJo?5M$_j8MO>IdPwOv#JExi1d`)6eE#Ho27Z3IKoESKW z1%(~sBO$!y%eRfa7{F@!jAQ?uNCAS@GpjGQ=Hy{IYkqQ5#9uv`I)Jn*tPTH;`#gY3 z=q0$ZZV)muV5$K#kPH$ht+ricChv70XjJ$!tL7wHr*{oNhZAufC`#3z^SGNoTpX1M z{v=44a5H9`DE(ld7HuryHg%FhuOsL=(I_`=HjrkfXw;-EWEuGL&t zjX3c+rw|$mQqyNuYX)tr?6zeJL;D*cQDh?|cLlAp_9_r1_9GEj>bBtnv#L+$D|Vy~ zfix8$>X}$;P!{pNHGluPr%4p{QQjf&RxSopfW4;UIv+zur$1kItJ z_~nHia5e7XqXJ$C;*vAWLyCwMuaDaY=lJEvNan!Fh#;S6T^`bkmz4AlAO&aIU;KPO zebCciP93>nrjAj5f`_5D{5}<}H{8K)62u9Zfku}btrCiJuh`qQ2&Gf}o2kVH0 znQ-itLyLwFMwmc3Z@F@#wdowmWrH82*N?bJ7ZRE5{v1Dljc^ZK*nvOROwy^$(g3QH z$z#awIf`~a7}nMO5+Ka#U1J4RhA!F-OQ0)&M-U;wQGAjENB9|%*QES9C*}mo4VO5~ zI_GmByA56_<_}F?K~{@pr+Jb-5(d{t`y5$( zF21t?h^3D#Y8q-XwI;$PfE^6b+%Q$#!t}oS!08gsK-4_@Sa@e=Kj~wWuibf4K|Cee zk2sJhtYfXs2hgsk==vm@07$0qh@OB;9gK>e@@G2PAoLMuBM~A>-bmUGLS)eL((k=x;^609`-!C_TUa z@mkIl6+I~U-JX48VZL4W)UcMzjWzhedtbtjZ`yY#yNqAj6=q#apVMv|MPh*c?ysK|*H~@CavP zn~9mEC)?4NoTBXN#?TAx|Y- zm1N1JIv|k;pc3iKaE{l-RwPntf*^*S9r=JHb+nPbS?XCm2sgNsRkQ%hO3R#h#vCoT zx#EgtTT2;W4n`N%t8fjkk&cFtk=~8&M$ZB#BlLl1Hk2dVisniTxajvOVcG44Sou`P zLJEAH%Hl1=ol-jcU@B`OROc2itHV$L_bx?9SX0g>gH)@uz&Xnp-svkbH96T2O*s{5 z718RI3!;ErZ&WR)bhZXXpba?oGQw6y>&CcwDb?tZYJ?*&1Jc2-2NP?qy!m^3G-?JgdjQLi?V2b!ZU(9ky6!cF)TO5EZj=7*sYUW03e(~_h z57zDiV?gl@nHyF8{G%S3#r%A~+AD{c{pJI8*ayVov6B)^W;!bv5Sjtoo5w7v*K-RiE`Nz9RDzm5No*@oNAVlvnu~Ok0jY4nX8+LUl7utRVFm1Ol>}?3^ zLVbS%yRL%{nx@e2CA+pbFeFZFPc>af*N~J4b3gALz`Rt~6p|+YBHGfb7fjq6Z~uZA zM5Xt`7gq^p;-G&7KRA00DV0S!g=2!!-7RM?Bwwe&!la&7JxwYX(>zZ`PBq#J6gQI% zk#)#XRsm&+M5a>*q!m?~?$f&;$P4yHLyyz3P>-dNq#j-XG1YgUiXlqY-ON!=_alq* zn$tOfK8`d-Pi|+rR?_r2VpoUZpb4_wtiZvQb@yM$lAw%=m2U0b)nwLFiF)QjiCAMn zE6+I7$rH%S7-f_R+rjrV zY$`9Xr>OJ5>vf4`I_iR+DhtB^A`k0rVwq$TSbjY-#SO3K0 zh+p>t=7^R;XR=P$Xm@i9wQDtLRRQDjl(Pwo;oW54X?d*e&>h;O>zVQb4{ie4xLo5Cbf!P>gU-Z8L+LEm+cnD59kW!|Wg-Zs* zJ({%WYz(Bi(>BA!C>zhTh|9so;{F-itO&Vy&;eg-` z?7-XhLnl=`BJac{z%u~84<@>ft_F$qPDMzB_9Y5ZXYKBJ9r*ZDpK;{T@lz@v8xK9! zliwMM&dr>y2IA90F}~x%q;|m1HC@AHkeZnBmvkTypnyX~wm-{Np?&jVzH!?UR{AFY zk@KLVq@k0irD3H3u+qFbC29Y)5A$D*{xiq+w!U`d8exjxL=Z9qp?Rla|?lnUaB)`IQsV*QKWf7%%{64HsNHYV29r}e(?bR zhX@G6Uqe8Co#B5M0s>&5Vg}#>08|XG`i7?X9GyH)QsZmq2!Vs$Rm@VJ!Tk~c~YP&(72WpOvF-9nD zjbF!XhkcinWpON{jawS5QQ$2^-pI*CO-yj`{>WRYymyNn~6lpXKihXyb z{}fgWSKAw^G@D)7bt`r+@-;VIIsqpOS-mWRLGd9%o=OmR)t=#c_k|;FdfGVU^h=oL z)v|attu(1_+5l5b4HEqHXql83*89-gagn&*8HZ9)v;)?{tHaGDkzU0Gje@}i=!LJ8 zMAZV$(H~`%a_u_dIy)G=(5bzYvHTL`$iHJZ&kh*N-LF52VsLcww~fUy6o2!(2E;~j z!t6@j&f#+@#2v>_|De3mxY)Zhb@gOZvz*@BN@N@-M{Hb?tVt?HQVO6W)nJLHjlori zd?c^UtmJx$P~{zt2Duiw`!tc++bHITz1K%41&G{QHA)4ns#-zyULNy;5`1|gEF&2=-7vl+-oS*Y4q&o z&jsN44CrZOaSId33Ljf{1bDFAd4w`QE#|m`PFM<{JL8z7D-^l7*=80-*9c@MlL_k8 zmf7v4%9msY6Y&l$V1$x0dMhXKbAQWQ4<-hl?1uM6kU+rX^(>U1!%(JFm0o*jj`4(5 zwipbga`?f%3$XYco%C@pP*hD2%N8XriGfkgiWEBQMB|kT2*%~r@Cy(NP^*qiFlTy!;Cey`Dg-x~6l=72 z=~O#Ki3L-)%6osNaVC+p=wVAUZteRN*H$D_NSctFRKK1&k08@kw;L@(^LyawmXjdA zF5Jnf#9G06Jie^_#(Kif-qOL{`UgIOJ~_eW34_7&N-VOVkDbd-!!C|4{x3Ly;jrS& zZnE~QdpFm}x#sQ5_D(lfH)c;yt}G-g4msD&z2UKf#m+c;VPvkS+jU-xo%)NdFAntS zEq!Z}rS-PzO8WUK!VNhC+TdHbqyse}ir%QXK0lVbuDi@zQ_iZ?7_}^o%xMepO#yCni9`DFN(j?p|n}5V3v4pm*tf z&qvuiO?5F;|MdYI*QacA>p0RQeD&2XRC3^F8HuvF&7*bTmM(|#+7C3Izm0ePZU$~{1LYgJOa?>`y7LU(fcqiprEwo{yH>yY=V>Ygyx@zdQkPJ<)o&p8Hnl z?_Suw@&X-hL!%Qw4lF#xudw5`W>E(^JOE)e( zp)U>^Est!cHJIYEtYRN_8^vcw6!$oGuB?ns+1IwLou`y(F`;+XE~@QSroC{+IARZS zdbx~22uG-KsL7}=y`DhW}v> zZOF-<4fISv-)rBMKMgXjE}PapUQ!Ao+4b@{is?4hqo0TQO4^z~?nP{3l1EQ;$>PC9LhFD=0|7A|wI%)#H9owb2gs9?>vry3nW2XH~9J4;fFHJGzow z+mu-!eiz>RN4Uso&51n{5f;*XoZ$8dzS03z*TQ6v;)I?=`G!TsR1MDWvQT?ZHg$9CxhJRUnpo{)z0#^6^<3<> z;_MXhOpRDyuHPRx4BZn%s3uOGa0sLjdY^t`I0R!BkWc_R2|bu3QUpG2zMEIw z6X@T`8H2Ng>Xp2^buq&dJ7Lrq<&!(MVjDxae{kz5%Zx0fanv_~T+K7D zt#NQUbQH>^#TyDCq96`5>ug5^w%g~l<)Pj4gnIJ~B|yWn2a)9ItpD+f9rgCHDs08a zh`FECb;(sBq*;!2-We#zf}Eix>W|Q+3O5){Ghb{BJvi9hZ3Oe%s1M7H47&DwnL`$4 zFQN5Ko1T`>9={l#xFYWwP0Q7IElm--6f4sc0YPbWRUE3LxLQ4;oiUdn+^>{xLfD z%Q+6{W*L#qtqIG@F?uGQ>(D4}Qx;UJ`AvsDZV)0YCUh+}hO{?SDBGs}{n-xJIMYuu(hAK91stW>+HxrT4m17XS%ah}BZ zw6yx{4bV8es&zN#U%nam@`DqGNX#G)*y3-jW`SAg;lji0oi*^ZBW8a`2a#fK&J!(d zzhQY^qYXE6&0xMXoaK{d#tyRp#+~;swg%A@L%@8gh+bb89H7d+3gU%}wa-AE$K)PK zX8R=g47dy3(Bu`a@R6pQ_RjlH{tN-VC$OMuEsRBk-NJ5}Hrg zID#d1u!XDPQ#S`JAAc6E%ucT6bj{hZ=%`S06W!ko9f zN5)?w4c51mh0cK&kfZC6#3K$Is&b!wO^6Ka8Q-HnL&r!!vty8y`Skd>9PcE>KH@=l z<)R*jfTf7Z=dodmu^@lZB8$DN#X2UzxDD3&a=^-T(mH>^)jU{!p=9&|MB@p8_44i; zmgCb0?1m=!a!;NE7z5XjgO~feJ*e9K+MFOuW% z%V%i|>k4cfZaTxGABZLC1e5kC%@xJjStPL~`;39TAl3jbxldj(8P`8mh+=K)!(mY& zLFaU6bwU;ugezLbyJH2iv~D;FTt73iRez|1fn{x{2c`~icg{F=y2SOKP}VjZLvSNc z#RtF4?HUUM$)N`Ae$T=BnUZy;3RLjes&aT; z#h09Clz}JC%myXjn*{l3LpQI}j_>UNf6_rxWX93XCNqc%jZ8e$&EE@Yn#>lhmH>Pb z^MV3Qti3jBEb?N4K->x`git>=1Vr8U^%j1e^CSQv|AKHT9-%(etH0qzx1R%f>Zp8Z zfbCl6qm=s2Fms6(!dRSHP77R9y%v_e=wkL%t^3$stYiRBfJ2siJ72~_rcNct80i|U zF6^+L_Hc|Mh{I;eo%$J0K%oID_kBRhErm;NRp@UDZfs+hcA8o|y zIb;2|Nma#KePaRea2Tj!A5#I;@P~M+r2%>DhaoP=r1>dLUQ43bn1{hfVk}loUnOT9 z;cO0Upy!_yRG2iFP1zIV{nVA!LkRoUpam{We`Z@Rh+otK2L!6I3b4PsVZGi2PYE1g zhX$AzRX^*re~C|_FvdkgmlafrUB(f5j0|NCJfT|ryo_{))lJ%9z}LG&^7y5S-W~D< z2Z3vo!LfNPDAHd>M0t6?W8Ne*5HJsq__enqYZq3?NG*Wgfc(tj0BBZDJZc-Ndd^{T z#^zf8gim6C?I(*Ystr{%#>XbhJl^^#454sxjjvtEa)Sjtq593A#7DImFeREO_Bo~f z-M5mT?aR?f#Z-#$G%m+7oVtMKs&e4`fjgSJyuGRkjZEtu;3VKQv`os|@H}Di-FkdG zfZ9)5Uee0piu$vU_pPUOQj^mf6APAURJGvwq7vpS;L^bCOwZ8ZIl5KfkqyrMpu}=? zSO1>IBu(YU>V>NR?O7BLN%UEG9rIRT(w4@Ul}sEpjchB+)i&6aYZLO0E`eiIu(|A= z5Gy!1@fDXdiGMu*RoRnSaLiS0j{cXyzVR#AwIpfpYeo{9YCM6sN~N2W9tkvzJhNxM zb^SFj*}5BSH!N3I2=?$h0`sK@d3OU4vBv9%z$;&AS0M3Il24hY3$7C@s7`R)Lex?U z>?C4Qn7tszK;KPqMen+1`F#)x9g5%vV0-38YRtq{xB`!rglNpZO=O3A347NwxwZ`b1cl4&*crwx0&RP{Mh_N-A>?i^8F@xsV!#Okb`% zl6ZqmS$pw&2HLuZ=S|x-Yf?`2L@y4dC}oqzK>e_~9fkJb`0jk?w?IfAt#E4q{ctArwLrZq{gPMfXTx`hrNy_(2 zHa2t#qU$wFy#|#}LZ~drpV^s+!@s=Trqqz%ZUj>rwHPI2Gh{Jg{Hj0H2*(`Y2KO?{Uu`MpAUYkU%n~u zrofv5ZwkCA@TS23yaNAzlcHY?!tZ>+-|^(%P=x;!n)3RWKLl31H7R-vHUE=PbH=|E zYW|-`|NYnZ&q5Rb)A&DwHVxc)5!@t;2n{9pOz zfBqKwe`Ol~g`K=9@TS0<0&fbuDe$JiUx4F(F~onep8v(M{{t*$0{q4r|1Wad(*AGx zgA8O!~I)s0et*(KFEhG93Jk8)yFQ^WQ=ie{tl$ zr6$t&ziHY$K9C?nanbo2o>Qk8(El%OP9F`Lu8D!(58j1Sj zt{F!t0)dP<(X38KSrw7wr>id`shPr}b(8u!+?%O=scc5aW>oH%(}v9+l`V5c)NKIO z7SC$ddzU*~_v)WzS}SgMTeHM{)!9~~wXQB~53aOqsuZCUrf0e{g{YpXbRA4G4i+2g zX4C7gGezI3n@rSvbwZjddFn622yv8uJoU9d99B-zt7nVB0n z9ES^`rpBdbbB%avxk&-5BV_FH#(Pt*n)(EfGcp;lR8$-hR?v!Bw*gYwPOG+?cTZ;& zwyj*)IqwxSC%5a`CZEF`g}3Mb4tGgg&P?U{SoB+H#s*UWz=E>7rM@*ojW!>E52Im`ioIVr!-# zFhH`1w(fv+x?#>i#6qzet@@qFu~Vi6YxPRR-G{k|y!^W9jlIS%%wKlXNceU~c{N+C zFg7}a-Gm6LDgqBSWsL>SXf zoQbLx0cd?iGO5YLe7O@}^3t$v>Zo(u!N}g{t;A>*>Z|7g9#dpg?OUx>I$?GAVnhFc zG$ChN+Emu@d58=(6>WCHgQHkt(Yc?GaALn`Owky>#zZw8QUhagZp2q~j-!wYoE@X2 zI3p;sjWMC5GIwmizDD_*8X&4>k{5!WkaWiUCn8815mV|AMNB;}F{YZ7p1)*OZ5vpK z>F{_La%6}8$IuGVusZ#5rtvQ-$P<-dAk|ZIL^lZCy@B30Q4i*F#1}D893mj0f;R+) zdbK*%8yZ9>|P1b7Vw??6Bjnt3(D1{6>26F#{nJ*?_}Fcd@abB==6ptFt6 z(8#kmu1!4O#{!1qr|*SsKx?Oz*$xjAX`WTY0ZKatX|Z^R3bKmYTMwc>Oe+;3Dp`T* zu|-qpZc&xkf=CybY*w9D_hHeLUqkIaplPpUpa4<+*06wT`*X0ikJWCRcglb%hT8Er zVL`DHd&DV$C)U$za|YV<)D++W`F`@x&2Gb&%wZOGIP1fK=buLp_Xu`gnG$kf(i0)F zHJX9T8qCshwz$lFlaCgK1k!^gv^|X(F_ASgkBBw$Q?ui+rwktUfC$Nmvt*9j)bT0h zxwhaD22zP^*XEFYi+-KsWR|d8ReIg#{jEiDOZZ7#_uR{$0fI`bF`QgUE=Xio#$tmC z<*&qpL|XHLXkb@a^Qz++irVNI3NSaSVIVt~dr95F!PO5jhepk|pA_W}6q)=)L7-WI zZsYa?@1;MSW#^Fl#4ZaERwxJyS9lK9k01E%1<+#o7EYdC>GX4{!=cvXd2J>0m*B!y z;?=4k5QOZ8L(D+J&}TvJa*O(O_5S!lHd&+{lXV5cWb)0-C8*RWKLXB+r=*ne9Ru{c z2yGU5L$&Imm?nyCU2M`-Sw%OGT3NxN;-Re6mhZqH$Ka}q%M#1fTLvkU*>`wd>!PVk zH(Lpqu_p1t8=lmed{#G_)*>Z3f|@!y$`zAcfCR^&Ne0Q7i{xC~1T4;`X|GltpU#QO z-5ip2TtDk+4z<7pyeFtKJapjIT)mEBaDL2-5{3Tc%^;4y_s(?^-^tp1m<12t(uyF# z52VFKN7f`!LuG^-m33D;Em@fTh~ggJJ7ZwQNuc4c7Lg zv|s2(JfJfb?UZH;&q)cp06l<4Is*ygi_g;tY4_y*VSNnC2`r(^vPGkBNCyi(^P%@Q zySi4TGnYl9;t&*#k9F6Uxm4d4bDK0QR@yQdGx0$q+=}R&eILN)q$$mtdXX^@IRrAd zf^k{MSG}Zq>$*810*jN?Dn*3-0%4TQxGKI#abnNMe>9OCT-5sBIZ|0uTH($KTZhvC zDVx3&baG4)OOD#gmTUx*Mg6@$;;NbDL?4uI*TSPfseH?Yke2e3K++JPnZv6L- z%s7d<9k{Vy_G#;Y!s3$G4XaU2;|f_6zN;%+*)4`WE|2WdC>1eSt_*HS^zs?8+pnT4 zGo8Z4&P~UOb3pSSF|m_4ApGu zi7?0S1MBU>Mh84Xe~^>6IkYdUzmyo;Mv-49bJDQgI45FrD%;9U?@D5E_d3+1pNlvo zUSH^Ec6F$oguC~P7=zoCg6$Xzy&g{r?b@0&JzLDiAxt~Qhxf)LS_tAA1`6-I(Y5@z zwF;)=Hyzy;VdUAGC)iH6?cY~Vb|l6>@)*y2XXz5xSGt!$J-t;lHgwa|+<*+TD;#sy ze^}&q*Nzj@JX{z>=q3mee=ReEF#jE^4z`NOHXk1 z7CPL(a+T0}0|Llmk0ZxGEe%PWot74aC@rDi3mQ6wvxRdFUOTis6MyBBomGTJOvP{D zXq-plAYkiZ)~dcStp{D)?2J+aPZCpRUfL$7BD2t6#B~kZj(QsJMm4Obv*6pU1h{?6 zPdJdAWjgFtOx_ni@8oNz7H{_U_O8TUp8E-|1@7gv06Je_Ji^c>En~WNGw;uEUQ?5r zV${Xv-71YDO9eO?d*;}m#wMTxfccIJxV$#8H9IT8x3JeEc|M&~LN};E!IC9^`I--) zVvm!j#9I*tM9k0`6xAY4-7icUN2bGeKJBKX6ga~@|z>EP`}s(vXQdchTL6w?;j`#Sh!foku7-n}(E zP6qS_+!!GYv@PA0@c6#?nq{>LaS!8;d+nZk9BP#tvulj96GUD|4pbkdTQ}HG9syTv zIszo*x1su`I)-&6uFORc$?Th2C)CoO&R^%LtHBjv-7(D2vTgLQAitSeT{Spv!guLt z>#Z?}!^`kqx-wI#sM}BKW3n5ZmLxNOmURB4xSr=VV}Q(W%!(5*zAVyc04bG>=2oef}(2}3kP0LrL|KPiQj1sWmt63Km~_BKxR6BQD6Dq% z6wh5%{CN)7kw5Hbx+(yhGvL^pFR_HxwCQ+IS*o$h)y3|oDC34h??@H70z1eqz<%J>+G#2DH=4*`8DNr1(!jX_IBqX>$x z;!^8gOYB^BY5Ma%Y?I_vU|43IVM$jo=y3o)_+g2eH;hdUny+d42>fS<4g+p{jthf3 z*ALML6V&x&5LhN+X>nBBMqTP5+Xm{0=#p5`kq1(d_@kH-Sk817r=2w}Xt$`^(?cB5 zs(7;_n;Tb7g-9Bbz{OcOlF#f|*n;@cs~zQ$NDi4*4G+*Q!kC@%|UbM!--C$ZY7 zFK)>Ru|;cZW1#z86#&aNQ(3LHp$x76lP7jT)v9OH#sZxNF0rSz$mXjdUWc4s9n zY2Rgfujq$8oMI32Js$Xi?ZcI~Ka%bo=vjQIfb&4A!a`3RsApcz+hCx^^BKcHby{MW zUH=APmS6}~x3!_PYO=}Vkx_9@v`qeJj&3AyK5&QY;vIIO>J=*@g{Nqm8T*huw5m!r zCubvZr^uxXQ{PRFtei}HP{sV+uGVRRgz{w!m9oWzyUL(-G#y(AfeDP%C?ElZLImGs zb9%X623v-ke@MLdI#eVrh5%N-s4Y3bf-T-Vvh6$zpf4J=XUl{^~IMI`M$c zJD^6XP_{GYgq}2HOT4eiqQECTUqhQj|u)NIsNZt#b2xUKV)vb)}H=QSA1K~zpdy0 z|A+}1>gm%l7%(zWGUzifQZmr97*f9G-m*}#u;}T%B81GkEOa#g3KL}1HvrJG=;%@c zSm^aA8CV$fC;^5B43vxjdR<+8CIF)zi~d`N?jINa|6SYv^S99du9^M2`+Za3O@TKB z-V}IK;7x(QfC>IW#{OhI|9b-IZ*S^<`}TD`|7PQVv+@7$Hhy|adK!6JT2^{GRywA? zWc&HgqyOyv{J+@ypS_=_H86bj=P=Szvgk6uuE*&Obzj%>`b?DcbUFrfEVO!hh5+4v zwVu~`?c%Lt_***+Lmiga#u~K0*@l?tDGiu)=nVB43}_5!0dMR1e|JUtUm5py4}VkO zO@TKB-V}IK;7x(QvY!9V^6@9@`Tu0&e|@()WF#^jUk^k;jfCpV?^CqT1inNjoB(LJ^2-NB}t{q?$R(81Ae`Q-S# zz3ErP$zqwJXf(u^hE=}Y*7qHXM56e4%=n~bLUFOZL3?ftf&-?sPYkYd>{`jxQOKWC6 zAWIOpWFFSV|ClLXiQiIYNk>*}Nr~4BxkI}Q`kEO*efi?2ULnycKX-Ja?xN!3Rc(7n z^9&Z(W55!AD@jYnpiB1TX_|poXFkV+b zraVh}B06mE{RZNgRxZPjr#tdW|3m?(d7(AL628#nPY&5Phi(TwZcst;qF^W7>D40U zI|t)dk!V4pAM!I9=SVH|#@N2E!3K&C4T_Kp>xz~nH6f_P%Fo8xR~AOp7K+;z2nc(b zk~+JQn^WLAMp82pkt=k(b755t{XxkPwXbNibf6R0|LIE2r%x$>Y`#U`-v0oh%JLu~ z6OSoG1Byu@A^TcR`igZj!=+G}luxXm4A7VC!)n^mF3vbdz{#F!$wOyN1)okwl!jAk zRjhBpQ(PB3KWD+!V9TTwniq`6TfE7ztq|;|yeI5aRX^BziRBfp)nGNQ``mu$X)j8Oe&gj0nGq0LEO&{vdihjQ)oq^!!0G zRH^|c>!s*+L{gBrv2vD=NrjD)MgGgsmuzVIHN^wmZQcWD(&*7u-GQrEDVuC|Mvmp1@aLs=vbCm4?n9g!u>{4`gW?J|df zgbAyO>pMMf=}X`t65SD5BClUq{X|%DR3H;j!Zrh}peLtc!)U-5(^nWe>`ruPHnNjw z!BM5jP?{FzWjb;t-l-dHc~GKS${O=|QjUEgGOC@Vx`$yIER_K9;~cF*^fWOg7O30W z$<3gPhfVnRz)y#yDiZS2o0afJ6#Wwq32Vq;Fo_#q;ZL6h75zn9@dAAG=75aiLVtG9 z*F#N`R$0)z!)dv#A3Au5ez%*4T4ayxgS?;j9;GK`{jMy>`6+WbwoMsd5&_U}vA(_~ zR;jj3F*~bUnW6zAIM$sE4Xxjoe@I(|WT=WRp9i7(jziwK|IShsJfilyi%oCfMs#OA zsfQsVG2us*&+$LObWfd>tbnrjLk*C15?PYG)ZSMiFEXh|-;Pq97@Bpeq3uu;^BN{F zhHii$)DeJa^~m8p_PHw1?<|%!EV=h@{m9>{LtSJmo4VMVuF`Z%CG=h0Y#EIViWk9B zz6k4d>K3OkwPD`qB=WR0t?-YA(84X%2Gg*cMTBX(Rkkyrj5o7Mv76rc$<^Cv18B8r zzvC>3@y%3*4bFz+U`rkG8WNT|8KR|;rcf;C8V44~&J}Q~!IXwaY<%Vfi>`Y&I_b&T z7Ryp6-z|bs(mm#r`Sq7NZncy!gWgdjbJl zm22&)UCO?K>rev&S*Yyjd?zTuV&5$g4KV4&*>kSf3a^x`X4y*WjV#W&*353*yl8tB zZpH{BEs*9a5I&AvKmVOO3*-fn^+lJSFgZD?wV(HAxt;e?umxsBz((Ry%MRkBsLT!z zTV=7;v8^XI=i<^1?-Qe(qeJJ;;gW?h2nZU5{=0^ZsBHB49&zbZm8QOr&m!MA zJeOS>7=S2Zlbfyi&p4_iWkPH)U=vYxDzVCQ+`E72P+&D5HD<1L?h;?C9gfa;GpSgY9?Q zT>-%DyVTRkY$msArCJ*eVnHEbf9%li%708e7Xm>WIkGN2)8iI0;bnU5A5BsXk>DEj z-J$T*DV5FcYZ%NJQGIFmk;dXsx`c=mps@vnNLHG=Oyi<3Wo3Keb>z@el?4lMguL@a zwXCKQY|o7IjQb{O8|UYqTR*WLmqV4J{YY7}qV)J?IBQi#%o|gOhoHz^b}gDH3^2r| zcP&e&r3=--GjLtu9uLd*kCq61Xs`RfUFJ^>~*i>V{=4J;n-M#B< zvKHVa>Krk0s&;8pQ;VN-47epwtgrqG*wF~U4#`>T@46k)ySjc(yXHI<=rO+I7PGlI0?rODn^S*u0!-)4_iRc~_2=*D)(KGX5i}1djM(GpE@LTK**P@k zp^L*(M<9lHoFtJul$}0m_$(Ee&MqHUbgf2vJeD~n@2Tz3iSuMK%q1pO(s+_#kM*ar(}Cn|9Zew{}{LDQHSr#IZ+nul9Ij$dSE8^oW->_;bw8$L>r zvQ+cIV8Mp$Ko^?DbC16G3LUjjEp;7`@_84&OA~JYbQ{wcIS|6o(Jth$g`m5#z^Qr* z(#3Fo6$TP(6R6Rt(Vv=!6xpc$P^lpWj6<=|7O2bK&^)$qzSc_P0`BS3<)MTY-~p&z00ss*Po1dl76(YAKYkR?(t75ezSM6`MzLL+{O0VCIrTSpz)?% z^O;7%40mp8C;6scn5@+ycKZ>Koq-bk<1lZ8u&IT6KM|3^jb|^!FlXHjayK z8XPdDKKX-9^~zaowBlW#Ya<&V@YKk^ToDCQg9ZrQN1ZPhLr1rwL&qOH5-cH&B3a$; z%S)Xz*g<6vHPUWG-R_)JEW2)24+87uaEeOdOvjJcc<;N}q#ko-d^A^2p;`S%AGoxi zA2QOVU=`&@Hc;v)eF&8L z2|}8zqUk{r1bO;2n7<&e#h^(FR{4sbSdogjL8+IUozmc7TgdI~)gHAA-SNFLIPQc`PJ#F3m2S#X+!(bjAJMx`G70qwCu|x%^tzUbE~G zt4Qo+HTotk=>~`n|9vTv-O`vPo6cM-4KHf1CTd6;65fYE0r&ozm>?fv{T`5U-*I_U z8c7&b?V5}}uzdQ}6QgwCPj=U01t2y(U=7Bu0NmJ%%e6j`LE?9GQzrJ4AwW@VVLn0E z_x<4yOCmAJtP56@W8OHIi#%Mh#^F*-#yqJ~` z3eC=wdx@Y(m;w<(L=VDc4^v)l`0l!1Fi%*@;JzOBV5gg~)}+Kv)&uE3GZ9Yl5%2Zt zi6KtJtovP0#Ejv(33nKv=EpXWGuT#Jo}gk-ucM`|T%>c1;4*gL+IZKXQowOpwS!}& z=t?I22q>gUv|*x|WF2xaofEV<`xgww?x(5Rtf;CzFm$N*=w$;SytCo%c9soOFT^r$ zSGMj}I&Pdup5#ugM$`Qe(AIyHqqk%jX(pw)kRFl2v0+V8*Im|iP3R$D_%VeB29}EP zHUVSj%L-?|bKlj0vB0hL&Vhlp-X|Xa0+q4mMaV&i8&CO8{st4sFoA-$;u9DMAMX3o z$e0Nzh_CO^qMVKdq0jeIVleO)n&biKy20|a7kWsnDf9gDHhn%4u(JBg_Rfk)mbrKT|L5}%c zHhs$`pgH;i1DOQ{=`s(>2o=zy$gpnaPh;fI_*<~?J8Oa!4f?E`baNmRdxn!cJ#h{Zc91U5m$x4YjV7?KS_{H*>&^YG zp51n_BwTTF?hK;3gEVTd>Rhj;R~sX)$I8Dn3|dcgL__>P?45N~U0Js7ae{krx8UyX z7Tkk#aCf)h?(Ps=f*L(Nget&Sr8H2q!Ywxx8cVw)+ z=5Kz}d^~4*JR3Y(&WInX9cy1+@B$Fup#!O#(yiR)k9;uTdENJ>YLB06&;M<9j~J9Z zsNfVKVK6pAH~9Nk7%(8ju7(GgooMKEI&JP3L(OMLPoF7V^SSx-WAD55Cb?VznFBh- z__oStbYZP4&f?M&EDt5-{40JCZmZ;He*E|tdFag$<~ybNg9d9Q?w)Z=EZcoLzyn-L z0tg`{Ksr{n#MOii_Qhdwv4MzIYxl@-q52RW^j)+F+FlqRCpUucAYkN$nh|;8Hqj%1 zay#c`ASPwJg57A_%OxMQ4bZ8VAitzt8r(sGp5nu0wO-$g6R^b4&OP0|KM%f2>|i=K zLH11)z`bRe=PbD=I3Nc?kn&kR-XZ~}DVr1X2P=LL3};xpjA8H|w(irR zTf>)DbnOic0VJG3f$>M#a?C$1-~GPSq$d5A?yaGfv4aT#BL_Xpuj@*`M^*n~=JHq6 zSKrXs)QX4vsJWe-z|??;T$M$dUfM>;(8N^S&CXEKO-2deX8uetlJoIGbGvZ5SlU<` zI_MF&SXx-wbGq;l={=JHhMdpazxF~D)5ic|Wx1E6zr-HEPZ@oS5 z@er9fIM{H~(K$Oi(>gQJTE9f&|1lc>FY?*`_p{|*O!a^NME^hjeF=cPDDa}divlkS zyeROZz<*wWzfPf_=~y0e=U*ns&yVLFdj~s1JHNEB{PTZa zO!Z&p^Zz)X|CxVf`%`r7-?#q#)A!Fz_5ZH@AJMf&tn_SbO!`bT^sI)@ruzE&hBW$m z^lUT+OdPEGEC6~V4kn|2Mb|PuyXG@9u&~iEu(L7IFw?U#(C8a78PEV&o{ts-I_xbVDeciuhqR-66%<-4Fi^AFg9#@C^9qQAwq{M99Rd6LhkUxRyJB($|9l0keY< zQp#A&Zd`XaG9MbBZZ7OjPB$IqJuc@TPwv=^@4Pu&>ppR-(|)9Vy6)q{Ek=%u||lj zov1^am|mLXsjup&gVMPaH#hRd}O~nxyD9bqXgE14}jp+ zRYpn0&@xuc0mWX-1i^*@7wZdR3aTr+;pF7rnz<+9i@Itz8#f(lem2why~-X8ieID~ z9h2EQIDPk~s$Od09ioj#$4DiQ(}vKpMdx}O4~O+&w|UuAre>D-1}fY=^+e@?x~V3e zm883^+vKp|oe)*|zPj;3jWc7p>uvX2r>Czj2-H-Q!uQ{%96oQSr;YZeE82V3U)fcJ z=AAcZH(6L+0la*_i%rHUxN)~SAk?TXqX1sD(r$LTPh&=Hvrnpw$aDA{@8i z$g2-(YyDDKC1zHxrD3mGs)jyNr?ezE`7YeD8hs-vyRfb-{*2R_>T5(F06{F3FQY-5 z)AX&?0($Qn0u*LiH_ij>gkiAbPMlYHkdd!(oNd=<;#*tDGp@?=>FgtOnVwMGIIYmS z4XHGt6Pf&YhWwY~T4m{gXHR|n9KvuqIPjJ1UF0m(mG-4Oud>}&_I4uc01*w0hSxlt z$RXJUo|d^W5^8-HS`!XpRN&T#q_BWYu0oM|RLl7K4=s$2??rJMT0$MoOcf{P(h?kV zjfnN@zI1f>^lDjVMx{w^?$WcITb_1~ATK47y*edAcNhpJn{djvl&YYiS#9~y0h*5q zK>CFKUPCek2RklMEPoa(^AP#d9tf*n2Ti&);-^o56g=#SHO-mQ!MuxN1F193RDrH^ z%ES`nxxF4uw2khTp&8U-g`(gQdaYrh*PURi43t841<965n@O?ZiPc{u@@Th{s_J*7 zP{Iy{AuS3PxRZ=%&5T-h%P2kjnLZ>U7#gk0%t-@3flOt!dfE|w??|!mc~}shv`0go8y7-ME{Tq?WT@szas7VVaK?0FnQi3y zE%^#zMJMqPhX2*$iVqI#Erh+E^udk}OJT)O@II!m6U=eoYnz@6*zHwXt2}E8df(a$ zH8{OiA)Daw8U(6|JxXGCS$6uv2NwGKw!cIa8ER+voQsbL+c&sY$cO ze62L)HA9nEB3b>>Ye_lf`eRcSNTn$$@CCYy*R?m_i-$2MVS}dwSOmt+-Pdt(!l4>Q z8F_`XiH}rJij*d3t6ME@)w>V#Q^CLTxEE+eo>V~~3EuW5kHQy~B z7JU+b1J)@7ULKQEHGIaxtGtu0T2Y+H1hP5Q+eEcG8nq>Y}_2=)D$l!(0&ralRO<9M5EvxU{@;Mu9A=PWgPIM1`WJZUtLCZ49G z^-hXhIekntH6{!uw0H&ydU9no{o#u zHQOli4>V?Khqf=2O*7kpqT+>30u+zz*U2l3B!-P%&jBq5h~+(?MS&4=nbz5uEbA7P zdQ4HgN5Sy%lrGx1$kgiF{lYlP@9A*s(WjM=21za zBgfIe?QQf(oju$Qve(~d9IYwDkhup!e62j_pyyCuGh9DO*k3qF5Vmk}=(H5&5V^8H69m8mT#c9plWK6x51Rpovw8DD-Ns`WZ+z22Q zt{$ao?B3nbH$+4IU+wC-Ez9L$ml{r9$GAEyTnm&TfMM{#o<(Ki0<8i%1}-Fa)e0%5fV?HYT%Fb>@&%Etw?Wq;Y^pi?=TZ!!s)^?6vLSj7a$qD?R;-IwwvxX(`k&k z>jJ2u)<33%^acYx8b%DE2YlSht+zJFBzVwGo`Oebf^hBf3;>Z;WPQWAC^&@OCewlC z^Kj}rrvrYwq+_hKz#x*3_tLz%MA z1@<`S1v96;ph`72DroO>5bQ$XrP=e$lhU8$1Si4F_UmqIBhi5=+D9`rib5Hg;kS8fdm3tVXjrcx9bQ?@x9h+;VE zB^Z(@On++mM3KPLUGysXVjF4o<`T0NZ+-6s1*uA_4?LB>8=SAxUt}ac@#tY|t*XP1 z+!rqCl>2=kuOA@;UsgG28(VlnW*<0reffn!JOOQ%E)Mi90Xd+p;bWB*Vqgy}(kfPE zwkYEQNv6-#hGsH*QYoZP*z8mc+B{+tE)r4xWr}zJkjeTYT8pjOmnl$Ed;v|;=DHjEYZI0kP5wRN$L0w{`&1R~q}YG-U&Bz_H`M#7iVq}LvA zUy0Z>)0t2cPVGS%b6%|rRRqatJ+K-Y`H?`t_D>{nRyn32eXR(VLhR=e_|QXYa;^Ga zG&YPqo zE)LQ*A4LRur_3!Sq!08>%Y?Z*4=bq64*oQpkLw<{4CPuW7#R#gInL2WLI z9&J;yZ-Mem`Hw}i+;A!9XJ|TW3k(-FT=J5aOhGx34}JxTOWFFXDJN z9T3*Gx}#tCKHU;)tp_d$c%KA=E>n&|O{2r;a{F5VWcRaWL&5H1Q)t|npWy+X|1ekbQ3GG$yIE#9r2w(_nK*o$rXy-&w<@&>^ z?Q(oac@G*g#>!{M(+v{UFL&l{Xh9puMrK1z$AEL-$@d+GLnO4&$RI&WSfM^+o7^EA z>-=Q=Ntzo-l-mK9l$6@pY zs55i3jbgx>GOCO3bf(+B4km(GJo;fN(5%04^!u&BNM#@v0=KoE)X{;7nWke{ZZaaR zWH3Yswq<3PH=3B|z~FjKhizSpCbro<$Fyi8GjZBgpH5vJ;qP`F$uLO6Na4`~o6i?S=+(02j&I1sdzbLI=Z zS((d`A&2k8C>hCs&k;n<_>AM^{0b7)-!4RwWstj4%mN0oW!LC{><}2NWo4LW&{lX? zXUtQ{F2P9bkS&E-2>KZ3?E=W0ut+U~Lvcn1-#Np`DKRv84U(*5 z_V=-AR57JDNl8C6(2l_n%8S9ulx=XF8>`)_;>b>29rO|O}pgtn40fUXq*lw+! zhR{iZ!|PFo^NHMa4u|oAN1v>NC*aun zRVu{C)L=|C9+&P9ZOx7bIM$$j1laDXXz$vbj)?14^&rcN^_s61g+_Y>>nC~klzpek z5CAaT9t|z{1Bh#|mO0+a(NQ=2mCBBlJK$fc_CZq@S~!+WFw{jq*-)>3JzNhAQVzDA zBB^Nhdi!Y|Ib{H+R#-XXo;E0;-GxjU&?_Zr(d5=AyCsV!CxKi;@{lt#N-1S^paX|F zA@EfuY-Nq=d~X^XbF<#;3!x?F3{36-cVfv##A8IN!+kIsV8WoD%RE*DlP@-m%>EHW z`qZBj9Nqu5O)Ja!X>(nd$wXdFFHeQ`lLl(aa>f8=XJXPpC!96zd`ydGC%;X2o`@`Q zZOG}cxGIj$@QlAS+Hl!si1V8^4hPsh)zZm>&nTZHp(8X`GCyWmRq)U?ENng9eX-<2 zYFY;UVu>GWg*Ql==0vi550SUHn1?cXx75EgHm%mq3Y!LQTVyFjbc{QL9pdB7q44oj zng$VnlT&bDzWMO$4jXL^&BMbmfHucIBf`Wv(F69-{PiMVS)8l*$TH zBLsmY!PO}6G2UV;E*iQ*@JwjOyQq#k9>KIi^8QJSI<5)|5uYA;|(7yITdYOO0e8jtRZ`9S>dY0!z}zqtip3z`Cps^UXbD!!`_zy9Cns^ z4$qjojg5sVK+nO{+KSG`;8()o`7fuOowb1@z|ih@-d*mQR)1@%Z>MMHO2EKEOHV}i z3&&3P8_52Q(9`{ep?`kiKm2$Zz>5Me3cM)rqQHv+FADtU75Mj&;veDZe?$;|%-;W| z00+xULA@8G_+KN%?0*U={`=Pd6;k|n?f(!dW?<7ZU}MmyVP#=vd^X%=;hG2)s}qM-*cF&i1`88FfVSYD9g z|BZW%|NKwr|Hf7Rt3F;7cv0X*ffof{6nIhKPawrV@X|k+&;Kw-{VQ|I&hZm+`V&(8 z>>~S%sjQN#jUkJr;|1`FxC61IV~gOk0bs%xaXdJ0-pcd zk>Y>DdiTeb{{IIQKieQbYx67hEiJ^v^lWnSy#E9A{#&5<$J_YZ`2V@jEh7ul&p`3! zI}K|<1CrNl#o7ndHMz}WcraircYE_C)`V#8va3$HT5a&ehM3ls%hjv2^%w_(p-@AO zs);V2{PlIi>k&3|1>@_a-iz-K^PBHHZ?sOTtmuyfT_G%C2p`%w3qkmwLWd zc|Ye3e76~MBFb?S4{Dgy=`RIZw>y)a*;RzE!!X4*1U48R{rT)xPsF}YIv0DLlyg_A z9jq!}djWw5`jKTnHQZ}OKuCYy!Dt=KNP&Qp+bwh=Ye+X>0XBayS^{;FqhIef${$Ej zh`%h3FMB3R5JB7ikhwMNc7(JXF;px5N6ln3M4+fy(H;# z)pp>(g$@KP(Gpd@>b!3jZ8=JCCub+|H|Q+U~{8{m6d9oTaT@x;_wN zzw)MF>4>M)sANZKN?m;;1rRSl3w~!R#eJDXH$`fa$Wu8+iCQVdQr;7F;^5+dHoXZQ zY+|kmJ5G0<`;jcEb@e^EkfAbx8XWhQAx@^u_9;+w%tWV4Z?L-K#Gs7w8+9^EL94C6 zbSF~&L4Ke7SR!7Q*D5}^d)QsN<}4U*x+lJRSgyaPcBit-la86*bJS4iAt%-H$Y6vd zw}Y6G!X&o%tnWJQD?;;m?gBA5rUafu(a;iCR?h)i;B|o0l5eE4xkcO+(tI--08#L( zXR4-w$E7U=<0A5We(;2v<+3`Y4!9V#nu<~qKSm*qUl9ed+~ zpdOU_49$_n@PMk!VIV4~LX*`Al@1pKE~gL2QNuvhC)^b+nL=k@qU49~uc+cmB({TdJ~@B5)xi3M}#5*-S!a3x(}bMvVSNSkwE6~`p4`$&K(r~nJ!e1l*^B#@J9kW4%Sz@L_Ohl)%_ zQNz*rjq1nYU@9gOGbq`9=S|*0{YNU^dhLaz(l_SW7uQx+h-d&ayJ-b9@v}|Bf zFe(G1r-RK$ua%Y-VEB0I`9|<(Z!P#xG6*tq`9k&PQD?IaaG-%wA9m{V_n=-s9=Q`# zs<;IB^ck@ts)=D4N9VBHkRkMSZmV{F29PH4wh0W5Zp!YY^lKEZnr73cIo1MZt^O;VuD* z5CWdS81TjJ@LOLy;|}7Mgq@gxZ#Ps5vw2j`0EDlBSGLXO*$WY`oGsKF zk*D5caW}LHz9bIV~4kV+OtU57+4@g8Gg!Wkue|T`oPaYID(^rOZKf zQu=8O@0)Ma$OwYF2H&}Tya&C6h9}gO;vbZWb@sMX5%(Aj+r7)y*)hEXliaFzQs4tDOpkcfw5D_2SS28Ba2o?HYc zw6aWO0A1b^U5G2fA9>OypwDe*lgf~H<(@-Du%sdc*%)D?M@LmU7X7AW8ydC`GB@~? z{dN6TlZ4wreZCNyp&Y+H8e+8rrPSKifWHUc-^<`At3Ba?x^X1E!h$?losJhcsV6ij@(T6 z%9vGS>7W>iwZ>PUj(7_Mym+8VoN_mvvKj_rtSIzVzeWXaQ zT!u-vIwO{C;8gG`M!5kvT3vsR@y_T%YH+AvKQffsdGGFXKw*~V2Q6`U0#DELgduJx zOnxiGrY~8lf#=LOM$vyq5UCU7R?xT=XnTi{C2#D-MsS;5ZhrLrdA71^z}cKK!^MTc z4B-j|v37ivRrs*~oG&!(;p92T_0Bl=KJrbw^=o2SBFY|d04h}kcM!J{z{BQI5pB(@>{uHkB_pSdcT<7oF{}HZ3 zZ)nKM!ok2y!^}*tPs7Zr$4tY)pwB_0XT+k<#>Ps|#9;{dR}O#->;`&lEJnZ7*JgY^ z2`d8|jXnzp6O9qTfc3d{H-~{f2jdH_^C#{L{;!+<=YK-~uZRBMbl{5uFABUU@S?zr z0xt^u8C>Tl4CnV5)icxaWA^?teoy~XHtkQi4(qQqp#K=xVg0pq@?UTr)*lSeFK7P& z`TQ5$4m0bow2z{Log={ESDNP;0%BwSb49d&^!3|)$bUP@^Se3Vvn?Rw&+dSXf8!4L zLx_&y}gm)EBK5#gRQ{RnLhz zDori}8hUko!sAj#tQgaJK(+~M5ST?cmgMq?6rAp{n{U>5l&9lOxNITjM1X2a>82fd zl*`lk7NbTA%0w?VqIOD~wLBjSTCAGvEN%}Av%I{#s@R_{6iWB?PR`71+umX3Pn*@e zQ404CU@VScRPKqfuTm~OAX1qPGeqr!Sfs?{sB6+{LCDlG`_RoCrkTBAlOimVy)w;4 zsaYWZS&@^iDcC|cf_mRRtd{_)Dl_0o^C1GvM%$-ITm%Bkr&K~Yv9W)f2$Hs549S9) zNb{q15bdCCrw$W3+54MtKDse)WwlD-q1uP=MjKOy?>Kp$iYm$XuWo#i{q}7zNd?MN zttNw@0`Dw27#AUoiBQgQhi>)jeAKGsF?l&vW2!{ti~0>kVoE(*=crrest>=gQx11K zrjO}m&7W{bUfh48#+S`XJmR3v_}=SB5<(p3qm?LJj1<;6V8CMBZ^nR0T}g|e`a~o> znsqg;r{Vm8)7w+8ye3p|0|lPNEN1sjCrcIa3|SDulmdASD@orGUlIIwyF%ik1XKxw zsV|DP;nQbfgv!%E>qO->%hRH@}=FOD6$t4-Nc^%ekHgvYw`=v)7nM=fL zrsSg5aDm=3mwkYzm6^3#1cWl^QW#A=)Y{)2%@_PjA4Cv~k|YdoB<8+BAUyH`^JH=)};t> zM|3}k!?8$2#pmk8z{Qdf^K7)Noi$34$J&uFxe?i7HDs`gg`jj{5ivtyq1m|91v6tZ zFmhmWGBTh*^_GwYVWcMq9wT1DAPKR#yrU=id~bB(bhrzlydqyL75F886i9ATHL}E({FhXrnSS_o$xa^E^6_s+ikS0RELW2=%6hCqc z8z~jCh=_rxZZ$qemq!}EKKalgU7N5?F0AxP`AFkXo=*l|D=Da+wpIZaDQ_{i^+TrE zgWe$pnZLcR42L%FDKStA8<+Wp6O9Lnys0I6Vn$+7lYCApWt3=@ycMxfY6GpCB%Z-oHb2Rf|8t^)3_buE3E*(QtYrG2>5#kq*N{Qa|VMq=gdd- zs3fl>Log6%)kxxcFgK8Mqy?k=QD7Rhjskd(r#^JpqA|G=(G!H(Q)aN6Fz!?(-rM#hicQbR_UnA_>U87- zP@ApF$hlpP%`Bwi}^3(3@^ylvpqK=@~Z1QnyS%CnGCa44VZBOiD_` z+iLZi4(s=NyOWIjlAkP`Er}7nZ|_OH^P$;^s_j`~EI7 z%o^quxBKyza5D_!FF4oNQ@RDn`)^WA>~VaTn`ho)8RcdZF_|_2EepvpLN z$xw(l^W%j&Xc>P!PNi_?!+m3Csz(3_4WiAEVKz{c_{bmOt}sd%*KpmXcNcX3}X;>EKWdEx8?y7&yL1jHT_CAvl)r zBG^UMnp+O2zl(xle-Bg-DYr!#S32sV_7Z>Po|d_C@x&tHyeUYs#gQty+blb3Ix)=m z%Fs5R1WiKRkk=-{Mc<$w)b~Pi+^o9@hTH$>O``UOR*Be1^f09TzV zcmf;SGmbIs6AKEnx*|BJ#+?+euAal9ZdE4AfiPFm4FAyrlTKE~RG2Bt2r8+P1#XVG zbn(}6_0Ay8`;#7HLV*Bm=DP1#HZ8TK7343D=lrtv^T|{FwY0t=@SX+j0=Nj!(rv8 zD01x}2%g*jm|~D9)VMW}asEd966`si$Js#WxI3ZJ~j5^w+mqF!NlwSB`G%^cjl-S_nZVl&3f zOzb1uRu0&6jkpDg!NQlMt2y}C1J6Jch|JU^iA`eHMxi5 zFx{@q;bBi`BRD0%FX@+0j^1+)Hb80%D@8g>Mou*=oa!z~3U)ZfN<-=~ggcy;WQwfV z#qPMPaMpu^Sw{l6$j4$QM5}t=b)n3ByK!}9UVk@JYNVTBCqH1b-=p&3+v92kx9tZ* z4%_J7LuNWV;zGl5nIa@esi)EwA)yGIPs$Gz!hB70B1c>8i{jD8`&%fXVviv zg~C`9PZAe)H_;2?9kJn~3R>D#f6l_B<#7w=C29t|6BB9odVjahzGxWyQ$)q;+m!V9 zs^>F#kxQ@Ts@-Tri3Yzn1n{YBFgteH zJ+{OMNMHV;&3tui0>;t}9@`aur*i_Xjqf<-n|Hr1d|SK3uCw!_T>w73s?dl5sq)Hf z*!laUM|=d-o#T)!k8F&Ky#qRH2b@V5Qdz>N*@X8%TE4(@()|4EPR++p`D@2SPPKmz_1{Cp7j+P?8LPt2TS0uYg6>EdF+iZ4HdC8v<#haOTrqyK+ zrF@a`%(S#+tf<#uwrP+m-d9MylLkG0++?sQXQk2Z*T)ekB(J7?vZ6b}Mcon0ScPoA z06d_B1yH=T!9*@Pp3J$F4XOubzfJtKk)W+2Dqt;2&Zg`_9=$V;2+soS=q$VP1WgaL zm&mK~N8A(ZPd8vM+|x^(={aLr|M$^7A1`5L@1SP|Fq9DH zA#!vyHQ;>Cfi}_uaOl$*>N7tFOPE=WXxJI)S)VKAvN5u-0*u%h7=Dej7yvkptY2)3 z{+mJqe{hXo*AC6k%NGNndP}}23A&DR`zEXPqx4BoAsxxn?Dztq7zeOB;fcp z{`X&mss11|MJM)4COO-$5kAphPW0mw{znn3=T!XXmcNF%eu-c`r=LIX|MtE6>o@QD zyZ95D_|GF)&yfR0wx6q5xogDXG{juo-G{n;pAV+|>J?(cE|~jGL%iBxw81MH2i`2P zx)Gn^DD?DT^@t;+#0p4xd6K6^yp|lJGqVH)IYgK!CWZax+lM1>my?5|z9dzsQx*X!l8cDPwUGLa6;J$XynoUG(->cgq)*u(nS2iZrv zyIIw-_B%b7^Lc=|Cq|LblY4#;dUwK*o+Rk&a7h4itszETR;}LZ>Qmn_?l~DpKQA2b z-2m*yeb3nS?YEPC`lYr&VX|_-@!D83cT3yD;hr}kU8p3&itcn-k{)&2N?R-fVv2m6 zs#SK+`LUf>y$}*<)<~X5YL*y)JdGz4nWUo-2h@ukmYe^(t`4TdjN2)plyghf#}+*? zUM`xk&e|x0{Sq$}P%r89YATYrhSPL{{Vhx$hb~>yCkQ{Y^NAo@7ubShU4Nz2T2d$$ zkt0Jnn?5!ZwNIa!Iih^j?cWxKMx_@@s^5~MCyJSAFuS=5TZcQDaJ#DFm96`ceNeoF zcp#G|lzA>$6(@hXR;-%}qdyL~L80=$+!D~UQroMBL0$27zc8$sG72?`ttso&%coIN z7!u^PGc7FYz7Gz1J4yz>HmD#grz8Vk?QazBYWL1xYF^aU2~}-de~oZPR=c;++;v+4 zuv|7Cl8S&dH3*6Yf#`g;F?1^*!e891=eo_!uGVGr0p7P&+?N(pU6Q-`)M7|gBlG^4 zO)_1PmEm=Wd(5Z&WDWq}%cE?*Dza=JN#p_aa$pBd{i^m_HKEiMdp~ldptZJiNtR?i zcUycP!Do3iWo4+zRAHSe(gDT#0`UaFx^c@fFAQv4ZdUEQuGHKHdE2&lgWC8686wAt zcG!hXI%#Tia`TQpX1?bWXeOq-Hm)x5sHyTg%{kZ1j4KNxyvPOfIBipa3X(B$P0VL; zt6)^(p(&?H0FzW_Hmaw+7LO@<6KJYX?J;OeyoP@HSjjHS>mL3jn?5Q!G@Q$%m>Ok# zc=52SXV1aob@El#`{D71<@-rwP?yY57Q4L7Yswqjq+vl>*=OdWtiq*Xbt>#L#5gKF=YNk5*Jv1az(Q zPr=aK2u)H00?7cR@U<<7cV=v`$-&CU@PXT7TSfZ_rE2&pz*O;pCd5iwGMrf2L4bk$ z2V0h`t=HzMmzEwJFk|dvk5_vWN15&Z6&DRVQBS9*bgS(y7xzI&I!o}Y02hvZJid&p z^~t_0ztUBHh^G-D>+3t+ps~P;M<3ZI5rmO(AubC=DOq3(Nb?-|rj;V%o-E;`EJ4e* z)ZC%hTs8MaTTy(`A4gwv?m!%^>k9Db9IOia&AT8oOz7hWb~Xu<3Zaakd%1S%wRPQy z#`Q8mZRd|)sz<7(*=U0eMM8Y&7^bn#+u``$2&*h#uRkV46HaUIpD}_sOI_j@%&zGR z`c*jemOu@6s|UN4m0BJc85N9No63gjIU$dogO_t)s*G_0rhvzF87*xVgT{uKT$ z-|$Z3iKZLzAY@3-X=_1Ei)e#P(#%T3B0AT#$9ybeN@KjX__am#%zG0MeKO(@f)1@% znFnksXNH$mYkU*cu`Ja%*`X3*NhjJ;ry080*RDGYWYJ3L9~W+KIn{30O=mp^_HS!aLdm3D1g1v608rt%_N&D zdHqfqtd7^O&Uw*<^typVvx!dj@*%XNeQcdqH*=}IEM3$#J7WF)!5+ZX_I>t{<6SU9 zrhMyJlT4Y#T(B-u++vP3GGAJ77ATqVd2|YZ`uH^g*U+yd#2u}zQ zTWM+rs|GwCESf#JO+kq!2s@GbNx(N~OD=_1uN_`X?HnHaeS#hNYFS4a!Kq^^HMpUk zi0{N6D&$D+Zfr{qMU^m?)`J_d#SDuJ`9pO1Jjmm>{l` zne{~Fk3i#t-J)5oZG2y6xUCmb)n%A_Doj}M4Gq}!E9;ARpqXDg`dL9*AYeJTX8k&x4fd%9z1nV34m z@!Z82L$8$5IxI6dV~BKXuS@pmQL89~X2vCJ#BpJijL)SM3+>Q1-ypRF0rHPv-;uUy z755swfo0kBWMZCKt`nbANcPEcFtLJWo)&YBNmKF2P92qO&Z)_!ZrJ(E!UnNs@zs-p z5I46_#8{Qm9L5W%FD9f;RjF9AkYWQ#xw8~wprRr!Y~%7>PSqBgb+g(=%(O4a$w$s9 z%4~2b5Yj?c-*mQ`*OBHd@yvmm4XQA2uu}%vQ6usiN5hCB-KAS@PfM1LGFomK8FI3) zeHB4k*F-{zFpQqIr>2vj%&O&%*DAMjV(}hA^cRP8^vfiqa39MySW<)Db^f(#ivDh&*=Oe)=8PX{xg{|v-mEq zeB_1t<@@7%LItnrv%AIWXU6nP!uI=#++o3$cu=*G&dl01j+$%fT=Cv|~5vy2RCcNy4t`*lg^4eR|e6LJBT&S)ir(8kX zs+Nt1rs83C-JQIG_7Q!37JG5W93{D=8c}YNpIVp~o~qtVVa6dizeccg!A8A>RS;QM z(rv-}mY#iQiDG?7giw4(X?f)-TOSusm;SaUQ;y+Co{C_LCzHkns2qXD-}3xU(Rz@RjCA zA#+v?cmR(uCw#?wRKv@y{%X(6PlZBenBe~lXTgA?|^JC_2ZXT7UinJT0wfKDBSJQF${KT%q$@}?D;C!=;Ns-}^g)nSp>9Avzwzzuj zACq%$J2+p9bug~zJWC$fMCcfV=0*<(#wMtKl2vntpW_Fopef_!U?wSwlT(u8N1-2% z5&WqPJ8Dy+w++Nq0g)!Lwv+gjKX9e10L~8fE$)oHWMc z9w(xE^H)s`Vzt4tuUe=60|aifwMe)rS#LmeggGv&Nj!_6YD-#^;VG^9kxvP!n-Vuk z=v8UcnS8rPMI<+BeQk$A_bK)zL1nyHtW9>5w}Bqs%@yCMm&EKcSB&FFj9BRQbWh0bz#4Xl zL38V^laWB0Hb^C1t=M2Uhwfp-Q^d;ZC1=#jXTlZ~a?Z_6yN?W(3(=2UQrlq27znJJ zXnXys>?x4IC}Wq@_AR0sQ*;%cOc?Z)>=f8{nX8Y;nzpB`ZNy)#lUJLV+P#dgCX--~ z+|KbE?$Yugo-%f5F$tVS^=rFZvOYbUy5rV>ll$BnPi_-l@!xr!)?a<);u-f+J!L(L zIM{0QwOKv4(L4FaCodf}e8|4fH=bj)(ZMCH2746@TiYGQx`-pEP5cUbTy~z}n^U=y z17$I1uk*#yokQPpZ|g4O!MPQ`jdT8M%fY!8y1?eVtzP9VT*{*_kMT*~cW3XQ-+{|z z;X}WBe)9D^lFsl5uI2b1{Q7L|zj@wRk_cc{Cz1ebL_L5GK%1o}*U*(&$AB#r(+aR- z$y&yOM{j=n!6)C}t>oQok1@_H)_NVRG8wW;cDeN1Ip}rAGRu(#^`1J3I0W0{RxW!i zC{%A>@oX15l4x&d@$7RSFt=H80&igC{wxGA$ty^Z#vvPiBT&fNVg(q)ejPBdrrT=J z<#*v9XP?U(C940a<5Y)CQn!@MIALWPe!Kb*p zV{ug|^@^V-d&!7ZoZyL`(2)l=*X~D@?Df*3$BKn(37;2T9*MniZbA=Urx zXR}|0n|g+0q;|j;XIe#ew1NF}BwoWA)FwAyk3ZS7!Hg<$u6!%!+=OHg0BFUd*z z8G^=+o(7*q20|tq-j~FfV0a_Dy-rAPn|(ZwV{c%557C6dVoNNC$L@Ns@%SLihYG}Z z-q*Afe%23h4x}U9?^@gI%s}@I@SQIF3b?=TAvly@URhpP=D^3PnA%XvE(A7xfKhn$ z1?MDlHczW}ShQK*oyD-l|DvBIkZ4n4YsK2eRG|%cCtM(u)Ll4qGOqU3atHYKdUh&1O5C1)-X-j2LF={V8VFfYYj+OnZy_Spv8g*7J8B zI0CRenA#BH*qlU^3CS#4Mf>Zl+06p%`!&kC5 zhh-`_wcb~KDZj%tBBi?9pV*j<9yY%z3Y=|Noz|cM1SsOAOVhM1$t0%cRsoETS)EdL z6uj>6HPG*qCgr=z}dN&P0lv^}C%uSTGzPpAjU1W#+muvhunQU=W2G z5vJ9Y(Qp;-qI{XeYu-@3Ms@LrSGPW1G~;QFbx~3-?&*&}&L5LW; zl^4Ry&Fu^{CZLJ68&#kINgJb)p+E4vYOEyM)R?5@&&!OKc*OH(<#@K*d$ggqD9a7$ z?Z`#>#JC8p4csubsiouJ)QSW<`sxTqZ<+vN*+anu7>=ejEVf+rk&!Yi(eay9MeG|3 z=4r3KDruM4K)j$CyZu+9-w|CaXXO~vx6ITN;Pi}qQ(HTSqTzjG@ArsM!m*vYTm*v5 z->MFURx-t#d-WlT7CuQwug0oe2GxIk;Vp7uGAl{xS*|e3VUT0GRb<-DC)c7?(Yes5vq`JmYO@86=^5u2sGiWI~TDX38BJV6+1cb zXEv<_tT+KuyB4%Vf&nF4XSS4mDqCM33txj{L;9};^{Jucp5;Y0!dKqQ!B{O3n2dE3 z9u^v$QWi|ikwhjATIr41}lri>bkN^KIP4fg3J;UJEli8pI3&oV4WAZpL)Zw0 zFQ1Tun%=CsKxhxh#L*-G+n>;x#>$iB)AFoT*LH8rWOTSx8YOD&@G&(StC7rRRU|2m zVI*X;*_W9+O$e^e^?jnpcT5O>G$b5{f$oT=sa)!9<@i1-D?lskhX?WCUk#*dPD#^B z7UxFxSb}gdVLCE__gOlao)gX-7gL10YZgLJzGp5MrO??oM=$g2t?eNlKU}r@i~nqU z+C;&kkTWE-VOHSoRGRa(2M89|s^9rl!MX8@##maq73in&L2{p?H!oq`d)P&iE(;I8 zm~FQl(pMhi34{koVd!e~lL?GQ1^C++2QJ9fe(D`>NmKtAmpsrnzCgThb9n8%O4&KO zx(b!ZKvGl}7kQfegIEQKxDn{X5_vs^^L+OUTH1}@qzw#ak@lL|DpH{iqDw})+g zQrh2=NM}{`!0e)?Nt168pw;HahL>m!`elawANb(ttX40_!u+`JDB#m02|if~O&_X%b^V#BIyti$r3>tksrS7j%cj%y9{1VHum{L+Fvb z8{?Q>-cW!kw4z2-pdZi^gN^703V9|~cA4cBEkSItCtek0-6G6kHr%=$V}M*ssai9Q zS0Qi_ZuU$E10xosLfiWWkNtJ5E!F?ZyZD&fidq@p1~)U36nG_!{Mxmvv_fkk5VT2G zMgGZ|M)lsK8U~eBz(PyW$~j{akmA@9OOrAJzM`A}Rb}8gEJ;~KUPuf^&|PY@F%gZL zVWS_F5wz5J2U;j#ebtQ;zd!zsQPaf@EX)9W_HrkT-$69n%2%DSIYE-(s!gSEnRx>L? zQzhjAUc*{iR~L~? z-5Hzer=Ws6$R67m%NW=C><$tkH1p;N!otoJZgxJAeyYJ1WQDhBwS<{|p%-ona=dPp zd5FR?b(=yx$)=kFR3E|6DkV9|b+HeIa$V?nZo$>~4wDkkQ+~@?z%Sv9=1c8LJs?}9 zGq?iotlK3_E{0@-Dw83W%Ua6@T#=!e3VMe#h+Tv|avXaRtbzn_NdM7sgI3GBCjYi3 z%OP#Gl~bd=cE<7JJ0Gvi9*<7WCNCy73s=3!dO6OBm0Z?1E`5?Xzq5%KPLHRDK%mOX z1H?k@%JUFYfv_tgB{U+iw*r_!?ZGFcX|};&>o~LHq|Ymq2&hz$`Ogf<67~}Pr&Y_!wvDus`YbV+liad2oa&@OF38T@d(*CX`9Uo3exqx=N71l z2Yop(Ou`h5S}rIb=?s zgCt6aPE0-YqypXPDjLerDpLQ}eAtz8L_T*F64LwnSzofKf@~WcWgMa#rNE7-a%YG5 zqPH0mBtwkG6Z{PfjT%C@q2(B)H#84UX#}`d_ACkP zOXV~zSouB<7lPsndc9-{te`L9v2S{D{VOBF#o^#T&krdF2`>aU4M1A4!ZYMwT7M{( ze(8f1#nN@5&=)&M=Iki_()vfe;PA%##REX0kmj<`^#(SF%Oz7^O zrFSz`or5q#W`xq*3n@VlK6qprpsP1-m z2;O5Eyy(R*Wb9>7U5#135(O^h@1E3280afSbaKDld;oQkirr69 zr`lr9^q=tw(TA6?U(GYPl5q~n;QrEQqnu{N#WTdx^i*53XKnl-mk5Qd&?;l$$$kKC z_^JB%b(bF=^V7+wkJQXNQgE-&U8A|3B6}TVXsC5VP75gp6%%|M$M377;p9kyu)Zx+ zYOf3#7`~8$g!^Dw4py${6tlTd`5au zQ+EP&R7ioGn7(0`2)b95UPVLPyf+u!r`}Q=R2R2VtH2^NX>sL5o|p3e1Xq6q^dU@T zo}5G50!HtK6I7hg@U1CUyBe?Sj%-Xlg(as{RVyiXD9r>n6;?uGP$B))$IwxiYf`@4 zfxu`G#6}|0y^cG(IA`tM-J6`HiG(H$%n9yO+}-2Or92M#M8R1D_FST762+O=HzKm` zix>zi8+^C1#~50&V`r0HI4`K%g7m2zCbCUEQnvZ%JIn8qq#9=;L=_`F>=zG*fRjQR3UIq2qj3o z+lfu&qTdQ^q<{Ys8<_R*?xF-}fVF7FmdtAxW#Ah}A`LttYcW!SmXRX!J_jZ02`I$F zE1zIQAY(S_GF}kU8d(Z!HXnx-WISdP)9*}#mV<*g-gd%%XZxfdy-llahjIGh`yNoj zQTf#3oRL4{7Z|zbmcd_u;p-iWYqO@&!iAFhU^Rx`ehX%)Exi;VBW#?nccSoVv$ZJIQo`W5B`-C()jHOTFz|G+MXb2f8h|JJ) zmThL#Cmj%V@AN3U#V>n-=w?qM#OGdsq9+Pqj^ z!69%R@rw^0EK~1NAgo4fU~k|MqaLbZg}4cMTlY>o60U=Ky$=Iq;4KR%ijIlYxpsnq zAL3ip(MHrzfm>SNbTS$H{gIZ#@aT z>QubyFaA=OVkAeVZo+9GpDzmKX|Z&b^yTfWHbn4es`$jb)Q7&*p{iu$gSOEa(+Tr>qX?&fjDknggof(UB*12$&m`*ksgj*dZtdyvsk?7NPOZNe7#RU9TGypk#G7Rtg7gfZXwxupc$A#eCET{u z)CNsRPX*yaVQkVM`viIq_~q?eOd;XjThmwIlhO|+poWL{1UnC42{G+ac304ZnytZd z1&MYx-70f_A%+E#*43f&xI-MRL?rT-NoGBhW_-Y>SI@Z(BgDYS81|(|@`)0#&XE4f zYRy>R@o+ww6D9TZ3{K@sFs`sB#CpVao;S)XYr0iaKcSV#EVVJM{VU_#}MM7f*3-!1+$_4M3)@x zHZ-ds-d!v^LL-`Hf@nD9uIg3-h`vnp3D(NmTfJJeDBWNkTDuqTI5I~qzKvD+>;vQ`(5 z7PmM$K_h5vca$eCt#6*}f(-nAFpn(hpmZxddl|Y!9Cu2$uzcli!u)C~FgtqPFZEDH zl=WJb`1?W=L%CuLoINx0?pVy3&p=aM=&1tKxh?meSMA!@VQaFQ8uj@086< z{7awRW$MF|Giv?0Tm#eUih*#65AU!$yB=668<=lwUHP^cT|fyFqJ*f+S=OuS$NWZ6 zQI|Bofp2_HV02h}g1ni^cs*h$VxZ9Z{Au+C@}bG^X~7QM+LoNo(w>!>{{TOPu!D|& z4X9kYiC@*}$1h#D3188Dl8_|~&Fxv~yI1^p#?u&p`PH?dl*W%}$GPs2SJ?>j$c!J)E`dDeoYvU^TZ~uUUfmpcmEzc(qebY zkB2Rd4j67n?ymB5eW%2o2^ijCf$gQyuAULoRTQkh-u<)}%XdWv08WrUl`$lgG|u2c ztlc!ZF$ihrIlWE(ScX0C6rEV<_8z-lIC)uIC+n?I1Oli@^^Y3)Tnx?R%QZruGT?=; zo3M9puM;gM_QE?8r8tYO*hf#^GDuLT8>qKwFyNe2VrJJS4Rc|SV*R!BrHHH^B0+Kgl@(jhp> z6Rd#GRC)M<2&dxe+9c{dF`Xh0@ADSnedL}jHA&4ocfxff(%#Ft%5OcSE-rpAsY6)U zpktdb_^7+OB-E!Jgflc+=qiCr~E6Xw}U3$Gp?_-%) zf?^({7c@`>u-<5*Eu*DV$u7AgmVohgXeEWcHLvDtq~v#e-;<}5L=iCRj_Vd4H9`(} zoUiFTN)P(1>IUzkA+f$tjjkods?zhFtXW@`ny|9C2wx)OfmVvdTxypH4ef4CzZ;^7 zFtPaFoeeqZc1N#D+;~dsRh_u0J_1sf2c(w$dnE3F^NclS=%8lGzr|&z!fpc)Sud?5GK!Ye8s02ZKi; z2OTSNUZR%uUV$sFA=K^kqd^=#YQ43kY+X`o;jrH6nulEsV_AETIo5?Hnyt0YnIt%; z03bJYq5>b=dqB zqxX}N2+WjDg$*7Pv3J7){QSf7-K7IhMR$7Nksw0TCgJm))O1*J<)XrHv6Qu2vFY_8 zr;$cXM{v%v?u>+@(d1XRWtYWE#R zpqXD}#jz4|sqCKc>iBND_d@6XG6*}5DR5@#`P?^s#Iy1gxS@4Hj;9x`Y~JE_dyEl8 zHrOvqvCn#~*B);xFl2o`E4($3Pb>?*^>Rb%P9Ck(Wv!cVe*0yWWzF4=%k|8$qZucn zYARJih9LqEZZ-Q+WgSy(yQkq4*S!s2z(BtJV3IuflgXH|J3sO)^{L zDv<=pP)Eyh61}P9{G`rX5H7LF-IrGIQY_#~Qk94p%xGmDOCI{=0|<0HRMXJFU_zDZ z{#RqSo$QsjZ_FgsLQVT)_VN+L@xW{-P*k}CA?;8RBdPiIt3R7S%>WgTuB$XOG#GgB zS1R6B#{=UImR46&;AmNiBs(mYej~mmKx!158>Wyfz&a=)L%4Qya~0|`8BqZ?W*dP$ z@d*@wKFXte4cDp<2vzYIIKt(q=-v|sEyVgDXOZRB)#t%Pa|*86cOQt(vgSiRrZrpNT-;u&eAB6ETx2g$kWkGat>zSxt2brFUbeHAxe-+9Z~~n* z^RR`(yoI}(1?5$?yWbIhJxh`fq~U(vzoM^9O~YHjE-=0J@#yNhqq!JmfZ!>G!dMpG zbBThR#& zh5-d~q{grO*%F@VAHXl}I@%xJIc$B#aK+-ze3ctbz)Dv-9j!CR#;lLxas94;e>?=c z+`KBBnV3lF2PIVAL7O>sxr+&<K6xg5llud?qJ( zropL{sAle+E6z;=3rj%16%U7ujw%v{y(+N8)MVz%#WaoAMS#*_R3_KMme&#i0}U9k z^|LBbkD>Ni_x!v8*_;wb7(x-2-z;h4yO2|KXbO9kc2h-ep6~h8mIB4xS@8^Q9APFp zbnlKWMJO|1t985z!pC`xI<`&&B`Is_ECzIsBcuACJP6bvZeyMAD{*1l10G!_Q_P{8 zyHRXtGC_E9y>3?!D793%nbR!M9dNU4F>Fw9jN*cO>_j6~uQv&7@JiHwips~039bv%6BWqo7lc7J1OX;p{PQ%R!tvOXLn1LbccRd6eS-y_azV9w%?iE4F73#_P- zs6r8T%e6bi+0>MCesli)w&laXG5AQj07_l~N&EZmAhxcqo9C-9RtNHy<_^r;j+doc zI;-LLu}{L)=qK`;fy)c^*3VS?Jx8Jo+B!Dbwg*|_A!R5QLzX^8BT6J6E#q0))Wbt; z4n*El3XjTlV)s;$l!{&@$8gU9lakH&I=wn_likse$L3-?_#|Ruyiw0cG?abQrtM9d z$rae}vhywv4qPRMpO<+O4n#HrQugEZH63D5r%6Wl3$({aPEo&3{^Om;4BkVY0yzTt zJN-OsyRF+6TFTUDu62fufyxQtkQ36tQ98L#irUoF+~y=J`KvW%Dm>^egQjSULlToY19e1DHO*3O((wT4OtAa&;sqdx6u{xZSRXUEUw>oNG^2!Cdy# zOiBBvLie11L+VP$Y_DPtShm@{bi75)c)6No@p5s|4ENKuAg#xIl8;d4;$l^N>SQ?0Kh#=90>k04+J$U**# z(6Bf~(WtY$+AL=M-L10r``{6>-7De=IGJttaOIBc>2HW(xfY+Vyw3ZPIV&HJ7kRd= z0_HRMm>pSISe&aEI|2b4Rk$viOWA}Nol9Tmq7}`kdt+H@bVUU{o6j#0eW8ifxClP$ z0)1ZQD|QzfD?EgjY*62|o3BQuYAQruJKsVXWo+zXU~!iz@L<08b%%DW80Dcr zVNL{CJch^*%Z3Y1ZXRb3^ku$oB|>%EQ}TnXxx)wNukEE+TI6HO#K2o=>(Jv@fyl5g zofNG|qXcZ)yITgBJ7~`aFLpFf4*U7L%r9zr_{e=r@|(KfJ`5GAUIrgwnHLT&xFR}# zql2}%x6>g;QR=qr&KcP0QkV28e9c`pmqQ5jA#;Cch44AEz(;PP+5P}5l&n#Xh}zX{ zZ>-nQw=q2`vYp!80EhJS2J1AW zO-C6`xi6ttHv{KILZng;uH;Gx+9VJI%5;_SV3tnpyMh^h1*Me$oUEM^)>Fx+S9{zC zDPg+`wpQi^itsiiXuZ`$n9M1goTHLy&yS=p{CgMrin0gju#+-KCf=AR#zf!CjBj3j zJ%a8MmOmpDRFQq}TNsIY1M=n_%dy=*6NCV~B=k!-n}+U}aJHe#-;`|lzb^=307%8E z2T;GJVxiXu$Ux}QGf}b9u>w>jn04qGSlCz$>2&|cJoh>bhB|CIdaMB9Y&|A`sstlI z5Q3QzP%<p0#w4;+g1kkt#Du(n?wj7#N#~xY`=XyGkkOxti&*>67wsLvcE@J6l*=7})FJ zIa`=p+Oa!x5$Nb!=^C&D%0GUlA;9}t#ommIfbYivJQYb9JOL|P13YGGS}HwSfTlDH z8#N;fEt{?(J>?Jm0y-MNNz&5-1iRQ7SlIzt-+%uQa6{p7+Ugs!%Lxkojt2O~MPO`i zZ_Q3ae5r`(Xr4|F*2~|P_eP<>Qfo$F&P-@GqSKU=(GJ#0&i@FboBIWYz9=i9DtY?Abkq}hxMlv){nwZrK=x> zpAuI;3O}{ueiVM53!uREQw!}!;SYg0K>C^A+F|>nWwt+BX8WUMwm({C`=e#HKU!w{ zy=A7~RMzkqm}q~t{O=H&``P#3ADsSe33&kOa(~Xp^H;66pQQh7!8Im;*4W=snxkW6 z{vm9~%*sFwcz~i~VxneZ#bagz=&$_)`j7GW`{;CZe-Hh4yx-B8S*QVX?w_Imm<0a@ zbOvgGLLxIjXO0%2GsnyXke*{^VWp;H{EO1vpT!aXcohEcCH|QHcGqctz%jB>(*l&{ zm>B@5fHR?^reppq_&?cK``-X(00_vp-kiS)PT z96;pn2Ob^MZ@kn0JQsSFe+0lJ7x04>L#%+pc>wECFoX#bJ< zpH}K`qXUNS@1ZmQ#QP6)HfjdIIQ$dzAExo|qyG!c1IC?+mF~B32S_}!0+;|yzQ11W zKkf0~M*mlsXToD*X8di~X{qU%@t9bc|I4udxCi`g@PCE)A9#PD1Fkzj*Z&FnzhvlG z7#RNEumi4hdH^0Bz|7JE%vwyWUKks&byYGMB*8GO|J30%1dBC~+6ZAiM z6aUYajuD_~`S&jOpJJwrYydO+!_+bUxXaN3%#Sj|Gh*jJu@xie=LFH;(^%$CV|H$PCk-XHb{icVvv@RWV ziFXbz8iE|YCO4sVNXy*BK-_CbdK7p;LHC#H=GyUOGangK8k!OniF1~tN z*^<+@x67F>v@HvMnU7wjFJe&sd_bCU`@Hbchq1$K#@d4Ll*gp++!5bVE2DW#fI&a8 z1#vr`BELNE9J_%9lBxwhlZM}j8%aV=-1fQpb$Ri1SVjanJ|3=FoH(J@6GHAJHNQqAI~yO26v>P);S3DW+=I;bS)QTTnya9(ftI63VF$;H z(MNrhfc~$^_PMBwhrtFCc$4Lw5T+)%^^w>2kGQj3y$PR~MnjoQ3ll*;np=O(@DMS* z0va|kvkR?c3M}C^KSkpaaGP2xQFD+8P`>zNdFuRRS!FLIjEwTIShDPME5T8a&Rhj^ zJ>_y@2CDdpOO}cB$+Ywf0_DUvR*8CAa>a~DL|lD;5+rQO>7g-EIkU;gZGY_~2Uvn? z!LRl{%R-n8<~q~;h?J&u1D1u}5>ocolqJSTqW+U?LRu(GlaN{{WjZ8_F=XH0=^*Hl za7Y$&cK9>K)(ThG5QZ$OSUgro@B(>y*uaRs(vQ&kRV#1)Y>*8>VDDlnysiGQ=`m{2Wu9`mPee z3r;DUv!V-z0=G!9i^xj#w1-%p@2Ux5ns^GN8qLdxr|xe!&(0A56OggfzyQaH@;+HU}jd(1YG7a;Mz`g(qtMH zk2tqr6Kx0PnQis=7_1821r%0fW({U`^^yeRo$a0&?jMzaHZ;V&x5R^00?a)3?W2;x z`7UKopcV6HU8Wg$Kjo;N1Gfd+9DqZ?8=4}}8XHUyq1M`0CSA%Ef0Nz}_13$a2+<-n z#f-k~@sCWOsPf=zKR(t$L8^AR|4Npec;4|Is;Qm~#&1@F%o*6*n~Xe8@NM)MH>fe1 zLTJ&{K^S7N0@i!b!(eY8+Ze6k)!57;Y=N`!4G_>O*I-h^&iXz68DLuXQC z#lFEj=q$ERTYNlvccj`&niFavfs;5jQ$a4ZJbhxRFK8c-Wj$-@{TZQ6sxF^YXmddp zpbZz$KVcb4)Po5|iW!p`(qZjen7)f;9BysFLa9di0>1ifhvB-T9WzpB<1hnB2&p?8 zIV(xUB`m^V&t@=YwKj1NqBQ^pO@ZIfiIzk&Gbk;A*l3aZ)K#jK!4M}?xD;Btk{Sw8 zR=#0QRkCbC>M2BZ4JV{a<s+8{uHaXn(ak_=f%d)H?g+*~&7^g1a^R|4Bko_2dv>@nVwe~^tXP&@e zOgBFuVw|ETL{^4o0ynwq^HalKX6Ow}Zfsb}jo!4%xTj%`$1|@I(t6WY(fzHj{Y` z!a`BYpqRXu^kqNGi1@mHlH8w{34@gh)r5Cv?K&8Nr7G^7Qe3 z?7h(Wm@MdIn%bQ{D6D3kM^)f}ZzjnL^R#t)Cp)(=FgqtZr)THq7m%AM@G-Yt zX`t2T-GK~lysU#>jIzhdy;_8eqeur$u5`QZZyWLDg9`oRo<) zNhL>GhCx`C*)`=Ueo(ttpX{~DhxYoA{xAm2oihTp&vKB(aE|?iV02tI%h|DH;^U2t z8+k-}oMPXcYMe6Q0L@w%z=(`v*d4GY0$*moQNP(E;cg#3y>0X2IP01QLZCOG+slrO6zA$L-xmo=}g$A})soPx;rAn$l zE!io%SQRK=O<2G3c99ILP#?leuHOGrmYJg^#zNgP3#5``e0+l_)%O|H4d<b~H=?gcV_KLh_6!E9g&2wQgg5uXhB0KVAS+ZyOt{3!kK&3|7l{@qRg&+v=i zy!StaQ?vegZ{t6sFPH$U@__h<-{Pocbc_rD$=~d(9BlOr?0&37|BQh6kNkQ}fK_xr z<-Zx*Aa8H$pl2_mWBbDe1tgGTrukRW>ivfGd%ynIqa9cQY4up~SbqdNumQ5_vHr}e z_m}<0-}?SL{=c><@c%Kv+kYl?qY+{NhUjNV3eAuFZh$=k!1te# zDcpe1pQgy4b~FF`%>za@2Btr49^|QeSP?c%zOH5ZdWiwm?s7QcqJYKPm0L_e$L@ur z_GLrrn|LUdpv}i_wRlEsgWRz&XizEF&xt~Td?RMVg4^>LoZU%+51Ku3w~_I>@7cN8 zd%V4Dc^H^=^i(;i_j=k=R<@$1e!c!y6!jQZQBFf$QPD&-62pogepzXt*1E#-+JMw`it^rKrI+GWRvx zGRI9hD_kb!C8jaz*+enoj-s&;pRT^AhE-J1biy1nZRnUPs?IY;l(?IIR^zHgYd}j; zr9aIr$K*gq<6a&$(y`sO&i!x}(A6uqd@%>UQC6cB++=?Vv(Q#tR;8G%(Z(_-;X@`7 zo~U#4dLnJg9;TR64)n~#4t;4>CMntugIjzSL{s4yHXzx;RW#ycl@=xy1NZ#cU6jy# zE?H^{<`XlufVq@oNTSd`wbJ30T|be!`v;x3Y$&+pT{N71{ z{on9RtAHb3mcz~U-S>?O0T6Eo_~ zDSV@~3uy>h&Oo1wrkxNm_^=;eD3&S++r-u%2g8I^DGK6^IOt(nK2l&97<<}ZF8P?% zXNvy*0B=y-e_}rIV@Q2ceVi<5S(Yb3fBvtX-&8 z@E+{Zvq5WSk(3OSFzf#NYDUp#`;)w;T|@o7qK}FW5f+N26||x`#WqTfViFD$C%jjc z%p{=bS_A=gISr!Io*{8Vh!qlJ8b;4%-W)Q8k^~%yKukv~8g?~^ba4a4X^C`6!%D&Q zHD>daXyOYW-xj^&&ql(DGoLq{RI^jSkmKr^h>QmnBgc$ug9Z;FX0OsKVGNUYqX*^O zICI-@e?EnMfzM%RTnGl;8H>K-AH6{3JlYDPL*ZrYiyUfb5omesIJk*I0fyY!d3=0G zjP-T0da=!~-3IPiU>pQ$W}-aEF6G1Nv!{b<&(Moc2^0+|+GdP*v#sMV<^Aee*W=}H z$Dk_vnETl($yv_+GnV6#3G8}eAnq<`qlyKOW6xusX4oC95Mt(Xn;LET@@h}~=A96> zBg`Rrt=GfL>b$9#rOhS$RsgEC0n+(*DcVtIOf1x9_t}8HJx)5|jMmY&>0(j~dL-Mn zB>Gj6NjGLoA(M$n$!5oa;PeTDAH4_hx3b6G9C);!pxNTSK4rxp7&dI*8tDui@{}!& z@_b2!gLfeC$?11pMN&35JWjv1WXS1BUk4NNWW#by#rdA`xC<;U7=LdvnfleVeXn5D zbu`NLfSMwWARf>788qyJXj|yQyd@kht zp7K8N_yTWG$-H1WY5~uIJ65sekxAYB%eV03!H()&+Gspo<%Zm}2k>2zGxB%>{X2ev z?N9qn@3N0)6Ar*=ld|)_FBRo?7N4AYtLayHYS_LfsZ*!9LYXrxVkYKc|4^;-ktDV? z+P)>@?5rTN)_EWbA}8_~49SaEI_($^K8jOtX43A1`-*bns7Z0wJ!#3^1J8?;>a%Q1+Eb`xQjqWt5oy|&VSrxKhsSFzw1q?~kCTTBmdLL#4 zd>jol3ySVa55Lg)d}KK%>-kNZ926&%yd~VY9o3M>u(dmNW$6m$GQ`&+eUj=@EQk{i z%R>!+YA{1T!V+22Y2?%EkVrlDoMp|(EPu(Ii?qc^h07HoQs@>E6qzivv>#Uem#PR6 za>X|PPZ@`yVkS`$(E~KKP%FtiwRmht6Rupuq1i6hg&4a2qt{R=4izG}Bo2Jj4IHI! zJG#X`wXG_R4TVjJi7;cE#$=CtHn`(WbsIi5lCA;GDSYU$g|DhLT^&z)MD#sUhKvMh z=e`q;a7MD>_{!xxh@%lJSbd(^ckG22g-v!rC>!8SB5bUe9avXYNH+`fRXDW#Ic@mC;a9UhD@caNLjiPAfOj0sOITtDK4Qj%#d+z zqGWqv_2P2y(wF89T++$mNzMd&L=vN1h~uhI7ADdU*e41GyOb?SX&=EZIuVAWR5SwN zrZosk18D3T-wmH5e)q>Onu$9}kJXEM^r?Q~J)oD&mpfgUaLz}U_|`?Zg@B0_Jo(N5 z@6gkMcgTikPm4NnKOfspYwW}L2n>>`8w}LA{YR>l8dL#NqhrEd>Gl`KJ)gdKqz=EO zwXriht(q3a6D)mdfLMD@A1ytOp{%^x)W}*_3N0x1DD>QoibaX`Vhj$^Ou=dF{DcMB z$ET5{0E9hVYDsM3>EJr7Ji__Pah-E?4r!RuS@+^c;FhP*h-H+;3~a#4A z>-096fuU|>mXWXHHYm-OCN$acLpJ%D*_x=~`fikGx1*{o2hVv3%+PZNuEMykg$?j% zR?lg#fT7WMqA@PBp0;cJ0NKlW1F!C@GgpnfP4j98yFFRU5V$o6+p7YR8Y{=V`poQg z_wTk^DivuI&aYxZgx$6Ql?&ugPZqL-Ww$v)@#*GvDR$F|CLkZ&PGgP@>ON9<(qN>A zB;0r)et(KK>0mE)+`<3)vM<{PNr?M!yyIM`S!$_#BDZS&Bjw z*A~J!AvpKfH;;*w<GHo*C0TaFRFR_PoagifkXelQ z)j8y}$W&mTI2e9@;b*j3nYHd}_vRf(@Y*ROpCfQU3K=gcC~ix7^ov+2YImtH-l}u@ znsK(~4lUNlS_Vq;GWvH&u z2RQ7kt{a-68jF(}$CYDQ$A6l=a zAvN%EybYYKh71tyAT*s`i;ZM;UtbBzf)K%E4{~(P%L|!dNQT{WqIL*Ud$&cT0^QVhL{2j-k#Q; zH|P`-`&sVMW*b^oS(sm)ab-2SH5}eT;G&gw^1WlfB3J10gU_Ju#TFe+wlj@dG@hYt zh8E_}HIoZF>5E38w>x{-U6|buD&r7T8(1T|#v3mL3J!qC6CXyTv}Wzf^lb!!yzJUv z+2;>d3$aXsj^5uw0R0H6*}wyF(Y*UrG%NERg)d!jBo*}(1g2zFHV#ou0N-cBa4N7t zwt5&|EyIyPer$E9XghNZpdoV?IGYu_aK)Joe=2^9k}~h;0odF$=<5xi_uh?h0l+!V zwOc9(rhaT)vEX>L{3k*&&bCW5CxvKse(@bR#PwTHN65gZ&?@ZZfR=Z~fNUc_=&8^v zgR5w54@1U|Cd543YhWZF@}`=XT|p4NHBE3uqOS)MiD+#qzq($CVFblb`WBB@yN)2p z9f!d;)w#6o7hEIqdB#KA1}<#6@@aqE`@B$Fs>h2+`?N`g%#ShHS!Xa2nG)P~!X}tF z-J9sa+yFEzK&-JDG^DV0qQRma1H#9(Ko*h+v5I*MFQ!LrkLC?O?h;V1Um=qL9hkaG z+;`X;JT7(i=+Q*r?ZL#P%E}(D5kL_OKna8S0seS({u{RHd=NH+5f{QkK376^*#O{e zV?k0=Rc{obYl6ohp?EDpdfJ?%09M)@20URxcGdl7I>hll0aXg`)@`!4w(+j;v3N7- zLp)!DPR_s7ByH@&hzRG|Ak5;m-OQSx@_hO7ok+8Mf5sRROz@^M8j)S}G#?&etR~MD60{00iTRq(p{lmxexzc0Tb)HG+Iy-ntMFsMc4RJ^Nzn=B1Ts`?j_44xl)1xBKpp{ z3da|W4+eS9KLAUr0v=nwK~syX3E78hH1Vl?Yw38A9lMTr5W`fs(tZZ6s#WA8E__*&O*o7}w$(70~zYgYpY5J;FEaYtk@aL7jt z6p(wJweolUNW}vZU{pvBFUyV^g;YbHp#1j~mHHW!jRb|y{g=TO(U3*o^QJ`(Dj>;m zoPO2TM>CO!N@Hf*+b3lecA5IhJ^?M6KiZ3b8H}{zNq6s^Ss;8ICN{9tZ0i~R6bADB z1O|V-x@uEK~Y;x306?sMAyX~a0&d^|ZD>Hz}`szPTPh5+_7-iL<-GOTLj z&5V5QA)-gOVB;cFIA8pkjws0Z`El|v(+qCt`xC+Yi zL&!ioNMPc!Q#N01Hr%ipQpaq*5_7K_DYeksdPB~xmg$EYJII#-w+Q4U%@s2k(XC8q zwgq$6CiHVG8ID&~30rhtNTRO1r6)(v3HLDGT|!(-xZQaH&paF;EEY~_WOlDrqO3c7 z{DS|(-dl&ok>zc}IKhG@5Zp;{nuf+DI3c*Z6WrZBXo5o^5Zv8@YjAg$;2Io)ejR4! z+3f7>zB7Bh`|Wpq5B$^BbXEQ8+;zJ8RGnL(6O3^$#llGfxtTm~_$}5?P%WFTmMya` z=HbO5 zl1<(3*Z!^d{WF`o->v^gHg)>?tjvsT`pk5?EG*1)Kqj#5v<@Sy0Ud~qQ6C8A3K=l5 z1Ae>P0B-Ou2d3|^>gzJnF@r#0+iF9A4xO$6cu7ZxiAfKj z4`R|c(7oSYUysAk(#Bi|%zxLhvNAK))A>P*X8`lc?>`2=a!A`)>f7rX*!bDUUm@j)jdjE+h&HS_M@h6@%*i!4C^Q3<<^!OW3n(0?BITo<>$-hsS z2K_a{^nKC)6k(c$2|y1v#=B=svw&@~z{_CsD&XH!rte$(>k<5RC-gU~F4kXM_!yK` zRKX4?V5cz_;GgpDVFr6cfsN-_K|ru~3=nME17@MK{y31IP0GN>2S)loP1j~6X8(l( z4|bLSyV=~E34#~FwmQG`jz3M^23z@oyWTJC`g`g&=*RnecKzQ|x9@lSYqS12b(cWRdSflQ{2{pZy#x<=B?YCA+qoQ0N4J+>uUAiMzxP`{4!=9y-(A{?n#5M3 zn#8s&_PCnO9(-&BpF*^?ei}GEx-#8zSZZu%>&DX?)_PaN)KXR2oo6pOy?)Uz!BTA0 ztN6m#B6}^)G~6@3A&HlBM3~{F*}QuGmyv4ch3mm--o!6}3K_yJeLIW2xerG(M9TF^ zSFj76U#dH`A|WQxht{RvETQ(9yu?g5HK(@I*5Q1wEl0AvdGV#S5&`L&zSch_k?3#` z8$Jc(q%%$bZttB_l`?K&5_F|jO48TmMZU#r7+y_=*us<)7Hp*rOKd{k#U}QeSYrmp zESBSHrI$O$E6=)Qvw|+tZ6*7!%BQ~Y@>fn5G<9CU+{(8_$<`8T zYNDnq6^lS2aW}07p69U;jtVWp$+5waM41k}Hw{KkREvAs>A5EPIJtrQrZcfTe`kH^ zOKN2|t9)3K-jEP;NbRYzFuSBVhW-$3rDY`vf#t;tOwJsorSj~fY4PT|J7OM-e@2r=Zsu|-M zCn|m)tBmWYVs)*+a2Qk!hxAk{nB`O9YXIOBlxDs+U`tk3O12`Nm3+k+ZylxIzfHxo zR2*dPV9>K#Sb^C-QO)#{YFhOUCd4FkIG^MVoZK5mfPzWo8dhG|LT}oqaH*kEFs<8$ z$a`k-J^X^mzFa2lN)(cNlo2`ZJctQ5zmTJPAtr#u>=}A=_x#N4YRkc$H&t|CSoEvQ8ZA+u;!_As;ir# zNEE+7SkV?(T<7_$+*+E6=u_W?paCEitI#l>J(`G(wY(JzfVW}pXtiQMu3iN=nn~b# zP&c$3*kQIQ;FaLuSXf`c1@v0ew&{^!sQ0*iWXyG^o*t=>Hbi624$jK+Gp|f53O>`B zHZ(<4>Xt_uKf{NA^$wD7gI-qawajeJXDu6m>XA6ra&3-Q$6PY%YuYl*XC(=>>zP1X zbmqO+rV7qRdhG+`dB)TQeh{Z`S3f>YQQK54YyZC#m${QSQG&o0-jZYTK+}9SqAk|v0 zDT8C|@6J#lWFlAR@1{_J&XIw4bxY-A_0GpT!j;#vU2tzHX$M}^Pcx_LG?NqPEoi8x zcq}GqsnhWtU5DPP4JIC)Fi;&G9LYX)p$j|T)!D!<&Y)nB+{XSk{5B)v`1;jCQ`Gr9 zna*dfH`E`M*_~Lu)_*z;|6IuS#(ir+y&;BZ(%>R+y>0qMj6uDBA)8G`-^xvMZVQQyTIs_3 z$~kFtyz1lWFFT&~S}=%tDtoBdjrQ7ST+Y*n$ zZM_-p)tk@WF$`8 ze-Fc__)bzh{AA==C7B^9h!?NqBk9?TO-T<9DSZeD$oKFH-jgkjii{z5m>MtkWhJj2 zJ$DOQ9T}26Y!dBWMaTB)z^HV@9H7UM2Xl}Ibr13Oq5KEy5RmMMi|Ag9CZ6R>jl z!RR(L%YG#_BXSE*UM1>Bgf(a+`p|=^0_}>pjag8Yug*)${5s>pl3y66&yU?4tK`KS zC_4DEN62pm3_^W7&7O zW@;%fyju%@F1gzc3E|2{T?H?%M8wsp0sn za}aYTvzR49d8u?NqEpWWD{<`z1gj06&k}$`E(ZXCZUTYMVm*aah39kw*_tmJT`jIz zT$;}pQ5Qy4nWsiHCfiYp@M*?ZH6Ts$rTZ6GY!XGUSUNr$bPaIpuZ)v)oRBMwS{z}? zUsx-nmUvYnMdDhG2Jq3s3AYC>WU<14bW2YSUla174~e2el_sXr#P3yV?jU+46sV^Q zMww{Rma26;@_+V(0;XWd8n3jh@HvxBkf3Pm`$@5-p2`7F1*US$EEIlv&Vo}L?UI^Z zA)4}Xnpg{)5xAfY0!HF=*7wMg11S-ni5C;v4wyD@lO^hWCH(_ZQ_d!aDswD^s!86R zna53cKHBH!Z;$91cp)Y&F{8ZMw0G;*4vXY_yKj6}xZw*Qp$Jdr04sS2v_;=6|#5lu;hxN|kci zrG4ZVFYyYMrBnqu2AkVMa$MtUAB8lG^KqV@$H|xSjsBEIr&}ZE+|=}w3Hq+txZ`Xs zI^B2DO!PjOKkJ<6N_uDn%?Syb3%$$T%iKQU_G<1*sED{ ztX;;Iijplev%c#5?jcu%c)5d5{pN2C^-G@@qNt$v!7N_9v1*;bPd7Hv+olZ5g=B?r z=E;o}saLghVSn#Gf9c2kLENStXrSWL(~V=9Hh(vw~cD6K8*Smrl*g&zs(C{fQrJB+3*c%^ML~*oS`FyE(k^Av0hR zBbw8{Z=dbUcFuy)9%nc^H4!JJ8)3WY)^?Hs1mrXd-!}*Oz0@IysCti;^NN`7gLK!M zF435(9=3rle8@VX4xiX9Ftk)sAXlUPMC$n0n9|Dq$V-$|-Z}e#ZhScBm@zD833GJB zonn-S1Z{Ni76x!NfHlf9z&&Xc^ofD(cj~&>n=&;#x z2KeG02t12}5zkACvU;^QQ0zh3X{Exmy4S@3EoX2*^ydAe>`%qnzLaOBbpZhpob;`X z?3A<>?Ph?fn4tzkdSl!Ga(Le1cgjTp_@`sVY-!%4PdMt{T1ZY_q+&vO01wD6)?kgD zroOTb5S82D@m>9mtmuN}hnD@9V^z^xLRO z8LNmsd}Gr)S(p6o+gHyR_wVF3noz738&fnUYasJlbm30CsUd>0v?>5qW6@x=x+t$I=BB61(vG`#J-$dZ39GiKH^VsS_WcrQ=v)&S!L+%x6g zjAH57g4cB{g#&W0RMDcAIiQ;kCqXY_nBx)MCekTo6?ZsZZ& zwUmbQ%uw?Xlg0#dL_3VNNAJ=0h25ErheL;a#8SMj?Pq1-1$QVCda}U)mn)F#^-Teo(svL$#^^cj0YMAobv@y^-ghl13VsPtm-}kiEW8h|AreQcjIC#HKU#RwBfu zkH>POP^9h($atw$g_hEle-u$$n9A#AoFD$yq6o5|&wY;VI+wfMf4fXPM;}XFO(`${ zcks5K;$|Z8G$}0C)x|^Wtqp=kDW3mx@`)zM($FaU^^CPoW^5u?z1?q)_z^= zbm9eQ!3xsFRDIf@0VeFD>Rm5Y)ZA&g4Q)_Qod?7_RFN&ffeYs@Y_4N3wUd)Lf_=mH zizwnVF*RG7a1%>;VzKV-bVRO-mY#QTrXuF2L`H;TAF7TF<=n5MW!1unXWpfZjn&4V zktkHBSIEt{mlU24O~5ljUlZzmO;)DGKGtMBwbNImVBJV~FtOpLO7@V)e$-TYtvp*Xi*v~4@ zdxw11G7ve%Jv#jki$J_cNPQ58(4R?N}GzvRi>#LfH z$QItF_4DbLH~;8vDqj}=&bg8vdCMe={Z@z7Gc7Z|+S&0aPe)TqEz(aS&he~mckS8^ zGL*;j>UMj#fxI{@GKu_2l1eo-beGbcc|y^`x>q?mO1Y!#dJ`eu25+`>_#=MRoVUgq zc@P)4CTnWD7?;rDI-EgGg;5+5UnHJQ3<1=h@FwK<$_6Zb_lXh5EiWb1;NNLBFlg?G zMDt7E(iVYI$+O)_twp%pcmXTf=l5AO?NeDxNkXL&6WcN-vnGF4)GEpPE^~vkVG`Ec zw2u@i@FpEcHn`qFCvR4;u&`&DgEJ@XIjTmnOiSjyU$I9CF)7*1_w|iPR6hpWvHLvU zj>1ZtBkeEr(SIUkVy4?+Z~qM1xjC)s1%)9wWhq<>le;WDQ>8eJj#@EA?-)EHRq1=L z316y?gQb-){X(HBZ{0L>;k60!+*zRbXTnr*2}wQnuc6}z6+}ZHaN-dxLiNqr8NT)> z1x#zF!L#*9&aiiMM7t@K1d@h+ku2@0743iJjiE5CC$GI7Z$QI`m_V0+(cf2JMl4{x zTCqw)TfX|n@+pMIo`<>N! z&MeH+WnRJnDuPXCW-jlie8NEJ2^t)eQ7;X;sbKmK!jvbdqP8iX*GIDfqeV|5TZvy| zMeZBToSogwQDA=_%t(pxZGAZkQ)vAbFo_z? z&BM@$Z!xVK(ix8_sKm=NEQRhoXCDZpAW~(Q%CV8?NG5FSEDM6N%tLrP_hil=q&*gkAwH zW&+jZ#qsgd7@J}CNZ-~yN@4tZj)@zCdpf^z#wk8KjL;pmnu>i?R`0!Ya0FN*dF@OG zO(0D43i*4F9#=di1WD8eg1GX-duHec)t&6!6NN`8WE(QVZ@r@^7pe# zQp>ywqGqFP6iQ(&|Rf^DYP#kr~A~3Y4Uk2F^QzzkSS#&%0P~2M=ayYMxWP zTtc9=ehLB4CqWHxew-YCi$53H)R-4ev(|{{@Wd-;Q`?LbGGYGt`NurD%62?_F@F9p z0X~qzI#6id%f9pu=ZQ5}hlyW=4^P7E(_@n{>#Z3LbnFl;pD}F`h(|djc&lr+>B9Q( zKYnZjaSH=u*X}@%u&|q=E#a7~Hm!U+EmaM7_wcebcR)P-6V2S9hRN1NUh2<+2%%1enS0AOQ zZg+kqBqn)IyEje^W>*$b1waUKmxE{0FTs!Sp5Q@0aixj>cr4PS*qM}*0G~1q&~$=L z&kwq#Nao<+RDn1dEM_Ruj4La$sis<(xPibvgVcBvO`g&+!18vyXA4 z|HY&J{3<@q{mjrj!BbPAJ~b#IsJF`UeWFRqgi|nRnL(Css*rtTX-LeqiA*6+PY*J1 z0K8vcxrDrd!>k3svodmgd*L&H(`?E11n)~ik|R#xx7ba0*+t8m zZ6~128(6mO0=?O#Vb^vN;?v zYCr9buXxcN^A*S*h}Vu22;p6X)%>NEZb+Ymt3BvlYP#WOq?6I`xpGl0en0Ycs<#-C zArso+46S>dMeK+kuosBqEe998%(sByUtg6uIy&0_`fw9!<$P6Nd^D_ks}9SimXPy3 z^xniZu9Qtu(091Ula2vHboka?)`W=Hjunr z(~aR)P@$Gjy}q9KCBPHP+NC@VEDX=^;IWivfSW{mQq@B&TM&V#9cm-Xw^cbyF8e|h z04#AlYV3F{v+HG_SrDz{6)(Quy*dz~guv6CNt*Ii3sJSmQKhudm6XJy3B&O`YNv-C zBWj?AWIxWUe(-6##xWI=@?&1!+m(=Y1V+YX=&{zG8`5gBM|yeZqebVUmNW%^$9N>b zjYk$E+>n#=l+(|wAgjnbdtIqjC3d`M!XdDuW!a}hl;ySdEqLlm3+7gzSNB2YZBlos zDh*F?$mnh~Ya5@0WjFizJfnv-L!&d(hK*uE>yVAbbI(lB93pS#`mF7Qc;a{pN#>(j z^i(}u5uY^mG^Ay9HS*O&F;75Dj^~xa(8RJ_oDY|i)p-khuF>N6g;DCkc%nMTV52(u z2qJDRXqM_|*5gNsH#vsi1!-VM^)i>{sbPsKPmKz(+;T?N>tqoF(;yVi1);|l^cNiM zc#cjZim%!y-9?)xC2S$|QO)xhNO`~FCe=9=RygA9$Y?lPmAvD+8H{d>F%8O8GFNnc z-(GM=iR1Knd!kOZR*NG2N-ijlyoTb^LF)Yy;ge8`29U$2Rkp?S_sia)PwgGLftn5Q z6nc@E3@izkhi`A{MUX??bI8{B(_yb{+7YF`$)8+1@gF!H9(g_QC~w~&jC+QvgSe0F z%ng&d^gLfJmXN-sQ%XUP=*4_63I*R#ie|2@TPWIX6R98kxQ`TGEtf>0tEl5~$zfbE zams*TX|VC7*mgK+IbT9hT1<3!DQ%*&X`j!F?@Gsa3#oRx%w*#;tT{t4ih`zhqdSkU z%H9USJQF7Y>`aUG59Q@dy<#bw#(#rnaQAzN z042t=M>z9sr;Ni!JDE|JRS_f0FDlGyWCH z&OfaETkrcPlAYhL|3{J?5HpBTSBHt6PKTWhKnFBn1Jda-vV!Og0j&C9HI5D&GYj*- zlI*Y;u;>6m2CQ^?Ozc29AV`OmPFF`)hmMV%k(piJke!X0mGwcg^OuID|K`dM*YF1f z9uRmy-~oXL1RfChE93bef`~sD&;M$1|C_-*SPb&F^?sJ@+)MTUNwfjr7x4zag@vV^ ztr{~6;9kK2R$&0{(|3O?{3hZ7YgND^o*)0Y7i|1kxL0cYSh&Bn__1(*Og|Rxi-H%J z?+g4`_-)VNl=XjK48-==#6b7W`4zhVMtZ_Mioe$X?GEc_DbPJ!|04xr2K~9@dH@@U zo`sDV1OP{-0f_0sFZsQORe*MDsLFJDvHznye&&h?+G&I}fQv4X4KCx`>+L0}+2 ztp9M%|6q>ppZ7Qbh~-ZfXQXEb>&=)Me*qpG76Psg1pEW=KV5?U&rJsa+5S{@02}j< zvaC$tmmmF|>0Ss2VEKo(|LD;F;%{r6p-1uSX%Q`N!WHn7SNd}aM5w;l{R8!-!z@%PBzUta%obs$(t_@}C~fcqIS z_*j37tp}em@Grvefxo|`|3``gS&4z{;4c3ow;p`H!PObTUHsju9!+x#)*CJ~E zd~Q7xI3VZuI>$c>qXCOM!9R7sX4U-Nv$mi5=08_3GP8qz4xdRlP9-#%U$?_Wt*66U5a z`jD3!(?QfUR$5jWJgh`-oK2{tq{^+Op`^O=iO}fCaD0VGsR@g>dabf}TlXxHYu3o+ zLmH;+sc9H^HntkhW1UC1Yyry2FP+!tqv%dbp;=4PC)3rmyo@PxlLdx8p+AL%F zHN8>t%DtkeN-8QN-%K@F&T_O)%*vC6x>ef3it4dpqr~?oH$gI;+}pGtpG_(p2d@w7G=?uc8qr9 z9kv2sJMDam;BlEHT6K-hTGHTb`*aYyW`dDpRWf_nbW`(jpcoLha$uo_Ow6LrWb`I0 zC_iD4?#Z<|0C~T=5F?lmfADTMD{#P3hh^|97sSD+F!A|P-}4@WCQ)=aW?TXWDxSnw zxerHEha?pHrJf~YrIWYt)z@uHk*q3(_V-yjc=)t?5MpfsC*+y=X}Qb@X(Zi0LT7Sm zHk3g_6z{F)tvu+|O)5$av+EtCNS(XTLhJ{NBX1%(iyD6f=;uAfem{Pf(z6t? zVBmV?&`-9(nPse{{7L!>+hTumTS1jI#A7;FsA+%9v?-+_Cp%VzHje8sA&71dyL?^3 zT7+{CO_44)?Zv`fJ9MACY`$5JaWk<0~=b^q}4m zUC>_bO3sObd`)joSr|Ja=ZkMavM;`M$E{|Dt`kFGisH=R34B^X#}Zjz!wFFJFo>D; zF$a8mg_zFHBLvmO=2B|lZmGy+(^=y6*@B^$ozf_}&eo(EvW`zE_3l!o68j(TArv}REK3zco0 zgsJ~5@8$Tccz1ha#>r4o?Up)8)pRc_l6A$S*Za0{2RoB{vNx&+S8{dgx2ob;d`Bkb zbs{#7L8NRq8JB`rhJ7hn&ysABB8=s0`ssb6 zC#HZF9ia*0>RFqh9fHoxX~VibhhjYW*Il_ms3O-ww zZ4mr1HvpclYNVtG#WsuHtGp3eax^1-#O+a&?-|_L&BT_=MX{k35*M5Wt zQA|3b2dikWRD8Q@TwIj&O8i4mnd&j$K+9PmjvSLBuPdJ!;FmpS?9Nn*V|Q%6c3HHU zl|b{3dQAWz^ItYBU7Gh3iDD5UuBH;gKYv{Qnu^h9ZU?HnBeNC4|c@omQ zzU81?#lA}Q)_%>IdM>K|`B|E%V&`u6@cLxT(fX@~6s8qkO|)5C_9yOKd|N$0i&5TGtcbWr%a{_H@}Ok5xwO7Fim{YA=G0v=7gyW=}cBy15tH>QX<6cv*&{0 zYZuNRB;d_iXw}_y;39w^2xmtzPcD}pg}W%G5MnpnBP~fgcPQU8?5`G~*WrsW|K(F? zc9weRxEpZh0|cW@p{e7yN)4tlCb z*J4ANImXI)6l*e>z~)q^`gSrs;?pewY_}%dZR{(?U{mB1g=)*Vn>z;#)-mzcp0jGm zBf}4e9l>3G>P+WZ&enihZqm8{vqgppaX<7YKZu4LTQEFBF z3$ZcL)>WkodJVt~l>Lgls1!QJOVV|}Zs0H;zyDK<5>ID`4J6k^`3XWgi4ohGEPFb| z_Q!#+g~Eg~=y%yWDk}TQO+Mo&CVT)a#Nn?W2e!P`_SG)Wut+D;6DbkLxOhx_))+N` z6y<$7BdF>XpeL+_JTko5Js9FOM_eF)k=?hiR3SLOu-gx&E~V&QA(h``>7FAng? zR*k>*S51|auHw}OGS|Z00wo2!7kmmKcUt8sjwj}z26+hA(o;cn=@krHGn7Z3G}Aa6 zjHnVVcd{JXulU|#?o2D9yKUwt@|0!vR-I&L0Z&*8igw7@~T;-ln<)!ZJ_3YM~ar%(r{W)vm_sDJuNQ6@Q=bMp~xa5@RC zZ>1Zwu!ukyCNBs!)=Md1*Lm46I4s-N-}n{-SqpTluD7fg#?A?)&Gs&+10VR6q}z&9 z1YU_I#X4wcOl_mOT(i$UY+X~(l`0G&j2`H=Eb79K`*zH3DH0IZH`4x`nr~447-fz^ zoIZ7uBw;SIOe|dT;Pp`~!&!V5ysouI&fpjy)4BJDO`eT2-%WpFgfH=ii1lIx zpstJ|m?L;HCYs04AMK3x>OPM<6wOm$;|M=bP$lB*%HXPB$qBNxgd{PTs65mZP7>dQ zAQ+xMM(`_^y-?3PXXT)5X@uelch1#f#SkU*TECd}T%=N>KPGpRrdb zBfeS;*(GzSx zVXJ88wwuo7oIejs=V@jtA0tmQjy;yb<3Jcj3}bB~K`z@G;k)xQ_v5O#%cSt497^`x zp+UW9U+nMK&_q$lH=n#XKK3`rpV?&FV|`aJx7J>197B7Q@y>%M>an}s(_}k2ER+LV z%PvdXCSxcTNqGFH>yV->d?3^VyHj~jdq}CwDINFQ?vV_ ztkx8{d2Yc_gof+t?C-U`JAz1(VOaq2NL{n#vX^GlsiJlHTm!lcPdp1-@nHC4fmJK< z*rGCIxRo=kd=a)1TD&Kt*Y)`iCj7IrzSBQq!h;|D{lk-{2PXXgdgjdkrKN0uq%;0Za!+Y|}-^^~A?>R6U3<5TmRxd4` z)PBqsvaqudvjG7b;H_=I`y^%tvi`D5IXfGBJ-c823*H%s{jbql?rZ&ey#Lo}Eq}`6 zmiZ@+!(W?oWe|}A5VN!1vw{Bn{Occh%l^UiE0B@t$7%b8-T^k01t&cGcz-|d|M!Nn z_owz(1pmc!EPxsKlc8*ZirPE8FBm_jV>_RbpP$*g2)`872Q7O&5+6`KKtr=J`)2so za;lvC`}szGUUEoW$tSXk_gmYh8jVGH^jhxj+cR}*d2(7F&XpH-bLtJM3)NQ!lZ0nl z?x(x!E)J*tg$m~z)8$`wO-ikL^1jR$$iR7q*~CnWu?Poh*Q@Rt3eOL&&)@_a(-^~6 zUNE}K&CRJA^-^z1E6WX}TOgh;_7mkLDREfG6@E0YV5pB;wp(LTZpT>3y*4-`U_t{x z*XH`cn`kR3=@!!e3MQ1D@`a-F`pY#`A}is<69yw@I@x z@ByuPFMkd3l`H&|<%C&96HhO@*T6}c`>_^I!S?BwFq|+4^x4cD z8Y*1h2r<)^hzaBu1qZ40*i8}SN}#~;HjDCaW8=N1L{>2TD~_}#5UCc_kV~Jr#;o_pf7}bw0NmZ;0=w%&4ImafvR|IpIlZq^1 z;R^lPPRKCdWFKmY`RcMrlZuQa2H0I&jIn|B0`%=8NN7}-NxGilas02Vzp%5)5+~7Nvx6+ zOplfc(7~2dD9DW;j9-b56;TSA8pXf$Q+Neutvn3UA?d?zD4!_z{am6JG?ilF`gX#fs^Iji`)wQ?NAeVp_wBtZ=aQt-r(hAKOQ-iIu``)N8R) zrVfs2cF{#WsbeP-WnpO_K~@sD)hWXJCJ3I4MSUZp3NQ5z7X6$aUGrlz>$Au>U;@`x z&qRTJfR=9qnSCvJl^!0NijhsPKA9n^fQ%aV6fxdy%q#3qI9nzz>!PZa+Fffrq zZ&(YR!yvTPBp@mJ=;e31a;jXEcoBkZ@gO;YJ zYkH{Q>g4JS1N0}92mvvx+M-TT2~a9wns)T_sr|ZsZYV`+$+yOjv|mwHQPgYmFMoFG zB*4Qxb*@OCdWvMfz%ys6Al#+lrqS^h!DK$U&S7wLpXg#V*#K!_&tlYaxwNg#m`q?# zg|2!Q8k0!BV|LF_os!H|=cRoD)v4lT-F}GxSYTTl=uk8aIOPTTxvzqV46YFM+E z1R&{F8Ao_F^eQ^h$GX@iy=76>Np-DB`nuxggz!JO!n;C zh~e|6;fQ%s!L`oZ%&{pY7D1OwC0Sq(MtgE*}`4R6MU9G~uu$J_9jO#(ue15PdD zBrK|Fk8bP=G?>3YcP7cpNRAgS7(Bg|1)PH-pD~Me)Ie>pTQN{>WJgB3;OxLFz^zuk zhTQs$^tJ!R=xoxfBTd+h)m!>eAd%6N_X$(!NGR_kZm+GrucFENVII~Bz!UEgeKtB* z6t+SOfP|Zf@D11gcB4PcX<<25ZrSMZ68qLzL;f@~MsIxt)Ii_UF2?>W(?^)t!Y7V4 znQm||nArhr?)>qfjJLW^_xXUIh1bcMFx|Q7eovHT%0@?|>+}rFV`1Uv`>NC0<%&cz z1wyN|3oZ<`7{OI6jS9aWbItJqVuK>!*O;m#Ao8AurGP@ z%cRA#t}+tF-Iz%!(o)xWr!c$J(ea@{4*ew=Yzb?%)<|ISYjy=p|qOU53ODXge%)ip&`#51!jhV(79IT)0Y z6|&xxg&*?%8-BdkU0yQ8_cRIjx$x-keED<0A<1VU*z%Uz6ba}KwuhQsl*-rwcgvD+ zni}OeCp;Ooy=VcE_}`HUQE&H?yg9PxRvV*}V8dbdhn}F0Eqqnfq2?slig!adttIHJ zS{aD3YfN|6j&gs>h66>c6d0PWq{tXOkD5n}gS!6N*b(FVnbB1}o96p;~RhpuszHg)p!CvlPZK>e1FYc_<VEQm&>zvMwI7T`hRq?(yN z9LT$}!xHz^Q{FVfVK_HCGaW#V|HK#je8H-W0^7rOhHmg%c1>}wYUyj9N-1$0(MZ8~ z9q-WEw&X`+>`yBw)?D4aqDrAjK6w+Z%S-DG;PdZ}yt^tSv%vJ`~Ntod2K z!>e4V5<4^sBG8qh%h@>_K-kq7>IHFlKr=}tpLXAI7PciDxwy8u(l@bpkM0gqSeMuz z=O)KE6+cbfZws*DTZ+I)b;ANcZ!^z{n4Re8Ny_NOuaKf@lvH25j2CZMF3)zWRDTtm z@Y*>I)ECI=NO~c#FI`$crR)NKaFaQC>G2(5%g2cpDTN@HE6`Nj;3X_RRH^!llgFHs zILEjQXmU>63`aW(ATZltYx4jbh^t8gL)Bc*Q zs(IMOs6!idhS_{D%IVc|LLPV`?DQ2zc4$ND!boOC=E;*G@RiFOyEgp^eFz1ebMPD6 zM6VG>EZHlp7DbMUC^4SIZ6bNK7j_L@7MO&UL9&i~QQK-TWgO#HGZ9HLPr8iX!Kz-S z*A_e@z)!j>=5_aUzuKO}4$<1xZz*1!);R1+7XER!b&Ons4U|t_v{_$}ow^ItN*Ow`p$;y^ z3zv2|h`Z90f0fzJB@L_=Yf~s{gqFg8H(Fhen_8yBF@vD7(;*Y_n6(hM7(Wei{H47{ znE6O<2c6*6K9UtD?(F#I4>;jnvjhYF#c#D=Ou2F1@~XKuU$#!912&rl*QOrF$oS6&Rj^$ea#RC2oE@1Q!%ywMuyDK@Kex77@unGRr}G;Wb@SsCQMl{@ zsvG0SMjqQm`@;zeVMd&8!hk;HUaR!mkg?x#lQ5Vh6`^Fls^J%{#YxbjPvl*&_i)~H z(-!tRw;<&R-~wVtg&VduR;!D$!l14)hVl8BMkm01h@|Q#)?txW&DiyPDL~{sv=(t^ zBQ|@$K2hcde{H2ZO`M%2nQ-Es;OVL!3fl zgka=E!iI(a;;~Gwb4XjgeE73#OxjkopfojsxXrJDRGLzQ!g7ajCkGWPPwy@Zz2Z>i za~?NaK@%Vsj9Kv{?RyHlJRc9tfEp8SQV4AY-3dA+>0A4vJvykwZUr?QSYtIV@+ViJ zjMQG!ii>hIw3s112?)0G_wpYa+32)NJa{$JLPlaOFcRh`N{Zk=F^TU@(8-O-w$Crc zj@kW8d<0U_$Cp(PQQ#D6GSJEyug6a(&^VNcauvq7>_hxT3IsF+JxZndAI($&f82-u zdZY6jBl}^d>S3np|4T9JY>WU_5Ids|9gxwGi4Lg8&P1onrV9>O1hMLaqZOI;^_hN& zRdh19`lDDzHWoue9X)nkIs;uGhz|ZjrZ@Twf++WH)4D(_;etOV1`9b|ywcR&YW)fSD1zy`BMhQ?St!oeq$djn$Bi zRgYay|6x4;S4O4(?z#`x@CO7Q5O_e~0f7eu9uW8|&w%%IwjX=HCzt(u6f`!*zeYj3FZ%0!$p3W;8XJ%v z#P&hdjv$z*Cgfx%B4?*#XTZe;=D&f>M8IcV_W#6$V-Pb3lMV%b zc@fiK5Vo|i18*-c|5x(YS$`bHug<>=%2K)}26{hsB4*CQ_~Vm%s@xwj>A)fO;E#Vf z&U+@^4`UPXHsIs_dnVm|SN#=?f6k<10s??cKT+v+UDcupsw382?}A-+9n79sH@mjfvCJ+W!h|MhAoL?D0*+2Gbtzn(!GFM=nQpkZO#|RQ!I9v^J zB+_hf^ElVJsP=TVxw-?#w0B#k+?{OqAEOp8G=4w#ygSOzR+4ITrVLD3&~kTnJzYJU zK(_hp?))Ts6VBW7`s;PZ+)_h=9h%GMoA2Vtyc%IqXswT{9k*ViRNvKKww+q0EQT7| zOgi30B@cS0W!HN|d}zxD<=#XRE?@42C4D`uOF7O#Ew&KuO{u}Wq<#^acyd}kd$M%} zl+3=}=5=#nszI?@zr4%ps0KyVdPG8a2z+ZRPh?th?v1K?Zy`*3JYyv40#^okkUhJo z?(XgitE*i|i25u*Yzt?F@fndG&O*ay7w4Fu7!Q!7gp5KH6-U0rhTy8i@^eZ(4DX-} zldQtBpej{=zdp>5$xrj+_{CVJcZ!q6C@dkmG+#w{5PF<{C2H&6q?AgbzJ}&`x(QFB zALMcA?0a*zL8aBuaCJ4vu;7N1>~<%QXAJi>wMnCUdECk%EwR-Lg)B=3at;tWA}KpO zwRmUZ1l@=LSApsq<{;Y^uXe)h;eK?|fVaQkOBxlupt5B28h>H2q2>~A6D&FRRhVKi zcg79V`sT4t#0Q|Dr0L_sifY@Ln-CzSs2nFZ>)Mmq5)%nggn-R?($Hqx+78|I_{6_e z`vuhwDQYLS`3N?ys5x29p8Py{MNtpK!gB64)@!I|1?vtFg&VBGU0&U->qwL_IAqlV zLw3~5pK#>kGbqt?k0y>Xn!_7E>9ykaX4tb8d{~FcSC-nrB00Lyo|_}LI2Ipx9ok_w zI}pW^oBD)>oPvEe@~3Hi!av}BH^zH(vyXaF97 zat>{|+;wndfpHb|N$!{hM1Uj`p{xKyYpUzP#$HW3i#Mo&+ALeaeE2% zVIg*fW?IIiAkDM*?LJTR5;}Kzo;`wZkSqcgjq8RIvl19aBcwN3?$c%TVM(*z=R)Ww z?J#3yRoV!?{3aI16Vx?2&vd!F8(5eS;sMqYvGf-aqNH3%Sz-rg2muxtU za@U0w#2fK6&yu1nTJgvj0w88jfP*91?LMJwernQeT?)PC{$w@O1WMl(+L_ zx%k`2@m?>?*7y!K*OH~D)J^i8BV3ZF=SND9TN0_HLuX0m2>aD(*0OHav`%3DCWVTD zm|}IOFs6;bxEUKc=1ZgF-N0%h&FgQ8GWj`0Df7+3PS(&ol*r^NM#WkUcZ~J!-8(LA zP4%yv+?);xuXx=qY`1ypoqHLGMh?!~uCN{3u}?7`&m_B=Nm6{T=lIqOyA}32Lr-<6 zSnKBG+>yZ|{-6)xI#>fXrvEi5jj{dp_5p~_V^o7iPzhPk#;M~gL!Y9ibuRD zOdiAGmGwx{?M2I~5scr-)&t&qDV%jCj&=>4+Vx#NnaR}-+&3Tk>UJz;qQYi*uhr3&-%{!o} zvL?P}0sU*+p|0dvJHR;O_435*!)}1ZiA?ySux4aDsdA;4Z;~2M-V+ZzpT*d)D52ojbC} zIA`4VL-+tSn(Aj({i~jOs%y^vO_YV65QSxUu@`;q2T*3*Mz9uEIQp7{FNZ;_|;Js`*TT-%uUa#@#$mpPiedq9blV2Ho zR7t(2z`1XeSZ@+?mH_$)aJ;Ts_D;C$$b6Gk3*Qr7UYR(>^1;v_k$|x8f+xtyF$~AA zpK8ivknAe3RfF&G@}mV#==)wW;#hI-wM)@zOQ+=dm&n~nKE{<399jgLVJd{;u%2y9 zuMC`&Wx3&TZw#F5&C_r0Y94E6Ox7dk=U&}!nHtn3)0F$o1j%~IGXo<^M$pif7PU3< zP`Rs9(k1L=(86}nIhf#=rDo9ws1H(vO0w?(I%SbP+iX^j0@$kW8g=U2b-Hwvk9LX& z>6x|8PA&&MrL;WiMk9xMaO$D)UNUThqS3v^BB71$5D!J^5(m_w)Od#B%L zRi;@lY{74ZRhCLSqV-fS7c=Y5VRsQGC?IuBPHm)og zxZ(Q5>;O$g8ew=U8=1zGD^gw;D5a8MOs}|I-MDM%{zF?C62z&5&C9|!*^iG<-2x() zv!`p&gl)cCiE4#V)~&;pGKe}=o{zf#Rm++ZEB)D35rg-d`GZ?eN5xr2P$*ERYfLQtAHxMx9$YV5666q#a)J=vE!RS(^j61d8bKK+w$JZW4<0yqDE*dzm6> zd?;uBV5o@76&BJT79#s{QJUjKk^@BmdD`7xqPp+P1(L*Pe-ueo#NM-c-mratln|?| zEVj?28L&c_1ye>V-`G*JVTnfy_cy{GWIa8Q3p_U8(t1X?Uj~>zA8uO{->K+*nb>{g z1r^;P_{?v~P+^Jwj`=}Y_23ir{iGLmVNV9CG7@oC9h`LFr|!&m@`> zs3@i}CR@R--#*a;D?X<{R_25{0Z9@e?c#Ui731)uWs=bWprRqDh+7Z?DQ5XYin)bM z_nhSpygL=o5r|P;1m_?9wtoWr?Dw5Y7#}a)+duoohj{!ot2!4}NF__673`QhhnQv= z$-At7cOEcVCwuumbX(;MwM$AgH;MqnbiDYi^Eg`}BF7*NcE%kwl9VEJ1l@|fJdqBc&&4HTwXU8l8gmOG<^{DDY!O)ZU z=WkZ4w9uTLU^^G1$={N#&SlmhHA?YMGz?StNJ*Rwl3tFqNEsoJzl^OCxU_QL)rojC zb=MSPGmIv|iU%#ceP^50l{d!XX;n?JCnx%~I+2{@7d#T%=5?d=ibCY%FGH);cAYXI zfSfoaEj*FO`5p(^9?r;vgSErx@j4XfvEr09N}oHSyt{KgWy(sk_dMhRSOyJL1bOqD zDc-O%-O2KD>tL~V#Y4PCALZ=rQH_+1Xgeo)${NEE@OU5=?)pqJc>a8*$eEtqc;ive z2sb6UM7Hh~eV4tIv)TEm>03<`fcAL$c8_j?MIkB?!Ic|z!-}86i3AKjT3Fl7W^eZ< zZ(`TkfR2d<>#2ru*74F1c_D2i&9pK(qtUuGxw#V1igs{xy0?kV;4R&TX{$I0k1tOt zS1(epH|DYklMPK`?omghvd(8FPiOa0#-ObMO zED4{sbwNmr62oo@tuqEUN>dh;{J_$1j3f;|Zz-}11m#QT?!_{}6o@TIrrv38PxMWN z*E-xCUcHD4G@06Ss8-0rX|&Xp8mVHE#mvnxPCVS!x++c@X_&a1TUCbj70npa=^_wpoX2a`DyL+@+o7Zya|^D=)+29kBn5~Pv?vD!-lB)3)h$J-)b`6z zvb9aT7r86%VUy5?p1@wCTUQGIQunli{DDq}$mndEp^V;<8FH-QVUyvTEaJlfWQHQZ z_L$y{wY_&<$g38WHg}34NWeMzyyHDx+f>+RDph6ZSqyP@B=H9?nlK{I?Z#etBL7Y| z=c1As2@{fePr4mquLip@q@Km@gHx(RsP#vT9wiWM;tZR@^oL)#{ZJK$3i?9cZp!-y^D zKu%*2Ok;Ey)Fxxs4q65I-_om(@|ee;hzzlnc&`EqmjCV&EeH0UD|EH5l!z=uoVHyFJmwj0UWqHI2~bvRo{sOPVv@En*=>DG zH=A}HGq_O0?uOd5E_ex-6V$T`Wa2ohnW1b=H!foBlszdrf5FviZ=(}s`&x%I|H$TO z-7YUB0oJRR62Z~3g@~BtL&MNmMaIW5Yn31%Q1{BWZwx-RGp^6*<*<)TCwFyTDb~neC3>vx@D(2&}b)#kZA&{ zTRX(zu55WZBl(yLFr6gh?=NH!gU(ZyyWg|Q>D5S z+9cnB;9s6MY~JrpDjOUKoxx@^(AT_NE)AcUx&}NifRj_lDTuaB7*c(=LtE#? z6I<-L#xAvHPLqhwcF#h}5>%6~Fv=v}U*g|GJ)i&@dTSbn&pbvsh$%87qFsU8}V3@bWE8y@RI> zZu?rrtQ503!CfrGfwP74ptg!*UASzIOm>G|<8m_y<%M7X*&MvJC$}tx=Dv==XzjQw zRy--CY$81Sq8A-dMYv{};Ya#`R$KO#^lON*GVJ`kHVb~f*{%QbaPL&2aVg}%;K+z| zKr5T68Evy>4!6oW7bTAVBY;}nM*3i4bIrf3&0m?sfQ&OMBz!)FUp^vgRE{c!Fk%Tu zmmBjewkzKs+l42~G!&NNxYX~+&c^Qz$!)+gi?sjLTd->dR2>?Y;pBl4CJDkQm~}bp z2)b!mm-^LrpH1+%>B_Ja(NNT#h#M=WELg#_HH~ft(zVLXPF2uJ#CE8bpykfHH29Vy z%uHd~bZPnzPxp|ZQa%CXKECmf!s7Z0KD8`Q;9xt~L(_x=wUf6bd6E&%$a6n^y0of9 zDy}WoKt9LH|727l2RW}H8()p!azgxd>IP}BDR8Z=h|@OMC)dt>QXz(zQrpFBhHueO z$Uo4=){NU@J`&uN6BwfR5G?d9c#0G)jn6gCRmxo{pq{2HQ5(K=nu8THtx?tWytEO` zT^b74usBg4mP9&2Xyr+DJdrFLb%^1!zoNV=?z_72O;wc!m&?1%&sEzCrWxNZ#y%V{ zw-`CE2YeX(>JVnoquxKvgt1+V@sy(BwNn{tBA`br0zY4iTMJ+0#1kKd|kA64%n22Yzy(f(Tz}go0dOtxpgu{!RT%%u0;1eX<2VbU)EKfk7*Z z=*C9j1STEh=XC53l6u+A@bFp%&i0#^kGdp4F5Q)-D80fm;(*QWOx(I2Q(;Gie3)yi zgsE>wy3qjhqF)4)pH45r-11)^4=)kk%%^VLk&je|~T{YLY;=uClXxRo=B%zQ>@YIvKsfAruAz8Orp0;mnIW&+fX1b#NRwt{#y7mNj zgwNV${!(!hhV!a?Z$eq)zq!=20@k!6fqX^ci1`NWiL@_bbL8AyNvZ`XO`&YpqE`wX2>E@6^R~B3Ia(JKyW_(XNkL>MOAY29oEo59wU=;L!OY z4}C*Csxlq`3!+w3k9vGxnf4|uI-PMDlk>J`mRc2ru5{Uud}Qeu;}Ej%WCv;L_J(vp zuQc^lmf#DN+kqrVUjnUb=4zn_Z^$e^Vzr(xC(FIqP2Bht=v`4lZ2qp*iYB8ihW^3- zc<0D7(OCRhZ(Kr(I9Zfy(Gm{MKFXGDU&y+TFBT(jSuEocOb;zme))2K$&$eaYj%;- zD-KvH@x*w6(*b?!sIP8bnRLyxP~eFNRxwsVlYEft7EoerRI&~&&Y=3vipGep|J!n| z1%IR+jcUZ}5x9v6+e~9I+2(g;iX0CL<~X6bns+gYzZw zAqC9OGCug}`R^en4rI09zh@^z;K`GqYBu)jgwtafXNqSlH{iPxaqVZaU1y|O>jN>Q z5CdiO|365K|5bsY*ZCOsG>&Q#o`+nF!KG~I}Q+aN(W zTDW+7?9v(_rHfEDt{EMK?-oJJ_qJjy&H&=It$e;4Vp&S|2ZIWfP?@BAGVFB*<~xb6 zl!+gx)pg4p5qszMsPc7gmOWwbglBzH0ul2?&HD~^$6ki-D7kK*Z|Gi42}UIq*~u=% zD?tEHSVlC)Y7<vqkUK>}L`t2AtVg1{d+ZHg zm@lAmm1xj29JlredWGh!?$D2#>)}6Y6QILQ9i{KA|_(2LILy&4491VgQly zg(9`wCGd>RS;7{%b-^QaV!mKhctE-hMO*tu+}UX*+2`x3g}HKR?EHK&uco;D7;9Qc z)!W1QA(5Aav06)cSPxdqh=a#!)2}XGEgxebgiAL?*M;IhJsEavU$_sxFmy~dtW455 zY^q-zHGJt+ebTg2(M{THv}}WgQQ2s8@oI2D+NG&g!)ou{&UwKRSmj-4y+Ft){{G9o zH@*ImZzdg=UB+GFOWL?Ob!(`z3ra^(n9wo(D|)a{2(OqL*RI^z7W=9kmOe0qzkjAVBWqd z1s0B^=0eF)X}y&dP=aYQ-XixT&aNVlE(2OQ;N{1lLk(|7@U683{o~7rh_)CfB4=G1 zOz#34%_{j|o5Va%qJ1j*;1BeKzO^0KM0z6=ED? zO}v=|n0zJCpZliEaGW=1YAZOb8V(N`9WR)$ReJrWolE=R^fu(kA%O>t-(BjeCcTpA zvT!LIA3B_3UzAV1BRxaDM^%M)q^%fvW<2zs~BUUBd`)!lJZusXA+E$GF_)<#rhhgscJY$dv$ z?(>(3XQDL>S#D-zJ~;%4k&$K2W=VG=p6Zc+2+3jZuRQ7Ty>S=%f;oau`DWRNxV2(1 zc#_toecRVg6J#O?&q^%KX3DU|1gK+6`(BxB?egJ^|D(%@MY zBWqXs#xncI+EpnZtXru%Ujh1IXf_Up_Ug4cSJz$ap&nz`sUbe@V5v!2saS7?vDAL2 zh6I~U!us!Hj&sbgTnwwquGaV>Bs?2Wa`GIdMItkjHRFwZe!_?dWjxloNIb4Q)70WI z0Pr6u_szsb^@qn$kE~6POgO& zxmVqi7BeyP#6?sFOFzDY6`TnAJ|3s_kJ z4H)?J9s6O^ORByTff=%CdK~5ZjRTLB zj31U?2ppxNpycS9&-8SVU31&(W-u9j+sk?46lsmmpRg$#ue`@*l@3fK5gLQV=2On; z3JrOSvV=^aD*(~4_SMb4LYLf3wqc3B(bC)Sg<-uu<`ts10i4C4D;aaz)9T!|gJUxm z4G7U<(sGGNp6M1nx!8dW9D$N%dt)a{PUhev=$1)S;|s}RkcT#h9c@9VtjCGYMQj>b zMUg0Qm*@2I3Hv=(M$S8r(prfv>wwE?bZ#&}0(ebhTq0gFOPqhRRI%*bqb7giC(J>a z;>FemVy+VDU9R)&YbaN z{&c}aNG?XoK5bUB)FgDGa%zVUs%+k92Qe-7He`-K>yH*OEq! zwRk7fEQ_6Mt8Kujp&gA(|B;qhrENT#K=AE07y{dOwXb?{2whk& zo!uYXv<_-jF|N+)UCY&mOue)Fi^~RQIwF@YMv)|1;+|$9S(`U|Y_MKu2+ZI$yk%3A z4m3~iRYj#-89gn8z|r~mv3=LqLq%DQc&3O8imOR5*V}ceq0fBQbNt9*%tyW(^R8da z6@f`jWqyt6f_Z2%N+}&L&EC0cH3378zdh;n-OgZ=K*(jI25O=D<2)Y|ExW9O4FVKX z$1AxME2+^W@B@uFQ#H--4;80bUzQK&bCAkE&x>)IqQ&=y%@hQo44KHssM*{O9L)Ar z3KI3qY&pgCIi^uO7K@9^zHwavEIFcXN&ssn=-=C#8+rgo&Ptrh66Z6jct=;9sI-&a zugV7^5okv@-+#s@8qLQg0XD@Hyn-p`&&-5;VVr?miBSmT;UB_#zjox%v#rCk?f|W6 zAo^Ap)QK&M)PxOthyR=tf{a1d-M z7yWF)0MxE@M7P+BwrW+6*o!Op&zr>e!y4ykJf!lzCRhD3pP0hP5m>xA4>Kc_1Jj>q zS%`J*Fwrk>_Ms;H58`v{Eolae?X*ObtUZhm

G9AlWo}Xk<^49rz#e^S6>{Zw665juWv`6N0gFLJ0;XcHLF6pd zY1o@yvhZSfIVzp}Q=6Vr7PUN)qZOSM;TJohV;*!ermZ<(o^)}C$q|SZuWhhKELczh z=Fdpjc!b6e3>q}fGHVp>R%i;dglmBVj+k+IxEnRP>`1d|I!@%Vl6rl9=z3iv&3Z@_ z)gkP-l~y_2n9YR@9*G-R>BPknSE#5-7=;CAC2Q20lSz2NaETG4Rf>sjnOXI8j{5r} zJq&l_u_dO%rDt9AwR1csDvKGMaM1@T7-`r%tgd#70*jFYbl>863@ z5pAZBYNB>|-7myHe#4Z57q5U~LKLjBZp&QjG0twiB#iYF;j3+F4T_kOLb&dI%BDEr^UgHe%!Vgx`@3kRZcwXI^QVoWn z_pBi0j8ZaL6p&7;ADz>M(yTE^*f(lX^H$I&1Thaz2qK)Z+DLbAP%6SkY2fg2)1mKanNDjbE-A%ze$Fbu6q7B86c}%lJ_;d%^|_Lqw}QyRBS&9#+b?NBu^A><3nFulS4He7 zGr|}wU4-gMwoV8c-JPvo-8M;2NVhzK2*}{SNjWc32bLP4$jczEZE}FHJiiaAt3)3x zRF)7T6qKYfU6nHo!`52u4waR0@+?VT9)O((Pj$SHCYB619sVjYtW)6Jv!1m{G(zbj z$!FQhKc#;U8Cs56{#p}t8M$zeZ-H8iul{OT#w%Ox-ep1BBYQ5*?v2CHb8|VO zoHF!TLVzv=iY(3%KF^!fc==85@-lkGCCIGt)%fwghkC+KU}z&@XRVK1wI7=J?k~;J z0!q#snqN1OD7odF_rVh4IGmf);yi8Fy)gIaR1Mte*4z-R-x?eHS8X&0ZOd@F|GRDEjcWtE#ChYUD z%7V#@43SMWsk}fhFD8u4$oyuD zk*eGE!+zxVtBJ*a`|fYsq3_CZZpUiFU(+IBm4CWPy@n)cJCznEJxr-9xC5nC8}Wy=vvDqsI0adlv{9PRgu2mbpZf@jr4XxT+=+gB3}L6Mx8?vWBA-jCUUHj@tJufxXB zmu`}uy1Pz`WFc|TU*nP}X&ge~y_f3jBI+TjJkHDz$nMdP?^|uOmc8@nN`JG=>dK=L z(pP?fclVyUFsZ^6vKSPgpv+I)x*dv_b=j|R*|SM2X<61oF%>O4bWaTw<1vM~=PrT* z3!V;;!Kw8N+|Hm^5^@}?(3tUG+~ocwI7z?k9%6>am`aVViwXOZMjYZ_{)mIU`dU($(L>*6!t_?Rd7b%;Dp6!D0q12ciX9>3%6NwdkR}(-31;Mn7|M8KJ zt#`++P!%D@%I0V>1u7%h1aZ7z$~>n0Xc41L<3hQY1KhBo9%)Anvn!_P7!#vvRFTSP z=}aTR4y-?syKOp`YyPx|hhzEFB=(zQd;mT$G%Wnk=KLeAFMrH)Uk(H$TSR4!f}{A8lmB@O$GTt^osD{R;4_-^cYtXz4A!L$YvG&_UG|e4A=(T( zl=Ski_vbZZQ;uu-IM;HH&b4CZ0_nC;yKL3^IleB}uS-LZVgx5KMTBmu8LK#K@NnSa z{Pma$i}(tF;N?2vCph#9IMpaUnF2IYwII6vr18@)WRu zp$Fy*=vel|;9bIbmK9p~sgI>Mo54nTKncfWkezczTpWO#5%8JUAl&1;>hYjooTT5SBm|6DJ^k+#;Q4q2RsuI4!=ZAD17ojJrG@r1GO&6`r z6loTvI=QX#XWD7YXE%mTR`Zq9CU^(3V&3tiY8?A+1U+NNck%q)~>S4s(n>2M7@QGAu*_m z|G-atW0VD+h6#I9UXdF3@SV!(AzFU9o9?K(LN&;_pKmUdrxvd?t)u^=4NGw-g( zl;OL>(>Htj?}2O#+{8W$H>WMa-cDDbSpT9noQV+s>kM^F-}ld#k(Nd%pM2lSzn!?j z`Q$iB)9p%!GN+p#f>CI!tr9_}(wvY&>lCmpeTn;S_x$zyf*2=yx&E)kD>5>Er=g3h zC?;xzqn~1QebQl{eB1-+avskHk3W+b{(E39%a=s^obs*GuLqEb+Lcl51$}~DHI}&8 zf?s^PJTFftwUCTD%?G&4^hBYZ{1Gqc>YylI!F5e?`+9Ufi50J&WZ6nFcH2s22MFYM zNapNcog>4gG5(9G5dr{aaZ=}dsYqLwxbApMu^RV9O`72Hs|ms(vc_a zEjOuY>C*c}rVGr3w z@U(@}yY|M)q3=+Rc`Wh@QyBZpx}_;<@$Y+AUz~8z{a; z*@S6%vDG-Mi`@@W4`|?9fhyg$C+&H$_Ul%#ZgohH=r;ttYGUsvCejGawUApDG?3 zhl5r)IIs9sev@7DIH5+AUu9g~LE12mX$ahsU;n|~Xt4SmgxXb1Z^xQ<%0AZn%YX=f zx!V@_`skq{=~vxXFTc@21l@|zX&BtQ;2>wF?l;u?v9MSP#zycDEURLRFs&42^s)4j zqPPH&uu08xLtQ;GsLYodn;6V31k^qVBn$~8l>Ydw_VEgiyA4lEpL|N+YFO3zekq*F zgM1w0oAiBS4E{ue#VC3vFIcQC^kqGuZi$Il==tuc`o-4P>+k2*B573y+?^I?YIW#8>9P^au)>zs!Vxrczt@7&z!&pM;e))p&6cqDmDjzekiFMcJy8^8zMF0|2s*CFk}h<#$WXw_=bz z&I2XIX5X%_uW#4s<4uJ01MO&V0?rt4I9>GRlR`fq8m36Q7a_XwtqE!?Liw4PT-yO_bC1&pWx@&lmitmHqW-vTpjm8p?U| zW5FVhaM0MKcr&j0$$ihB^~ZR&8rjR*dte6CZM~E}QIbY4gG?b;nz#vOH?~XPD5p3( zmk~7XM#d$pmk`eQHqCnQwV|M1Fp+BX8f&(~g$z93)2c&s_=Taq&^=))&z93xgiz>t zU*AlIpIjgV);|Vjxw+J>`u%)HzZ-D^CdSq4`_`>eiXrZ5puQSQA%<|H%Vuqw5`(Lq zA(KjFEyQc3TLtE}tf*7Hm}<2-sY}wDw?Yn_*z^+#r;eQn$5b&(MyE^mK{6&rsKpKN zdF?Q8P>#35E)&$ReEk|gJE z@(j?Dne{h$#=_&TY?$9U&m0_Vt<6lFt?liY9RL@^{U~g(p zsv;pps$gvjGy!^$vT`!9kTVM*kP0vZK~>cxq=XOznBDn6fXg@L&L*IL{6GHr-GJW; z{8r$%0>2gbt-x;u{@*L`>p9PUGCTg{ar}im^KJ`7ibVjwA`-;56l6Gv#IE2C$nlg0kmXFq-mm^D?q=Sg^8l znV4__xJ-U-xc?h6JR2zc9*7^$&1eS73I`%da&j~B0@yhiIeA!lI6+rp<>dW?Lw|oH~GDv|6lm<@&A4a{a@(Re{{*;3j9{!w*tQv_^rTi1^xq)5O@p70m4{LH}gs;rR>OuQ5R$9g+-AB6vZR=;nDS z8n;`0gvo^BMg$+t?Ac-fZ0T&6m5H^_xFt>63b|hy-=7$|Miu3~cG`wvavYX&e=kF9 z4!*PoBX}L`PLOsxwOTBTi3)h#t)FhB+LVsrUg{sQRa{DZ;y_o<;de*!uG3((2=lgs&opZIem zeiVKZ8GjUh0vLZ3exepZ1+Jfr#UF*ADUyE_eh&4I!cU_=3jZK}{*g}l$1DH1GS@$@ z%=M2ebN#&XFH%9(?0--yNm-Z`%>mXXf5~Ib#K!hBmGxg|+|PdfIphAv8KA$EhWe+V z|MD63zbK&W9Nd45gpTejQdTw&QVtNT@>ejR92`7MAiya%8!HnC(8>XVf`WR_&Cbrm z%4P6R0{jsBzcv4F5KX!UJ+tu>EKR7Y7@t5&zuxAJgjBt+TTJV&DI;_osD`1V7sH@790b zCI1W7S(rd6!?{@5|4^Qd2{d82*f{@H`JcDu|Gae$?jQCzdAXQaxIoJDGJ)=DE*2gp zR-S)S{^xq|zhIr0iHC=jlbiSFxO0I9{6||rEA>B*`_Dz_m#u@Q?Jth|Pvt>t-B0B? zdH!e%$G<86)fn7zh)hDzq4_Xf&j{YD9_Er0_r;__rEFsVmX*tuUQ8f z`eE-+>s&vn!+&S}#~T0Z)_+xbjz7kojps)rSV78zo-UjmpyBwJasQ9jx?fkGn~4{s zJnPSumX(w12XUE`?cY|~pHH)2@B1$+|A)Olt%H;YJ-YwS`hT?6ae$ugzw(q}1{$CqA5X4#BiHr0f0O!9YO#cCPHd8lu z)?)^_pP4nx-JSo!kp?|ner`5ENNLc>|J-gcOR<8Ul%RPAIx1!cd9WSKfzHtl+}dM+-nVJuGg!xOtjnpQpz7##Tkx#4OYWco)vg2dF^K`T(KEh=1|A?C6EdA ze2DO2sde)1YiS}07HW>M?rm<}0&_MenaFDD@eAzl@K0@=#6s8dMD6k}F5jMOV%;Cz z8@eo;wU-JF+}y_6a;mXa#(0u1%pzw#F1|_z5eW)}44F2VGgbmnMh=c#FP4lpb4PAX zqq6OAuHSn_=0G#Nc-{`hjdn#l0nUwt51|4sUpee@iX$I;(>@)M07ga**Y_7Q$5y>u zfY0Nw5~*rCIJRwSk+v>DxENtgFT?y_t&~+$2tGe#w?Vg~SB2=-NuAEsiw42m?k<-W zapmS^HFK51>6w)s&F;HiAU4ueS665bY3M}20-gGgxg(3&`7-ioKWZ6pFR`ECx~>e& zY!+XwEW(xbcm}*yx|@%yjANME;IVCHq0`)m!6E?!bD|+}7iO{-q}lN&Ct#-BMQ_4gkRaTp{iq~eBzw3K-;9K>U;!IlL;Pi0U(=By^M^=F zz+FS&E``X*zhKqz?Y^_dKa6rlKtH$66HHhIg1(3p-e+b839g~0fbpF)eqp1*W>b#x zL;aEQa?9?@xC5!LW(2gKKybmxo5~(c>!1ntTwD0zO=>VWjI>Y~LuJwaX9>r1Yrhd> zbS(#4I_P{u>X3Y%7|Ko3TAV5z+KNxZTj3q)4Lkz%{em9p46Zd%u^)sS+y`=1F5nRq9#fTJ*N; zdI{C>jBT$nv2h~ggi6^$xvM_7^W&j*FaJ!z7&?CpxPP(#B9az7`#iyE^rm-?80*MK za3(?==`|{NxCqAtbSpSOWDnsh%b}$Fxdw$(I@!3S1lH>JV6sfC>Bs2xNweHjyglzC z6F0N6h5LOq9BL8?sXc2oYNuEs=73ir1g)!`XGvnxH!yEBCWvEil|Kh64d5sa*b-Qg zrf6taUQcS~qQN_hVn&@YW*8mTcvZlxQ-Os!J_ec=89rWTT`+seuM0wv>1+?VRw%FE z?}em3BU{wyeKLf9-=+1%y%4U|XiR9q2bZr(x>-c;_=5elJc{^E+a2)g_AJ8_2OW)| zQIW24p3Vya%~W|^-@wRdU4O&Kr^u1QE!S8Mu9iieM%v@hj(du!hX;{Js18}ia3R14 zvd@n^L3R363uOTJq@A1PK~b4L>h+FazNBTKX;#Q)9rb7JmbgR=)LpY8kFR@{Re6Oy z+@FspuTR(o`(Jip0Hu(3iyRKAGt*G{^_Es~MM7ZC+!nlm!^davRg-l7p5fMGFciwu zUO@j-qPru2J2b3r8Ey+DBby*zKHCTZc36YqrbCewo!*dqP#aB32b)XLAkVgS1UtL5 z=LNFBa$+>{Syr(qqrf-Ouf4geBUdhq778!s#VpB>zc{~cR*k^JXJ~>RT~sJDiL^$k zv0NPYnm^JE9XN`QS&0r*;v@XDP6`z}TAHAIP@w0QLIx)|KT>n6 z@zLOGNog%5zSrsdMs5KXTBW;IS!V=eV!@gQX66$U1r_0-e64ObJM0~T+Y(O0m9$SON&Ugo!Zgk|%X}UZi))`5r8_W3v1GddA zq@jh)D({fLuUaj8a2lK;nOM3Sx#Y8(T|EnZc|X}t+;*<=Xp8ezFLe5g4e%n9BDj5= zLt$-9K5=@((jehmXZ0o|lOurGsklGtR=kur)??S^b`n+!w+pN_9(#k&XDJ4@3|sR< zEf@K)m=6zi8kY%xe0Y`4|1kQ>nvaerzq(Elp+-QZE{gf`QcF2)@XaSmA6sZTm!tV* zCm@7krL2HiN1ns`!AS}7ne8}H6y<)S+iVwoAxPw4mv5tuBng%oOhOVq{2{pq)b}&U z@h^(h`c$`B?_1a1c*#dpp*`)@sm;8;!m2q=zEllF6dE4825x7HT-hT4^N}vvN{=vG zUZxWlUYrxq4Ti}Dwp!}!;EUOdf9A2}tMKZ3p$@Gsy?0n}IsoQ12hsM4q&9EqqHB{h zwEb1@`kbN$?AjSZxc!S*o5RZW(qjIi;{3~lN`m>U;1tXkobhJWi7Z!g11v#3hzp_~ zHq<)0@zC6*-w_~L2v1piNVrKuzZZgY&`a>Ta1PV?u6Ncu3+8)i3{{bT#)pZXIrF!R z0>tqa-4Tt#Og9C633?-a&UlF;_lbqJbGuTtz}iBHJ=s17^>k4oY~{;%=wm)8*aZ-tMN(2!kDn z9X+@l@yQ}v;BM;L^l9&G(d&L#g1Om2U!V4|nj#N?bEhT*Sc(#(lM(jbf-9bqm;AtA z!GfEFdVr?Fxlji{8VWX+g`)>yadxkxtH#;1Vj=b^#=(mV&TH9`rp(2+T2%sRHAb(3@Z~QdWxs^ypCJh%L zTx3MyU`?MtMakP=C`*!zI(-x)7Y9d_f@Q`pX&UojM(@a;!l@?I=A{Q&t3 zGSvXXfqEB8y7b(iwPqKiv=qv zl!2F((Uiy3f{}xp3t(ab3UUCjn*QHHA$T|~EKJOJO&QHiLHRg2IJhhrdDvLE7+F}j z**Lk(EO=O1&3?ZBcPPYv2gbt-x;uek<@FghKp0dH(a1`5(ch zKMtgSo?QRMFZ+kv_y3%j+c|@R6`X!l{CTkb-(=O~{+Fzpf4Gi+(QU5o40JJbRxtto zVTp(JKTD?h)7GEu{U3Lv|3Wg&pMC#7dfD0o)g4UCe&nkBU+^&etzY3svPtfrQ4;^0 zT=M6L{n@bpi(i44i}Npjg(BTI_B$WYo@Dyd$*jR)t(C1*2_O7R zXfxrd=*fw4ueh0n-%pM+P>m|>LIi`Ke(W0mD8SCoF8`^6KjyT#Li*-rk^A_wxYL!H z%crx-y3?GDzkEDM%2!#S`qQc-t@|m8V18W*Sc2^?H&pX zvkBaBoma)%Gxm3x4C&hcLYHTf2qEKQ;9zi_^RR`Aw+QVAtnJ9$CKpy~tX@8FV60wh zSiejQqQ-bt$4oIf*s*N|@*Tv1zeO7P2vjd%UV0gmZdoHEoSscZ1OCEUzd^#Q;iWVx zx_3m3lUnhYnUC@fqm%O=sA z->;N$YMPW?4cH|#2h(bi^G1Dijlm!*a#}P$%Y>6Dg*dD+HD(_}BY~_4IXS3V8m-48 zzg7s1y^=j@TP}*{r31{!L>RokGF({GAda;4$&0+O0FnAjSsv+0oPZ@Ka@DTmEEA37 zrf!QB;pmz}0U1)e{i?hDZWh8KLY~BQ;XU{(5&)mH7(W*|fpKTFml03`K9&pKFLMgqkr$*v3l^NBzR zN{iM0R|Gn;;3|46$!Qrgs(KajY9EpT3FCyLfELpMnqFy4EXB;WGM^x~8`IFew!UWI zmca)u;O}S(O-JE?79*eD^nD+5{h;&I33m44-Ewo_Mx;koEs6ND22nW@IU29EIo`}D zSX?wZvJjTtW_QUKr1(~nN~hk^`d>-g7v|IZ23$?RaU%F!q*4q_BAElljHY)S2TUs* zGhl0j2(I=Z`5if}f&HXRGgcY}va~`~CGL$RWd4fb!-2;E5&^`M9P}g<8FbE0h|`3d zIZ<~zk32qfbxd;9g8{R1UpiwQGl5Nxe&(@|#3nk3-3#%t_UrCG$R>h>9!w4kHJ0RW zKfdXzQYL9^GqP#V1I=Ls|CIZl8=7B&9i%qJAvw$|8JlGF`6yb2#sN$;xM`Sfwl!KK z|4O-WE4S$UOO!Vnn%esGeQkb_b9;AAamY)afNzNU{*j3s%iZeZaO&-oOcW2DbK>fj zcgI_P82w)&0xPEf)r`<8y3=+z)?J+xI;(ci87?RJS0Z;;n8O> z^L#}iunEl7Y2jF;Mo4sZC2nRnxsdXA43-3FH@Q)#CEHXVRQA;^%*KlBg^hs_aCv^j z8E4o$1CSbc#y0rKA&4F5=yi-?A6Fgk?OMsjcm&6Hr{j(Ka&S`PB}28BTy)_=8BICb zd{1~=H3sSfsAOq9;0WfK>?r(+P)$_r+Q3$uuu5?{uUjVr+%eK?xy_Y%Pm+cIU@=3K9A03+z`(6v#b$h$cASIwCu`OlZ`W-;6*OXF%24V7)QMuF(KeFmEbVCCg3fRCIiE!bK%n6y}vrLxneHVo;F3y z-7@RYxvgUqB)Q0T+Vrx1iR&(?6*d)9#@J_AbJ*$1y=8u_3ap_slGi1yQ0 z$VOll=02F}WJX=8I->W<{_A<)WAcwVLXT*DU}_HAz-3<@bjE<1?#2rW?ed@XjKbi!-}9406D+VuUmi=O{)3(wtrYxDT}SBl42O z3P5wbqLEW-&5n)ScTjM}8QPs&-ZT!J<@|nV(V&Q%LlbgI@!K)QMJ}Ys&oouwsMBTs z{cRy_w1k0c?!dX4-SE_BECWOldyH_N2R?@%-;zI?Kt!rzOZ)X*LN{2rM!B zK2bb<**+4l2QDZ%ZNbn>7Ig+RVpKtDbr9IO_@AA*DM=E?)!cCgydv}#i5FtMi&VW` zA=UDr_yjgkUmDL)2O0v;&PMl-X+zST+GXbB==37;w{sJvg^Pm34w1k}`9Ya)Nr?nr z6W=QR64Oe${(%|>p@C4U2PB5vh_+n1QNb1B{ko*Cila;UH*ycDx8g24M>3JeE5@d{Ho{^p(qLNR zwmqC_rl41$lKiIi(Vp5cp~_MtfBHn@`|pXHHYw5=43O?&xs3$6Ar@ZBy?(fndi-kn zqO64BV?ah9H!h#NNyO#q4uwNdbSr1f@BnRd%<&M2FfC%@xkqa z7N;qpVZASP=S?ZK@)nE28%>@P2_&=o_+ZA$Y>IK5yb^2YQ>iuRzJXz0WcvDW#O$gR zg|qw*IOgRb>=|Xq<>YjT=%UY1A>;GtA!LGNElQA7N;^akQ21_TM~B#n)MD$hN)Mit zDdto>2QtFpv2hHCa2KIu!+E>%KGgCht*9MiYyl}<JK@kBhdEZZ%#`Z^6z}7T*CwZwe14^}z0{PT6atAcUcat4+i?USFssrLIdx}BB%;&!I*&t{j zXY>7Oo;jk-HZQ)GulY&Ui_`N3ZXn*u99xk~BJWCl$ZjRM_lzSOEOw73I%ji^jLPjB z90S>>Z;4b(*i#VdP*+e-L?yPvmxFKO^*{;GDbAkfu3iKubwmQ?wLDt&V^}#bS~kDv zCDPZk-X_Ejwb+G*jAja2@$cNhHze0jynn=&b^Fzywj>Y$ zXxZR)tJ9Rh+oC%^ysw<-Ivcgl+1dX2a4;41Fr*50v{`C4pypyi4fk2sC$%$fRe(FK zJi*)TaGm9@&OeJMQv6}|Qa&87Fn>bXhKKB`y_*c*+=z!vl?6Z#uoE&iGZ*)8Fjn-C zQ8M(fG~_TM|7O{t29U!S zvUM=V|6pX&7}9^Rv_9C8%xv@=1}2Qu_>A-)r1+0cGSYu6qH{8_b28KaZ84be4{MLV zI(z)JwU0d>LNg~PJ5D+}S65eBS0-B9-=NF?a?r*2gN|p&z-~mt#%S~bwHPq6(0nLI zKP+!p^%Iwf!`VUoq_)j=<*Mmm%oj~|5&a6Q*ihXpbPy! z#QlR%@gu(V%wD)Ga-0A9sxioeEidNT}%)#k)AXx)fflR$&S?&K&zuD`I|R`AsrJ z%TgX5t$ut(^n4LFer5r%Hq+h1`}J}Vo$Guv-L>;+vH(g-({ofTQnVe?h2wNTeUN^% zLBp;gJ+JqWH*xS()xzQSbmJMX8O>Gpbbqo8zL&CX=<3+%o5{*)sJ=A5r7Asyg?T|! zw8PIMWM>E$5;fRHgQ(TAgW>^`hU`pUQs;NborXpZkz-6D<(MPa`Yi&UqNZ{c9K|?! zZpBuPR-K9 zawoAU_;0~D>cKD6WNfqwl`A!*WIx*~EzPKVm`7+Oic zBCCjK%A$%Mp&QGI4`U**en2%3;`crxSOckLB^1gpDX~o=RUgWarqE4vYuQReGq5YQ znlDPK(m$T1H8JJe1%)h43lUB#l96|(4PV1BK)k8YkAu@}7zT3R9UkB8ika3a8ldoG z8bTa3(}wv85Z$cSzsQ$Che8%o%joCmpBpk2x`25$eDAby5_yglc=-~-z!W<2Cw%@vv z@?R3bWgASsPj1V@T$&qOoNKLkW4=yoAEfq1$)Ll4KdE_feI0kO+Nh7m(E8jxX*ByG z|M=!cG)S!fomrLz%);DWwf{%W{6f2lGUh^mBhf3tY=O`~+xWfe(mE^Fsg#t7tmX=# z3ffb}Hjes8vB zf2-$8$vacedvro#H@-^+*a;8;4C)3*+{#*Pw>duqAYVtHPbVqfZ4rO!4fQJ-&Xi+k z(i-P@z!!HeEvfo)Q>Pl!B|0N=NLO5S`MxbOt_T=Dyl9Ub$v(j^xzePRMLbEnG(WMS ztxdF#5~sywIE;iCLjov;!|SCw?fk8gp7m`W4Ai~B=2Vx&31awBV=Ufk{2D2V+uVG+ zbH7gE8R4@_8P^CMsrFIEq3~`)^j+@W-0Y$m={EXtP1si3+Vnh}?C!|h&e2A>-U?_j zF;+cjep^+Q`UZqN)wnBWlaFunsf#`4%lXkSpSOc0T{Yp#*N11@vkGv8P}r&`KYn7a zcHJ%ca<-DK4h0kPNG|3%END;S9|SEcfLqbz1z)s=qX!~jWn`1M*u+Bx(FY9y_B{1> zYQD3K3|VEBs~cC(h;;5>N(`=&1@{(>&HTL6vSCQkwS-9<_dS-)0WG8>Zx3@E#!z>% zXt;Lb>~91pY|z9F&vTzX+jOai%VcG_6Ngaq0aui zidqTU&R^)9CMZTHgJXzxR@)UY&Oxv88idbxG+18E%bb)p{=pfK55&L&CG3=dhMzZA z56I-@dLsk>g(`DHCCJcsqB~Z>b{c{=^9XI2CkkR~CrFUHq%#HjJ*UA?8PhEkqA{6V zp&pd1Ap_8!dPIqM9iDiYh@e?A|51yafRzTzIFO8kDv{3$bdJ0B;h!@GSBv~6&&A;s z`$LHhq7Gp9c_)a$-PCEdN#krxUWxCSw#fV!q)+4Zq_hQflZAE9o~cx@y{zl3YVJje z)%_$wB>bBPt8#=3dFKw~+16^|z$#VR;3Bdp^|V~|(dh)r_o{=t7Ga4OM_tOrfD;yk z95N??o2VlbI~v(7BA6YwF9x!vsKG?T}Y(r z6K^RpIJ&Nz(_IrUDc1Ig7O-&Ld@95Qty|pzqSh3%bU7s-8mV2gS7qWRI;kWNi3$$XY4>o@EpvAj1@h>->6eM+ABoWeTVIs_M^rFtxIRVrLu`KttJW zuyigd)#l{igb3Ui@cNcL<167|1#=G)#z;rYp6}eCG6(CkfqTg<5lmWV4S`aVv@Z~i z`~!!ffa;O%uMmh^)i`7jAm6NG@JANJLx4>Bgz?CLzEf(m5XA&}S|AC!F6>{di%))H zr*dAHpjU##f$LG%uRL^X07~2A8F3~(K?z|GHQ`kOH z-q();oTR#nEb;a|TN``w+y6{gs7(EaMb&EP`BwO^sQO|XlK2gG`=%niJ zKPFj3-hG-^0GbSRVI65apH?x0RHULFZHa^h4%DAmHg&J0qvz^7dTdz!d1H0c3Jua9 zO7U2@4zYUDAnHL<4U{G{RI)1|##c)N?m7)4UI|Cn1)E}ey=Od^8~6-_B788(ORX2Y z1g!Ql*Y5Y(e${z@JM%LG7f&}#Ddas-+c)Y9`Y=`XY6#aL#)XR}da#3eFF(q6)JR1QQeA4{Wf%WHjq!f6FpkY&6awXN9qSv2EbWStXR!_ z%Bf&6=+j`MGXOHpMmDUYwO=yy7&V#JrMUL3P8u}aIEZehq2(ti zd3|~rm%F;%tGe&UmqEbXnrV&+*_t&wpB$t`>mBN_-OK|U17|8o5nI%Ms%t^hz5!rM61cn)53gdO~ zjjNWZgPm4jzCNH4w~jRlap9)66s(?Ba)=zGZ3k>Dz1giGYhU5A5y#-i zMM2)q4r7X;8^1WDU%I=q=-<@{7LOAoNkwN@~EX37e}cFRF4nR$-LS!K$)o;z#KAXw|iS5xA0Hv@|H zG6O=x*5DWnP=i%1Y|LzPU!@q~yIXhVW3G^8m z(ivMBTmL~2{b+&V-^G8F3;6p9|E@b92R?$C{u2CwF>(Gc$IE_;l>Ub>tlvwNzccVV z1HUuyI|IKn@H+$l_cQQslrv+S51yIpAB3`x$H$JNlY_Co^&eY*jLrXwocwi|{ttNB zpQHDGSAXHd4f6MR{&zjK|7be!w+abNjGRpWp+W+u(8pKJ$@rhuQ}}1mfBW|R7vuj~ z{RLwNW)2o6Lqi${4t;tWX5)`1c6}2=8WVO7CL<0*7Ip(e<3FcL|APOVs=Nb^z5fu4qgjg5`Qz?jLvkj=oDjhUVOH~;N_XwLATUgrP)U+90@z5gE${?5Sf z4E)Z(?+pCT!0!zFH~4RVGN1m*c>Ygk`G2EBv2y%_huA;x-`M|Dwf_tL8~dLmx_{%p zvHwYy`+x8p_#6N2zh%|`=av8ZDgMXVZvO>`fj>X#U)%p*#C7|qiuW;N`wI*4Pp%vL zANzl@5dXaq!JoJBul@hmTsH=KW(MZJ%aqJE&o$4guv_il`DADKjzCjY?qom&{In%p ztc07`IBOFs(fl8e&1jCqEu|b5edf4jPAd#t6L@%1vd7h}^&qD)Tx|B?&08R ziM6oarC!APTwLJ$vp+=Tl@xR>eL3y$aiiR_OVfI_>P*YgldRjx@wNZ-?7>!N!^-Mx z$@LeFuda7Uw}m&|NVPlBIM1X_2^@;;PBkopdhp9G z%`38|7tv|-veBQ<%pNE$iZq*j9u>@;g+8@5p6)x}RX_cjTetGUx-RX*JJAQ`bIGFI z89xJl`|&bbe|7bKd-?uWayS}MGPJtv7xLbrFu7)8oM3Axgauwa6W3C-LcT;9W$XI{ zKk2!fVHWmSYd_l9wz7|^Gq*-2%lC44NhDvWOz9@}BNH$=-2PO-huq0exxfx+H^G)Q zJigfA@)01O(>~)|^D`)SAF-Z;q?lQ>J~FVeZ7>Ho%!dmDHz-`+Hy4Fr5pwljF?&}k zoq6p}orKlig5u>{qcnF`WqgpFazJH$oy`)G2nv|iqlM490J^2(34=ROfbwH379T-C zHQ3j~JcA0D;N#V8Y+1?U>p;%W78<0BJLU_i32K92mGu!}-7$+#06Wd;a@*M@=C$Qk zXBAA*f;^xGfXtlhHxNWk9D!pM*L6ER`XJbudy!uWE}<_{1qF>WD&rK#$IlrHstYP- zta2%dZtz1{tON1kY_lLm=3j4HC89u$Xh>`77QQh^W95_B50N&iFxU&M^;km(kXV7U z2(CD2kK{;VX#!qN+%j{gA(_*eOAAVRt!;P{C!?W0Z$5Zqo%I;S!C|?@ZiB&?6&!vm zY)Wd1YZle0dd8E(mRcl$9K=yv_nT0wU9|tPQbrR#to@~APqG65%I5SFJmAhhaZ0FP zvt{Sz)+XSs&8+ab2QbxFi>z`%NK;|#Q$z$MJ!+Om8EO&QjMG)ndh$sOg3eFB@!I;h zMn{ejeOgkanDb6IzZM7g6yI~$)6ZmL62gXb#Y8-F(dqVkVBJB7*Gek*JX$tIwBIr&~iMF1AvJ1CY&WNx4z7m@;8FxPi6<>T~ zTo&Oh3ZPV)87fR`Ix!o>zFgeYH*dWi^m`XH*k)kKmfvsrJRVGS%Q@AsPFDZAJ=wW< z^;|?OaQPfNnHu6tJ|eyq8%!vJ-T1|4Ltj*bWvXB`1CTFM7|+WO9|wE=se$)m{iXfz zV)*dwDJNZaD?!co!HzCQGh6LpuFQcmAmg4rYtPx4QF$)bg9#@M0`O3;JIvi#nz9(B z?3CK>uOoV3d~7wcz6r{CWXUK!|FVW9HtvpZ8Au)Yiq&kx{3bSAc48iCnt`>9EWGv* z@yg|K9fB1ff2Ml1m49=Z=RR3*?U$po^C@^G1BiGyFBK5bgs$gb+V7zIelau+dDZpy zE&wU2T>vjkcW^RwQLoH8a9MiS-V6^9N?y6u)&9%r*A5ZDQahjYo59=rCH(#OK1!wr z0X%nh&M5chFwW%f{DxeNM56TJ%^q*BjW2JLlNWem#}olIr12`4o~GDzHpg=MDuf%J z2C&fJ0kEi{x=X%>>2F~_CSg~Sp z>d?DJ4@Bx6#$m@8K~+gaMu8S_6Yvl96Q664XbF#nqT(O3K7+19$z;Shh4dG3!FtBRJn^^rAUQsqtO`4mE& z-8-|2`RFL`Hk*O0dZ494-wTh)WycbB)z5{pF_}7)i48zCQuYae8Di&ceiWbSPE!&( z78ACU(TQ(j=p**EIO_y6@yhM13w<7fEm>Q$!CAH8?%XtB6LN3jYIbfSkTHp7i}NTf zhpVzOGNF!czQRu=g-K=9Ox5Y;C1Uy}hP~*J{{~DRL^&(AcUCB)p zITRSk)6z@WIGS_%4egdYzX*d(p7HDVOo0LPTwXkL=)&x{V5BnBLVbu?4TtDgSGYJ+ zBQtCn9o##20Rz6wj_iRhK6f1rKO9CYpCd!I_@$@)ATM;YGtbpW*#rGTQCU?cqNqr5 zB113M)63Ci`$A^*i2?LyyBQR_jn5uQ8KB`nwwU(@C-dtA0x-lU68ZEmKN)lIw6AEc z{3gjl;Y3-f2?lU-q@onz)8-$oGu@LzT44>!8Xf!BI)>X61o|F2CM}eb(g1ydRCgc& zkS;Xc%IGd$A+v$+V@h6cFV5ApY^=2~NH?&2adN2LW^N0x8csro^DeSmA~n*B(Z}f? zQ_$4UWSZ|SzU~jrSHEs0yzGfb_0lQD6iEB7X3b<3w6*9cr+H>}jeQL5Qg&4bl)mBV z^uFbtu+nz`1|GP!FhelLQU-gHX|1@r8?u*ax{ZFRJjcUSHmQYm(4i7h6^%1kc}wjR z*-+vD+#A{5=X=$D$ehA41`tq9ulj(pU~Ek{+SpwGTqI6i_vAEdgx0y_M{C}&b&j07 zvECqXTKG|rQggL!b>sdv7WlT!Qa1V7>n-{Geq*cr_^kJ=x#6Z=3|wd;l6&e+bMtt$ zTfDimuRFCmu-EM{D_Y&K;Kb^)_R1i;lO)1}A(^bCM;@NS4POf$thG|%RqsOhG9Ztu zR&!~0ckqlTl2C)QYhX@BBm%DiWT8(|xY$AZ;Cgd=(V?Cu}k<<$?oahD|EhNi@+>zW>d-|%(z=)COq+N5r zw8r0T5P~IJhz00QBBK@E{u069|DA1}m30>0z$Be&I<2tv91`YxRL(&=#>qzFKCUDm zk$p^5UgHpAd)q)Zb~N8Mo=Y*-z}P6S&6O5ewk&41Fq*HrnbU8@sK7}%Z@|B z;f$L|I%d7&Hc8t^)mFfQ#5YdH=rxC0l-?Q9C0eUurb?4Xk5GYH5JiFl%_?2b{_yS&ABryO3LWmO(5=q052Fox$c) zK}zMpgok+C)WtnwdCX3_E)(k()x6?WuNaT%+H}1AG|nenC8chR zvb!)62?^>~@MNu&3o(tjJ>W-ECS5n9NXKXH^)i|c9cRy248Y=wW{OP$G6Z{xPvjJ2 z9fel9n63-A#+<++g4i!8mata&s;exoA<~S34Al{Cje$ny8F=YMPl%vI%-?2Nl((~W zKn*cvZw9+0Gjo^-^{n;)IF^rw%Q3kQ-OX-H^Vj82V_-I9&eH;>S5x=%KMK98($EAjhKmgYqj7qCKD$klC35$ zVN%&t!MX~wWe&S|ptCtwt;evEMXz1LV;A%X>vRWJTO`Lw*FZOsd1SJp-tYg7!*WpRqTjXH>ZbR*5GB#d_s3blSlMR$<`Ovy?Hj-~Puw z!Z-6@fIZ~+7%z7RJ9xUO=xh!yQ8CohytxK#kIVDY2%Kzh7!lAwdCHVH3!En9v=ph8 z0SVT;Wt4dDF`SNzSqVZ!srWfDR(hukUUlER@9j&MnuOZTHHy!{6{Kr8v+`?zTatJ= zc4G3QrgWQdf8N2MdD(%&sM^i*omY3t_@R75|60P{8%08$Wj49C6qr$Dt&X^I)fe?; z-B@SEUz&)D?IW{6fuqwe?4Ib(WKAHj*3HC>rBx+x6uS!FaVlWoT1*7L;h{hwfog}w zInQXuwVVm|*0j57Cv3*(X&|B7fuxlAUK`GUlR~ak320<)u6jfbpl}}Ol%!j#vDpkw zu`N8M@PQQ4=ylUa3DGNJk|jLi>9Vsl%!N}Rda?0zJuPu{4)CCw)RTpUb&T5MN#NYj zoTBS*)cW(Z$6Ri+ro$;g*RMeucNpRwQG_L6N$XJu8_fmA^R?rWATsNjVp4%e$9z=-HrhF@07oXKKiC=s0ZlT2wg;;x& zhkfNEwoIr=nv(6e1ueUtumz2BSU1}AF^N+~EyCL4b(u5SJR1bA-S_ zVl3Plpvjb8ks1sZ)AG{qjHjX|m;!4JSj?jh_?Jx}jTQW_>5C4u0WH}`rbk%gCG_&sBQoFU<7kmKCRQ~dJni(GLoHI7<*P{pv?g0o2P$TBc;${ zy^##p2=?~8r~wpsLpgpuZ#K(ptr56cG79ZhXd(6pb^mz~OJEiaaynIYGQ#SacPiCD zA2h8|UawzNXqyq$z9otTTeBJL)YUP6qyadgKh=Yq=Xsn8DP{2wknq)2fovad2sfS z(%seBDK8%L_7HK{-0k+>&P#EJiHePJx-9DUC_ViekZYogz+8f{%!pueMqkRq2xAfb z`RkAbx>NcW{b=#<8smY@MMO_eqvh}Ck;_w@b;qgAxD!W+G^bt{M)K#-)o+U0gjp7+ z=jBnbEz^rLL`XoK_SV%jbn%%{oB-`rxA`4qVy9r0g6P{sHTLI|`GWP_+a!}2-FC@| z#fNDMnYrRC!;HuZZ4#4lWrIg;gl}S@VBf*P_ljjoj#S(C3+9PxDvVAq*YOWmRwyPB z3F>xFDm}R{$*dmWtglODYJ6b09G@rv@^I0M%y) z*50&zri3 zfPF2d9CjOCedf0VkAtEc3pc5++x_*{^!%o=L4LGUNY(BAaD9FA#HK)@->Q&yM!X2v zt;_**8pV!u)A#8^`SP>VH&dU!MYr~U47$^~Jg=p~jrI+62XLZ2O zx#d--aZMV+4mc4;;HfQ1mpsN-84Y;^pfurEQy%WzHUX~yHH^r7 z+DyTiIS_x&;%O{58~UW3)lWb6z4>GOoC!ar;@yY=+j!7p zhc5QmiLBu#M<0Vy-}xlAqu`pOJG^PZSLCM{I?Chrwi?MB9MaRTxP-UIj^%Sn01wrz zIH(HZhNMx$1pn#^e4EvjQLTwx0(nqm$jdNFuzdV=!YMecyjyewZf-3>`QVJqX|Hxf z>5S~;6koSjY)BiRwgiCj>j@l7_M2D$LZn)zP4p8~A7OATj1u;zmqmRx()FxVB^O86Kqoj`8B0^b}5==%Xh=&oAHHLG4c>H*ZOF zCH0nUH#=53Q82;e4qUZ7?$pmi9@Pomn+=6pn5U0&ZoAJzOkYk7_?$>ns-A?#QSaZ_ z9^^6%;#V%nl|t^keCfuj4cZi*lN>YO)sl2q(rzm-WbB=O*!i#6Tl{j=Br(vgoenir zR&Tkl>p;2IJ4k3ye zzHA`>TUMcHzaLwI1IJ+4Q9@;9YhmTGpuD4uN@)UY7B4MXL=!wNpA2!NJr$W{N<^7f zrqST*a;>-eOR3fB!ET#D=*G5BHQK;(f-ssiis93Ez(RRs?W=j@h8EEf?vcT{2jV#` z<2kv2iwvxsd1PG%mFS8#igB}4{&`zyomA{bjDU;d4Ssu|U7ck+d>vgxts|&d5aST< z$Fw#{=)7$|l1S*h39{C5=nM@+2UVZV5z(cQS|e)=vOQaLS#~et6x1eYxfArMBT(TY z8{w7q`*kLRg2?g(YTi>8U*Pg&;;e;hq&7YTX#=deZtTYS=Q=5FMzIxZ9OJ9eN?JS< zHs#a}x^Oj385lW^V9ao(;0gvY^1X9)&Z*gzi`@D`aH9#IbZ>iXTWaX~c0oiqeV1

5NqLe5$(a{1wxULUX>P`G(Ps^(f422M%{LYDu_mC7&KD! zV|4Lu9InFi;c;J6QHz+3Ev-;&8lvW@9aX7%FldG8V!QXNzXk63!z-2q=mRUhMd z38-eQOi9|r*iunVNLFVJ926Jdu>qv2CM2SVFs{lO5;?X^_5r$Nm_wi0&hUDTG={J? zQ79bO%)@fZW(Hus-4%(%x6Bfu1CI7dSLHAPHq7@`!?B7RUH(%-{QZSNU+FTMk z{WiWxwVTU@#OSf6IZhO(%?q>SWVoT!o6Fl6lsY1Nr)8iYIU>WS1B@qsn6Z|PSurBl zOZ34!3%A8@TBuWmbX!q`lvk26Q5=MN*OV(UiS=D7n6p^7rhTV6luKGLI^2-9S(_|O z{^Dr$@m?!p=|#g@Z8DK2z~$pzI7~oU?9$3);S|k5X{uoW2gMF-nbMtd(o|e(0WME$ zdjtoZ_!)ckxN;H3^JP)At=_DlV$~O^2}onTXH} zZ*?pB2i8_(STgPWDtgm?iU_#82E?#oQ}aMA3&(ElnLJPjhXPi*lS;HzfaeNP;%2dx z%G22TLN2uNC1pPaY7kRb?j6!4WJHS)c~*MmHmiG%7_=}>T?V_ViJgBaEt^!UA%?7& zvYBv+K^am^u(q(ym+_O9Dy?zQ@vZ5v)nG<Q39M59Zfh=ULnZm)#jM61jG;ZrxN+YwJ*j0g1o>cml zDRW@dB|IOOSm-NH(30tL;$SE$37#Xtk!f;be{h)-3{hNlVb@M$^E$AbEeSl4hVmHS z+ON8_y)F5Xah}|MQfROYc3Iqp#7b??2B6e;HfPo@KytZeEh|S_q=TBhs0e%rq11N; zJAvcM0LQ_F!;sENs2{y_W<8l&SYgJ?uU~tdJ=(Rbd-VdGGC8xZfoWdiGQV5+idB5_U9^IUVJGzvZ zoJ)%X+4;3{j3DEawq{8_yh!yx0uJC3Qfv;S;H0KRA}O2Hl}C1N-eNUjwJ=)RdMuli zEoz45nwcVkG3aY_v3cxwl~%w`{OI;$KsG7UmAONb3pYix`M6yj+C6icyKDkS?_2{L z#!m-eGo~{$PO@eRH_Z?U))t;wyWN))3=u<0k-fT$M3JWByG=LB6DzoB4sn;pY4x){ zCym%%5Z58p%azyGG`CEJG?8|CZSmOgqM14cRg;KKvLTlHO*L(HvT<`;la|iZVRNL4 zGai|I^IeLtox8&Xo^hlV0aHaCDAHQa`Z+`c7t)zF_9%0$Af8+Ed8Rba6bZOyGR~}f z-1%D0@bY>3(ThT7;|fe&kF4|gz`BtB*5TKzi4Lfwxy*aU?u1RO%J$5?QR&&tT_|%I zpT)qs*V#-Lj_eLCE}WDxxMg=ovzuueYaY$^?7FW_cbMjN%FlqGq!$c-LWGV5!{@lej>z_4cPv z@bx{7Kq-|PSZXzHtdcH3@W|V1Dv8oO_^KohywdaD0~dQ=T$dv>L*AK&CQ}&6WEOLk zgVtKSdzytMqcqn*T*(3jSZQL}IL7KATYgk)ZSTbeqyR5S^eA)3Z-*Ari!qK~#M0{! zJ$cZ@92M-W{4`b$LM*^vVsY^G8|}A=hv-_5tRx(fpa*iNEn!sLKPBIniPg+&<$!v= zce{-{j~o8%UX1Vw4Xm(af{`QBCqOgtn;M7Wx~JNpZU5oqCfM2(LME>t`@{;NCMX-r zwbG}QwXTGV(?Y@w5m>(r9#C0mD4lvqRH`@%Tvs_SzKTHX&tF;%7)NCd9jqU*rzGRo z0+hYFt_nW|cnRK8i#4M9AA1N425`>@XweZr+n^993e0i=h#Y^JwIxhe(u+B;s)5I$ z*FPGq_MC8L%O1f&-a$E8d*aAh5a>EcRmzyLW*w49aiV&rhzOt_x#(o_VLnE&8Yu_t zc~w9?-1t;D`KNc__1Ze$q;o%No($V-wvJgF^BFcLWjSin+-aoM5nd@(FYvkwr-e$H z(kQvUp4Vvz8rrL~tjN=oiqY7K1v-Uu5!xhq;a%K^t%12OJt_yahIdS@DWgcLE`&X} z#avdTPsTXpbXHR)_I728=O^cFeve_)gqRtUYAY}QS}Iv|`=hGdTI>x`#Qh~RpIaMT zbx^Yiuar{t0}W0Ap5`N;${Xp ziYuAqiE)^M2eW(%`Gc4&4c%R#6*o=%-ErALllTCje3Z*I`Jo|)N6?sOl34PD2)W3~ zocn~Tei*E7+}WIGa7KoQYPuQCSvSelwfN(>Vv&P;O3&0m*Ze<)J#$-WZ$ zyr%M;=e;t=8k!jRXf7}KoEMYJbCVu6@8?Q_77cfCou(KvDki68D)I?bJqlAjF-*+p zcjLC?eAL3^>&?CY(pr{Rg6XkI87AQFNq^49FDqrvi$xSI;^die{Q6u3|MQvhIz?tL z<#}YQQm@&C>)utoMz-|wHhiHrubaeZt03WJ8_zIh#h2UJ!|I+cQIeuMd4Y95 z)EMq9ZMgIyW8nSV<@`#oHiycDTD6D!!wp< zZ?}-}p+YzIPsO?I94@LK%>|U@k}H*$FT)BP$Bc^FvIV}EmDk@NKEDZ`^holl6y@lu zoE(i`<0X8QtKO=MZ!Mpu^JF{Q&f!ECtv9}&lD&FX-HG;skxIUt&6ni6k(r<2^L8oH zjefr(^vGE=YXgzY zIp=R2ZKnsD$LHO#upcBP95dWHlEcZQKc>}dfutx)|C&~l!&yHzrPXUR1}+|puQ9u( z&*!Ytme|@dNK7^}w4v-{q2864lPZ0fnY%@OsFWpa+x*oB0zk?J9#~sdJ1~VkiqZbn z$AY(ful$sk1^HeP;;WBH(zwdRR3^&&O5PU|V}_wDD89i=5-lhvg?sa_}4fxB_Q6DXAO6wPy- z+n9FA%HK1 zE~c6QHVav$r65FW;uoSg9k1xO(+S=HOR=-20pEK(9)kKZ(&sy>_)L{NyHuePdyvnR z;(crLJL#bU_R(fvE9ufSNKg$!psEARiPVXC!Cbb#SbfeZmeWOFn6Tqeud#+KgOYSK ztK}uic)y!OjI0t22xetQy#c9gO~2G#u9(p#*)`J=6GU4!RaQ_yw(e(0l-8~o3Ah_= zT%;*6Vr)2Yf;z{Buz8f9#m+S<%pm4==bgQD@}OkyqCb*nj5Yg}C%+*sDHS>Hqk*P~ zwSydOy~{=MK6KdsHij7wevSR0EzXN>#VjnQaGv9aABU)U++|L7Z-4K-&3g}B)zvC< z-x}v{CSG*J>CUM&Ce)~gA|W`aE^Q>!z)NyzJlKcuHHi>!y?M;n?1_oeVGkWbMHuRn3iflM~+_f{Pv#5wV3&l45D zDX083rnmzfWV#(0?lr1-3d5EZ!!yq&v^Z)PY0#I!$9^k1v1aQi9p-YDs`H0BPN8GJ zagdGIo{1t%@oS-2Qsw`{-dje;wPabswwS?^#mvmi%*@P^#ms0iGcz+;%*@OT7Be$z z^q{)m^jB41*Q}c9KmL_@Ga}t@**`%*Jx?QSYw0-ExNQT z_MIzk{BvTd*FRblRf#ZTK0B1|tVRip_`23HRuMfxia%VEM-2NmZcy^%}7JbtUS2 z*`M#wet)+scU_4*UlvRJdn^3HDse}BVIuKf;k58RGTwTKS#xr)88h~L+4WUq z+3Aptr25mrjU&SyK=8tukIUl%lv#qy<5`T$BQmVn*>3n!Og~L3sios%xEw0B{Y=@5 z*+oBa%vB}A>?)vI?+V<^jiOxpn(8On?0Q2HJ7s}uzS}TP%S&r$(MR2WVJ8;SpK)Al zZgqJtST!ZXg<;xq;|HbH;p@WRq(q02q1(Ov_oi)2hu+pqUG0B=X%3h(c+XUkJhE#@ zd6Awpz2asq9eVv}Huz|MP?En#O_tazG^RU+F*^(4$A$Z@2hR4Bp<>HlJN|cg+YA4zJ6&`P@OcDM=iR-sXCK z`x0JMu`Q9#)cBd8CZ>7R#)@lnOioPs!z_lu6(tl{E(BNwEHDFK}kcWKug0$!@@>GPfkP2Mnm(rBCx+xqV}Pd z{ttWqy%M#5(*N(4sQr>?|MwJ6{i+K1g}^Taej)G+fnNyxLg0TMfqy}A;xAI>f6J&o z>X?4d-v2kriNDsU{mSQm<@0zS7FP6>G=G(xU?!)b`{()mKkNOsU*A8HocJ%J|Gj*k zfu2d9$;gP6l9Aya zZ`#7Y_50nc=O0K;u>PhZE%%?26Rf}MSo}97C;qGD68*nsx%B(J{+#c>U4-JlWx4eG z>i+{Vb1PeUYh8Uq4i0L5J0_gpWgtH6lmwmah2`x(gw{Sj7nY~R`CSg;U$9(a!eRYz ziv8X7N`V1~_7Arz1qC`B+CNN{g#M}h5+nU@kMIxGkl!RJ7+HV2{?l#iKOg>|kNMBF z{9{Q9dRm&l+Ao!<9$OJGetGBe^5=HMuiL)g_KRBd+vNeGOk{od5jsh8NC0>ZMvt)g zdVa2KcyBK~h;?8;Y`SdNa^kAobhmZ=YU9wLg4I`;sWr;YaffV$bYFV9)wpcI{d_Vv zw|lZRf=+n`Tcdov)aP#LhjiVdiUi@Mnxtchs4BW2_w{;ABKTRAIG%2?o&ukE&ues@ zNtt>1fJxvGt$cZWWHrd^wj;D2lI|)_O6Z#jy4gr|TPF@fd!MVhSDKe3P@~xQ(d1}5>@2?3+QQ7X zt5VQDk{_5Mp{zEf-$+s`t+Z$!)2><}33E9DW0<6@C78VGaRI6h2L(k+@u8*KAx-zC z#V+30w5Srcl(l3TFik9YXX0dnyUK}4PmNrGyJ>LAtDx-k0iMS*U=0XT`;va~jS)2+ zkD5gUFRP-eyVeeh4J}=}GMTZ8+}e7YX0rlL?ziGm3s#+--bUL!FpkXvoHC)V!)5b) z5HPpVuvPP+%xq)GDTSldzumfm>%IE4l{Zi8d~u|S6u9enhh9WnQa7mj6!m}<+P539 z&s;N3au|=0_lzVk5oM^2ujHYiXH?&)UImz+u3%e<~;HKz0ItRUF(DaQ8fWna^pL|IfP`JoMEe^p5 zbMM_ri*ixTDHMDqxA3EGA!EGnqaPGV={;?AKMe`zOaX$KuQFLCtbgr917LtBqepB{ z6P4tPBZ|(-mxXe!R+NOG?L-Nfgu6rL@n$w|?uGMzY*})WmlRHlC5Ol)TkdXTJf4D& z2IE*(hT|dXCP+UIDafy*Knwa3FlBhiFp?mEj_OEIDbf51t}sfuDhDZv0lH3=i`WzZ zPm25#69~o`S!0Gz$Zqh4PR}O-w7^mXuZi%txyvM7EVkfJ!+eMx4Nws@=boK_p4h ztd9woMAm3TgUl%38m$!1h+Y^43vBlt;ka+|un!FE%2V%)2w1PUw`!eGrbK{*^tGk9 z5I`;klDsb@k|+V0t%gs0^&{IApnG^G$$sdu_)7xVn_fRX2s1JK#!`P+apIcCplg*u z+d}Dcp+_W?zh9~3jyK|mo6*t8go6$VeuL;*kW}lstd!l@rOi(eR57udv4k%g<^u1Y zU0|sL4%`GgDLO1!HJnpC@&?e|vNQ_*97ZacF(WHNU^||r)~zh)FiWup);8CTi*hEm z9*=YJGWmFT>-KnHRV5cL`_r)P`rfcME^MN950UjjvzdsUnk%dK2y zk&{m#x6$7yhF3S0rvmosgKjMAP9X!F7cMGnH^y$~TIzB1ueWnfScC97InxbNOX6)s z+iaRv;#Qt4^7Gtx26l6#ZCzy1WTo?YZ&euKUNx`=eZzY!CIJ<-2GYUwYSgfSg7f!* z3osbCsZcmD+z5_5w2>ONqMfJ4Ox-jr&Ie+#3LDu$5*p!=l;B{2S<>hq6YCB`8HTfD&6@Y4x093M8?<}?U4$M7#YW%4&@Q=Syt=2t zP2k*Xf-pyu*A{I~g)o#0sDJk)ho^{IWGQ}|3>MI!>jKhR29~h_xYUM2K=os9ontJE zd);yrLW+^w#)RpBg!vRt<_Cg++|@A3gIPq#`L42Upoc-}rAY?jm9yJ{!r+HL6As_1sC`dQc~9!M9wrxf zmZBlgNu7?2YDiK^%+gR83Wh-yt#7Nyu*PSrn)bD&I(Rs@92ZWIIb0}^CQu=l^2<)e zj5V+2SaU3YQmqK|;-OF7u5~`s)0TF@OH@SeuBCu6i`csfxBAVuN;BdBPzcHMiGoz*$tHD@X+>yLe1vEwQ%>M^A5xI)*l%yqky(_9R|nU9J7 zP#1YoE+kE^SDxW`{rQETfSbP-18zex4;V{xwmryS%}guj^dKLO=?*Ho|ICm?`z!@n!-ic7j-ai zamSezR1GIE^K5;vDzKICwdl|Ku7zb+Y&d0K%8udG;FS201ivO{s3;oozyu@TT9+u9 z4!fmfO=lyVxYqVGcMD4j+B-5IjzOUlmBlN9iR8n3n5RRdN8R0csW;qF!|2>;sl2=G zo?cg|XE?6aPQ@(&9-$s#;mH}9j&<@)7oRI->UyJb=~fdfkw2l2v65A3FCa#qWO}$1 z=!u-df$p057M9utKZql;_j_W!%A!X?ik75!K5eFsq%w22WxU_CRg??<=qYFKo6s@- zLG;~_^Wdrg%iivh66OglR~rb5J(Sz;>%!J)WKvT;Q(ZRG?c#h^Cx4&=)&y_dxc)al z+>{i42x)v&Kyxg{FB*A#w>4>V-u%e`Ph?*niA&HKp^D&b>!;Im9VVnt$Ei=HdgB(} zKcByyXX!rSPqlR-W6i-Bos;&0a#jnvB23#(kY=st?m?H~+wmJDT{v5A-rZ8*J{knH zWpoY#1w6508QRtZ2pJVw2;JGCv76Q{iQKPx0GJ93rUFHdf>iUI*kS=Ibw@g|&1YS7 zn5+Q2O048ENZ@^t&N*4~XlM_$1(n%Tm-H>B&i@EJ29CHNjgay2_P)Q@rhi4+c`ghmtobdLc}?p zSdg>@W-!i}5EfyLRqg&|_UvW)v)hl>K=5Og>Zml~tnbp9gAFOE5g{jltklS<8=gx3 zx>8dy1~O5yL$ECQ;Ay%@23knBm<`-tod$-Awh#@vkm{s?(Thlk4WB7;C#a7Na(MVL zH#f%DunW?m=xut&nOL!h7;-Ock`Y;Wy}xr3!kLO!*d$YG3e~?AQyOJ~h)!e;dCAaN z3J-cm7Xy|F-@La7`;9V140J@J8ad+;;$C$&Y44;dq{C0*hw-kKqXGi zXWC?fp1lsfTJxEx8Y?L|7nC5OrRyT0FKs&VmSiIDZogg29Rca{RojW|PX;i`NQ zJxrF{V@$g=9}1aDS0u#RMD`Ei|501`Zjl>i95|}UmT2hV`u%MNWCC~sG1d4X@)O`E z@*+~gzsm#ri_`0`bE9A9Mt`0g{S&6v|9c+TFYBRy(|N|Pzv_M=@C$)o2>e3e7XrT! z_@77Mzt02v{nX&k6M?^;8~v^-{=Xd^{N;e@S3dtMpZ_~NFqVIb2liik|LxcJk9c7J zrT^dKf$1^RFwp2T&`{DcGP6=L=;;|!>gh2vQGSrP80d@)^y&5W^#2(Tj6sjpkV%(T zpOS{vkdcysmWhQ@mxYyvl9`T<#YkWO<3k$OUp%mX)1Kj<4)Z@BLjURX{vR~{Lf{ty zzYzF^z%K-TA@DEozAWB7C1NG)S)Wo>9{Z(?ZoL5TZ}lE(Z&QDdU{gGl(>HPgo^ zOf-KQV*LfZ#MOpo zV~p4>_IaoI)g^DUi@AgpQ!-xfk2CQ_0KkCoefq-mjAn+(hICjkU;=xrTA${)*S((S z5-We6dtQbrN4}pAFjv04>@NKDeBXVx@_Kkn?0DI!kv`XYy@PCeep*{2j&!QT!XA@4 z!|G@b^{{8>eSZkOZnI@Sm#p-iY#BH|>peSesX*piT-!drkvzrnYJMJh&FpYLkT^fn ze*gCLa%JW|{LFl4bANh&_(<;V_l%8YfPMacxkhvJ+5nrrn~55v6OY(``}7t%a5J-S zk|uR=q2-R$&g~i4sbu82U>oC!)uIPo@3Jngy8nI^FRyS^9LMFFtjX#-g~@b(8i2! z)`yK!p*+sPedXC(`J(BZ8$fXM{YK+Vih$ge5SmP}8}-_S2rPWuZBl1m`B|OOIvlkq zUGpOP&?vAKCpS6`g&zGu*S@sUgRZe_ztT**N&hw>7Kg4lEYJa16Drovy+|Kah$V;T zZ)Je7z-;DGym%9U+2A1!F&UDs^M~b9(vJ5(H&_zNTBR`>Ms1*(^!vp8dz5j7F<|8} zKAe=QC7~AVUtpm20h0rsF|Bk59s<_<9)h!Gw$(lD%P<7LD8d`7a*mK=icTWdiD>}K zGY-}Fv81GesIWO>0hGq9x_aOG#A^8})6p!bzTs`wKgd4TI<=p_OiWe!%n&=C+mZ5Uo!fA00N$Ajlqn^q0FKSHJbsw+pL| zM|u%w8Me-nw})M`ddOO8wyT@S%*&1MT@N|54{zKZFHg|PXO*5f;?N75o0sQ52(wP- zkhwh{Ia@;~xL?=4u}7zcOb>n?_wy?uG$rH=&aH~IO`1v;pjvVBdLIKst+x6Jze{7V z_r`p<&&?f`cl5mG+cQG#G~KgP?3T#Y3`)1gU2wi57j@VA{-%up03~Z=1wB9>75FvI zo}7QU2uJ<9Uf0W#B%Od{PE8x>5|8HyA`+*6U$-GimBfr5QI#=WKkJtwxplZ7rV#1r zeEWlREwrUlGJ8a_E{(AGy0mV(s0Pn1=RX$S-n5-^q+ue{*LAsRlaYEsYCJ=G2)LB# z<0B3?W=uF`-griHItX&#Jny#~&b7VxI~Ltyl>D{V-#R0?Pd#5i`QI+)8mxYvyd7u0 z94EeaVP9OHb+|t7KCeY;U%^%$wq7MNzcQffc`B@dcW4jlfrBP$!&1FtdpW=Fd2!mS z2|Bz3M;xQ4taNTCUOfEtd_H?U3#?2UJbxU6Jsf*xJ{KuGS@(+Vbo%+;sl8sfdG2_= z-WpIrnn;5_krt#MhpTU4tlF+3!$M(vQR8(@a{&D?r+wYSjXfya`aXazrwu#FoxOki zgKHOC$15WBve2vD>-qHFD#^A(dHzK(5R7~u;E=#6?jWx`Ht+RTYq^69YDNC%IkgaMn`Ngaqi`e z)H&CODVNpF88@61nP04MCLieoqU$%t!;&JqN*@(oqU+N&WY7(RX@~{>swxWa!PGiB z&>T3C>O}1<+mjEq^?Y)TA)19ooqKA*1wxxc!bS;}u}7(<9U3vV^NjgSUi>TY+umSt zGi2T(jo{%}{VkFm0#N2&j9U5~l;ao?0gtY2j&zXKo@k{QjD5q6?@BhG*Fmy~)V{v3 zB3IW5+X{aLL1sZHyD>=OBhoP;)8s{fL#6kly!mKC7O2>ay?&->yiMcV-IT z!tOgkxjbM@1b^BYtz7_u8L@I%in^+Rqtqe*ob0{vsVr@#ANr|6pPUw?o`5QU3JN*a z5ZJTCluo~No(TyJCS|63AZF$1prm(H@D^e@>vuvfHq5}v%Im3C0zr5YqNqjOg;hp1 zUQxH@J|8T+m~PbG8ls;pluNq}8Tdv+s}`{Yq&bXWfRIp#z5;MZW{fF0w_hSaV!_LH zRPm{|-fWc7&Fr+o6Mm3U17>B(T=;fJjQc#dp=4e}`7@bHE}Af<+S8M2P& z-XH^mSo8b!i>VeIYjfbbBe+c+gqXB0(UHefq@v@ZUc~A=^9c&mGOX#a#nbX~5srPg z5aPLzXL+&E*v4pfwIaK88LvLgYUb05a{6RF&Y;jNsNX;}1 zq9j;A6Tv7oOu@-oSXI+%)dEZVT9q5}*ntZ|wX>duAs+RfPHG2M9fx6s*n*A^jDdJAU_FI8`AkNZ4pIqPLnq6P&w|gx4a3RX({I zTV1=^oH@09L2ZJ?jHh?0wAS0>4M8#MCY1Gz}*QWY4DIhhe9>>yDPWf|su&CY0 z6jaF+T53^@vx3=6lm{QbM$#I|km?qz0fn;u8};G@QQT3uMTG-LRp^y1`ULTlErpS< zBkPvjv{wePrrm^wZ*xsUSuxbE?Nz~q{ghgf-(fiM*RLW^)c9(NTtcD1leWc{0j&Wz z8llZ96+fs++^{9#TeE8FzqcCVb*9=hDQdXm`)TzW1RLqr6n)C%^BqwDpi)y(kywiP z@diwB{jKV=?Ctn)v-tL+o}Rq$bE7W4cU%wK)tj0oPUC5|wMiC45I4j12D0B>!_9P! zH1$yF{^o#K!*d_#uA^MWx#*>GrsX?J@z$=J`>otVPDtc}c7mL;hZI9|Pa`j*6X2U~;Jy1ey-c z_zT^^LM8E^i8E{al_r9o{qpb=2#zc%c^ZT&=V*tfkJ?&{j|uYd0> zJ!QIHdv+f^DXx*CRPRJLy7mL>OmD@#FcrA~PkT2WaRgLbo01+#Q-=_qP9=#rgx|r1 zQB$5OIBEwnF>wS2+5BGFjJRSDBG`^>>wu2>ZJtaRH}A}1ow?c_D1Rt6hWIY-47Q z)&L1ni@S3k+5uS(bLTIg&_A?<3j$>|y{p+bB(9++^teT63ao6$H(eb!5Om9u+j;`E z*cwW`D?Q^Ivy8pVmeclL&2WdtS=#eSz4d5tk`M5td3`>X82kW z^QHQ;7$o77$?$Y}bzTi{5YCw7;$o#<;b`2AFG=u@_wWMtYGX0DP-s$4=pI-X<%&7Q zwp8`l;j~hI;DTWZFw0XCbt&!F;N;`koyd z3CXGqFe%KI39NBN|s|v^mPU3O1F{~4YGR{ze z766#@ScZ7rMUaeQhB`Ohi4a!JprsTEAq7_iQ z%LiN;LmkZEm~D3Q^Mz!(dr|kRSn-jNo*-!Ai<*C!sIJ2U_d|v?^WwzHo>F+uLGKT4 zY*QDsU<5a%erqm{`t87!wqe!+@p_-{uG@EX(WI31*o?T%Kc7)e5lQD-U%rco&Ilc0 z#+i`xqOynEbqZ}-%V{_Gz%n0`@LCYn- zmV)Nt3y@daG#8qb1Ec%Q(1AzUuGI&Y$gsv14kD>S*6llOk54+g=!mIgwx5Swvf4SF zZ6F?XK}IQs`A}nmXHkN*R0YBa3JG3l|75>%1QxNFCtpSLpn};&J4A=KDPt`_G!c?c z#s!A}DnqDGY*1zOygf;1S@N1_I$;v-V?uFq%>Za0@)=RJ%DUg)-k7qtK;kEbI%zP* z4X_|9KIhV+3frM6K7q*f}_N1uxTKi$$a}F(w(xtKfw~ zM!_38Z2H-OBCfFx@wr!5Gn~;G&r0=MaI~ei_}3#!Ct1k15X`NN-|;!mlFD^zjeA4O zlqgRtTvbqVsC5BG@WXP_cRvd;$}&WU9i6-s+#H8IwilDRxhg|9lJL4hn0Gw93PBUD z&1+~;rH+hBIEZo$obJ)tT2?r}OlO!Z33#?JT96^xwm7V*Jh(?B;NN20ZHLB)@C}~% zmsrC>r*)6lDyvYXCx~tmb2O(O<(}?X+GpD>$1TmOFDdRhb|a|lIQjwexVD*XA+ccY zw@_P(YY%b42aXf5Y3Q)SF$-ES-!?Hj_};p@*e@Uvr}bg)im--pTz$*K7-^?3b>ly4 zp|5B#WO(P(NQ1_FN<3;@V{s0}Ix3FafjSHXi5Gu;{6R&St#>YJDa>!#e(fLmW^~dr zDbS!W4N{!9-&9d9;LBKu#_X1k{mw1Vx=aHMr)*R!yx<(sxotn**=P|Ku2c+Yr5eIl z4%@YA2X<$~Z*NaZZitL1MMYp_Ojn_rKW zhM4>0>MEO_W?j<0uE?);^RRcTi@U=i>FRS;-)>3inOCubkUVp(5iw+w8yuFFOd_8% zW`Z=f#jG~wXp6(FHWhBS;Nd3+?4iJO9`V&)W7-sP@-zHvH`vpm^noM9H+aH zR4yusY$!(?YsQmfpzVX7xf9I{VH3Wz5qz#zlh6B->6vOdltCHEiC?Uvju2X!z{L< zR1zC$aeK`zEu2JT)~RrS`+@7Y2AfqXy3i#}-uxY3VpgTvZ!q7&+8FIk1+e*T^4lE1 zIn(c5aO44aL;87^wN0C+G1I$i4cHKv3158NmlMudnX)x3c13ZL0B=C%vZ4$pIh&7TyQcA>+SW`t$8qcIn1 z$!3#W%A1^88biK^CEzQJ2>5}h8EO!q!dMOl#neaM`598Nxu8(hC6bWofu%LZdmu6Z(9Yx7jeVrL`QnyF4QqMa~wpueB`-K&moWRtq(m z623}=r{woM6(d&%$UO~R-DAp4hZh^JjRn-hHw8M3(wbY6f!b;9p3sbPfQ&jftj=mY z6@E=PbI6(H<~SkI3I?mFf)JxciG$QsdTy+sOgzz>VE&DK_2pb?;oFlp;gLa=K-}K7 zQ9E+dg_Ea04Swvp|5P9rJ1_Z+OeiAleTv-iv*@OrRT&^T^S>MZ=@&Wq4A9&ZH;raX zN(7nXxQDlP_QCR*S^tRtQh~8{y9J|Uwl{Jzsi`aA(mK&A@JOEyZ!3b;H|*2S+Z!o8 z2=4_j*isLyy-yEhkPE@|#oyiJnN=zig&T?oJaAJ6u$pow4R)frm3JGnTaGISnpe~6 z&_S)>dya}_kRWPeXph6GZZ~_H8Gyw02pV#6nY|vng}vzSG>voApNxHPrmi&>lybUK zG8*?bCTbsnzvUzFUl{dV!T39T1b*?4 zz)w;s%naEdf1&#S3H$X&9#9KA8r;mphUAqaRy5Kl;aB2en#g+8jG_z`ab~$zgm;ll zD-+daFri1khy885(?((1lHx+-xgqdE(<4nr15!>D%6*e*gt&&=TRexgjKQ>weOrkL zbV-AqQGw(ynmPO{H4ouRHR%|G;Y#+~8YxBQB@o^u^X!y*)LV6F1qwXaa}zE4pD`)N z!=G4ja%Una7vfbwJ%R-pzH)VB>QDktcQcFy=;VHTv#+ZMypzaXA+A){2A_- zp1*ki9`5yS8|hj&N*sO*_nW_kdvv z{Tc4@?g#85Goyc21w-y;y2j`$y6su_FRJqw3f<5)#yX7xliU}G^fOn~0g5~WCyCgN z0v0=yN{oQPBBxSOBwJ1$CD6$GA8#R;&MRdA`Ec_1%0NTt-cc0jJ+MRdiGr06x$aw- zy}%Q_g6!in&)!P-uS9E2f3Al1gvtv`=ARvl!s7wKY=TvP*UoPEi?X*JuvGbDImiJu+tfhJY z<#jD}1GlonCs?W4+=+y@hXcpsU6zmkEL53v7p|?_PUcwdsEJ`*uk5C?XRl_XCvkTs zUVjkcc7({AIY)HA+tNW(lW;9i_pfq7I%*EE>XsLd#_+7PBW4~y#kGpuHX~Utj7DP= zI&T3oI$sr$nzfl+ZlDS^@~`&f8@_u#>lsN?g$(14`q&h9L&<>KjZVzbY2qHMEe2q_ zKxV!$lyZrmxzyU0slG!nOzc;ubyW#u2HW}CvDU8Y_jN9d`po+Tx9XEXY}BxhS+P% zI*C5irQ|M;erZAOvSRGTqyh^Y;ZXN@Fv^5a+R6kOGUhiMaWp2~M2pOlwNOo~r`c^s zlhH7JU4HYDCN_bcD9(NCO3x6$C2N^6t>r7+k__x-k};}?npFO97sf&FrWoDHIKn%{ zoCi=*e2`w>oTzLUCvs$%VSG!qh2rcsaI& zSF!H2LUHv>j?;j*xK(q-v${t!zQIa&e}e7=Lt@pvFIBiSJ;q=shw@y{O;-B>sY+RD z8qFPyuLRLOCT5i%R{K-pmFeK{rV0B`#RYMS87~0P zM%*4mXtM!kOOxbvl7?e<>T8(5Nnr?Wam&DUwp4R%TmgoJmjat2)p`CP^#XX3&`Glp z(y~|qT_OPqu07CA6<=&>ueFVN5DXe)2+q2+&dIT{4)dF&$MKutSlS;1t6Y^Vq%gIn zJ)47iH~n8dl$PfTMDF?gUqo(}m2mRx4Pd7D_?2d7yy-nCA{+yI1JKX)^8lq{jD1ea z2Zcn~2%_&guW$tQ;)zOBn;c&$n2MvI~k68N(J4XXQt$(s@T{9LkGLFJv?JoCFnyx*WfK5*E zLou^2ZV2OK9oPxF)Dp-afFyQcPLp(9k*v0uJ18(^&HAJ~3KX*Rm1K9QuYWV8h=CvH9IxwGoGQ(SY8ks!44La zxsoXHX$O7&G#cRNY>K^8P2&s#TWg9#!EI58=V9yNw#$s_7II-!I)qAT+%3cen)z{d48pwD!WjU@-Z9;z!rZvI*oU=( zePI0c(m&54bo*c~8j;mC-m1#cKE;$7r~^&tBt3me_epwB74g~aJDGB+XkFCC_a(A{ zmR?v5=Ef#@H>lndJOQ-Z%k1s9lZ3_rZ%J?>$)$A~TFlK&W1xupB1f|ZNMiWgBEcUbVz8MFLp zWs--lxOydag58P9{N??J5TxR&Uvl{?h1#r_BQC+bQm_b+@JA!4=|L8xT@pnSJxKIz zrNx888AE1xe&ok^E{J_yk3@Ch-q8*2el~HHOW|wAdpW){2x}vdtmy{nqjPDY;jVIZ zXBWC}^P}bn-ywh|4`ha+D!vb*;e_+z$HhuXD=aEy$KRns(X=!Ltk@nQ6i7<&m~|2L zE9)E-7cPM&^>JUIkfUg>vY3vQh^aA$I`osvKrV<^im)2s4myzDWI5EWL|RQ`G4Gu&%?5z_Dr9^&DNtfw5r^k<2DirCk@**LQ^4Y31M z$F{8{@UFh?RQCO$WFl5>@0}fQb=KA;kHXyWvy5Q5C`{1uq%5Hq44CeDj=_h_We{7ViB zl0Ik%rXUfXvk;6T*<#vpIaUK-Pti(s3iB3~Lx93CtrA5X&?s6-)~RhTl_M-I7r%Fl z2#4TR*j+9_Te?8dnP633Y1v?$vF#8M)Jsf{u#8WKsu;EUVf^H>;VmD#YnU2TWsv5B zu^|piv>fu86iVcTUu%bv*>?p*{rO|YUdj9{>$VGe1w^wQo5Pk-DcmxdoNb5UM=K+P zWO-mcN8+NQFM92?Ge231yKt;>+8{HGuyS=nOQxe@HhKSR(}m)tbYvS6jb0BALdTh3|ncJ7hY_8v3y9YDo_rV2-7qnqlSe-zES*7HXghk1p)4K$-Qn zv^(wfuSHS_%<&f@6=Y>hB^TVaBa$94L`}=Xd&kirUnm$Vc3=;eu49|4GrF*$7bQlu zl^=;LIo|r7lBZriUR#0Axo&9NK%w@-Jbh2$WZgG#ObcE(8^=X#ne}R4!m>3jpdA|N z3CV4S!m1L>i^S?aI&b-QeyFus5?yQOF^Do@Q5Q4yaNB_LG^ z`9=?h)kW6Z6#zcbi)|9^#<)v~wHDJ8w#PMnF5&A6ba&dmQlEX13yr=OSN96%+t#hq zoP8Cwf%POgcZuO+fvw0*doow@bZjiGpEia)XFsD&vl`t{;<13fPb=${;r7Gue;>rY zfR?U24;TvgR)tM~A}fm~2z=x7duI5 zBdDf{kPl#W?nlZ^mcYFpwq2{{IZ!zmK&$W>@xc_#jiCmEGUAwv(b4Va*U&qW#8!xF^8gh%D#3C ze+{`IFfEhx_h;hdfZPh+^}{Qdsd( zwx1}z!pb2;Y;{rJh-m4XoMTPyHsWCzVe$18#$qzi75#-yOzm6+w>}%jGWX?Wks8WD z=&(D3y7+zy_7xlBZbX%<52t-MDYdgUK#)=0{$3B{MCy_eN){5~${dF!Zag$(OKfLs zzbu%RT0}k_zT@!Zo=$+4LDUoI=rF|_;6Zi|7C9QsKZh+=>IX~8gy@pXspciCCARja zsJKLOmmgaH$?#(r5a|l=0}?O(TgZkVo?zTE+%TV?V{sdMu6Aq;eqA^AoYLW7E9^9~ zE0%j#pd5lv6nw6z`qa^->}|l(V5<|GRs4@U&QTa_qS~(OPEB?y&5hhMjvBmE^NPkg z;%oxz33#I>xgJ{tTSZChqV_HRg+NgVgk+A|gh;Z1 zV)#r2DH~3yD+?I+2egcMYF5_ATT=QYC5)$LbdeZ(U*H|G@K0?9&M47$yj7Cc%HG?a zXnCw1LOj+7yaU35l59jpBKwP92WD>IOY~zHk|{>|FLXHYsHdSE62Z?x?ahnql<+XE zCUw-moiZ|u`|IUO?1b}yT0obV)Y1$=p7n3!+JbMem(EJXi?=#aT(;*t^N7NfFPU`( z##xA6)dbFE&v!F>^vBr~ZX(`;(`k`?J=+t>HjefK@)}Eu!PC?kEGWVB3M-z(Cks;R znsY)$SC_Adilg!a$DSXJ8C7+s14;m{Dd>fADvH<`T*z@l@)Qn@iu$$yNQrl5C6z|t zs{z~oif9%xrNGB4)b8uMuTL&|lqGG_CuO6_;#4L}7*WiPB1}-NroR?Sogf~)j-95Q zcc0C#d#ZWGPe@@Id7VK)BsMmjhX-c13zOdH9OfRC?H=`pw5kVTm$>YQj|L-p|6?q# z#`oGO@ij^aa2?cgiw2|E;m{S*Gl+ubhZPtnBL`P3QcSDm7p_K^;(5^l`l}<%lItDh zs^X1f{EHu9`0GUs%UR8q2j5|?O+?A-Lo^E`l)!}+{j$)TWi)J!QLwj$$D_YP46W)& z!##HNV#HmpsaSl*9j)YDX)8UGL8+gOA*T!+xCFjiA5hX)O9Y8{p4HpJUDZ^6cq%uC zD1l#Au+Z02STMk@7P-wPr)2uE*?xj&?g`F>G6#{W6)?tZQZw?S$#4;A+9>gIOe@NY z?I855o36dMaBU{wrn)lMdbmfUy(fC#N1s>9d{kbO0Zo+gfKRcBgyh{Z{}R`j@oY^f zCnJqXKHyc4<(^P!5ow#Hy+$$Mvtg&uJuoTA4NkPv;(kHMmhr-9#8|iT0Vz~}TMk-# zYKD$y?+%3hob1`GPW?sz{urV(mGRVt5+>aghBOYVWcTpqwnFiITG9`1C|j+-S$;NH zrpQq^y3fP+dTE|agLARxJANA!A_?&u^9)i;s zrD!&drZr9R*3!nMCix{=q-Vo%lzhD+oa3y8qv^G2u z>T6%t5*-kEv$6a^76DX~u?Kk7>&h2BxH1JRYZzA9RYpPxk5%krMd@u0mp0}}n_lLp z%cjee2R}A`4bXisUJwIex@zq0b6Gym!nH7C%-Po~zywc9^ZUeNXP<2aVbV{imW1td zm6k-V&P4S$1gYk5EJ3^BDbW~si65^Xj)voE6eyg^8Z^9p+NfK3Mx`KYX$?aggHdu8_BIN@W$Q2&`XM&bM2C|z# zxlO3o8Z=(JfuSDgsVb0Q7o4ucx?GTK@b3+q9hDeAEhYRz&7#+qNr?TcKTo^t7$O$} zcVuS^&(~?A6i$+>CZ!ov39NC7Z<)%y;fC3i(s--8bwD_UT54_zePgc<@d&H7?$~TN zXD=Cftv$f9vk%c<+7U+V%5zR`ULlx{6>t@&nc$fMI{<3SIS4w|@>dR;zbT2?k%Iv) zM$}}|RUNs{UY~ajkSZbMPe>fsInJBT-CG`XDB*~i6mB%+lm*>~v;8K`9{A*E)nxr} znbnYze&_0nrs@=v6yJjmn!)6+N59OlTXKU)I%-3ZPl<+bGcGiZ*nXfcv(!iS#c@pn zwj3+d;ajvOZ;s%pwP4h9Q5epb&@&1R`Wdde=PX+d42D2oB(%+d187o0biQ5o8r-cG zCwCF|G>&iIvWyG7dFb!+k*lyyZO%7YY>P~?IuB9c*wh_sqR@?<26K>*z9wQf6$5z| z8t_Xn!BhIvDQwFd`U&kMq8S6*kKR|rPV1fv*nBSBBK+9X(W-nQ$v#R&)fC%+8q=zF z@UXmnD8LOr_{nd_kQ|H$KjT($WHSZUKA~{Fjf$dH)v)a+CA=K(wgWL|(iC54UjD_9 zm61?xx?%gfJIzx_{_bkh4n$HGC$#Y`k54`es(H7dC5SvO#jDECy+i$}THbGS=hpCj zqdZuq$(A5Ah8+i$)zE{jd!+LExLmC%U1XFkE)SEQFi3@A&-OAUoY0}EjNYM>>wT;$ z3=K9OD`qog)z7USFtV7aq;ExCx#X2Uy9T6?r!-N#6V~p$-IyjHh>r9eYT4Tt6YZd} zP`<6dn0%QW+;gFy%yfwHJN&|eoDd9@JR&(6l}aW|o1$Ga-=$3-8P{UouiSU|W8;i& zT*9RWy0m1qesh4MHQaQ770S_nb1JZ_%4p~qxJ4mAoiaOnN+L|iWgdwXKFsIgxP?cp z_Xn9rs1}>t180L>_F*`!;P^Pn=lpU!=CVs*2XoArZxP%0Sb8j#pDcJ&NyawG)pT4d z#P~-q;cViJO$Y9L{Yj%%yQ*7-$kDdi>@PLDVi$U>r&)f~VcuiL2>IA5NT460;qy}Y zoG(m@7?W+Z?=%?W^4f-qdblwg6WhEjGpb|&42_GN6_3$RV(3i&R1>=Wacjt2w2kOj z>UM-Etn*+dZoL4&{A_dr?+iwH0-o`PblH#SI04PHCU-&AwQU8-rc~z{65)VW1LOoC zoMwrHlUV$}*!$|JxRUK%EWs_oCAbD@pc{9G;1VbIKc_Q zHMq-b$YgHj&fNPl@AvMyZ>>lF(OrGIPVK6%s!Pt^``ba;$$mr?%(;*!)GayP@KOr# z!_cBE+2|+KdytCI*-uo$u~Aq3(NDUphGhDiv8ij@cb?&SHyL(EuWg!IdT4NF;ER3{ zqECb%^vLgP^tTGR26*!hv{XNb8kr)9z(-DCZ#047HQgFWdodF8q}B8!h$2!AF1pZH zBTEiWt|U0ayPN(28GkhDEZ#sG$p^AYR-HjLO7Vj_hDU(r*9K1{tBmja2+QscK|*ss z2%LQ*V)VgIs?NC?Vyyo9!Vc&~5ab~Yd>XWh9#$XmxLwL4 zx&Fv6QCxO5@BwJ&Ctw_4X4F0jFf?0EkeGP0p$hnHTW>f-H4M7f6+_Op71iuQ9?~k# zdLk20!GcULI(JFCX#|CW-K!7wD{J*F4NRxsvno~j3o5@5d6$P6Pz66`qnj31*;Tgk z{?MV207Yw5d8uY9U*3tZNYUhAdzG26ZFXzQgQG+*y*TQHR!9bJ<7*a#S5I?GQgU^- zPDCmFi&sq)>Rl*;!rw55A<7ek_j}Lt%IU{xYG$E#VFuW|310r}v&S<+-GFc1PJA|t zAs{(EHwd(jEVSR6-r4)m7dEN4gR!$BmihfTq&-=aZsdor;#2u8ky)53pd%XVcP!9w z4R5VUI^#Zbz+hyJE@%cVw>aK+f+&cth!^DC;Tn49xMWy}H(f-9g2Sy=dZD#h+NaJ| zJAq*cT9q|v)qHREEOcNXj?)^ZO@MoiGfT4fLI&?aUK~{Uv~K+4=H@7if*Gd0RM}M~ zjjBDu18h!{T%~?f7>j$x95&Way`fN=9F2qBlxiQl#5L5)`)x^M&iAO-$2(=W*5khT zGmu4&e(EFNqYzqxhiWadU^IV>?1mEVzd1ikHR;A9uT71>j3E@0DYSn*qL5*1?MoHw zAs-aV@LH%Qd{)i)fK2p@_vBuJksO>Xd%T*+8K=JugVmRw(Iu`pQi-1Du<9 z`#Qy%kjcbvtz5qo`za2X0F!Els`+TWin&m6*F)&Ri@;bifh$33Trc>@xoQ|eE>sg3 zEVa-A+OtlQ^KiMrl_KNiKXvQCP`=HVZ zyTb=iL@UeLqx5|IYI!iGxhmXt$n(-!%o9<~bI6R>IvUTV~Fj!!@xP! z!_S{=+T`njy?!D9b6@V{9o>E-ugR)ajCg#YfCiPHs$pmoP=TGd16Pc^M_j)tkHDL^J(}W-jXno=pf;FYgYo z!0v@$HBMObvb?G*)^75d_Ga6oe#|yA0EL0^R22HX%0@2F@Z}ooX^|%CDvjT{y!5jr zvCMneaXE2ym4}h5Mn}%~gG8X>N>?-87+~RwC@^wAQi{?z4bZ5L9)6|3FR?1E#$FkW z<9^gzd5kvy5n|IcKVGJDx7_XIsv#NI9u&F3=m;MNy;H<)fG$tK>r(8CyxyQM^C+b! z;|)|V9WH-P7+Uab=RB;RS!GlU=&%7jw}-S8{*a}h_tB=f&`^Pl*YX>KUg$SVIK&t|J`Fpe5u*(*TwOzoL!^n0EWU=u4P&yvypq0ta5Lb&Ru~ zF}yF_O8xI1BW9wSD62zegEh+jMfconFjC&xy5Q^~4@R_bMakee$2qV(<1%K|A#5sv zDC6aCg^wt=_L?x)55pCC>LaLnK=5rNPECmcSuwRl#6JY8?5zS^7UYxGbiJgQhKN|I z^bR8krR-h$2GyN=mJj6Lw}pJge3tjQJLRl&L9kY)VMGl)st1dF7o|T`Vu_ru5%izk ze{^y`)&Lfep!z&;6YQ{}^bqeTAcRFJbpYQ6Lo%~*yOsDX8inE`DHks+pUe9~bLf*T zlBt$tyAv!JM^y&^ho9L4-|8nHO%nMUyHWx#R5RK3{ori%e5Z6}>Nvm+p^-eGU5wH2 zlBXud2UZu|V{=FG?6_^ih}4`;$53o0C68BV=d1>kt@`|EVslCWR|^ zW<0*|sn65lAlh|!5?RN}M{0vcSkB=JsTV9psqKk9et`+4Ou1DZSS3swY!C5};G879 zw=8A(N>J73G5Qt@jxS3?evRC#^#qW%BqEj)Z$Lw~DDv_}U;%qe{1uV-Ob|IX zIhpXim-joQ;2JI-(bl?UL@9G;*e<_c{8%N;YP0NGWlPubd8V>^K3c})aBKB3>ES(P zAFPfHQ(M6f**v5OCVMhH8M-F8cfRgrIF75{F6O~Sr2QCaC1z-Kgg{Gw1g6TD&ztQJ z{kR?u1tg#bH92eT%&||M?vFl0t}W0^8h0NtFD3x=P@x#L)H}byYfVxtQZS}$RAOgp za6rWE>B=A$U@dRKTeclJ;u9=%Q927F_b$ zL+o9#t7P_2_P(FgQv z=9YGx&OD?#`c}FIoZ$4$Wkyos+bs5GJfs3QKM<=*%MlA%*%}bDG63lH0AM$GHVy_L z8-PRCkeQAc9NUhG5nM@T06hS}$->SF1pJ_SA>~CN<_5>|=2Q?C{Y@J98xN_my}dOj zBcqd(6N3{AgO#ljBNGP)2P1%)@s1fFUZD0xBH#^;IkijqT7dv}f109Rssh_^n?)dP_U9n25z}&#% zCd4CH0@EMzTmN)+`9pQUKY%l{e9sI%U2#fV>6;k3{0mlAAk*LBeEn1IAEWOVOaCj* zS2kTHHg*6jE4>~oI}jZE8=TT%VFO3;W(9x=U)flgb(z`!9p|fo0V^|;zJU(C9-FQn zJrKZZNYAdv0i@Rj>FMhmurPypWA8X$|7RzKU%dOjKZX8cMgL>j?hv>`;0}R11nv;H zL*UmrU%%68{>6U&w*b&THvX)CjAZ?T^OY5FOWOI@vA#-Xw017B`98I^860h2A7?1>7Wll+1ifGYh`L{G(*% zA0;#YC>c!c3J#Wiljldt%s)y7Grs=z(~pvwZ%h6~dRhgmn=szQ07hv8eG?r)E9YCD zSa5W025>Fdfy{p-guN;GpA;VdAfpAdp59iI@pm>_AoDM=(E>pLVm4+L1~B+QR%Qk^ zc49Ub5ChX6$!Kpo;9tuA6A>;u@Rvk0fmpx{xU6iKvo7eF!3(%yL1qU0R)x~`m^+#@$l=?!6WonIDnacllPl+RyGE9=D(DF zGYJ0!(peZ-!EI+|`;I*5rW;r|{sjEZi24r*2i%Bb`mNVlz*50A`7`c+q2K$*aR28a z2l{2)Ss1{73=qffEx*A5@aLxA{KJ2_>8#*^^lQC-i#v$@x3)8Xugjm?elxB7vUE1^ zwDxP#nHa#61PDCXZvSrp1`sPTIC#mQy8UJ@`(^2@V0PtSlFs^F-Vf;<3@qS&_zUU( zcAER=e*Zhj-}F1^cjUpKfmr{9{Ox-1%WeNV$b*PMEWZN}UO+&=KLLNc2K}<|zXSY@ zydTmzZhHT(r2nmnj*W%o*Sa0tOlI)X!T|=JnV1R0&HyIj2HlXJ|8bJPU2T84?Z5ta zzm@kxIve1oE`KHcZ_RW-&@V1AASMP@W?~>4xZlBT2ah%|Nj#YR{hyouw`RIu1|G!5 zz`+dWNe3_OtUp#)7FO`m{>N>_?Ox`Wf&aVGS-|-JLpliXC+UB0ru)@F2W~rfRbjc= z*4#+HS>IT0b~XRh^q(fW|LYX{An*bQX8FJ2^(O{_7g}(d1Dt06!=CPTxA-3eAMgk8 zng0YncpmtDq8GCII~4!Cyf>`-dWr`28jNznqKrxg&i2n3MXfCD!4&*TNT1F`>Z-eWvl zuQnA<(24A^JM1pP=ZK$>RI3fq>P=Ue3Ny)HdB4jyE@04@!m1QoL!E0#d+DK__|s$i zekrFhTQs`&Gjv!JizFfKZzs52uB|T}tGtXG&rHiM5B5iw+qY*9XSV0huC_Odn_Q0U zD^9m_OlCb)J<6V0RBB8m7@j*S%QaIA+g8Glw@*=u2y{-#Tg~T@_Bd8`(XEv82A@N66|NWU<;TJ2O}1MFQ;(;*X(zzj-Ms%$6YHUtB_mg{?Z#$$^fy5>zOQrXY;&OJ>{$lm9&M^sm7;E_ zX4;!aI2Tl#W=_s7p9__Zi<36$s*ayEZ=6rfKo>Olhn8+#5>C06Jo`Xrk?a@H9adTt zJ4Br>+1+W2Gv)scZ6tiuHUy(&TY9@Y&>bo}YQVbqcdFK?Tk1Po|*WK$7)jfmW$$HYr>sYt?#2`LM{ytIyo z6Y_uS=Sm?`y0BRN3DLI$GW&f5%gmkDC~{mK1i7mi?OQ+6M-F%@5liM+Chd;Z;B z1~N}_*O96)@(Oa#-al=e=5J zx@gw`?YSkeI34SHG*Rjq1{EcvZnH7fOxRN}r zWrP&)(F)ADSl9sg2dUKzBu_XzcnYDpEpYCweBGnDdhKJEx(FBy$@FnwhDHtV>=-M(h!Ld26iXdJGZ^2eVt@Sz%48wBMLv6wi$YH4-7L3`CY@Ddf zv^f{hbSC?Wb$P(4ecd}N(m026h>sL4txEUo5C`~mE^U)F>CsobYVN3T;FLTEG?XQD zGjr4J6RYZJyFpH3auW+I+M-M0G*LTp3k>HBO13*nTXFU#Gscrzpvg_W?V?Yy0Wx%w-7*C$0eTUyw#F^)h``YkG%Ub`+H99kvUCJPqGwh(NEb6sv~Ds{|dv=oZN7 zkh#NMMG`fnz^y22Brh@p{tKvD7I(FXoZE(STZ; z0gbg{1cl0rikHqUPRQQvAKU!f$KWABFZt3_r6BX`v2sH-*7M|JQS?a0*q*ASe-LOA zG9bf>7bINzAY6;;+dU#@urfVv{YmlN`2w*^tl7aA&j%Dd?|g?yMm5^t+D8;efb__n zS4y91R!?8ACX%??vl1uNKYwb?j`M|@K-qR$UFQfuVmwZQq6edhJ2ILVp5M~ets!Rj z7QlfAyi|Gt&(Jm;+adGud8)KY?BwdS=4e-}l;M3$r2szvU|!$gb2=pj+}8@QA#3vU zkB+IGj`EC+)xS`BVc{&>Al83mv@Te|szwY!O3`Q>z(hf3W9()2Bjs^U zRY3Icam(6#K#qZNFIUv^~H6oM7`Zt1cM$z{lE&8R~EUm$GC#3M)b-! z*E#jgj}deiH=07M&Xq%;=Mo)=`!2NmbMV6#*-84HW-}ec{JCUdJ3I1TYxa^FK2XEd zpdnAT>Z|dM7I^foxl%A2zxzL(|c5QJa zosk*r4qSjXGH(D$L%no~NL4oahrIa&ZtqiK-k!WvE1w#EHb$eQk7D}h=w$K`o9?v( zA!3Wncv+9%B^-V#ZYm!v{#HtutyOS)?pC6+Bs*wQ8j9|>k1H$>%$Y!`+(>WyWu{hbR zmP}jY*hdqKdv&G;S#H>~Qtfe2y?xui5ri?3+5c?`;1e#5?!c1@bUQ6e;@KZJl;!YH zw|`d1&A6sV5lj=rN&8rqzEht*RdvMwEveVz)j{*wEZWTc27h{qr1t{L7c{OI88hKb zGpU+S6Znr4!w}iGCx)?F2&&LjD-sAI+h23VU)6tj?mE$@Pv%hHPWj>E{;6-5P+r;d z{f*D&`x|P>HaI~0k`wA=o*A~DMLzTWiEZ;HKD$T>`_oNxT%;VUmDc$3<=)_!s{r~+ zoeo{Pch|ZlF^H9&85hgQuP+R-4EEjRSkJt4_uJzcx!9xoo z{h&Z8*_~Z7+cTzjBwq0z^=+cpKsZ7U!5I=k(tVqd8TN7b>o0)bPJvlP%rsDut&#b| z?RO@;CM_P>Q?m9dm5<8AdS3F3ZFf%k=4gANWG)j4QDrVGiOcMK0J5BRmm3N)OK`l! zkN4stlzo1x`d~&asD6!4%Oz2Y@3ne06QfP5YJ4ib-7~GPlOk#jHPo5Q zv4TBesHWa8uIO?V0RasW)iUPzSGF`PfAJvn&P3+_dlMOEdH}N$6Mz%I&Iw?73}E5} z0Dc&ua0-E~vFr_gHhKAXx&O*U=HKN1D-#)Z05dBa2S5jG-D1cDHj&|AqSs~D1)IpQ zvFhvSFtOg zu!3DA*qA}AdWP&wOy9#Q>+5kETG?9YfK8uttgX#W^mJ}4q8Py@MYqL+KRLliRDB0M z1KS_|7~qpCv6P9ft&Xh=_(>E4fRypZ&w}wcM+>lv2jlNP9yiuj|J~PJ1?~{IL*Nd9 zI|S|!xI^H-kHD{+yx%^@{_Uauj~&&`{+s;& zw7%jmwG`|(-T0fO(;qtWCo7GAqov?rW&KG@k*#K7wa|fnRis@))D4kUW93fc1MzB@ z!~_!7MAlfI;Os>%buv5!Eh%C8J{tpHb^k{?iXNFosF#pipBg`Y;%4Duc`{ee6~0yZ zR_yTbGuy_BklntX!`ya3$=30l`~KGY<=5r92=y7%5UbB2$%m6Pg=149iLr^2yPc_Y zvQoKw(-f8Bh3en1@@uu}Vt~>O>Ys;LOfSn%FfLWwpS;qSzbq>s<*DE_^;6o?TBQex zjC69+yk20~#G7(nb4kF~DICo2eSq(w**j3USynphp8lls9^R(rfm&cwM^KQZ-@Ma^ z3ekeW3&*~I#zhRqOv6}M8An}P-JSHqIV_?vL~qDvcEDP>pb`VMw{1%XYH#$wK^34> znZK0+Y5LmhznDXPg0khWmU_4X^W0}dY8;PoTs=7m!1h!fb<07gT*AHl>`hqshb}SB zIFrMOWfe@uGV;7k#8X71Pi>4tO8xDx)?yA;){>RA`L>fT-&k7CX#|KY3N_J{n~t`` zsVPw7gr;<0>|j-l@DBPPU2QzB2$;h_8OQE<#mi)hOP$oQ*>_H&cnBfcc z(lVv3-$QMp@qxzQIeaaPuF`Ac#LWy7=IXOIWRQ4Z#zfT3Qcfu=NkxZfmB`?ID6AL2 zV6AF{_aPI{?Z5@G>ti62zZzyxWgDrq?YC1oaWOJgY6T(bAu``FdrHmrm24yR*!m{x z3E}9fB~e*&$A$9!uWei;???n=G9=cJk0_G(rKAM-sVEIvVth@Q13!f4BO>ZFpwZa- z<}YDoJoeTj}RsuCR#QT;UO%Q^)E`ljQ3%;}mBqkNOmR1;p*AnLPGDc+BDXG=C7@JDNk2hVVN|#p@advj<5Vd|I~aF)Fpo zLaYoS+5QS6?80XUA0Ta*HBl~_uIaBSyKnkL1}YCD0)Fsb42(pQ-E&C=R_*mw(hQM$ zKKzx|Ew>9TeWMK3;CnfFG_E8o?9d-SoeG&r64NY&uLgLU`!}_1q*LER>rIXbFqIYg zuyx*4q(ocMY+zaY1~Qig=`=G%09{0u1ED0%E;igFmiX}m#wWr;^+kkGB#Q{`Y&*gV z;kg3SCV?jtG4DYKm*YrdXHypkAq)`ure6LW-y88PvOqVORBAAxGrQ*41ty5Pt zojackv}0hs_A;X?g^25V?e>@;z8)D8QHUXN=&PC30elRY$)j&YJVB8{Ux-5AIy`0{ zaY#7NA0Yu$o*6_;VTukYedBDrlYydq$zn>0y^|5WRx}5=q_Zw>0-37t6x0QwB4>G% zW^LdJw!=Ip(zhqV2}5ncCa7Tvzuc}rYu1ZL=pQ`GtXK!7PwJhd`I zQ~3DN?0a3+o`-aa%}`h-Sv>dx3FuXHwYu-e88QpZ(ySJhhB%kV+Va#zIm^$RtemRoZsgFw=H6i_<;LO)<>HQ@BF6JKGa>T#D~61q zm6$8!iOMItI4i!1)r+ie+q43Ul;$j2u#OC?Suy!cto8fCrARr@O{SDvh0MD{+$_V% z31_fXF9M_J);kx-WTWgyw(oV4B-R&g=zq&*0gu&3rq+g?=zEYxwG>_Ddlt={iep(nx#j8AT@lq#Htg@n zk}lzFowMZnp`i;Q?w!?$#bM11&z@iPgLEP=$6@F5KDTeq@8`H5BM3>s;cOIRP~xv|s?%7u!7eht4x)C2;0`jySlhFyWo-^>q_s zH9T#xP@NO;ynwfykmpQ0nC4KR9hBgpmO{kMb?YG)vo)<*X!{D6kmkoj_8L8=Xt7i#6uO<5izGe*u_y(KwS5s*kW*8Egb^y|`8t*dc7k+|OTYS!7w9TU zcE^W&5VKiJ3kd%}tv_MGsP}s0T~MvXaWv(V>}~;sp0}2{qz>-Ul@sYqI!sq^4^L%U zS_s6gixk9jUaWHsDc!SXRisjq*CGXk?ma|#mCuAC>nCHKkM{IUi`e=@{vCz>J`QTN z@apt8>&HqA!%tm%QUfub^?bk}B%no!`XHD9%tR0-oJ5xm7ixcfp_(OQNADbG1;gke zFPE&S@ZQyRWXM5gDN7OHhGyGX6pO~C9`O)>TN!;VV^?+7Gnp+f(a`q zj>70%1LmQY0MYVGGp8+!q{d8nS9!S&U0SWnY`L^~Drankl)jeX5e23&&0Y!41%=>F z_WhR=If&R0>qA|cZnkQrj9>6q*mUrF8lHJSjSk@EY#mwP#Rw_0coUX_;8bAg#P2mc%QCvhhtO%3 zFVeJY(z=Go7L7g6%*}&Cmt0qt~T< zf2no($pq=nG5L;Y=#FUUPV4fYQw{z1A9Vfa+V*QD-yv{^z#Rg22;3oXhrr)(Oul_C z{})=9o83Fu=irA+0XxS}{$)a7hlTH|5LT932i||Bbh)`l;UA94V5Uy+_g_@H{4FQn z+mioNDwbbzNn!Z|K7Yq4gi%b9nV19Y4tNXr-**tXx%ppuh;ZC^hycI4l1K}&06AE1 zzWGI8DvIl=-wlb9n>KN<<0 zLf~-wrKrp|RyUHI`#F)Ao&2OdGQU zZJ(K%!YjY)E7zkLKYag=)6!Evv$}?-L+aw^%X*qM! zJ?fh-x`t=AVCNB1d;8aWf_RJtJf2iI4eB48!-IF^FG+&$y;RZ%Isp{%4XsbGAg0WWhON|Ll$mXIUrmDJu1XO z!gT!nGoILYvM{gujdtK7kBrn~D4B^z~!!a$z zd&~6-7v>9;9>45NYsuMBMM7X>cZYqsZ}ag0P;&2>Tbqf}B|Vf9^_%l5$H(r?d}dt@ zpBZHZ_wf*Y`!QOY(dG)mU9>d;Yj&p>7s^Ie$k9hnl92rEb4blnXBc%X#jqW^D)sOO z0P*vLsEVvC?f5$SjYfhzw!ADWHu`nONjzMTVJQWgQ1CB~qC3si3sD$^it=zQx+K2D zPUuNme~?xPLLzweC1YS>8oW)@NQ>`cBvL+peGLDPmxPr^#Wx z*_uR1r0*&%ll7lf71=Akkxs-2n>Olq(={q-n*uXr;Vz`J{b8$aVcF`od=qLjKr^ zd~ogXpf#fUlqJq7si#hl9dMs2@#6DW42{J`T2gQ*dO;W4FZ<8}9OQhTqQ*l-b@O#A zgoK4lnl9lD;kiuq&6Ug-X&P_;6CnC?Yy*en8d@SQb>S z6$N&>)VzE`>KxvXE-YoG^SZ*ur42$hWo!+kRYOG{`BkJKx~7jXwNE72mwl7r?6-ymwh@=NPQ4g>8o z-y&sY2T-l$3?Rt{ryhE@xx7DGrFuc=;H&S?9ma0b4F{JX_k^F~^>b+$_!LmsSL(BS zEo^Yr5CI2Q1Q=2@7KbYUtiw$lNX2oI7KIn)VzwD{d8%?`AED;a7$!NS>Vn0hs#Oh` z0*+I@!3KK!sG_XEDP<$C1ipE;X^qmR$r2CD>{^Ub82)D1x{#$Ogz31(9Nbn50?n%Ud}}El()25}`R}knZJ(v%e3D6BkGH zU_f`KWik1JAK!R3h~cce5RlI6LmNNufsunaXG-TU%-ACM5Rs;^ZNF1cB~yf5hQgzX zy=~u{5#_XusNbw@&6$@!)_GR!iwu0>3d8PuUOHRm?|FUMG5gOI7r}RTlcb@dQKUI}=!LIb(%eW|bmpy2 zUvNPN7k94kX}5lCbj^A87%^~u)#%mf+Eyd2UQbS4So=ayjyBi3;p$h*@Z#i4E;$rm z8yhk1Jz_F@kd~pYAUZuA=RIU{qx3ZlY#k%OL!%v9n1$pf=!%}9hSlKFWl zR2AC5B#bo)mT>WV@-eGbJbaq@#CXxz%qYi7Xqep+?Gag*afZS|d!)#iOk}1HQc8O8 z+Ntmr1c2nRn5Db*m^9#v%6Nr!4E4sM!0fJKC|U*8Xyz=+`Ep5ZGe$a0c{F4ic&=%M z`y&`{)%5KcG|f4{g5)}IW)d9p{e6tZW&Fk@dkHaxr3Fh~y9<>f-W1KKN#Lp_FhU+H ztbhWppg)FOe}$X6aWS#!1B5)|fXA4^Lcwp&l6dG--+(X0spL8azQN807Y2_|s1h6! z$xTKZEr!0VK=2L-uHL8tEt0#tD3H99Z}KyF6S0A?bk=%b`-hrTbmZ=Rd_VM?Hbke& zlFcQfBh&0mC7f}$-@9#>kD+}UxbX<29DL;9y966lMrm5?RCIpwlgzZMcy67wS*gqWK`jqE-cX}1c-*kM`~ zI4l>O{``t_bQnQC2K@eDK<<0m6?|nd@*ipWn4hjh)&BmgO%q2k!_j&kW|mfg3SS`_ zDF5aiIbZP~={5Vya+r}=t;=%3k?fmyV7AQvL0T!>^8etje=qUpU#BCWM>0J*=j5dI zM@Ky6w1r3gpFekBSKWi8Df5hL6f4SoH6|F>NGRR(T+zqA23gwf3Be^NIC`s$Ld>hI zA|Wxxp_h3@=~8(``EA>DH3qbE*=_-qd|mvS{qzcxyVN37M#kLNlxRWn}pJw_3p2R8Ik)%w?`+>h3OTP6@79JoXxl85FeSojy++M z+{?>d@>VYz+I!YSZuGt!r2z^d<|x|pXi;{EHN|^ZAu0Q?{36>w(Sa=_OoDuK(ymjQ z;$92Fa-|%Jg9MJHX-4YwVn+c#50|N>Jpv#x$JE=Dg9tj9cg=Qqbh@BSxkJuQ#&S;) zkeP`^sX1mpL1tq-&WQJl5wWp8fxv{~wgDKC&aCy#@fE^t`s4JH z0#C{lo}Cq^j@P-RfMFS0cpzzR>2o-3*4w~23e%r~O~AjxKH+Q` z!#%Ownv^f0Bq6Oug?{nM#Y04tN3vvc>H=y%TlOX6K|VLG4|b3~Fx$d|mXa6lv~36W z5!@3bv#|Y4RP$QyzRV|B+Gd?+QdTp6z?Aqj>FryM_1^)UK*j$SP z*4t?EQ5v$8=fjBC7_?EK>6Yu=GCwNQpa)Z=(s=r5gKDKz(=<&Hd6f|BKt7w;mU;4t zC`JhO-tIs{Y>_~wQgO33}jkDk!Cd6Pcd#s<)Ke1?(<*^pIxSo_+z$~V9nVwArS*o!*|J`{@4b~;;V0O%cdKkz z8bc3#R*8Derb!oZV@@}SW53K!&HdP za@4}U7%Dq9m&n5^ENYLW2Wad?5JIabU#+$dVYPCa^}UqiGA-opN8h0?+~BXG%f(tS z;(XXcrYH9~jI1~Wk-nQ?5qq6(rh=Zi>T?=9b{dMqr<3*a9zpp;j!;V(83APtV6Adu zzUfPF?8yr**qq(io|&Uq^6VGy`sO~=q?B80%Gvcfy%}!ZKuWkqAc00IH=a(KP%N@2@n8z@N+n?=*>bn#BJXHHmlrTfe5I`rqcddw6??z#Rg22;3oX zhrk^I|9u30-GA#=%k*bW;_dGJCru(){qz^Cfp>fi|KIa5u+Xyrl$e+}S(rJ2U_J)s zf6vG8?{fd}9{icV;os!{D?SDgNSDQcgN=or4ag2AWiS8-AK?HRg839!*qDL(OdwqV z2k_tVF@VXSne^BV_2^kynOVVn4B(Pz#RgA!!q#JO6)K8^S4Y2KbemG%r*RzWgzgDVc}m` z1_E!{0DiIzyfrtxF-^O*C;UYd!GGpuVEuc%48Wf}l>QsM47Y9l4d5Sojei}g0SFG7 z@+$!Yz)@Om*wb0rfH&;jY@nOaB&;`d+J9iszU_eDWdAiM1f!50n70A+y{Evm4kFI> zVv09$SHM)&Vv0<}-)%epH@1t65*9i}214IIBz~g0W+mnTQ;gqOhyDxN>))*!8AZV+ zi=f-Sy5Yc9mDM#h(7WNwmaqV^+>Dspiu@Jt1jh~U1UO8~jTEr$BM3|;5B~m}o#jsh znDut3{szXswtZv*F@uDeS1$0{qUuV~Mx zR*Af`n_XOTGJW@fIe$!fn@oe99{)J=U}i&?kysy!Vpn`?5NP5!{+cFDJPy6(ok zRK~Ve;dV^Y(9}-GeSV^evO5vM7h1;K|GeO7YUh*YjwP)#GpqD4VytuI+L5 zyu%5~DDBe9_4IIGfX6Kg;tCSADeR7R)wSdP%oZ}b z@6q}ii4Yq#q2A{G1wEvh2rJ9k+0Zu0CVVRYH^FlcQ%A*DgcqY)EZo5-f<(bj~{#TAVUs=%oFq9a~x?7Fyji zkNa{*QG8*|hC-e?NAcSSJ{_zV@Co!qAU?=|X;OttfDyvz8}}eb89X^4HMVM7w?}~S zxIS7&y;m^$#Y8;xqc3zGC@5QB6z_RHEaS|HBqMqPvT8H=Q&e>pi@-VMSRasFcTbZsJyViqKbU?^He}cO+WxToKoFV`l5Jt*{6ZNZKvHLU9PaVFgg*e8 zS$x$60w}=rcx@)zDb;gyt@4L47RcLX92l+lefpnD$^N_@enkW{)S59+RL=T=Xq zRONJj1XU0{?fT-xo+LyjbDRLxfg*qq0!f3as7poGF3Q5SxVio$Xm*E@nFhiaR^{s zqZ|1ED|K_8LSBd)bf8#DyHLI`%1$^1gl_j{V*CVD5y^3?4FQF*%VpH2i-s&hUKP&f zw^xUwW|fF-*n}>pQ&ujv&HC;G2^X7QS{~Q_QpZyf`uX#m+)bB==J3{TyL-jOyv=nd zTWn2Nl@Gh~slznqrNrN;w>%w6X2;JCksHQWzrXuFm2_4ySJkxZ2$znnL zpcn|V4ejwQ5NF(PE|uvjgHXc-y9=#O(p02nkZbY%RGY@L{^QbCszv*-#nf7 zPdlB8>xkeXkE$A7I3|5w&0U1=oU!S4Xn%2b;B%-ww>Zwb&Pu<+m-i8jwFY1=W=1`u zdKdc=Kk-~gcso$%o0Zmfz(Y&_Y1r=MKBN#*%pxTwCHu*;$sYeGTZ%fha8lJG2$8@* z*T^y7!%7$mA?Vn!5-3WGhvQJH+nRqyFqNEFUS!b0Jb@!&*7P;O+T7JEngu6Fw{ zsBxCRh~gk+i&`X$xzC)eKSizoe&<-4iD^KqkS2;Ux>*d!+v=w|5q=$0mT-mVC|PE* z_(a_Hc~-$wV)Ims;x8>dm{3~}($qf64VPPPiaS2G)rH;EGf6Fm5|SKQ@8Zg&AmuKx z#f*26U}X~um#XN%3vPTj=FKN8rCxDAl|`L2l1taTAxf(ZI=VQ^1og!+q14)mP1~YN zVWR|d(p!k;#nMSC^;SjwJd7!0^p+N}xboman!yG6Xee{hHy{{v6;cUB6W1;gJCb1L z$bNcu)zZz(^hgUqF$~%w*fQ^D{TDvQky_2gUphy z4Q7xT6vx&}5OG+RUSW!a(>P^z{JJkmC^?a+LYJ;SgEmHry!l(RJ;9eG4{gRBzdkCD zUSdziL3q-iPRk@JY)M`F5i3}qLC?TyP40fb;XWXIoLQWce@WaOUsYvN)3S5FZN6*^ zC+3m3w2dx=AOG1BAl;rcdpO73TV@#FjkJ~Y%NbgK*;(k09f<5aD3-BohP3Z7 z(YV4@pi{a(1ds=oY& z$nDF}rvl2EQDt_*&aSjR-oPgkuLRf|pc5s?jY_#!u!FoJWkJ;UAkb-=nOkuq17lyp z48>yvS;t|AM(rP;Do`)Ij(-*&JBSi)xIt2kb=VbvLPvenmvIh2m%IcJ%g~`6Ge}kL#2)lg4m$(t3v!ni$W>H(%o2)pckYMsr$5L`8Z7mL3Z{qHQ3}lZG<-0g}QNR$xB@?hQfrfHxT!nFCn2E*|svb~3WGQ!;hD(qhdC^`&JvEfL*As_G&{5IFFgPsX&yz$_$ zclJ)a^q3^JlehWW?|BJo1jEZY(+C_19hv4qgG|;%aySuvw zC&4wiLvV-SL4&&n2@u>J0)gO>+sWSf?tb^XzxV8YzH`R7W8Cm-(!IK#IjiQXS#!-= zPkmyxwUntbumi{Sv=k9JonLgb8BZt>hd!#$gjLLlE3A)kfLKDi5X8iG-wqv{; zUv?dLSb9fkfD4lFCNJ2%G&P7BKb)rRor7H04X1gR(v-|svh`p$wNJj(vR>Z?*LkU2)R$Xn6VuTA^Z744sV7<9K>gm~FLlSn}} z(|b%nE0tYP)eCIng`yCU#a#9w4+xq_hp@Srl``uvm*6B(pdFXvH7$uXi(GCV=F+vD zIZ>wTnfr(m_6GK{Ibba#7+Md;aljBubMHwtFwxAICphl@gZb*YkSC+po;h;Bj)Du^jFz!1)mpU z3qtPs{W|T}X;3rMD)orWB%WjldD{?0iX|G-S+&AVnci^u(D-!Onuq<)XA4)h&Zpvb zPL&0)WA?N*XL4|}G=|aS{jK)_)$khUA@y{VuLM~o%i}z3-;ttYeIVPw*q@^!cPZ=h zkW0p>G@IW%c9}p?#aWd!cD1x>GuGO5DbC#eqBDgILS^rQqAE49xS;E8#hM7zW{D4$ zK=ktO3CPQC8L3cSmAaZy>V$0wZ@uf4)5V=3Y_IqGz%xKWI`HFmD%^cTYp|UjqS99<{n?{nIA#yoNTY=MM;f$ITM7_;f&+RvRyi2 z8oOzqzC^Hf$89GRJ`q7b1aa#jTqeVYfo9g?RNxfGOZ@0jdO%V-Z?Zg^M3T_d%}Wl; zc%YnjE1()IvYkK+D-!^Q_xy7nWO)UG;jYdJK?WS3F;xCm zLt?3DBJ3!xx)ERh{06x_SLq)05nr5LX(7$ntdvMcF4~SpxyNpxN}F?drLM!vnE5W2 za}SiR5e~k-;dz%VhbE}kGv*=>aNJ;Nrt^_81DWNSg!hJ+;(VSr<-Ac3ktL#(#%(-V zP-^p(f>xnGnt}HE-eIxbxWquN9NME{fddZu0O*%&!R$0$^+z>W%&JBmynCo{qp2+Y zkSd=34CLzVH}I&o1G9_C7vT=-^Sr#lPs75d?a1_7v2}-Et7I`R_tu4nB=Hh7u0Jf_ zUk#zrh`W(RE#_k5O7?`>_quGHeVx^)ux>M=80VkVK1Vz$~4Q4**1&*%?_f);=99oN0oY8N|#d5J4FtA=Abv1N6R!=)5%HFN=4HPU92jCU-V^N)*i4!h{}vqiWo^pl0m@=V`TA`sF&A+GoD7HRAqc`}X=&Y$G* zF$h{|s%5c@XbJs1Ys@Is%f2nsr7+hYfS0TxDut`#{$=I5irg zQV%0k`kiHireHlUA522q4}5u0gV<@SEtMjy zo2u?EOsctLvH@vsv!3N`x*Ctc;)keD95fMcbMVfqdIPZQh;RVg;ur1VE85Q!8*t!B z^eJH*mku7dj|7ios2-GU&xQiAm`VJt7`LT_zflNSCgJ-9`F(VhHe3^Ii0& zjZTD>cQmSaSr>e*pgBX59kAh(Hb^L~^ixnn4Z$Gtzp)J6qi>q`)URZqTI#2VTg|}& zIqE`?>3de?3Q)XL16FIf+d#NHiQjzU4IDL1PwuawfydI%77=HYG!JuWm1ZIy|CliT z5hO0vuU3;$YYfuLH*KaU(q3w1t7^dH@+DkKU<&JZW151C8^gna->!6lENVz&I9yjV zuKk+iRnpVgA)MNeR z0hPO{*pvav*6K+}Grb7qWPg!MnE`@zWKiHX0@CG5b#n4n_@>O8G|9fu*Q-g%)F4p=!bH5^dhV8-b|__p1XuHcVbNNa#eRt#$f=<-)o;XFda1v_m7KP_*+U zKM*aVxo({ki6yYf{F5a}!R!-p6k)v#O%scWYs)EubyR5A_Vnu)D?90t!xdEA1*OeQ zXxasDp5e4kJbg{@XtvT%IfFJ`Gxct6LG6JNQ@dcI7Higd230CO-B))jB^{?zHqjWTt(7w`hRFSiTc4pOyR zI;gHB#K{*?v^*a&L>^ueBl=u~6-QUt%S}lf^HC+{A|V}b*3tnnU5g}L0@`$0t>)0N zJWf8TNLqE$VY5l4r<623#b~*wVtDV%h+`|s6VaV0gyDBRVUNSmEU8m?A2;wb^>PDJ zxEeDQWZd90TGcZ`c@;duWYu*ZB_m3O8EP8wtlaF-GE%+(X3=L4r|kH>ZAlSIIF~$x zXj6mFx<|-#Y1tbKtGLCI&s?lwX?+Q4KQN^vpV6;GsJnUvJe^9%?oQ#qtl|t)RG_8U zfX?0SNKbC0##1$39hebaJ>aIGt$H__%)!qDqvbTDsun*9k$b-5Ja{V5YStgnPA+(> zx{R&LXZ&GKv)x2BBai_2q?qnKa?;jq@ZhN3dZZ+7F`L{u*R&0BC?={;i_PKHG$?hF z??S>+48R&4whDpg#Y$j3c2HsQc>!hzk-o+eVF(HM4hy(jvgeMe+*hJGG+3vj(lf48 z$Ws7dm5Qa=>v#mwAy<0oV^7B{1FuJNNZJs2%%A88K=y((n>)~fc$#Mm1dDvyy5@kG zktBsZJBd|Si9TY=GP|dQlx~oe(lnk6mCUjtuZl;Cq<1|YY+tO@OGsITX&UOf3sL%F zQhu;cPMow^fLFKi3bdO_#q7@Ex~u~DDoUhj9DF#sGZK-S!dRer-4;WfRrn+#10X@i zk3C^hv=Llf{f<_-Z@U^xw+;7JMv!&RtA?!`Gv;dA?p3-dI#N313qp(BCEIoWl#uD0 z0Rm15eWx)rj`yRTri)R@Mfnr>bQ!PVSj16%Hfu3nqtt1wMn8q(T%`80~fQwLg(dkMNdt5=1jH}SB zpWYXSa15^w{9qcY!iwK#2_UFpUQc6bvxgzmfZN;GeC?lLez{xhlH6Qep3g)Aj}X`N ziCtOJ_=Nw~;2N8g-s{EYO+dI!P42Ze!A2rCvBRx;`jG^~XL5(bScH(uWvovouZB^L zd2M{WE!iuBi;9U1R9-fjvbVQF6hXbJtu(Y3KGZ=GrwgvK6&I02oIsmh=m!?;Z$p_Z zXw{|YK*=BBnZ=0s_zjVomcEOd%^fJP$fS}@utDJTP(#)zA#J*vhmu1R8j*Zg5ky#T z-KtH4mjxsBFfHqBt5YxUk*;IUh?xe{NftZat_WAb|FyQA;)`V2*oj&$tYhkvjc8m1 zed`q_?>4tE5$X_+lhbNDJ)Wm`Csmp#s=*74%|X!eDRheXe8d}~qi&d1^dwZtsye88 z!)VXMJ3}w4(g`TF5M1k|=2s5O9;d0_K?)O)xQ&@<_AOlL1tgmQH6fozElmiiW}U*# zWqQpNhNL1|0D2;NNQfc@|!n}cc zD%gnJr&dIFw2Lu}Bc$6n2yr= zO|Ov$?K=q{Tzxggsu8ZLrr20o^}-kDDOVovk&6(mvX>o__SFk7ynV~NqI@iI4PbZ$ zPh+!DLZ#@weHMk#P?ln{JaMvrZh$BES7X6CyvXX&!V7@{*^Qj=Rj-~Q(1qQq-a0%IH2 z=?>-PIOV_-pcw#%YSh1`+3L5Q^efetX;XZoJnpQ>cK9tjM}e*e8{JWROkkA91uXI$$$y zXibbl+__e}pit)MAvVy~7Z8|qy~yC-s%xx2T|Xz!=1U+ij{0_eL3>DA_XXxDVmq!s zfpkF-mGn~bquN7{cmiT!JV}MpCA*(M-`(Xy=`eBkqaxD&63EdXn}9ozRt3^YGYeM7 z4c*P(FMzX#rz>WSx;H`SwMRsfn+wi}%|ZBTa@O0S{MQ|CsyAgCGm~{&*1pFd!EeN1 z{e?)4BZsI-h4UeM90h`%H)p6L43*G2(ufgyd4j@?qKA;ST;jDrX*(3@JFele;Uxwf z#@#N}BK;2HQnd}P##)wCcAo$Z6xv7;mbb3Z(w4~4(6?s&u(4x$r7*!&T}EGM&gFu_ z9(kCuO~$3BMWomI$=wg-lwo~fM! zU}h({;T%xjaR}Kc*D9}ieON3@pYkv9$v`NUIg=n zo$lUae?Fm_;aVYGdGx1w@<2f~8>qhahRzp5x`r~4-TTXt!PEw6DKjWS%#3bF?R(W; z;_MF|_V-Q%;!eujH%oRw_aeR&v~yi^(BDH5xeFEztE8fsD6>WEut!Cn;3%WmQ6y2< zczqSEe9H79x3rP)!BY-p36%vCGj6H|ZQeHLac~V#KWjHNgM=g{*DE2OKG`-kD>X-l zCkHlE?KGMrtR^A5$Jj3^+iUg4NBK3}wNhCJ{RFZcPrrEj{ML7Pk8Vjq3CD>D%}=xy%~t+UF)-=|AiPz&;K+_|}< z*>*jSR4lJJi|*H?KPQ9bH#!Nt#51pM5oE?XgE%`@5OicIsl{@|9s4Xt`UP(--`t1u z+7hbSk=-!jhBwk()0J`d~zIERpql1di*|;veTaIl#$r@Maa~bloGjfYxX02j#A;# zOkEAy9NzFKqwuIs0b2Z0Sx+0Z;i}WL#J~icA}0F?f-|g?YPVt4ta=~pfLStC`r|H+ zd$k}}1P3QPD$6^zP^^5%S&T84FcG4Sy1_91qG=`)Ma3h9 zKvC!zxDCRXWZ7V7JU2wVEd}OXxH?d#upSe^gFr7R^(sK`(x)ZK)s>l5CT0>L{FwoB zV!U)fE)#(-cC>xlP%ARax|o^h)`uhFc^br-ddg9bSAl#&W>Wa`&sH zgt4VXRn~Pw-*F2i72UBLQ>?S*kxqYlvhGeS@uiu+u3Bmb8;u*l?SdLK#w3fm*wCEmHL30sAp_Gpv=HQm-Flsz7W**QWYRW)La z4%J&Aw^z-(zP+${1NK}U8Y-_cL)lCeY6W3WAN{<@kRrBJ_Yg+=!iARYF$^<5oP6cy zbKEi;jAd}f`+GJaQV&Rcmzsm*t4qyuS`r0t@;7`zlPJVpN?tfL-0k|?XK$DJjo~FP zwDaN|=Y~bwW*0w!cX##BvZl?2ulva*>ziE?)0!~lvqWc?Fr}O8uoODs83xE-u9$y- zx0U7oNFH*r^F++Af!@`^1*Rn}LlVl}z^EySP~K`6_UcVm<{`zYT@Z>b4cx|d=S9@5 zcx-)AK4P=I2=(kYUMjTvoaSUF_93}(8MBHkz6(Q zrRGHi0FfqqnpNgXYGJ)&QRbX{>^aFz(3d(fQ>JCS$pi_ZOdgqO-6NFyxf-fqB1pze z4T=koi`nrLVvH{$(lBmYSAi&(UxMq^_sA-pPWM|!#KJcU6KULfra;;#)`Hb=MeaQz zmWJhH1)pArTXOnbCNU?`E{FHR!lFQbeAyI!ApvtFYk9P0-{p?0n|b|7J;g_TVk9Jy zig$8{oT``d>%mthAL3S3r=lZbj=`&;g1IQ(;F|%Dx_VlE%z|OvsW4NQr1vbaXYoyE zn>|ukfryT5vYumKPEMKwO8SKf#HQ>V3ehT?EC_eZX1;RKvF2EbjcIBQ0TZk}Uf4=t zh;Pdoyfv(S(6Nx=>!)r3s_tSI6^isX3{#SSLFy-%Baedkv`^E0|7qXb0Z82f1C9D}@-Bp8rEd=kS^-|{Mh3{!{(63lBFdJ>kgfL6a=ADKq zf1+`>x{yt**|td{c=O1tt9!O#lWYq-se&;}6ywYkYYY=}AI2qmiB-O1;c3K7*9KdqgJFPg`*P&D0|`htPM^k&ISp z@>Y5%NH=V}a?^L-LLn+u-O}G!6r8QYlXwLypjKEC*$nZxT_X%kMHHHLgpF^=bhM$M zvh8iX${8NVV?%sm?UJ^+Ue_||#I=6M^W>t`pX*ZaD%u4@;_*^-PMbo=40h84(_>_& zHt3|70`3TczLV~;9OI}X(vdoadT_d<9}0^M4J|S$2Ff&YZ{8cGXT28*M4J!wN=bEd zg;19shV@`h#?;z_GHL~RQ;Bj`*=$(rh#WbT`h02lQ_?=8#U@B^6rT2-msrl!Q^om@ zHY!bPjzgnYzFx+D+(&wK)mO9);PD^YkrUFEM%n+GPAuYl+Z^TDJl;SNS zd%7_fddgWJ0#R|JOBD9OB`uPCt`UZr#+=a5^+Q;%ZMrNfA~*ICsE*Ilha_I}fmtWw z=#%PM=?8i{SY&d?b6#KXGC zB`Hr}q?J_@n>f6(sgtnw6YO&oWptAS)OB1G!OsvC9N{Etf-Tl@K4Ri9_~aN(I!X{N z9DBS+3})PC465U@3>$7*ECrA1{Vq!bNDF{?T;l>+46a!F{oLqkwO;K@10SN zNU?;t@>kwSn7|`>%jF^B?q=Z79~jvnB1XA7kR6z{&p+2weHoYL?#P`Gla=0cNbEUU z@a!41YL6;#uFmQDv+a9xc#>XBQHbbIl=mbOCeen}bg8uh5CV3Jn~*q8(i_NjI(8b+n6sunYIrqqY*Ql_)kk`HTK zjBHwsjpMd{#uhX-$s>qvm5H1qg|0Q)N*g5hN|p?v;lK&(4#!P+{l)&LIi^13?q*1A z^X^QFZu~5XZ;L%-bYb$niIp_tt!s|qLzxraLOMNB64>hNdPYCRKeK|NrdruonoPC{ zRVG>>;)!Io*1BjWBq2M8iBht#2gFl@O`^*FG+Kt@%`n#M9-fZ!kl-wm)Q@$a;G!#U z>Ua}o^=?p)UC|Sh@+_4A75aGWI5-7Gs^pNJCN02b_hu@HBX5&Rg;*}JXe`_uT?u)6 zl6sAk{&YbqH#wdwxxSbW$07^Ie9O}<@^CbcoMqrOku+TfGlpE;5e+^>7J9$Lwzh!_ z&uPKO#TOccljM`k{)wWOIT;N(72>Z$i8C^}qzNEYt&~+WlPVHymW-sD%SyCMT6d%= zuCwMM_i(<3)XETjW?f06iB%~p?qiqL0auH+mTW*T@k*G0M5!4tQq+sn7{<#ar0vz+H2AP+ z5@F6iu#qVln0D3pfm_pXoyQJ5e3o`G`TI8@u9C*Gh^o-6&p6 z*N>LHxsbIAc>|#n&!GK6zTcgL$ARsFoyJn9$)`cQ^-s41^zl_B*wC`#2l8MqQcV6{T`!N}>ibzRyuq=!mD6?QDixE@Jk`#7xkz*saf{@uh)1y0#(P~cC4N%tMpgYp` z8+vviL2-_~aJfEI;Yvv=@1Xvu6i%pcxs_BzDe&%ShEcQbBfDL+tRPV^0UuZDF!Y5( zc696$2D`vkZdEsyBGA|2jRkJ`X@DChF*?hNcZb+xWpP02oZ|a7VHxfoUvAiimr_rB zsz;<4G$yh66)vrC`7*F>lo*!Q&1ZU%aiyQpVOeg~Wv!Chs^#udC^Q+MzaFcA!a6Tp z9AMDmGe@8{A8V;J6eW0#uE8Si#x{*1N7{~I?kpzJ9k+v^EdGMF@jk0D>9gH1bN+72 zNSMwnG*T(>!zJw@Z$5#sAGd1a4vTU)UMJ}z8`M2LAFisnf^qDTJw#-MG!1X&u14FZ zu)R|<9IUuz?p0;Fwr>Sx;&vn;X#Qvd>0&HQEWA#NgLR9&i1mEz)pIPy5$d1_JW|Sr z5`?K)E0yE3Gab4f5Bra>lpj;rBc6!*PgCxpdAb?A$YmQt63U^54Hd(zv+?*s-Klcy zAeBIJNok45eXnxjHH!BtQavi*GK zywRRM*Z^krxqLV>^OB1>?@sx)gH~d&yZru0^vHavKox>`AMOtCRM1KJKo4=Nx%xP- z7ND_&aWw6-Z0}g4gux4=d;x<5Xaz44QWS&pr}AWLK^EKU*pBzlBK!lJs_GBzZFJq$I%Z>Ywt`e3MYCCtt~ z(_-07OHzoYcRHF`GogJEbfjLG$`hwd;sdBI42JLNR6|co^j|2FMeB}9O~bB98Y306 z6kBxhMYoLb&m5S!qs5vF8n7FLkHZPUx3(LEuooN*Z8R8AwHfThxG6fM*_QYrZ3XJ{6ctzsSsOy;qG%d*P_ZoVs=HGVFI{nvc zd>M9YsKguXM}lySsH5wJ0?zxojH<){tG?RxdoAy*g3WP<(Oj5Q<={VuTS{C)HqxM% ztQoJazqzAj}Im^T-+)dHGpS;dvl?)-AJzchZ{)%NAO z`&-SU&$p|CmS+@fB)w9*!1J>f0tNHH>#J{f^ImJ`&#taE0wOqE7((~`B)F3%OI+Uu z29bg4wV%mq!Pm120+$2EwQWe7qV-OpQXW>PAZoy0#%m@MoLlGil& z+*XkOZXG5utyMd}73+f3mEO&aGT`0QTS2Gi^eL!Y@r;9xN-l0F!PYx!KHJx*cDJiA zFasFx^L@mh1Bq75uiL5*R=2 z41X2*{8ZfDZ4`Jf4VnqV)WBBG!?;p9z^yhjkbk6lA|h0e^#0XYM@eUu`%Qi-V~5bF zc0+i>bsZRYX5;R z+j3WqUXk{LNdkKDWn?8>A6};>nLet?nAj8tO!22^2jT}041jW*3}eAz!6-cUIUDs_ zRpu8OGR)RCL^3L4BatG6lh^foF%?u9s%#DO_2hVXI3W;JDkMs>*TYNtYg8!j@tuR6Scs zWkNTGY*HN*%iw9+ZU`*XTokvn*X*CU{4Fo3FVTcHL3)4$o3FN?+=lS{t4r`4sZ+h8 zbGU3AERaKRq~ST5HBYo*4~Dwj0@)D~2zlY-TJNY@BJrF6U^q$Z0OK)Q%~PV`v-MQk`J4LXw=ag;o3Vf*7mc&&zeVR`TAYTU=2Kq+p*0!xM0 zfuBO-%Q9Zqv-p{SO7h4261)|k*?UfLt$vZo&LU}(bmvpceuIUs0KJi67n>saiZ~I5 zl%iK;NY3K*Y_)3YQ;cUR3OG-_>C?|Xev&;PmEUWRn z(ruBvG-|-;=M1yH7RTr;Ts7A_?hcum_|^Otk{IK;O2Q;U(1I_9 zH(+~YNhqke2nC_}QOml=SRvvTJX5VScdUEjv0L*i^pQ2NRTi<2v@``xox*_7qAl_A zS~A+uk3*qtxU(ouEgZ;NDi-ezvYKuD^Jq6~bsM0=+zOTF@DvY+3B3<#40`4zULKc^ z(|+1P5pe4i>W0EH{g&rzzY?{BP|Zclfx63Ef-|u_H1OQqQa-BN6&yTPNxn z`L~yRnt~ntEo-inG?&PypENvgHN3PnwO?X2%YJeZlaZy3ltU`3QkRvh#tB&I*ZD1$L5!xsJ!6xkjC&OZ=Wny z#_)1Vc{A6k;vQ`?`zZ=z<{Rn#1s)U^UGPg|ZQQgi;=3n)^RVH933#l*YJuSfStBI?eS*!6~Uw`Ndy|xmXTV?Tn|V#v)lTec(LYGYkl95RNHEKN;U? z#BaP!uWb#NFH>_fEYCeNCe|#IPAB)g{)&kGK@dG@knq6)!p?))hl@rQ%P*8Q)BfvEgQEt^S_KUjPoi96+=@G&o&~r)igoORy ze7n3iRShULy)`n(I8NV)8gesuqFxp@W~G^*jb zv)p2ae!ZP6nC+OUzH-qs&BtVm_z_NZ!Z0s8hdMVs9vvV zPG!ilqw!q~@q=#gMH&mBDbYrS$Q>zO_RF2~Vxo(6P%DyaPS4LAD{8wC!M4E(ZpGq8 z_Ii?7*qBfRUCozECbHG6ExLvKV3Qc6D0;ZMNR@5lI`$bep~qJsWU+Zl$F^^0{VRPP}1p^hE+BKI&rd6H36)?&VgC z)fd3=u%49J3`&rmdO6+Bm7AZRydS9Tf#%Cqli^8#o9)5w_F}{vMcbuk`j0Zp0ZZy+ z1thk~gH4@}!sN^b%dMK;5ay2fMkW`%H&Ys`J~4vjDW`ZV`R>3L%4D z?(UpLC$+E8FWyF8$izu{yDN1=P+_|y<=bvzeUr`kVDH&{P)Vpnald(hA7D#Iokzl! z;d&#?i*9-+5Q`}3Zb|YSd6#EvmNny*ux@%Lhh^H)wyiA}aRuDVXt-q_s^e&wJV>38 z5K`50>IXUfqrkWhh<+c5uw2b&njd^`JSE}_`Bv`fJ89@jPjmSx=~$4Agk`KB-IzGv zynL>kzEFs=s3gfAyC;3KbZ|X}k?6tSqg0Cb%#*(nR&P;N@VVUNcyx_S+viknJo=>k zyyUSxQ;M4!pMhZr-x21^C&XU$~3JyHZlJ zlHCD`va`H$V-4(DcMIH!O6p&(Zy8YQu*ad03-0fmLf%o1C*f<+|j8c5z@BrY%W=@bbC zOpyNQcrs?6O$aY9Jzk9;{}7Z)W1mKFa1>$9`4**xNeWtWx!Ads05$BGdi;2XQz11# zZ*RB>yXWomXN*0~FO6Vdz@hLtIzkh}un!=Wydf7lw51zA6n+~(bnsP~bJndYAuvYI zYtQlVKmff}QwwPrusBp8O1VmpJrpvY{gOgnjK0O3IKcIiMMn_*MP9iR%r?LHCMGZA$NuBSEmTtt7!?MM zH2elUM;;DTKlicRESPWh|M?)u}cP}<1 ziMi?2q<7^DwCddPkEST>cd;vOL)ErdS3wT$h~ngEo)b?kjp27oZ9D_eP|D$yya;tH zI*OYnR+#k%_L3mLr0uy7tWMP z5qxIO=qVs2w2<({?%xz8s??UWNf=;`uodF$gA@UNM<$1|~UW``i zr1}AE8_MaRN?#Fht?(^Taxbq!Ecjt&qd9qM z6C@gj7wo1GzDr`1dvKF-Dy}eos}T^F*IctsN@kd9gQ|^3*G^HUf<;iv#uj#ZeH5J# z>hIy(lh}zNyNa+#u^y{tqNB3FP83=Kxu-@Bl2VSim_$Tr40C5LgJeDS(rQm&c03%<4ap zO__39nev*NgIIu8=3Fd5cAymt2>enz_+KkdAQu2=Y61F4K=|4*NMKJP9 z1HUxzO9Q_&@Jj=~H1H3|rhd@${2r$NjHte|_55C`@MjrH@F%~DLAY77aq#l;vati$ zeieiGy%@wF>0P=05qa#Nul_mv{yXy6KVAP<@jSb!DTkRkuQ>~k1&9|M&vO7-KtM}# z7Be$TbD$-!C5V%k<3GjoKywauE`X&K3nwRtg9XTAZovXFX^|F z+FILqfVTo{KPggwP>Qns1y84jkBj9`eo!`TTMG{xasUULn5~Dqilv*lv!jc%6S!(U zIfzZc(#ab9B?stVYMCd=V?k3ONQ5njl3afsenHT!KFVrJbuB`KY5vt}0OI|J5e`<4 zK9iOVX&bbDWuhQb*cw&zR_%;+qtpak<^Epg_*^1s4Hn3H_?=Mg4qCN%c2gC|`kUvv zF>2E~MsMd+rpX1#ui!K6u+dKv(5~6)5SNrM9E7Y3p%+B+aSq~I%7g&j%C;7(D*|gO znq?j~)?zvvxY~ZNE1$HKm7+ZR=A|ysdKfOz!ltwUml@cY!3GHj(XS3$^6$qU+`NA% zvGE5^UalY9z5fj-FV}B`y`oM|&K~ai;GNbFe%9}su%G0-TtCQpzkl+Ba~0g+{=u&L zz46`NYTp|_c5L4pKTQ3-@dNaG;|IX^#!t!IKP7Yjl+688GS5%RJU=D#{FKb|Q!>v_ z$vi(L^Zb;|^HVaI{TAG@zey;p=KP)DmYkhU(bB@!RLt31|9daEc)3^s0CErz@Glg) zKlJna6#qX?AI!x8U8Oai`BziFO_6-<=P z#l`b)n*Z)w|2F#f72$8)abTeDc)z1_|KKbCEA)Tl>;AUpfxp=u0Q%mE@0tg%R$M@^ zIsRn#f8^`_zUIM>9jtkdAD)(ji~BoQI~U;JJnfG~@&AJ6f5ZD79jtk9c<>kKKUU(u zjSd7y3xCV*;8_Ru>_D)?{eHi5v$OI7$ie5};2ZB>JnfI=|8Jv%m#M#p4u0Ou$qt78 z&FJ9!l#>Gt{jWy<5peu%Xl`&FgugX#@Fe?=_d7Z_4=XsV`YZImc+madFR%Y(-~bTV z$NuJLz#RdA_6hF|62q9iT>Nb{|fz|dAYx9aqyiEj$;7aU=RO&eF5L_Kym;G z?BV}3-~O4G`v*q>1o%5FzzJVc#W?l~(gleX7#?lE|BqB$5BONkdQY{>>dA0Wb zS*r3x1!YH>U#VZQAyp6rYE=w#ZhKwj`+&>Sx&G^j$(w@v4;{(Zi+MFlM$SS;8;+zk z=jUDDzTO|j4347Xm)_s`eDv=G>DE?oOg{8e@(?|1D=^V?XwaInU8#1)Z@+KVVR(f& z*KE0)b={4hes&Z_(TJkjws5#NR<+9QTodS+R+V2U0Ekl1YJS~ZcZCR7a4mnab9sK! z%?_arSx$@uM=HV?Mk`h3zzC|VKcjfBL2gj}HeIP?VK%Q&{~@hwb0beV(59zOA$|A; zIhRG-qRCz4(YJB1{~4rlNAKp2TZzBlyun65tFbSN@R}2;#3|PTz1{ga%*m23q)t{= zBv~?%B=^B8J-)lrWLecH{VN*tc3nQjW z4E#vyH2y7vhd_{jS=TDcpnTE)0Kro!3#rL$T#SXn7I6|+nYV^-*lqJE`rD0iCgTSV(1 zI%%1?RTwOEE0yRT8E+mX$cq1?x^8Dt7$CZCXVVCV6QIB=$IyzF9`OQ!r4za zA&!W^LPwPs$68|QNuFD(=kL&E=%|P*E>O9A;&FgJr#h)nPrDusi`(VI8ug&oGH+S4 z*fXSH<}f8FA9J~TT7dFIRn<(KX;#cTPO~44qWUoW0%IRvOq|ENeHY`!0U4e!nji_L zQVq!e&?aV+ds}*#JkdM0mO65x2WQ-CaBfVG163iaN5^t6_I;Y-ldz`_wG&+sm=P(9 z^{gyFKO(0_N;)E1%3@q7Z0OJqxpfp-mKzDTx`HBI^mXQ~_ihMKMixP=iQZUEOie5X z`t%#0$KcQ%CJADCI|)`BQgzJ>-=V+eW%thFY}JCy?%l+TsO4!T9&Qle-tJ8ushZk7 zoEycvlo}zoRZ5qcN3>%=)SkRIEomq*)8wv{wDB{FP>6>mJz#ZvqlUOru4~+E(d59C zJaCK^NZ24KT&&|yCu9Q91Pd@&ow%3MHT332V;~zFi&NUhH#?$1$hZu|z@kT}mM<85 zZSjz>HO&cFaZ4blmmhey3q_kPAw4P|sfK7gd;rnC3qQrn|19X+$Yv7VprQbKPMrz| zvB^y9rf(ml4xT) z=d>KuWhRXG;kyxU?2NwLsomA4%Y?8TY0y?*m@iuqE?-r>5_o_QRqbf&VBOs>^AoQ_cg0YfKs0vP_1C3awU1-Hp zUdp8F^69WV*{-G|ATCIpow^tlKjZAzA|#s4C#hc@JW8Z+tVd&Rvmim-Ck_);ntg=y zvwmxM;Ip(qst%cD{~G^uvDo|zaRqnt3KSh~X)8~850Ys$4|A3ixmXKJ;lYDK_MrzA zb0JXd9$~$C5`KtW9Y^)F-suZ}%D1UIFW*?UlJjZQR5-ye;vZds*oB1 z&}AK?DA_}##f;M&y7=F|+++qAV>^i8u4Qt1D(Sf8I$R~q@xFFWKTYd#tUV%AY==j> z4-z2qri)VR;;PRIVv*OF+{}!eM+tl*rp-8D2gfEP3;s9*Xc>n#h=PDU@M&7|+OB6I zBUV;pwugI78Nfx$QjPk=4-eoIa913Zj+R; zq$h2%CqQqW6*bfP$29joT_)n$+nCENAM!Nkt6WPlM_e>9+8LV3vG?kE3GW5pyt)gC zidpDUl68R3)>^0cO8&;YG|CfzsPC~UcXoqKkLpxZhFv4SDo^=%J;RsSV3W}B*`ubC zi1JQ4v7?p(clrpC==c?pw`wXoPX)E?BKB#V38`j^y8fB*_zB6i# z&qU`OL(lU?F=x|OzJ+f`@DDp`Pr1R8!qXx`Yebhm~G9Dh9S3RdPL&rU9 zFq(3|aoH3fYaUue-?TP@r=% zoTJ|(d^qT%oW@RY{E@9UZ@s7Z7qX{r+X(e`qf4Xbxe}zlj;1_tG_eye+Hm!@i29tx zhXt7Vq6Ig@mq0K5F8pUo3!n2=LT;Q}17%9P*)VPrE7M$fN+3O^uWK-N7cM|@*^@YO zS>hGEg3Ahm69x9D(4M??rl`0)51pN*jI;AvFzXG!EYHQaKz{w#WY zLR7-z#)Y&x8S7X2se@nQDg{k-sq?Ff5Y7P9aqt-)SIj{%B|lW~2`Z+acxzx%4fL+T zS8odaTc(_<@SZr*7Ef>Bywd2=Z%A!%w1v z-#{{U>{|bJsnUgg*&%Bo;3g}i&8x8ngR-Ze5>@UQX4?_35!WdnrffhxebR?7m}e|` z_R-Z1?sMzgW_tM)Um*w|Z90qL^8G#huhpOXa?_m!KR)V47}!77x}c*{#5-Q|7!JEM_1x z@Jkgu+!o-hEKUmx4zvH1dIjRLvNAR2HDj?f1M-0Dc5{PYr2w#l>wdHI0Jyl#tw0F(_5W^U>JUC58;=KpWqZqScZoWGe}^XGEi zJpZg*_YbV!$Mt_a-v$Kye)jq!-G-On ze^KQcT$K8|U4CRj{dR!C^ZoxH2~q!gfbqkyzxV&YKfvJP<^ulV0HavnF@8lV>8nt8 zp|BjJMB~Q$$$qn-fnBwS+wb{%Y-}I?>#m;9|G?K8;x_hBfjK)`#gVrQlsEnA~BcL7q2yWI;!+KyY)0Y4gEYDllY6Agp4|~ z1G;nA4CW`azNMU83L0KlCzmdtmIO$rgZL*r=ch=Y9#zd*bzOYUh!U~)ILjKFT3;V2 z;<wD#x@FacNx0Elt)YM-B9~`87>I+8ZxL!`D`yj7}YrUJj0o_Bk5z(!tRt zXRS96#W=pIlFZcBsv+%MoUu{AU%J+J{6rl4S%D64ZM^o@uFIxUBwp%4T43Vc|HIx} z21l}OS(+(kW@cuVLX=`=W@ctqikX=iQ=DREW@cuF6jP4gt(vYkJyl&@ZEeSd>r&d?|Ofn7O>PET91UaV}XA-R5YcBmx$y zFq0N$t^jjMV{@|p=SA=miDS0+QQ>7tO_WYCQg{EdVtH7!DyCMv*u|XZ{&%&?@Z#s0 zUM)ttB#qq;+qp*6;QC^WUXO7}wf7~ehIECEr50I9QB%1kfs&VjwTV`&Y7ki2VhH#$ zbO71}{DW0sY-6?e{N1s;VKz@bLir_YGY*Swf~ML_;>?bv;3B{PtMkw`sOpWqYX+%r z?*%Hz(z`HJZpJ$5L_bZXvVjcU1+Bv@eT>V8P0m(ph<4(#R7*^W*u7#Z!oG%d-|;+N9K>_)7F^wNcF zukP>=9{$c-35GdJ?U2}26Qh}=r9 z*Uj@|LvGG5`Ai@SB-1(_Q9XXP>Rq1~LK$$o0I?ie*l?^z<4_tZx2C(c9?|NGXLxfp z?#A4s7x+$hT_0!vJaTX2S~Ytdmp?NvZ9^rFn1swk)xJZPUId?&_A_HsjT;-Xg?Jlb z>g9FP>K!kirE(<#;!sxU5%`D`BoppApAAS{W`*hP%Kj|o4^#I`IH8|+z8k)%C=$31J5B!-lux#GGi;yrwdPz%AUmiBfoAxC*F1W zw@%Hg!x^_aa*_ zzI#M4RJNw|m`cM01OAbDA4BI?Lhs&qJK55H+q|x0GpT$sGRIHS`^Q90{8lPGUh+AF zh-k=@hWOgBA>M-BjLEs2$+<9%o`UKIGYUwSy`>5097Yp4);j#b0}VrQ6O`xXJ;+S1 zJx>qMjeP!`*So_#njlh?>P0p|$%*xd2&*oWA1ABfGPtCKbbA_*%Xg}Da+We#=||Qp zu1(pA&n5+`+vu-WdM9@K<3@~;Z?soOn)^=FRr!ZDrRMLqaYCW47w~5h$1(OCE4O&j z!-9%h>f{-Ap?3%u}<1idk*LV3a1 z!yJ?)80MjZHv{$Mu%b>#0kfk(nVDCt&b(B>J9xC!rN9|?#xlsCM;kiKr0?vx$jKHN z615CXG{_#fHOM*I#4Ysh%tZms`6UM*KI=#`-E3pnESVsC8mxZ5 z4=zsPgN)@v@j8r`_`%Jz`lS6fRn0+@-bfE&!`&s5&EQ@}Cna0*~!2}wbVF-dr#rBBbQe3lCZIwI~o)CPR`f1(4 z3!_bxeP+eMi;fdQ$a+avo)nRYc*FU||A^@(-#-gH6E;DcD8>3U$%sto+MgGxjt?6x zw@2kxf&E?>j{deHp?}wp^{w2TtL!ROWjUHpyoQeyH0g-mCBwP!0W!mOF?BKP(u!tm zOR>9L@5PZu-c=G6VabpbV~!|IdqRjn8}Kc{ewG&LHGUyyriXZX4mj{7o==f#aq^oz=?GFca&g< zj*AZ>XQzeQ?H~Fms{34-BLFBGC%FixWI-K(qK_D_$|&dgC%KA(E;D1+9ZJx6#XOG; zQj=jS*&MXWvmYN5r0H2Nti-6}kdK&3cd27KUvc?HtHOQ?W7@Akp+O>mI;E1DvVYmz zB$QIj8yWxMDDtLr@xfwOT*rM`9=n-*ZCmcboPD)Po)WVwTvx8o$9RHX<&pAp_QGxd z9p55bDEA^={!zp^Bb`E&z);QVqH+rCXR|oDv;fTSJ{PTw%q52VGJLcJz~f-WH8(2u zyTmM5SiMX}h9-51e849b$bvUX=?dxUjR&Z&8AmrJ^A+fwhBR3|# zGxGG&;$F`u`;}Y2qF#7E<4$AntB>A^=W)~O&riq z-am1H2cAY&&_P^~Sn{AQl%4W97v}NFVW@?EOq2Z1zKgd{9qZI#uJQ|&2FzCs`%t6T zPs`(QB@ZoG)(1W;XFhGL-H7g%gVMbQ7sHi`8IZE{iDY=z`n>BWorfNZ!Q)KGafoGj zsB4sqTjHzTS+{oDuST|a$jjwoMAx$!LFA1rNBQt2B?ahfB_bDUq4PFsSbs0ZF)1uJ z#6>Tsmx{Gxza}7P%Ws?Uw&KDW;hjWNTz$&r_x{~kUMSDd!gHs1V)@em`)AqX9i&P1 z0#W;$joT6{oki#tC=rYQ5H4XxuMmB`UkGxQP5%6Yhm3zg{4z9Pq^(rPDAfF57J8=m zvh@{NP7oVr^LC}mV@iCzfz?9#_aOhtWTcLIQLr@zyUVzt_X{M1*4cRgNBF)6$)mLJ zxCE9$<;L|!WpQVKU4o7RU!yKNojs0GMb_{Dw3C7i&Rot}nNCxu)E{%TW_)(a&$o4g z#MB?}M{fjwZ0A+Me*Av5c|E#3zn&TH7C4cE4zE)oNLI01X#cUZnIX%J2^eLxHq)43 zvKW_ZR%Pc5g`>lhe`{#?xO+ScrOAtcsJ~Dujpr{^A|IgciSIuugwOv;wKHE@Aof^( zO9_#Qu9!L2{8GB4Dsy=_&%*V|5oa<1N;KLcKZP0l6B~GKENGT5J7lvEf#EE~{rKb3 z`Uy7}nOsWF`FB}X2BW}pmM!`SvV9fr5h2N@Us+?Wa zzJM`$H;>XhJ?>?f1pt2McZ^DOECzU9=Uc;KRy;BL1sIWyh$A)+O8#eUF(X!kE%kY3 z{F>?%XB4P>5+{5F5?RD0CUi-!*c!>kW^i|-odv$0{7gZR<%1JR-V$1thXK%lNMOO% ztbZVnu6|{k54M_j*q+8uvw*RtG+_fmt-SII2I4O0j%B{taPXx;&L;fwo>m8Yeeb&_ zZ&MRcfkNsG5M9L#S4l2evN6DfK_mVX)rw)kjSA?NyeIP~v3-2?I%A9o4$Wo1$T!%a zX>dOQLHiLWfacoFh~Wxw-oqg$da~dcLPSiH`C5=$5={Jym+SG9xV@|J3?aMV1XN#| zkP*`QrFb705Y~FCaD(@NaR#COC*VxT=N5kuPgL7qpv*k6`1=fVt+X34@=;v|1sj1{!%qu5-ViiC_R_FycUJ#0r3Wbl})ZN{Rgi$th`YrqiN zEN8);gyx)P7{YmuWxws`m|`I1KW8%}hJcLBg?Gk;whO+6RWn*vS}}xvfvSlQ~O#gn*zP1{rUxCT`9 z;8z%zSqhI}V&UR<##(VysA|Uu#-sQfOVWb?!EY6$B8U~=iQm_MH8zfN! zG=r;2SEz5qTT}`Vcc|~lh|~mPL3O~WpxPyQKs!pPJnu77=K9sbLwA;qVIRM#A|@bK z3KJo!$_Fx4_7M52hYQMAer?>O{?$R+5TQU<##OxDzFI|Re(u)5=$Cc1gI%j zEdHb?^pl|J$!?{7U62_VKGY1fk3^ViTmoTL4~fB=cx)Xnav53?sWOcMWMyRI%pZ5)7mylSXS~ zMhUq2{^Fg7#7LdJmuph{)ex{JN3shPYHrc@n;zPEPtOb%wShiODNoN=+CS)640Q6D z7f*D4vaSS_CVONFB?+<1dBQx;Ty|Y?jJu|RL-EXw3>vo9S$Ju# zVv-?Xp; z4th;i_IIJ!1k~?3lo<`lxu9sTb8~hW5#)zPP9lkOvCi*cvGM!XHkd&rI##EtMun7} z4QW+CE_EY9AZPRi&Kp|P%_oHcsFxKbt|Cv0J(+Y-?1m!B*#h2x%`VyUaA3GZxu5=s9STIgs!%>g*#Fv+5<*L@qsDK?)WsK&4 zfkP?M+%*Cu_DNTd5l;+rj=>{6(IaDge@jS-){?VKR9;{J8a zu;LaxD;6XJw{^gTQIm*}2NTq9Tly)D_QE}i51N3a6VwMS1m9K>1HwzlP#Ntgp^z~h|qWMJk z6uL-!SGKkCwhxo3KAo_|)-*vb`Zu0WdT?jgz$GB!ygz%!f@Q0#E_jC!{W;IG#4Ael zjH>e3qgv}X={(ls$29p+NlWQ;Gs1LvK#Ad@3MjNEJN_bh#HcSb%VxE;Hs3sN6hp1{ zkEv#fIc6*+4xkUXtWHv^1S+2BDK)Q20;UfGv`;DvTtomP>24H?ZfDW^0^Q<2rf5=P#pF#(R7$32 z`kQi;Q1?JQ`gu#meiz^?r`-YSN-u2r6QKaJ=1msPpRqSHNw6=7IR53qAUJ?+*}nUR~*GpwtV!M+&}K9yMpzng{MFFZm*ncgw^ zl+y})^Ds1K6Xy40O5497%l0s$943Z%PV)u!*u}XT%F#*Os$Aw~+qj-?HtIyq&I{1> z=BW6Es6tiae}oFWG*H`278Wf{eIPl6@!L^uLjZ1tA$|>$N2B4Kmb09|exZ1WDf?JA zLat4n+mbJ?PvwaZzVpTTSu3k`m8jiwV~g(_Wm+pwcLK$J&$pmKnfo0Q9euy46WrMtwUJ-2tGW?$3@0N+ zpHTk>vjd7Q+g2#;+<5e}rwWm$pNQn!#{T4q)7;)4P|R;82vvIjt~co4Nt^iF8}zp~ z=>JRJpuaJz|3kyg|9s=$uVepK;BN)~R^V?1{#M{`1^&+~z{BruZ(w9);!J2_Ys^dG zM#0Yq{q^}OI5|6-7})$<66;^SkblP;^pEKMpS(d|29kd#6Z!9W{{Q#!{6Aw>IsQwS z)&IWt|Lsisk1(tMUH^X%v&zEG%wcH2XiCRs#BNB(YGP>kg-JCqrDJB|GB98>;rOax z{~vk%jg45Cj5#=r=!}@yS--sgY=(4PENonK23*D_#;ji+Dr3ff8UMG}|9}43;D4#- z|M{QL|D|RBZyfZu0)H#;w*r4F@V5egEAU_N`v2wo{&(W}e?;&99M6Bj4F6l|?mv6| z|52^@AMijq|AOHBo7bP~FObfE;`L|e`j_;9|K97*`Cs$;|25aYp4b0&umArPTl258 z|JN1#*N8;_ncJV?FMzGke+DV~XVbsBoS~(Ok@FX#NW$g|(#FF6#Y+3fh5IV~!|l)Y zR~E)UN?%;De__A=)%L{|W9RzUOo0D4>4=5-AI*O~`TdnR!2H*t{`JoJXY$b(81cW| zJpXax0231@JNrLn4qSMxC*TgpAA0$S@TpprAUkxYMMq;n`Du=#lPH{Id*m4#O^Sj9 zlmNwjBaQz7$gLmVBw-=>`HOy4M-qWR@;q~Wdb9g|Jn@{M zyWQ*VEtA7;d)wRhZTF-5^E`Drr#k2TwfJhwThqs*)6>z%2C%8qt$QfD+r5#l|F&YX z$X#mf_F-Gx#!(?tlhkX~d^hg0{6o*D-uF=v#|O>udP!5q#yfX;li=!eNykQz;3Y*? z^mwbfDslKVe6YWqr4Iz`KVJku=Mt zjck8t+Gx7j`FC=+d0t#XAyTRjn?8V^s@X+pj{P2=IGS5t^m>}RdSf}%GPi23+nTTS zeze>@zuaz4n&Cgvb)9~po4kfz-#x+9$DEOVIG=eMIZf$Y8%~wKxi@=uQr8}~!u*^z zedFqq%aeQXP?TKG9pAq_KXs@#Fv!FBbEEq(WYluBjZMN18LZw|mLm^~bi6 z>F3j!OT%^NwAd`oQ>WKUPlIbN#mGCn3%F9!3b5HLbBDeVGMaXqgk#jOhQK^Qx z@bamPRHmlVO!BdeMIibdyYp?R(Wx7Myb$f`jp0z-<>MwoaN~bw`alFu4B` z@p4C_Xe_NzW$BBJlr(S&Y7EsF7(zHH^)FrEROUB80?0dC*IL#wL(ZPw?9Skxg%ow7 zThtG<{oTA#n)OHp6+$@MybzG}PPU@29fI|IUd|alTfh^3Fn`9X;hnlzD}vAFpXc7X z@zrmLXK0QmH^=tl@CQoTMQ3)Q?jQ?54b3k%yd`tAs>Tc|SI

~jKAd$ zni^?{#m8QZ&dfUh_RaQ_n&ro1%8#uLx0;<)PeZ~kA{Z=Ow`YU{EzOa%9Cg1DKIDVL zST*$R&bP<@PC|dsVnhK2yqi)=I9H5rjczZm9|-JWU-Dk@ZTq}~QrYu~J%%q|+ex&A z2j-*nzoH;OJg7YPI!~DplXNJs_#@@32a^8iAckWVoDSze;j=DlQM2!8ktB5@WGw;v z%_#<*z~0j%^e6t~{<^vcob=DsT|Wkg_Zvi4p~WP_)*c!^EaFWqp5HdBv)++W{xIZw z!}gwcZ54;V4`r3a_9!2=F8(862sy{w;c&if-H)V!IS<9x!{j8jfG}J=b1zc9TGFH!nh@F6>Eg1(y8;oF&O0@gm3u}BlIjK+DdyAB)SSxT-KrsSOAFN%AK)? zstl4XFojsWL_(@&f_0JFZpMuR4hKg#PM1qEn&ly!RyMgBcpczXdQPgis(+BtMC?a6 zYQ)pjW97hb+oUISX}hY)f~5yPdahXL%6TBY;Sy_)GovMiG_Dt+rUd?)YO{WB#-q{S zMY}<9&uAGw2p=@IvjQ)A?%)~R3$0?v-AQ+;ee``Vc?aw6Io2}bu3`07eUZeVcL|nQ zq)!|Q(10881YgYTNHB3zTcsqv7p9$)>=&|0GRE-y`nQHoTt_{WrRGT&+cTq)+q**X zhFt}FJ+GXu2>`*4kVYXmR5QShu4XSF-09)BSN&Dfb5l>%-@~3s2hAhlQ zlVe?4#n@nqIE8Q-t>9>RK=iE)z}20KDk1cg?5K3!v1z*|=Y97IzwFcX>AwFXH1^=} zhqu?d4=DI|qI%-n<&tTx^MrMGiq@@ChFz@r9}KylIQoCkyFcf85k@z+xz{^Sx?WIp zeMKk`LS*qF>6Oi!^Hl`SnG6$IT1Fg@5z}<5yYRP5N1iJ7yoEr_80z=U3J%Gw?I7En zLYSjaLAojwXYL71au$=o{|NHN{(0GZ`SHnJ8EY&ktC0`8SUDr;o{1*Q#c4%3HIJvk z7sOUA+uxgi=2T>iRdsiMM6=d5QiCI@6+Z&OaAuv^caJM-e@;PJ^7ac}hjg+8C_Gj5Z)6*%*j2#gur^mQP6BEHGhF zK>-(>Y3u;07SiAy9nmqbw(se{{0Jvj2K;j>q(eYwi;4J(NN=`IL7^r?+)s;mC(g30 za(b6rSY3dUd~liDj|jyvA$(wXjM6aVhP>FM2{-A$?T)}T_eTR9@1de5;BFWr__LeE zt857WST=VE4BAzt9xF(yy>je#_9(2feYJMCDImUVNc^AVGm$9Ic$h5m!!@qgSwJ1G zr2(M`(ECdM+x|=tF3*-vQ;s*bI6URMIyVL($1wa#M-VyEWVMG=A5oA5agnzbPLP$n z3i@I{J$C(R{%v~nQ@~&I`0gA-y~BUa{C2p9yCde`NCABT z>I*T1yI^y>fq%>cY$b=P4qBp2AP;5T>cmgd2XSEde&!EcLE{e>znQWR%pI^D{I#AM zZ5~+f%HCLDFVy#*X|!jXuZ2I24{G$3qVyuX^ZaAcATHh7Ss?F(wZpv_0+~J6J!ye$ zbUEw$JnH%`WVLk9LcmgJ+-*qe|27RQddkM@JgDopzf{w0b;o@6fcctWWEbWCF+nUY zU%kP&&x#$buip~YGlZ%gq@E>1O>I3 zr1n}wb}?`^rOE)$c+~_$4GsS8^x`ixw4Z?PNcJhe$&g;hK?WvDgU0GU?5FQ8LcsiF zeLq{{zP#qXKY&&==1jE;lR=!?gMLA^i<_4t@(ayKmy_{jHw+oYd8f4$Yx8=5|0FJq zaV|bQ8eNddD3`LjP%=)LG9#*)WN{B<6fy*t6&ld+FzRoK*#%GGMGn+yx&5Zu z9JzF91}<|r7J?NwRbypp@0D)A<9!F48dCfNE_VsFrTi!)c;TVlo<+x@Tu1FW?&eH$ z;T^xlzz+s<;Cp!a_{8_hMi`DPkm~zf$TOsB9bk+d(XBz#@SUr>KE;OmiMS#xQfO^D zNwr|57K0rf>fLWRapY2?*TFahGFsR^b1>nQGWz?-YbEkfnrjT^u?E2cbmso&4P{^o zM#^+9%-YN*n&7}@SS6Q)=FX_^`YrXA6A{?;g1TC){lILZB<6g;TQ{B(3@cnMzdL&5 z>-S`1vFj=>GitE84Wd=WLRzkwGNnD#(p;H3)w6tC{MOF z4492XrQiiuu0l=+=;$xN&#<%G@6&G-%7VPXN^1&K%n{E`wZL)@;JGiOruHrmJV^0? zqmZ*5^_30bOKD}A&8*E;sj&(f1e3uBs_VzI4o478_3>^R*f&Psu*7(vKZydswf-EH z4ac!sfe%+@WIWhHf@~?X^b6j-Vs&V|XHufKl6{Ty3?pvNCOC)uCNe&??hd?JS4bA~%AKDLQCPN9jy9P$aB2aaTmH1Wn)02WKxM zsyujXKB8ph4SfbBYeRosrV>1%-yfvFP{0=9Rb30sO#NhpB2Q)vYGAW#JrwKw$_C9Q zgbG!lV0Ay%=pEQ1QU)9`taO|+K9l;_3R_0E;JIKHU|D3baUXA}$o$UgH!|N%>0xHP zz#Q0xi_`{(B46=G#lH4`lg~AP`SMMB0pVhJ7+Z%13SZeOc9o($hiwyvDV--v2rc_@ z(mUXyX70t&fLTuo8fG4U)6xQx^(5zVT<}1|N1u+On#jMU-+=%iXwfQcY{_$)4YA)ZqoV#%u5;`}Z5I7@%@8IS*!ML9NHMnP85H1#x9;8UiOGl+xT;h;cDP(er{XDiH^C<7mDb!urlCwPM3VqBpOvmsnI`>}vWY3f0rqstF35g+U z${EN6&!SQ0*r2G`Wvcm;xS(x`e@T;FQ*rgBY>QzLRx?(3kSnL*-vSMnHy|mSK75@5r!<2z7laj zZrLE*5Yl4+rylm1 zu3VDP1Y;g=;D-&C8m7Rng>vJ(O06Onnuhe*Ay$Z|d~o-ewtNFHyi`nm8mNb!fD#Hf z+MK~}9A7^wyprqlE9^W0NTTQGmU=`b5V)qHKgq?W{6t&Kn7Zk<5{&etGo@sOi@^Xy z6%v6W{CJfEEJHnCIeQ~OJ&wPvRtq%PyLB;BfkJ;5m1xx-l2WE*l_~LV!Ie)83Rd`m%-7s~`Xc&n*W0Nw+Ev*dWDpB>5SjBr9o)k(Gl8b1VTOMU;hgn?r(bt8}Vh zISyL$!>@COL|YOi;#a!B+m1Tt^g*_FnGeK%%8H@VVRj{V-rOG6$a-INyM1heTc*c6 z?a~dVE++52vKe;47H>GeZR~?FLy;y;%mA+(&jxXm%lEAD@Y{k8XLh5t22LKLX<}W| z#E-3(Dsz3VX=9jzL*+;3%w2C4HB#lR@b!1%!iy)c!D?KL)UruFLQ5x=>==&u({uJU zut!6F7l@9o-ge5%Yhi(f&>CMP7cgKN zzD|+C7ZbGXYJf-f#X9@O{AArWP8-}XYLjeQwrd1ex{)hYV6NinlbcL>DHOC283(Uo>!Yg1mMDgA5j@XIzp_A5zUC!l?)XJ{#8TzEx zw@ZaH&2sEAW4ehkO?Ob1B0xfO8y~3U+}8$Zw+ChGEB1ZnEBFB}stie_;qf37+McL) zj#3w;Sut`>_S2@JPManA85#Z?n$X-tQs0+D@!9J@Yw7G3p;@@8%YX;3T3Zu&oy)Q}DJo{A)T3v>Pfj%JL1+h_`nD*18n&|PDTy=a zO5Q&3`^l3mn$e;+5zh%cJ9P`G+`gp!$V0^XXV}tkO)9#?S0#x%3AI6w1aG=E^<~i% z>}^pdTqR>B=?_)Mfb>b)HHhZQ36(1wpe3mh8m5j~yPwoL@2!g7U3C0(q^3P0Tmvm5 z$^#Ny&p!(%b7=-SU|O;o;U)eO_2b7}(f|(PK z_4~`_-qRhu>2`K$l{_2Anf&TuSnZPtDPK=#^%)5e;gCm=Z4EvY_s^fm^xmiv%9{9n zo|1>e3B#G9p$11r=Dar-w1v{ptRyL@wTN3`ic3nvpY!_~!;Izqta^ZHQ_vOh2S>HJ zp-$@RTPCQ+U-ysj1>CpuNlvQqPimG1aiz$AUPL6it zL|1Xr(pOOw8af1iYwt0rA*jvP5{eRH%k0!9qvDs`)Kb*BDY7NS>(z$5rep7|;wDF3 zIVUzY{Y8PbFp6bu?9pCvo1LVclBdi99rqswt2o7Bz` zCsPfsaZo*LLkmb-{)%BHzI}`Wpb)D%H$sYjh88uVaQ_TF#6%2)C8302fsQo`6QGnk z#jppq7S4nn0e76!sb%hreI^OlDIP6h5`N%5X3?y>Ko=yJ+5iKGaD`H$lgcK-BYWgkSn9a z>EItiWPxgE%2E&{C2!xhMh90re>&*Al0CJsz#L#RlL813QJ+`NrM>D~A#p@^BbqA4 zmY!^h2ID)Thjk%~;?QYm9Bt>Sb%<}@DUm&497Mu6vBjRKfpGy!t&8jc>vS^TrC;1+ zb>|?XQpBdaCniJREJBsGPU^$|u{(cuu2Q31Bx3u==jDD|wX?tJy;IAFpMH!oIumng zc!S%dWU-Ymkj;D{9JIu3&R+7z5QmD`_1ck4NfO{)7R$_v)uSexV~`KRXKtVrfx3ni z4*2YnX|iyr!6)V_UdWK5TbK9cL2AD9rQ!9?^WlIdE$r&`VeE;^Ynot(f}$Ycv@W(9 zhUk%AKj_@8fP^&P3Wj##Kx0i>rw`6c5fz~;SnRza&UT3Wm-`8K#GTx=_+raO7 zfR5CjA5C7fKEEk=yc&UrpVFru0@U_;s5FIA%XFDs39f%~WCdD##*I?1uPbl1>0!MS zBk!Px0h!##*l7$lvyy|?IrJAh28!C*np4|qsWk6cC^-(S@+qn#Szl4{xE3pwXwOq% z=167^aX8yi?sCF0ZKaiz+d`p^5WT>osBelTmVj*rP`Dndd6~lj2#ZFmsu~hQX56_Q zl=6M(-c;jrc_taXBM=Ms|LDvf@M7FrNzHgs?H!k(>go{invqzTI6Abijo=)nzf%#6 z4l-)C9%$UG3t@XIWCw}Q{-jBVlJ{*=r70zW<0q1jbnA_*=M2>)`D7zH)E&$P`q^aN zpjAd9BUV{AgiTY~)n}<{r^Z2b`~%ZknGEoN2so}6aA zS^ka7ahJ0SMZui9)=sQ%5B?s+CPUEcFbKo&iwh}%m=sJQ%|VNyl#`% zNqeS3k#WYcoHmUPkC2c%sV@V?jLBjxv-nobxHR%pN)T7d-4xOd3DL6JLQV+$G$*fZ zpN|hU=3Sw!hI^9A)#{O%&u&6JLi_gf3u_3qP>l{Cmcnb_ETE4#byf6av?Qw$n}{Fl zWDPXjSpYU%i_lwnF2h@E>exu@iD}a`6D|3BCrbUPTQ2!kFb(B5t~w!?;Hr17xqMTr zoB6eDH|2Qqi-Fv`{$za9ey9ni{`GIab_uME2oU$dLv3zkgzIjz$Z=LE^fR>wdBYC9 zv(n63D!aU3&((!FywpPpwUAwjixj$sE?PGVzk}~_GRc;-m>6g6TD~64EcxSn&)Trv ztWc|&>hM^^jy~_iH%yVgweusNok%UR#HB;bVlb;m9TCDAv75sh8{uwdX$6PwV+<04 z)VD$wL^=vNZ|p^R_`4)+{4o6qjXXQMAEgf_zjjVA7bCr5l75ht1m(6kD-bw7nP(y&E3EKXmUPAR- zE-x}X?FCLQur*9l1+-7W9{u5}nArnkYHz-CV97PC8~K7JFf>JNfbDd=K9Wjp6>s01 z;5bxXbV(qHweLf9Qx>hY1`v~H&+%oZ$)|Hr2lx_^*os>&)x4Fk-!=UZJUPh%IoWA_ zAYSp!0?)v zr@KZ6q_d~cF+rB%MDjX_({a+aVfI#Ul37^(Pt_1{{`&g06M(z9wmoHW86DP0EHCjO zG|YoOi%2DWJ@>Cwa8Jh6Dblj~bF+#E0`NQO^>17-L(Zh8t+Hzh(93Zc7O(<-6H(m^ zCdO2MM7XGOX%|wr`udJN@5OqeFqC545yDxUdJ{l|x;9l;*-RW>-h~>FC6FKpev?S7Ufw*?$`xKc65PhZUAncJ&B-7< zgi?fC8?@d!Bs5<6E zLvA!CmGRj+0c9U{o5f$NnNm)ICkMqCs*sl(#7{n!!ONKNSIA}o zF&(7?jp?3Jn?@?NnM7Brc9D+ll6#!oCsb-0fL5`N;S3S!gSBe1iyg_Io6ckjqytR! zhG9G=H2cO$az~*)?#Gl_j)^)d`B%LB@qOuw_DQhGxf$$o#J3j6%Pj->_t6Iudu3Nv zK*3cx*hPca5*`YGJ44KNT7%Qeg)sWfl2P55zAjUU=F9?sq`m}XqzZlh z5HmDEv!hKd(OBw-4Zs_NpYDvTbMq$vI2HVWtgci%8-P}Wkxc$6Cw&OY!_Y?q!DN_n z7}rA!laeazR4m7yqNJ(NC%|BSM4J7S_OWyFdm zH*gvE0-Vue4t?vJQMiWE+NUxqRsNypj_TxjwWh zKRBlU1a7y>r?+3xr4Q{CwYrq7vq!;AC!QsxQAwRE9--(>kTuS54DEa$QI^%da!1l`AD) zzE-u&a{738_@IDzHP0IBB*zn_b2F&uS8wH1G<1W(Gav)GP5`fG=bd0(a;+h~3@5t0 zE^BSH02{_ToNzTOF0Jk=NrxXJc{Y-f9i1x=z3y;X2qNpWn4a3`HF63Pq;W2s5yM<) zu8MeTT9;2OEA)YQI1S2RW2Z5y|J+R0{FCE*_Q2I}m)`_1@zQJJQ|k-(VB+SrBvVTK zkew#w{sXa=2-nzTLaBs-OBPkse%sxB`Ps6Bd7lfAUh?sB`tj#9RxQ#I^$7oESnWl${ddNhvuJ=pa-|oW(SJ# zWe#eSLp;iGOrZcFQz5q%pTfVqRZ81K%sj`~XO7C#Rfg26W-R$x3vjm@ByH@FPBTti zM%b+~PP%me+zR+KqzJQpnFvGuA?}1V)y_laQ=C3?m&4IkM-h1a1^;sGU`nRV$Qp4YW<;IHnD9rfq+nCXbsJ_q+E}N)R&}=|NERfXQTy$8np85JA!@W?FW5OamX_ZZU;}IYh;g?lt9bkqR zhKR5F^y=E^`|hZ$%| zp7*W{A+M+$`2hK~CnJoPtr6@7$-uP&@o2S|TJh92_nmjM&bp%#m1g|tRC-tOya1YY zmS&aLTu1+R+U_c@4hHbOe$(T*;CY|&QVGOms*ra9(%{8v4TI}aF|S9^&5e)XcWj1M z`izKaIw8Uk?O*iKc;xjsz`+!Vndz4q4ksfukM;-wC8V+m&o3~ONSYK86A?w&sv35B zo1K5&A0O7+V=-X1X!lU=OgV1so+m1%=1tAJ#E?ib z6WIn0HR!N3nVlKep@th<(d*zn%yC6Erc`>E@^uYoSZ*WzS@`YG%HZjk8CLid(qSuE zwSgiq>84?Ka`q(VyfW+w*UsQ9bmB1bQ9w!SPxHk!an`^b5gNfz*G#Go$3X8`2s_`a zF)LYv7y;Z)=ZJY z3X)9F&^`W~T|s!{SZZ11tckIJVZdedqrCuDsV~_f{E_qKcM|9S#ok-T)s>}L!?;6$K#<@T zTrP5PCj@tQch?Zy-QC^YHMj&xa1HLk2@WA2Nve9%)zvlCGd0um{a*Ova*v;9?Q{0s z=RD6^>&(b8D@Isa8ro&3=BptB7a_Z$t8l9>=LwuLsW&J&%Bl%fT%Jx~SZBuU7Z~au zipE+@a$99Tcq?LyP<25G^DK*4jG8<#+dhl2gliV4SiZv$%LLn9pdk9Ix+)@+DP$O@_Mn?xcj(^mbDcL-(7xlA2i@JQwSWfg&gXhwRgwv~dk zfz3=+ovFFa6fmZegO}L>)ww=Ka2a7{{NUa^nak>U+^@yuwS@TU#$H5m6S;V2NN~qj z9U76idFC4~PY9QrutU0f#zU2^;9d&fwXP)Xb^^)#C$7i@xjhKpjxF7PbQR!&h3HKU zqIVQuDa%&1ei|ylu33T!ExAC*fBcXYGz589cM@^k7ar9x1dgD;}x4-1|i7 zJ1tNs5WygAh2Nt!v;9<|F6W?Y|JvGs?vbth|2$h6@PA2t=+T+%FQUsn62u-6ctqe4 zfky-$5qL!4-;cnbS07^gNmS@p{g-bX)bA|hpBblY->ApGkZ&Ky^8f&it-c|R-T(9B z`JdH?SpOyUq2I3k>(%$q)Q5hv{_m>~(X;9?&;w{#^nr{tOl*1v59&i~tTe28%!a!9 z07FB1;2)|F8R!@=Fz7Nrs24Fl*bOu3=&;b}vH_TBm~@#8^qAOKS($YnoreFSM}|M% z&A-13{p0idpI`cjz#{^W2s|S2h`=KP|AP9^ck!5ChUveKsJ@NozY7Qb-FW_+T+Kg- z=@9%-9}=;&H?XvSuo*Vju{Uuv_%60%Vqsu!YobT@%D}|P*q(rap6=JjfbZftbiX4* z^WCuc7oi+FB@=yn<8Pbso7me)8`uh1Sy)?HJ{*RCl}_Bi(&%9!ko70A8rO(-h#&+o z5PMZ$fwX?BUY5G8UEHsyTA!1 zYlj>K@cR}G4e!6WqeMB>&J1Ty4aQRuM+`I)16)sf*G=qNR5K=xGBl`pIL|Pwl$=t& ze5z&J=XtOO-y7=fRhm&;#KowH4++@>ke9?agh)D-0j^g0QfkcqTo zGNX`dpA33{yJS}AKwgeOweM5!W|hJoF-dlrgaw2fgZ-z*B|7(j)>rb1LeOQ`12fL0 z|-e^Ii7o&Rmlx zF}0-wyz(w-=4!kkxH9>>-UP+dOEu;MV#+TkcC}R+Nfvsn3A`L~5kO3kgklC|yhNr( zQ;ay@p+5_$~4XuyPevNd#XAJ7r&{;rk47d#gGYW`Z7pa1K-ltS6vSn0_VLhZh z)HxPdl#Ec%v_PdEZTzYAX;HK^mpR8c+s80)l<+4+)a^eC3Tefp7=LiM#Ck1f_(l+o=8F)#ajR@7GfGp8#$x2kd2(WslyCW?Ue z-i!^L4^d>w-_tsO9>-z0ruNhBIPcqx&8@db6k_wD%vY-G1kj<`HcWu(e6xXuY8isT zN+|CSQ*$VdH8@_e)^Yn`X~!TjpEtkTE~qG3=mk5mZyukLh&?*9E&p?h&xo6N#<@gvFhTLAd7&xK zSpoF!N-wmse0@CYrP`?R%%tM$=hmIvmhq=PMOmIJR7^w9Re#N)vn#lNxyT*AiyMqh ziSEQvH@{ri-z_)i?xRuQ1NSNaW7X2WqM8G7M}Gdzv85gRr_N_uXBc}qmhYbFXfb+- z#pJ>!ha~D&ZK_p%Fbvt;d@- zW;TTIN_L~I%~j~G)4pVV%d!G5qy9@2U$2v(Y!xKS;;A_aTT#G>$;b-Vocf71QOOpu z?e+?0cqvh#r&NM4EJ3=|`zx0kVr=y~o>LRZDI@I;$|y1`sid+X(z_syP4*PdebW`;_Bwo}N$GP#U~{fSe%-9Eh7 z@@lf<5?Y2gUq)nbFTe_uKOIlFRfGo|NZ3Gfx`wwG1qp8l2bu#LQxnwidr1zj=rlz( zR{Nh?`+u_Ojd@`M^0B{<$PBtVu6%y_6e=gK+O55u(fX_sx%n+tqKC}5#0hft#?9o> zObX2$*4k%2-@DNxAfTq-=R=?I2L*zPNJfYE-kG==>`Oc?Bmlb0lyfVR{$d_HFYZif zFr^A)o91GkwwxN{vLC44QhQ0`Iw}F9g^)hcad}}MIf4<4P(;=1<#8}dr=eX0 zLWj3^sR`3?=?cNo3)J}n%ln~ZR4%2TYYG_nxH-<}REt`&b8m~Y)cQkQq1?$kHAh$w zfOQL(TOYSZ*hoX1b@{hnAirLs82T)!^7={Lyz-RAv{e<8KJ4XxMd4G~)b1Lp;^~LZ<=_m6tPh3m| zokLW;T|^nWGZss)!P{gx`pILLVY|B3pjXX22aVdueLRy9&f)|%RtxDLWF)40=S1z? zOJ9fAv9;G?1w~!npwOLLW$D}yjJQZi#=7!W$|+fN){}eNpGfc&b0s7 ziAknAikD!8p`VP~J@#HZ7gZQB(b#_B^`^9xbVf+25f1dHuxr7~kM3Z)FSuG#nX7RG zSDTUo$-Q{EXV-vVQ_8szZp3F|sCT+})8siBI|I`$Q)J0$2KI`8evRn6*H97;pWD!= zS~(ULR$MKczUmg$v0EHQ)`E+yVGm=7xf(jjy8>wdwq3X8oT54tV{Z_X;mOue@}8#e zLsDj(XCp+f8``i<5-wtkUK{ZG+t-h$;+v)GS-hvSc&XcScxY6r_fEi;Vb|DjRgbB_1B_~%KQxx0IZAuD9ARa561zRN`i3R;DKoOfq8Wi6SPWY_C=F+R7v5; zOwTKg_6viwVYHn>pAZ;>$eq5n%KiPsAT!50EO%#VkZw=~CT0b)wpGpAi0;3z2i}&XQm~|?~gS3QiTCQzNft-p+LED1UkDX_?b)8 z3d~4v3_m(~_`NBHra&RN*%o45fBU>K;^`$glxe+}Q7gg_6fAZa+I{~`doNGtUjuV-2+oE^~7~6G@(mH=P8PZ%$_vv$1qY913^rAk)?6t zSVUs~*B5lp_ocB%B%h*ueWndEVUBY4kI#e;j}kvU`u_T==CK0v|M`sV|EUVhNB_sa zD3kd3lKc^YM+6=bctqe4fky=X{RsT&3d|oFfM4G3|3}95$LRfMzZf9>qo2F25gh{? z8yg)xfbOvZlL$Z$V5Fh{xdM~zU#h_T?b^RyegCWi^Ed1NUInHhkQo4EWM!dYV`c+B z_&3r6Y1s4(8E6c38JQUv+4Pz90rY?5|H#5>z@($c%tWILFnrkFK<8n5HfF%X_AHDH zKvtj*Jp<$S?H~Og|Dp$nf3}-{e;4}C&g_3{{v!g92s|S2h`=KPj|lwv3d|oBJijxZ z|E-@p{ZE9d|L}hV(tmgR`+fgM;CIhR*}wTe0>AG=_D|Mq{nr1H?O*bL{Bf*b;`+<0 zxc-d)KdS21 zY~KpYn7$nb@cs0DQ&{#B`R|_>ma(t`*nX-@-RCf|d){BQd_uKFoCwj~6j3Z+tS@>| zv-eIo5W~Y-og&#=pFNi(Civj)c4o0gc^~$W78E8oUs-5>|NWTL<=I&(cgq*+^P9C( z@Y_8tsf4d??d)GJ)<&ilLY^ocE!`hjWpMGNq`BMB&ZV@>84b1156#pJK->xC zr*5SSb%voN(^wgLw!(x@9cUoOJE#a(AT^Zztw8kv2x#h3F=YE!1gUgd z>6mz1s$U|2FC5G7Jw3G2-8)x&`W#)#zIr;nfG72106A#DNH{)S23fuX!&^$FZcM9~ zom!YVlBDKbT2gn-G^x-=Q2Oj-1v`x~gyl(!_W76?d|k936AQ@Q*I}ttGgAY$f40cl znPci6a00p`hlS@GIkmcL$L8|6sgJ%PAA?JosI&lAcHnQc7!}Pnb#YXxa-|1 zM$g9Cb<5D#kEKiQ+68nUYMH&WjPKxl%4dhgEOoGStWD89q0I?t65v*^?xpr=#4$oQ zJmbUSsp`p9udv>xr!TTOzJ~6wp=hh|EMbmX)Oefr1#XmhGP-x*L2WkJKOzBmc5hfO z?X9MRd8$&qmt9s=R#?_pnPp5DyTz_*4B3IFHpX$aSxF171G?kq-H9q~8|B~q++zGW zPM`&G(SdwhkoHKiRjZHI=4&RCL2c$b@JfqCe-r?+=^n7M(3ioM5h7WV6cbGXy>XJ& zZ)HhU6)m$HILg3>XiS8Ml$Sw>Sb82<029>*y*@25iBhrA44b1PwG%M<-uO zf-f+Qtdp{E4##7Uj&*M28*3`1c<;dW@wGTSKk4WNE2Ie~@Z7fHe~M;gBYM$|~^ZI%nrEtMz7_cKS~JVQ~ph7JMkWG2@Vv6k&;`pCGp;=M(c7&QLE zTXMwAlpSD4BvK6H`Rs)ax-tGUPSd!;V8JPZ`DguJc>$o$&fe#=7L(L#61;sSQW2#H zK%Nr0BO10i$vX{l>H#C-Rnd9= zp!AGaj9q<9CD-pI(FW zn7V`CW4oSeC1y6@xY;EJxM1|iW>FF8)w)U^_X5nVVP!AwKXq?@*jW4OJ<$PCQL$9M z1L;rq`O@!J_^AC*1m8WoA09$zq@rRjMa>yBuuT_ZclW~Ed64Ii}DFJ{9)L* zX}0Wk008d%gV$c!u|NuQaweVc*0z$>y-%JNeNzw#_@= zUVnggCfuF@sfR%EhT?4xpN0cHF&oPZ;ODIcZ#NS4jGhgK2!U`H!qq9>Rzi0C2%7Hn zss=K6Ah_(_*~9y#jk_4UmsijZ!3;H8c_ecsF4N+tL(6kGA{Iw5GJSVD2RFnTcjd*U zIC)Db)@JM#G>{e;)I3bjP-W`c+%5J#3J%aZiLw|*aPTZGqXG{t4R9q7wY0Vtcc&2E zB~6WLHBT?^+?vl_z8M*Ah?#DXlVAK+ZQB_~G^_F5eWfbsb(Juytf)B!r*U#b^*Wfm zmKRtR=<`BjNV`o)l=)AUjo)T%>W-bx!jbhp(~bcyGc^W`u%39{P0P#{Ce%|aSzV~b7lZz(Y};dqL4*PhuzdMd@H z^z||`^djg4uPqIdi2A8yPC%RBmdyJP@d+;1fE+}YA|6$zZ)b#5&P%sM|r31b!< zvXJpIPW3jHs}r`KJVY9^mC_=hY_P3meBr<&93f+W*)f){P_?LNkh>GFcB6;7Xb~8% zX;Qi~7!Y&_qZcc5NtjL55LnLXGUgym&&Mb4}7b1wq?5ymmfcKUFNKtaJUXA zA%8~iafM{i;v4?u>xs4#4*SK)wW8$PxEQO=4K+5ljI_^l8RFUELYaa0XooBy`QsaK zC#g*zl5SI*c!G!BoO%76xw5K1z@4BZ-L}aG&OD{OEtSBElAaTLSb%#q3U@+MV9(hT zCi0v_90@0z-}UQwlU;h)D;Q3Q8R)qC18LqDarcWQ!5mayI8rGGgNhqV#@kVnu1kyc zVsv7)qr*eB^o3p@cf)^m^o3o^vdt!WA^Pxvald)SCyBrD{iCa0{VD^5?cI={ zN7FGK#{EIVvDHTuUx)bM5VTFX!k%Fs@~qRVPxnyzONi(n1f}wrre(O&Q4O^mJXoaB z<8oax$csV;7YNm}0DL{%sBIPm(s@j`>?DcQJQl^tMe?|b>^KzoJ`QgJ63O)fNa`)5 zOO-)_BsW8h`TWfeI@IwA>5{kE=8slrZ zK>PXW;aErTnikMo-*9dz3O7L5c2nPp51WFP8A%W)$Fm5uCb8`-p$vINk!C z{xFZ_e&=($UY^tQ{Qk7BBjYXLyIj?GM~>o0hRY-FG&Tw-TaMu>t4#^Qg#FMbrE;5B z>ltO-unYE>A3m*xhfUnSSFEv0L&Gv$PIlXq5joU_{NBc%<2>l6C3@E3mgfvkQx`aC9xh?Npy}9R&zMnOL zlsG{?YXn3XKe}OFY{k&(XB*g#0UBN}Sa_S9Z^H_cwk=0UYu^r*kRfE7oM z0xUta8nbDp*t-%zo7^oI6kQ;nFc-Rtsi#`hs@ZHEyA2ZR3;UU4svD4ls|DPvIp>4- z!^2D2$B4K-yXT~KqMjq=riozVG{^BzWTjDPrpsnLyj37?;n?rN(CYV{E=DOnm^C=o z@xRW)nk3J}V}S%C1f>Zw2K6vArYK_#ERpjMkbaj10*-?*4s6Ws_yDQ3M~2(LKYe3E z7`X~1*uOY4V}j>7Eo+T05wciMA@u%ppc;RX^e`1Tf+&|`KJ*oIwHhw06>sXZD>)y0 zE#JXPWNdgM*US{&lj2zTEobZUDqlcgi>WVZuELBj4~Bjn#VXY*GxOa`e1j9x;>qGq z#l>N&M_%K;a1wk~NEEJV=8MAgLLScHv6M4ojHv?!{=NR9ZL~&cD@;ZfxZ=}>y`3Q9 z$pa$E3jTyO^=6tZH8;31V^^K}5S#EW@O`ohQD_Qh4LYqm>_gm8RVw!KPbW9?DJh#N z1s9IPR=^SBnJ4A*#B!eDWscY9$*1XVy&9%Oh^zwh4ac?350`u2EN14IRelPSw~uH3 zf-w0F``ihGiGbEK8t4^PIyE(AKxl%q`usa&6vjgE3 zT_{w{Nrp}9eD<6h$lo-!E)=tPr67-3xG8h%&PxhGp5>Hw+kwM!<4%A<8XG5RyzKRX zo8^wr-T)-T19MZ1*!XDA9)u$^(Rbv@E7&jOjxEV;=_2rz{QE73)yC&+8E*BdY3@il zbEoGBx`UWc>8}ElOu;)#ko?(vrjU?pXsrxW()_iD#O^L{&D|ZV??`bs^v3v(!)Y=e zUNB^|J3Fp{249~JRkUlr;Gu0#9;*k9A(+*>KlsXhdjt943u3+xu($4|&Dp{w?djfn zYpqEoh!XUaPs7xUR&bVq0wXDdXvLo_63csOpbnn=i}PUkW`O;MBq)t_+sdAX*cg-V zt_)ZQcD=A>LM~MG>rGMF1YZrbT1^=5DR%n&_olV^!g?l;OQZ>lwc*SH< zPoRS5DDYAN;z5I(rC?%Sde#UUQ#}l|)K!Px?WuD$A?&?8O*TI?X#Vh+2;}^Fe76aJ z!0&`hTP?fkEa%{8c2!Rq3jTbTY#;+s)=N_lz^6PuVx+&Pi$cK9&M?@I(RhzFQ>LiUNq>YB^G?38wb-50nG z>=YN)G#byAP@Li2N$Qkdf*~UySMB1LBc~K7afuilF6IXD21j_^8Qa31(}@o=NTIj_ zK85s_Fbww#NHENJKvW4@9R%v^jLQX#4=8BiEKF7ApksQKD>(FA z=IjUhucid4m^3P;IHsl9D}5MDwx*qBK-=flJmDPzQwlnA_bW2(&Tt9sK2JN>>2Z;J z1!{OY)mO6FpG!P{(H~uXSv=mgAR(U-J^}((WW03FxOt1~2er3!MhT&m6s}1qNui^_ z@~r68jZxbRUTG`0p8U--&(bUjUri^90Pi-cX%;?*x2jLH>_=i$D{k*1Is-vMCK>P; z8m)Sqb8*Gy?7tvnGvF0Jx0Z>3BPS1}Km#@Dm{64MS$n;R0l5IDbYb~2MNi4Bmtb=q zR{(MI{f$5Ew4TBP|0-Wxw~%JZ{g}jcgNT=c;TmEKTs0P?klY+ZXv$z2PFm>ebQ8ML zAmS)G8qJ>n4jcrHF+o`8j!~f-YC2Me*sXl6*e!_xN>4*QJh9SVrBtu#!hX!0VMg-0 z5aeC58&Po9YiK!zJa8D__g65Eh@b?y51#XJIfhF%#+X%rAaOs(eZzqZ4GIF`);x`c zK!5EpA+I(*C?xM$X|6!FiGC`5KQEwI z5+KTs=!vC)!`uIgo77rK!Jh@I#Svh<9tt|#0mC-SO@yNKN-!i1-Jwr76beU^0ZLMZ z{=AZ|Xn%jKROpa5kztZu3I)^fsf`uV65g_?R7EFIw_}Lqi;0Dc`ga9l)=>Hlj%$`g zd4wtX_$41fp3>74V;`-XN##L^URy9pS9hAQn!=u86t;HR__M#qbDIy%SV8^J;Rg=>6}5hd@2N< zCqA)-bu^(vH3}oVOV&G~2_C^QT{fbEazPz05kunHSOJCWq=qCI$%rEU+?I@7LjfGR z8u6aTqW=vAqK*MQh`$%K6@fIk=vVr$`zf2J5UCOA9-pJXtPf!<%e_x2H+zjKApDA) zvc&s-Im;3Zqa2ge}T}*eS9t7VmXcAnvg^ zVZ|ozJojmzkjxinI=qXg=>8LaiG#Y!4vhpPmOt{98zM+)J( z<$eCjZr)ByPn#Nrby0SumvzgzGIE|;a@_VZnsr{6&W4A@u~uW1KJPaRFH&%&@uXy- zW!{4JjVMNu@2Wq<`dNE0p<`L>^-iJLxAj8a@5vkh*D0)$V=uFPPAj{2px@@+J&T$h zea%?>#gKYaBIcCzn0asyNlt}4#}6^?vqFeQ>u&w$wr52+%tGd_i(#sAkV|JRW7x+I z^v$?t5evy6cYW`zAl*ZXNM(ffQc*j=2g14o}yz+)DvX zawXW;PXlRLQ{d(j4XXVIgZ-xom-Dk$-t2Kqtc^G%j9-Yzvl(Jg7W)?)qo1*3rl~Gi zc#?T8Rpgi!hFkJR(2S-C9!~kENpm6Ox=_rK?$FTBM_v?n)AG{Q$sf9o2Bk}t`RvGidoKkdFc&16T9W38xhLrjNqpiHrtLJF zZM?dDJKC{lY_#3$Q2V*2Vh@(}#~Ztg64X_)qGzJxopkq@s&Yb|5B}}w>(sb_JPdZ- zO_J#65u)_Bp~7F2%}b^Omv4FK+rNzb=DqDD^O}?h=}G@ zmnfAq;FoJ^m07mHm)oCJUPt9ek>^rpC41NrZ7r|mq*{&p9oW}_2G#IG=lk?Ot;p^Z zyZU-NGlcQ=Mp*a&>s59H=7NxBI}c0?#V`|iH7%+5E~Qr{W!9EVXRgS+9~#m`=f{%y zUKTOGj`7G)Cxv}wOh2mrPd1`%tG$ZH1rp7JmzmN2jFyZZG(_T|B^3G(+?QGjoW zxnGiqkF2Q2D#~A~DF08fq8@eW|Dqbd$HJ9I1RfE1MBovDM+6=b`1d35=UGt3y3tWHw}A0~#>0KC+_zqGyIb+|9qg3;n~>`(If2h`=KPj|e;>@QA=80{;Rl>O1}9 zm)GvUj;Owk=f4wUejd+%C&2sz$>-1O(*I9rl>e-&>>ASfO_m;fd-vYTw^O=wO+Y|b z;MMAUAgL1uiX1p^H>2i>NDr?+)mnMnbAyryfhq;H;;_#Jau4d=Io-c2QvQR=^WTUW z_&=b_4*ZGo;~K&T_f3lbn-qNt_b^~6@|7_%U5#G7w8GaeFweld1)=$3??Tteb>S(v z6nU9*8)^&F-j8?pj&SrK+Ipq+zn6&l&X@Xc1kL!Hpnu0~fbkEs_5uG9;MebecXxOH z_~my`{HG_*|Dd7$PhX*bC@IhIQ)AtH#fP}RyRLCMS^~d)Vr*)99{`#O6A6zdxOMm- zV@fO7LWarPi0nxLhO7;Ok}t{eyD|QhCHt?$_}j+$9d_C8wAUY+Looe(7yc3?ILVWJ zo=T@9O$iAJf@^%(4g-cff@)+9cAWruoBfO)qI0mY;P@*WBR0)_w*Pa|0NyAAu3@X!BZTz_-{|DA2e zU+z@G^0SN0Puyt058~|in@w8B$l$@C#?H#YR?py@*#+PSkM?hV7J%$U#`EL3H>MhEWStj55Js$#?Rux=iS_a@MMGQRv0|J0SB0zK*7vt_Ybh{Oh~{AFc|pKD)VXpZ0=E|h2`FIX<_w~X8rWN5y1XKr%2Wv|82Xu=albA@e8bb7w$d8F@*U|QMuNWic69~OT`C4yOElv0 znA167hT>If)%fSMQ;PDW-rAT0AQsEj%zi}-um-lHLxY&xJ`YZBuUXMA#6hFTfS7*-VP0lun@B~Kf!8n-H7pz#uDMCv-9UM=11o}?nTOUfN|g#ai?{3p~+-H{tPbXrWlj1(1LJfddI98 zPIdDmGcn6v7<_qgKgIJmCAcv0m%vLK@O+B&!YF4hB{M&?MvTXi6C4tRTZY0tGphvg)Du8 zMP(0ZFm((e$~?WZjvClGfQ4YCfIdI|%mAg$D32AFt5cATxm@HDrjdEqx9IBCC3Q*i zj1uh`k%}5qGe#Bme0U7}kzl1igakB=sa0k9D1@#KC0H{(DSSGD_)|hCs+$UJn9oAw zHb7|_P3g63-P1kTsrXYN%7nqxp|^bk%BPHX{7{^l7+OT2Iiim)OxBI zl8lYM7W#82+RRa)gyE!GeYNuX+1RZrOD{}Oi5#DTJ_ToS5>XHDjRqxMKx`AgBrrfv z4KqjX52?*6L6C!;3o|8y(wb^xD_PXrPb1HRD($gmaN*;4G^fn`2M>UqZzUZxL(Ud6j-t#FQ)>5m=5%(ONqROOZlkLS|)=!q3 zA_;aB^;9=|%@ex4v8=JdR|^3(rg>-wM@@t9%yX#X!Hph`{ZBtgEdk}#u9erlkKVOr zMsEr0+w%-3puSYxT^BFqA_ev8Z0-mWF*AdWiVlx%Be27YDNWjt_RP<~gvm5F>DrFIZiGoV&NE*bgbbn`o(TXcJjnM= zoyu|PwV^}1N&}#J3FF0K;8~b5L?$)hK5&JhTP`-vK*-_6+a~NOb;NEKgLkNF+jF6> z{Dc){8H#I&UXY0l(04SyJ5CfrW1kwUfZW~pa~~!R zRFIt7OPp|Q;UCbrC?#Aluis;8Rw(JVtSDETTFm4yMNjd(cjxds}K|`_E%bR))feiBWW#$s0p(l1y>9;=418Ou#UTCkc{*I0fLv8dljWA~}*8fdX<+$k3Mz`coNXX(VF z9X}s8nuOq9tZ%U`^a^z0V4jzpK_@q{^VX2|P1T1jn zK*Qf{%JOw1jbsHfplK&lD&!;f4@s<;E?qy?CoLB-MaUs#)if2b z=c%N>#I{G22lYpmlnp{6HuF4psisa4G3V=#)`e$2!BMN-w&qvy7Ntni_&jiJ9?qP} zsmc+~jL$g9D2H#J`~k&cmJ!Odu*wd9ng~%;ImbfgOLFo#R#KO$^U}d$cu89-+N4s#- z{*kDdJAFYlOpC&4jlijEn_JZLIx>NYm*x~2n%nd_5a9&U=atcJVXL}(@Gm-a;M#T{ z3VzAp1?ge{rhpCxQ!5x|skrH{9F`P#?PKrwx>sKJu(ciOt*jKhN>ue^+;65OOqiy( zb*WX|3e92!x4&TG4aM@k&5S&N-Ir*iyd^-Pn~=|3JT*d-sc{+{>))rbh>&(|cDuU2 z==1MiP%6+l#APXRy*n~L{0Mm~DlsvFNU40JUFS{?DIhCKc`i+v9uYH0@KSdcOI!%D-G}C#3+9~xDDG?pe&hkKR(-Vrt*{KxgeaUo|_^U-oN^fS0 zx0d6Mvv=@W%64zItH0nn_>!yHrMfvS6Gar{J$K2rlwK-zbmQY=mL6`5aDA<)2U?&< zccX5DB7N7B7#tF!aI8jQk>|Z4?#CgbV( zg?K1)p+c_4VbSF&>d>h9{3qq?kPT|SSi^}GR3;sd&*ZaLWhdjZUkf$#6_w-P*v1Ss zy#STAV5ns+GkpuT@&<~r1)qMUK2~yc-~i~|54HtA)g#{(c`b@LYlE943TYW0uQ7yi zIPf$xTq7?EJ6(PAIcw8wXtT1G@k_ePEP00eG49$khvk4z{aISVK{O$~Yc}Q#uG~FA zVrjDHoEyyFz@Eu_?gSYEv+=`L{l#Xca?CL+ETR+}R~sAV`+4B*yvv(8%A1BK>!X!B-4t20%UHKY z>K-&?d|BcAoVV>R-Fw%LU$9=TqCKM!lWPSbcEu$l192HkEoJmOI;el03Bk`jMvrPC z+jewAn?7uNo#~>RHJyg?<`kQxt&f;=Azq^GHdRvaT982S4d%LWiXFaHIWXgmSj^`S z1LFMCb`!wKoMY3dXIDmWT+Z*vTMwJ3begQD54H&2w|A$3^f{ciQ*uC|q@0rv!X!1m zb4(z%W_`1K+cx8MmNJTu)U9~gE~j-rvTilfy;Yg8%)zvcwaNMAQ)skw(kq^i15c)~ z5!>xJjl)7^Yp8wvvB#|efrfebxmr~vV+2(!*bR8AAJQW5flfOI;?6E@@XVxMI;mex zI*f7J%uxc=-tAoJ+U^s_31&Yb?*1pA)b;Vo0AZ}un?D&md94koR~6cJro77 zq?mBN;tE-{IEc_3O<=3n8ezX@L8fY^_qyBWd3dL=3I#{{d+!tgKfNn?EQ5b6ga5x+ z2LEUd@)y-}JZ35%5qL!45rIbp9uas%;NOqHpD%;|o?H1PkMeWb%y%W&pAs&AUrb?X zZ(wQvJty{EBle$&2?Ks8#QrT|#`s+!_D?3vej_H#M*pvg34cG<|5OU?Pl*YCkN&@u zMEmcW!vC63qk9P;V0#b?rYCr?dHF{bU)FCj!i+y;guko!eiQv={AKl@njQ%F*2w>9 zu8j%6@*fp1#!IED3%2Whb#9_~SLk10`oLk_ta78}=c4=Z68f?5t2m#e$A;vS2jMM= z%i>+rXxY47zmss^E^TW81taOONsTS;S#n#v6w9gLUc`NNIWfm~w@IfIqt)=a1UM1U z>*AtpKJ9V4U<91WDWS8>bDoXhP6;h1drF#{_%VV~W~~BsaJMU@m^zfAF0u4wo-^jA zkP4-EN^d+$%#)m>niRF7joIri{c4=;`a-}7&u6V3Rwuu0TCCVoxHOZe0S9q?+J^m@ zm+KzlZ;2qp`7;aEd%Un`71&nWDC`5BSF{&1GX(@!9Zy}%gCkAO^MHCOMMifI`7XZ> zPcl}=J)^TQJ5n({&x4d*gtQ|sEf%45om32#l#t#VcgA|-L&XVjtCo&reuEU|^_y#b zh+0unPIrA|zi|bHpsuT8I9(wAVg%~E&Bw;-!pU9MY3SpEI?mWUbGnG`kx%Q^!rJ9q z7{F2*c!R3620ytdJ!F8*2>F_-2!anmm-FdU8C!>%B(AcpJ)cGwx3aP#h@!p^%C*SE zbnqd1q5^6qAXtIw^Zb-u>jxP<*b9)v@R0^oYUEKb0w3~k4sA}l_0YC{u}dnWqA&K1 z_QpPRq*umg7sKs=Wn?q3s@Z%sjV-CJ%tIkDqKJo`MxgRnkeQEMQQzfPdL|8W4xzBL z+R)JiHVC#a=GTi#(iEJKBb+iT01wL&ty9oUki~3z=^UW788X;I<1>W-9a!dH#ScYR zcG#JPYPMkm%UeK%6x;ykH(4vB;%s&;tu4%zh*<@dBp5(^fYAeP#xFvxA%LU$L`LF!jY7`%oCMeH zRnYzISc8Sgo5GkNFe`XffhN+SG301#&T%qiUZFtr+V-Gm+FHYD&p7GOR?1NQB~&x6 zZvQKVC~A-^7Jadd_?L+!h*c&_{bFF8jv*jq?BC$tAw`F9 zNoQf=t0DnSf!Sj%Cf4tz>#-L8c*YI*2ct_ zrXW;zPC6!*dY0@=3T~G+RnfH+&mI?1t%rq-iQ1@N8LUg@A-4kvH(B;C4WTk)tyD)l zz>D)FFjJ%S^5m@*OcW~7&jIARL>rmOqLLd-CT^(HzoMq#x+OKHD5)Ui=%}csS#`a? z!Ty>9eH7-*ia!4TvGPkiC}Q&CZQ@?@@zs@P}kwbw>kFD42wI3#s&aashv;5_ZnnBDwO$I7e%RWtr61#!dcg#;Byf*v5c{Vd>&tYSH)Jx zFj7;=_?H;?Ymr;yNby_sJ=$5jisucYEEQs(>I6YpD=VuDu$qP+6z-tYKvd9 z3ha>O#$HRQAJ@KN>=)Gyq~?Izx$X{XuG%Dyjt3WdzdNM!OEcLoWd&naf@3IIMDf@c z4_uBA^o{!f6p;N0dy4{UCt%|a_{J7^N+3cRXLW5qA9AMl>Xa_}_L@A=LYUAIM17LI zs^3%OKm043ZoyLUzo7?|>B$ zgq`_#2+B$>0Xec8+D91F*E@yJ4F6eCl; ziwonpLAvDoha-hLd+CFsb!zxh&QIH>l#mapsWhM4X?7OpV;k+rmmoA!aF0|(Q969@ z*T>HrCXOVOV-S`QM(~r@yhhnVjh0b7h^~n@;9P8q+7!eo1`CcaG?Tl5EO@A8QKscQt0TG#-r)*4 z*wc~FUKvv!Rfdn~a;h)tL~Vo4P48u?Yg*75andCme~0vER2|^xpI+(j}w|^gYIZ-5Gn_4-RtE%t!Mj-2$}Tm9$#$Zpu(>Bd~1-` zgC|0!qaziXrl-)oraR%?Xoc21M2v9uba3ePpDA>ZHYGnAE?<)k$nL0hLbj^-BP zSls|`Zb zwH7D1kxp)tIy%}kQF>T`t|30TB#+sp=AVD4QfZHGe!L5>Da}-Mw3@D#X9q63eH&4% zf{OMgRNOdX;nI!tG1-dZEN%g&Xl9VXM2GGHXa+Q@yaW36mB~D73Q9$&ieqJBE@dGo zr9?8-ayi!*bUnpQO=*j-a`2I~qyPhf$G61uaa7T2+M@^Um@?S(-z29Dlv5qJE2W#g zhk9m42%=APlaE&&YwT|sY|p?3YYou(Hwrg+iP&Iq?!`ImmWjYTm%@gn0YcGJrp=<4 zdN<#{^t&uy^`(3Nbk3^Tpl?(RqUgxpV4XxgY7_EG!?SrfM9R>Qa5|>})ot6J$h0rtdZSP9ek8 z@O=@1yhbRr<))4Ap@2dm(|*u4D(3C&1h1-?#w(P|Na`A?b)w~6q$cOb-bomb?S*fw zU`G*=3jHKUz7#Rsh~!3O$)HUgw0M2fe2V(VRe%m0)jUPhG-E`2UKtR0;hh{Hq@;^P zpzIZ{41S4OYdF`>45hb3uvzD)!BvVEooRH_VuA~tl*cZikf&G) z$ZIgEl_PWPrgf6w#5!Cmd&BbS5BiIft)n>t?z7bHX7PEvQXBLO@RuB#_IPai-_J1J z@RP>bV0bIwPpwWEMc^Jo0YR!S=!B{G9mzpNeN*M!-PE#PTwwiG$Vwqfg>L12gd5^U zrYaTm=exXF1DvLmxZn87e`cYbj1K+#AQuvpg*9W72x;5;jaglFDo{Kw(R!^&Pd6+t zYg6qTKGNGH;0ePYcj+A-fEI)(BR+SGwxwFDh9VYtSLN)AXeb?RjTLJeDD7j+TRHO= zdR)8m%Y^a~?Q2WH>$f}!FcnO2Up2dKE)W+0upy6f`giqbks6gd(h3SlaH1Y%-<&1X zQO*#h6|S7wGwvv5n<77@RbGL0hK+>L+f~dzwp=0@GTq~ujV7!+zBZ=|rN!7QgC6Y0 zC*8_NtotTp5r7yvhrR&L5W)6M%~t8n-@K`94@ zjj)@(OY>E#$~<%X)axyqEKvMixAZB#E&=6uXI%5<+fd=*hp}CsNho-nXGT`QvpGS|D0?_vT5e2&vQb$%jU(pE_XWBT#&I;-oz|r)F||#``X0hS zY7NnOmy zE_6{WO35fLEy+$PoaF*~o!6`lkQPht?o6_kfeVdbQ1Z#wo{_$LS4-sC6H@pA+L_xs zOPs@%`8K=S3Sl0**05YI`&KUpSC8Z>lW5ZfN* zgm!qSpR(}u^YGQi)*Y500v;&f^Gk{&{BnIRZoh|rhB(AyF@4jK^e}Y#=z@nHSZWK z9r{)zkWAK%>nuvz)n}fFpJgyVtdeRSO($i0Ps|O_gFq*(3wJAbx$=54qCuZeRnepk zk#!r`Hw3O(I2T|+*HNGm{oJA=al-Q*FpX@Qw)5cjs;L8V$A)!;)fZp6V3Ak>S+HMD z-}s0yjee_V%J8Nnt+f*JMe4tN#stPLvPU?3= z)7W4>h2DHuMzJ#oYkTM`@F7uO75t=Ggav<3PqQsv|-p@=GOxXr3iNbc4Wrc|%OdeVthvXGbk!EqEP3!Za6 z69yb;GfT)@i^9d7hZbsikeKM=ow3bzfRsfTOxKibvBo(Qlhc8$BUJKGF=Z{)UoJu+~{HulDwH)#mU~!xsoo>l|o*38pQ_;x||70Ig(yZzR#-M z%7k;I!am-47U=?TusKx>sdOGH(%YeMv;?aNU0>HNV~6meFi|2GKy*OS-3l9m5=^7>cw{xjwIM`ZuUw?DI* zf2zj*9nb%c=l?mLXQpLlP-0}{VrJrEW%`>u-2QU(zep$kJrB3P82{Hi-1PJqj2QG8 z7-(5ojM->e*qAwJ^_UqMXpI?|8Ch7FnK%sC82%#oc-(u(2Bc)Afc1T*fx`R(g)SM0&QimSzTej%GI2^tOh^zo!5B&h@i~ z*U-tp$ex!-@aHech~Q@_FM*VqzP+Bk8v!FL9Rm?P9~1!(z5UOj3c{cHpm^wAxm>Mm zt&AM?etz)}e*Ip+Zv=iL@Ed{O2>eFiHv<271pbD2{!gY*{}NICp-KE@yz+nIc{a{J z)t3Fqy@mOgAfhwv!u+Sz{Uh94e(m(XB|QCmySH$# z{Be4}B*J_@Uw?WY`{ShkQ$;Bg0|WEF+E|&cpQ^1`V~-$ueTVMK#W$%$8 zHb3B5gS_F*pW)@%mEM`&rDk=|HotctjGvjADOET*+2HEH>&BHPWx`BepR6TSJ0?i+ zN()aYfh-&$vz%X^Y(*x~p5mxLxi?_hBl~&mo%KoM#(v#dCdl|o7Vp5ubZ$IOq-MF+ zQ&7m9PJ`CLp`qkUoASyNlNOp@#q@Vjv$Pvb*$!Rq(Ub8kBK~G71MVN5x?7VKEaM66 zo-&i$?Po}XYMi(CGFHJBY&GYGFVaDj0Yr@iSgm$ zpy79P6e;7rnRaZbCSWZ@>E9fq0LjFpqbsf=Dx7>XQq=Kyt*hdvKR@r^YGSYH6K|Lf zm|rFB+2o%2MX)$+diU-QzvU<3wM`!PymYv`ySFqi(KK;0dfDlF+52(ao(PA3OK!$7 zM1sJG9VDq1aa@+P8op2B52JOB$ElX>HKC6@EEP64Eh7IRNK@$>GRFl5|It3W?Ov1d z3e^rlMcXboS57~qYKoqg3t;$Jyegfbzg|^k2`VVgkocRd@_EEJHV-jKh?oR}ZVJ0< zfKyu?ObiQJui*h4=7lR5%(zp>Sbt8Gk%|#lGO!4;@29S#yH>Ht)#pa4hXH*v4E{o_ zmbdF5`V6Q3lp3TZv{pHWx1Bgp7p7p)OBR~(=ZLlzT*yjSvF9ZUboV2H+9Zu4c^-%h z99CVb?n8d8B&POC#x}a-tiBY|MA-%MBA3Dp(twQBhxmwu3kh`3%xM!aptV%> zIsULH&d5%yUoI|BzqB;KVx(84J#JUAF-V?Nj<)%11kR+dduJeAShcS`GVEMZ?6aim zk&+z!>*(UjY6Zy`ud?s_(UtQIzax>kPbJjI znc%E4y`*ljqQVZ`eS$FbE|cRO=rN!K&7!^^rXHqU#AwmE8cg8Q)QRvhTk>4^+@CAB z_1Vp>LmSFKBl_)IejG5Xa(2^HsjBD_T7(D zdmUO3nxHV0;fi?8o?H_!Hw+#dcIEJdT?^Ufk#@KlBOgSql8sWypid#LU} zC-CCuXjz9R`1h=-QcCKsPsar5cE&J^Qb(}v+{ zzl0gx>>#W2X@W|lHe)4lwoBw^PCI*PA(-nciE_nsrmEJkpfuh_72^ja;hEM#*MT~dqnJ1)zg)iKA>EU!wvJaZItXbY1% z4iDk8XxWcq7TkdF{W0@Pg?f66tA>n7NzEg%9KgfD5=ZZp+cAO{am%+)(>Zqq>)>oT z-KcYE-dR%KCFR9F&S0p?3xq~)7Vvqu1ORyLRo18`6Y9sYS$y6zxj6)uu{fV~$ptj= zsoF8@g?z|+Cesq*CSK;>d>dPUtZZUyPpsr&{J+K!;F(a~VZtO(=AWsV&m|d@RBR3m zfv;~$7Z7pYl!|yvr4w?ROjQ@q+zb0BR6@4EQ4 z|1d=4f!6PKSC)CEH^suIpBXZf=$H#B6k=jMADvb56570y%z*2b1a>_d^);b#J;w&T zn8!p-6m$A4+DnWw*GUcWoKrjNZNcI-P@(`&>@z^0_~PUKYQzUsg0;4#C%8;}JT{CO z-7d}vSB-VwQ*t2&XpL05JpVynnxgnagqBc#kxFi8$buo52Xg4`EfyAa$#zl7h3yiS z>s6-Jo8IcJIq9prD7{tR#aY1-`iUO1)#{ls*F~DytAbVQ4<7A_s&~6^LGi-AFVE9+ zzVu5<_y<969kfo5-a>DjF_D!7CqpUAoif~B?EJG{UqgAM4)IKxy~roH__Wizw5h#b zhpvgOG&^O8yIvE$=u4@Y(a>nBG7A6hDlJhLe}k`YY9w_cyQmpk(%(1Ui+dbw~<9n5fhFRWwV z@>yS~XjQvJzC}F-0+_d5=R9m;yLSnYhk|IJAFii&z*8o1Q@ELGG<+?mWyi1>XRMeM zZP+Saz?(1CQ1`OcSp_-HNRu5kQQxtFJ8bATHeiDwrz42UgNfr+Wm+I z00y9hh(P|U%8Ng><@n9i{$^_bH!3fF+Xnod7~X&Uv+3VyBmeDbek1T3f!_%HM&LIB zzY+MyBk(scwSOuJ|1(qjtK$DpOdsQ)7~MZHwJg7!0RA1OmgS#H(*KpIW%*T-{y)Lg z{+jupX~@4jQ~O^s3HY_w{|T;^jewKkpBQf?B^H8T?hOj`N=i%wzfMGf{`0?>1pHNJ z0QR4}Ez2)2^nc6S{yMk+oVR6V;QSMBJEt~ozuJt*x5p&wOPr#me<}3S8``4Ga>Rb2 zVZAob?!J0Lk0+Oe>n1NF$M7Z5MGTK5I=Kpa6Gn7_s-^imU6K@=4;Yq?_o20Ip=_g< z3#ZQQb})WnohX{&8l$ zep8R@m`}-O(RjCH2~?MW2ndfG$kJ$rMR+pBB5%1evPY7Z@oZ6LXmI`@#1E7e>#igb zJ+Jtn{W9gX%fP=mKtDlghEoVF;~tOT9}n5Ej9?xH;7_d2=^@LVl4*_BROY-Eel&`F zqK_aPxsfv$Dn2!%hp9X}N7f6TJ0oK*9~u8yNiEmp$sKd8lLh==Dqxoby%piXAV`O$lJqS$B4?~&Q zVtf&)-ghtc(tH>lp;y(O%5a_}zG#bW^ae!wQ@5pfw?OpB2@Ty)u09VUPC35eGB_=o zon@#W1N~Hh6U(Xiuv47Xaf7)1b`-!vfH4H5?U0Ygrxa&Psnss3H_XkeXaJQN14aOA zyT^N<8#}3E!D?{oOnUD4#iCs4?KqK1JsHwMDv(eKCN0!~Unz7?K5pK)JJ-?f-ip?cG)S_k=BHDdTcwoF)mj9Wn)3WKzeL76R<032^ z=hlVtDf1}UzN(NQED!~^UN6TB>WQ2*MhNRuc0+7}Nl}7jwMj&NQhJUK4>!M4Yks{3 z=GTy{h1XM!ubNg@3p^ukBs@z!n4XU`cF(zH&DZPtn(<`a2Ii(>ir3KqisxgtnO zor`yVF2eIcjm|c3Je(-BY)dz_XI1Rz+e^=tE7l9?03{@xOC(-_a_4Z*=2ca7@6Wl! zDrd#nJ=#0x=D z8g!BY`JWP^oImnc>@-2bINFs6CR2l~k<15f2FtZi%dLIi7x>}>SD+AwP$Rs4Vqwpkq6 zIeczeaSfcL4l=({=0Zx{^dtNrEywQF;w@Dz@H*A=%*U(g~AB|(=da9d` zB!_?yY5w!C)^Ib4Vgv(iMx(NJEo+yfVVb`FY^!LV+lx89`JSSI1w~jw`1eQ;udfvV zYt;6Lb?bgB#hD1qyFlPXlW8rIi1YHi>P0EZdvXMR7kNgVRA%8mQm70wNwRjekF!_u z-2iw}vReL%V0|U+lYKhrQ;%-}&F2I=Zc6=h(Yo6K9 zA+d0@gY`;oB>mj`&CuR`Jg7)MA>;A;nh@v}ZU2PTI7t z%)QD6>puDjo+Y39XKS!NIT*}({mjgXDwtEgJ21VmIuzo4qBZx#0$1mJx$|vr;>8{) zOuzDaI=UerR?oa_NPYou1sQuH71Ffd1Ui(@e^3?|zbwS|w~Frm!WPj3NBS)~aPHwy z4K@`5N839PfNw&fwVx!0!@kV7;IkKH;&Lzmj1HrZhXxbsNCJC0?LRx0S0+}t|S4AyGQ{PojnnH*-a9Zfc7w;-YPF6 z)?6a;R!p3q5wu{I$RvT2eSUCXQPgwp;GA-N=lq%dob{CCJg80qoL!EHunS2=*#amR zXPZ0bJ_ZnlRM9G0AhbT_DJCIFZU9pAn^iDOuetTEDzs+LyiL!t05k0#!`Vu#Et zp+Ev;b;NlisP58$dx4Ctly{630K~Qht+WlKI^rXN;kV^PFV1?(2sfRZ2tRR)lQi^u z%B9D33kr*~9>Vo%5~Ft%cd-Sk=x$)rn*Y&c)(X8kVOI5GpUH7vJ=Nx*tdYF1zc6%QqnhnJVpOAb*}W{B9fSXs=jsx? zFzXl{6^q60>2UX$0P7xXY7B`x&KVv{!2vl2g~~x z+G^%sy%_(Mk^Wbs-=B>bf7;0YdyM^GXscQN7H#!k8vQc{kwDc|Ak(e zEPw3%U-7cEu~)R!Gcfv}>6Q7{xOz^;KTh$lXsmyo*gr4q-|vvg#LDq!u0BC^B@Vj@ z(d$5E({7M0xEVOUR+F@%vIcb&Eu$IfKrb;>i*ak5q`>rs@F~huU&h5CD8D{zv_V;t zg)Z{k-~W7Y4DUR8G|k#hNynQvZR6~DsqEajn~{~RG{JMH*4ex>)6|JOg-!jWd_No#3vu)hb@kobyEN}zWR?4~ zS=n1{=HQ?b_EATLG%|Gq&Gz`0uMa2Zs9?Cg8kP2QzmHg`CsYS>$Gq zL_!@Ud?A;9%HxI~CN#xdU#d>btUs}uNb-GAkwqRe+$_qIO_Jck*IfP@Sz?16xvF6I(f*$%x_^eF`l$F{XBbldgXj&y=D_- zet-QvaDifbx(Ycj(R_Pl(a@-#e0vdQu($+pFmd6154r)+rIsBw8^X%8JQeL{hfJIFf1=dQ~7$yXQ5^2OPShg=dxce621A{DtjqNzR6O!<>Bum(dc^xPtdA|dke*5$Mo;RZ{lzRL7hs6K7&Oi9lDQ(LllH{qNMqA1um%ZOT9RdBm#IMrGYEm} z*XWyW2QcV>z;4*i*+a8D+mP{V;xt$x71q;c^&I8nQkXpw@Twi98tNkw)*Gq`VY_l3 z4{{EIsrq5gHeLnwkXj;-W&&6oF%aZCP#!8JhDnJ=IyjV*V*{MYY*V85nEt(6u>fRAVm;Ftp|8Mp?Dv0AJWFi;9YZ3s5**=@1GC6kXSX(Pw#gEL} zJJc22hP(UJ^-Dec1+>rrs&0}E?g$UaeGEDMI)7sQyQTjMlLqzWw)vKv>qtObR| zCaKWlQPZ+Q@U)e4e7FA6N6p(R#3|_F}V%-wgd7?~j zu!74ieg?8(o@ zTa%@0t|5=gq%BE@63-g!YCnS|$}pg6{nM}#otmnB4UjSt?DUgu6AqHoH%JXMwptW~ zba9tb?o;;!FUto<2Am!&bSCs0!DI!kWkzzFSFMEEM z0uNDbD#iKBr5CCkx37JD(4V=x<#)5f%%|FDJs*U_q*J<*o;X;QT!$@-r{S1tkKs8+ zuv%c8h#D>FRBzLaXepe;H-F|zUO~Cu9bR!MU)-?Z=hd0Yqx_aqxClW=a}?nDpQb8Y zbP0&mOWy%BX>+04J$b#4Xi6m$tg^|DWsr$;HNKgb7@KiH@$4XNi`+4z_9jAoL1_p& z&lUs>AIpewD-HRAAkr{D|0>Fc!X7MLptGS4Xz9`2wW|3BEB03Dz*p)WXd;Ko z#(*ifZ|b##~xf`=MJDNlB74&1xYH9i2^jQePY%2Xv{H!OCp zge2~~b*l20ht(cW`dtCL9dC_i&qpmyeM##(O;v9^4gjXL7qs)Y!=%Bu9@m-ozb@C$ z7#t;nk4)3+5WdXa16oyzI@QDFnBkQpTd4QY0BAKX+4-O*D(-=2-07wAa7RI_(;m(+ z-NFUcoU@uL#hWcH=x ziZLe>(t5`Zs%CEVq>cD)M+ScaZUzP~kfFEI@+x@YHmhc}UoqQjUS4@x5#g<$4>#P4 zV$}^eUwB&yP|NF~Z&szj4DyLwWuvP!9#|W`sb{jtm21S(!86tf`l&RlleAX}5nn{q%-^ z7B?Z|4v}(>!o*ou1DsQ^N$IQ8KreJA5mel!Xx zk&qarebxpWz5Y%oBZBdQPcJnCG-6j~PqDfk^`X6nesL?xvPJa9m+6TG5Ob3fXn@1v z%-wq8#hVasYjaarfA7`Bb#Mpp5R?0^dF}LMu_mDW$=zoOu|DJz43z)$G_TJhx9JT}Y1YVb`FlzpTH& zuWHk=Vw6pL~HI*+G7U)x|}w9ka-vj8G5&^QAQY{#}C1}Yg4cxjpO>+7J+HPb5D zb0eC%P}cQ#4!lEwD;C1y7@}xyI2Z~eIy7(>YpintwUZ;}6qWUpcr&)3X|@Y>F0Q1U zpw8p^ThM9D_9$@B>-ni3*F%~tKCQGg%TW-e9*i1}Hx8Lgx*4h`u#x%@OhW}W;Z`zq z9aW=6?wODzK9LfSLDqAeasi2`zTkn2>w;>|F>HIH}@}X^S_$= z|E<>lJCWr7c(&v3w2}YzG`|t}jlgdNek1T3f!_%H;}Q59xc|Sd)@S*@)cSw2J^zzh zpY=ad>$CoaTA%fAQS1LD_s{gVasR*W^*_b^|BHT~osN@|JU*Tr-^`{c>vZwiT3L?ByD!Y;yPbcNcNd_1#(k7 z9T+jXjoR88ihm@m9b!73`Tp$Qr-f8PNZIDKlEruRorF?Z`2q(GRCUb7P}r~^Jyz`p z`xn8MQ%8ODWyJ??ybo;1kN^OB*<`R+A2omB0<+yf%vnI_U|}Ey z1O`y}=!@0i(R7AK%E;A4$gjctWheOG(sVUKlvk17;pWBU@VZ|oj%^(c=$+MFAidjs zjVZC-m3d)`v+ERlheF%t`lwNqW@nmn=%{l)-mjp}%`8d+?Gr)ZVPb#| zi{rOI^p30zZP=3kaItdZQX0>$+*k`@l=3~krpHh!D^v1dq}dVWaEkHOoKqOego}yN zdC$d4rVFnJUkSTegq~B7ScIwgXl;F0IunG=DqC^haK6jIYHd}*9rN;9Aum1kwVj+K zmiCNL;FtG;1lF@@Ca<_&m9!@$RNnI~g;yEve%R(wC{9y9E5K3U%(ve>;z+z^g6M!4 zR(E$x{zQ5GD%D4C%c+vRSyVItPS^W75j^Wm6e?&?B!Db};00c@h;;<|zbJ?PsIqOVLb&SA`#d2Jw3L&$Km zR3I0u?oMPmU`^IbBtzhslzkRKJj22eQEpfw#enH-=9aV50Yr2bd%8hhx33{-BH|u^ z)!y(~SY5u+mw~Tv0+VCp(-8b5MLb`MIr-kG1tUNBP#vINhUJ#s&}8}}>?Os*nlVsj z8DfY~t`U+5r+vj(XJAqzUw}Rb$)$Ou6#B{y!U}K#VG2@CD@n|XQ-WW+(j+#(o3IS+ z(}b23$Epy-Q8b7gX8J6_SPp#E7How8Ba^WLJ%dalc7oJZ)&>EI1PZkOzUQNXOc!|k z^nKPX%yZm+yFClxgjgg!Xp*5WE0q&pW5OSytH*sqQc&La&a6~);Y9-EqA%5XK^1d6 zZ%u48GfbSQqBtv67&&&ZZ@NEzbFbu*9l)Oq$vNkNG+)i`ihi-$WY_34-hGIq zk%LT7gfbP2evU>#I=#={@Vf_9FyXfrhyF-CKH4jBoKZE23g3Bf3eYDdZX(sSMDb=o zYi0Mo!cRm{sMNd2S$+44T$X%c3pcaL6R8*Rj`}DduV5p>JP#MvTNfJxbKz}sH8ppy zq}pWtLcCno$v-9|H-boqEzI#XSr*|K&<`P2tN|vAo=bUhl7P|K@2s02@A_&?5oFri zwALuIV_gNcCT}raN)UZS_>5+*=QxL;BZ*NYc4T0&unr5_Mthh6T0Cez;Js#)!*1z z4o(l+nOD%q;n}fpNGyZUR-J+oO(N^gDi(>gF-~NodT5>^Np$;aN_6YwJg>4 z=dNORYWU(LbYdp#-j0Gpr$&V$09x0>Wf1@dr zF~C$jp#BiqVk9-7TznX?2}NnTyhi=2ISE)+^>)VR`-0?wpp|Q(^`cr3qpw4y88tU> zkc+a)d}PtrPqdH%z&%YI^z+QNbe|(S1(ults?FgWJm#%kK?N|xf%acka1K-S6}A$T zl822%0G_%PYQ90*SC-?CK|=K^h6tlUh&6}7$CTt0v5<|- zFu0tzIAcFj1->SQy1Z0uQp>3_WE*k-t8;^aNJ2o8LI6 zafg0H{=~evRcNhxyp-Lrb7BtBAl*?()anrnO2;Fg7f3#LA$0cB&RWBy?)lV|?=@r= z5DX^5-h}i-U{Kz!Vj_>3=IHnEdyRw|ELBL~%_~P{a8*(6c`;eMl``@#Z+b((=7dmv z@%{Ly!MHmLK$?kIPhq?%9J+LNkm6EPp11>ql}mX5p#zz3(u%ZG3GKs|9jx99N02H3 ze$18miBZF@ctBERQqfr2v4HNB&=2OL|lr{2k8|nl&9GnY3M1Y(r`63`YRX znRH|y^$?-EofBOpeV>e72`A&^9HS&4iX^XEmy`%Jry=JJYne^PBP0XtFqtaP4AFyV zg@>1NpvEb6^}}}T=+}o7%Yu}u4gr`)5Ql+zYYNbLc+XOxb-(p|1%$$AehpL$ye#wM zMeXw*Cs@Qcc0!1L%kBam+QwNUE zXF$#+%1ihv_Ia|h=&P+ko_9`Puae~sbtQI~`-Vex&*2b3UZHg(%}!E=YitHftVNQ9 zKkqQG8n|r0cnWq8eF#_MO6h!CX^S!08A7YTh+nN2>xL&<*!%BnKpfctv za-+=fekBs`Ki6i(QB+5Qy><&qpxn`;S)9IIJZgrUB`^48_oO?XRYL^MNEj@UxPEgf zrQJ3YbjZNMh=|TP2~|aihpk!^hvPHP_$u*so*GCfnII9KRnX$0K@L^+Ty75ww5SNy z>|P2i@#UDj>b2&B&xPD8+_vPSZwAp8&L&6{2u}*7ED&BOHP~?{UGqw77JyLxPBhIA z$vY4drBgtIOa}`%!ef>`xM|rMrB5XKSgT^Mtj&&whn*RVY@8@e7vT-oAe+xU!0kSn zo+O4rH5l5E%5T{+?Lhi6E5S+hyDU&*UtdRmfXcI{CAK*0+My6{Oiyrb{ur=-$PdhC zECfG$jg$rbKxO|~HR`jNR+nszM=1s0Qtt@%{PAVeWR{Gle zl=!p3Rt9((AS0V?XC$n^VxJilbme3O0+Qn(oy9jHXz0|^+`WbC9Yn;k$h&?({E#t3 z-K;A;Bu6X)t_n<6cH&n+kM1Jx9}0Wn;dJdO0r`5#pNSjnE(BDgU48>f8%a!S_D{1<1^ps&d{lK#~GO)UnqrmFW z?yOkEUZxDB$(y;beNH;exZOrXKj_)L=Iv7tI~CP0wH601wsanV437Y13WR(s9Ehf@ z>Ypw3ou)rxq|&vLf+P1`tD_(q_o09Wj8!RloQ9*tID21A$#kpB^+({cGxJ^GVLYni zxHgav@X3DC_iTq;%2<|lU3Ndrk&vm7?2)S0dvR@BG&F}9)bs@1BH`-}Hm?0$h5!-* zQWggv63RV!@lVd%^OK5UgyXj)4s&eSG$UG=liJ7SUu=b5zP&d9Ak*QMsnuZKS1C53 zs*l4nw|QJ9iV`4vHTS87n4(ngrEon7qmv{YwvE04Ik2-u$K`Ddjn1n$`Wt?~vwRVA*w@u1utuqYZ}ffFv$ zb$=0g5}#K)_)MH~PlR+)n0;{;V-dJ$_Z>XsQcn3u$8~ITnDTFC6^d~X6Yi8kSyD8I2^4XdU~0V_RIPCXZLDPLmX7S<{PgAc z1)mjVA`5r+3dYHl>ulYy(D9*ag3t}bAUQu5EuzfDN?2f%@ps_$q|lIU)xywnGpN=X zNxaiBBokTGXBmMRCpo1Pa@@uXbTI>N20%Uuj|@lIe)it5K58mlSuX9W-qX*TlzPG! zJc4fe7_D4a39Cz|)e_bR8!(QxI(8_|FEKW)W_4Nj!`|gH$VqkXhw!Lm@#W_f83bkn z&9F^Q;UJ4H&2N%aQ2I%)bAU{5!M7mAeSe!H;8wpe_S%>Ci5Jg0akJNIL_jF}Usn;AF;(Pp^-jK%rrV_BO zk!yCNWv?{N-uve-)DqpW9s}lMf}S745g$Vse@}V%6OsccKPV-L^24>NFzSiP2teYz zyRfkE=z~-i&bwYr^ffg8KAvKelA=Z(c^7EL^8qDQqO0P5s>td$R#nx}wSi8eh)S5+ zwM%jR2;4o8D^ClarrCl$ad1~m{Egbk>NUd}FDp$hP0I6rY>onX@GNYt2oSCt-;27X#mNO?taoZ@u8BX-wYFJY#-ewc91x>2J0pB59 zQWfFk$t%93kG8xd&$T5PpBpq@_X2xpNti>>x|ll_7MXh_}Xd6MA+D#dxWvwGV6*-ebg8XkBhODLK|`76<-QEJ6VIV!D%dH zk}5i@gcMCHe*GnjsA&H!OZ?*Hb7(ostV*m%tQM3}DRcSMl!mcUFd-ZWewQMxl~-zV zHC4>AL8#x3!4h)-JE0F`j@7&RCuq+Pru{`wbr~?a1l@--8jvL+nsScA#pD1H94X{} z^voWW)_=3sM^Ni?%!f*0%$p+6Vfe(nc zOSl}^-Axq+eyV;ReFfc|ct!vlggJ9y4l2EXDr|tE_8Qj6V~-L+%+3n5llOAtEQP&` zezy22u=G8a`Qs`lRjgwuyhZTuWt4)P!ve%Ur*^}f&B6UlAAn|@sYpP2f@ z>wC3}l9jngNwkSAPWj3YNo*6xSd}uo_hoxAn7^dE%HW60d_t_{2&VoG-0*%GNwm=% z3XB!$?2fN4E1&(3ry7iX6F^Uyvd8jjw5bEZv2dQf*peT|92pYDyeUm=(<-ZJfV!gF z8D5$~q|qB5@BD$F>;Q%y>lP#B^*=FasLS(&u`OZ|52sCn z+Id>PRx)T(BwJnUlIcl;pQ}octsiPQrX>l}6ee#G=`sY{tjTQH;FT`nTI*e48w8)% zkB>@Edx++^{ek`&lLy(9KH6QFAQ~JhT`U&IvK=q5z37PnO<)^FRl^-0p1HP|ejne~V z95|~p%)R_;mxti#W_Oq5cl-N#q|ENugUN5nw+2bPbT2n&vd|uYImie8Fx#nF=R;G+ z@A#h%-#qRoqOB36@Y;}aOd5B2vTm>5XWQm?cHT1FZ)7!zYInW*ITa6fR_4#f)0!TR z&myt)*N@OGhpM5ndZG}HG705Gatj5|#=C{^<7}Ox0=G45_KSa_k-)tJOtG4y? z^}3euF;;zhIot^gdk)b)M@-M>?(&!vQOYq18@|_GUbgTil5u^C;Jr`wJcKA-?3Y(S z>HbmFJm=H7TP8_KZrX!YU6mW_NWTbXkpjNq#S@j9`$-=$zbrwp^fjBVnm_sC$i?<_ zmjO8XEz-y{rCo)!?PY`GglpkbTXn}F(S+Z-HLPY7gvCEYo>=~Mx%0bM5A$EDB>q}t z@#m!af2`LSnwVMG@{pakcaz~;81s;+vB=QN*bAAMTZnr)nkae7DjRuP8F3hs@x5E? z{OPQN?`~sl>%{5)Zrk(Il!x>N$-S!wBMjOgEW zfY>-_nc3($3{4rS@fqnE8R+O)=osl~=;=9`*g4-teg1e5{&e;E!_?=GcYAN~5SlwX z+jG*AF8(?R z1+1O_Fq8V#w6^$pN^)8o*qZSWy3-h&m>RfPI}`GXaB2%0*gCkFXe*o8*jv9BmeYv2 zSQwjFTiBX7X^T1<*qGQDSeZC#i^|Z*Tf3OiSlBwzC@Ire+nL$Xynh+!wUw0hem3-e zHuMawT}CfJ1om|cS;(cXp@yBp~Ibr>Eyxequ zdoX{A6gF`(aD5tHPS*<_k~t9V|2&9fx+)(XK8b# zj-wrmS7xt&(wO1LY|gsgo!l2&+zJoPoDRIqOc=1VTGI=6MKTuy#TSPHawN6Xx(P(R z&+E{pWThUQha?u3Sr}2W)8bA{51vsxHXT@4RP=53L_`#UqZKF zWQH$}v{s$gHFJ_?fYT*#V2t-FCobx$KQe5EDf(B;-osh=g?puXleTZr>(}TFJ}(X6 z*xn1RQZc9=sq$LSo?Yk#;mK8jk>Lv*Nk`ymr42l`RmmhIyXd5;I9<8t6DM3&h$k+e zMnkz|DXNK=J6FyUj|W!>D;ANnB^C0@eJG{myuQlVd1`W80PGzHKempFz8X1VcG~Db z7wj&q;(4KRcAc*7>7jjMTs7>*;%(QCYN|KwVlZp=(JL$^7=3(>U_o+9m={sR$S@_Q ztO|@u&7MRG2YWB>=9|F&s%XLrNO8p}mw8Ab+>g$4Tn)vf8AKS-Xc7}e{pU*|z+9m;wg-$GXsxS-{F;gQHsu>PZlWZ-!uBST4+oaAU*(;Q4nzKjUfC^i+ zk%xWGFnWy!P@TQE_fl2GkjEyT)Fk^lujgllcg+yXAugz`jG3VFRl-@CDob^B9icz5 z&F6Z+0n5y$jLh$80P6_)A7%=_;4F}&gr~MdLS(fh1!RIuAPQlt29vS}KLQoDV5!q+ z#^wLG{66JX;VKb9;WEkn&0$%Hn1+dwh}wYCIuGAJonGp|Us^rOeX0SFh5)0JbCeqC zlerdSCPJ^JA(($1)UHLT6ey``kX`mY1q~pHD-r+_lyx=*`)MErQj&2q1pQhNY{w8a z}^#)b*(CKjdRmlrm&tKb>@?r`9l_;x2e)|p1QzMk1U{l+j;c81`h`OhA8 z>v88KbhX!V)>)7Emg;p0?Bt*nU$b(?9!r#E8NhIdi!U-wt1(!8St|T{#TidBNLA)_ z0T3(RrpkX{4Ukl5F-I}EH_*)vu}{flptq3N+HM_`hhro2yT72UC5n+EOW8jFP9eo> zMP;{^0y9D>gzu6Qhjxev#%varXTGlk;D*|}d42G{x%<>FBjg4~#TemF$ce|7h#s06 zga@^wAo{w$^%6l=0cQ>iA~~E*P9w?Gk_3+*tVXZmo#51o*X-0LB6ks%PK3$738ILY zsH4*FsfZ3D5WSZ;X)In}>>#PUW!leURfK#`90mC$2(~AlKjOw+%Z2ukyp24cu}R@P zPor;25XF9lco+$(di z)_Fd%ns#E?HUV8Igq*c$r25gSeXNa%W{v6uErzLLddU$c?j9C1z`3lJQgYSwULJI( zb_Rjr)j?YEzIog0L;b21v}($ZCuF1)5$@v*<7muHp@IvcCZ>Iw6~WAMoWd4Wn2^2IKIe(u zEv9KU92Si(rhuJEijV~l3ufyXz1i54xoj{5r&9PAW#j!0Z8NbM0d1&Z4`6iY&+%Mr za6wwtjxR#cm?>$3KOXE|g^bSLkyktnFhh=0V^elFZY5FL#G z(-6!Wz$|hS^d!$RrR`oxo!CB+s#k2{XR$lueW_!O{Wwh`2^sIT&?xnYbESzYjFWm$ z6=ogmM{(RUoF&PaeAF{r2KGfrcB?D=tBg@!#y3jkJNf#TxCsa~<@R|zM76D{9+l-m z0^p=oIGVlFn1C4cZ<0|&5vBMHZL*+%gf*5ZlkxeD>Egs-k~C~O<1%YmVzKIwiy9Pi zvkNbMqHu4nUlSRc$Z*I6>H|3{=Q45Q&>bYY#0;=TwnM1Z%2C)kHKVXzv?|jPP$0zL ztmZ>E^2>dHG(XTQ+J`&0VHNj|c{N=PI181W%h!gF$EK~-cEdTgT=wk|7a8{80;K3o zDnnw{=FHK<-J>;!L70L=JmtE3pehIZfP2N@OURr071lJNNa}dpe|nyJ^%{a!Hsk{R z9J}-`;3?BOhwN04J5)#Gpvj@DvmIBp%k(uULlU}mb4u4JpdUbJApgki-QR3 z0#s5DItp?t{HEd6-aerNAKTZ~b#tSM0>+1YAEMyu=l;aUB8|$XaTpMfE)AL@B^*6a zfw#v+xZ#8oa=;H=m*SUw2ia6^0rnd6m%AY&hM7c@A8BcyO?i^OEICS|#-+=de$H}c zdz#m>ICqB1xQa~uUYXiK8xJR7r|}>Rq5Omhi)l>(FMeWvA-E4)Oj#>O+|`NSjcsf? z<9=26wG(3uAdSIdQZF3^qKmbA#$<8WdDs66#wnEg>072pt(l}W7LFEE&Nrg!=1=rJ z8u$hej4EW7j1JuUCl3?5EUo^Oo-G|Hb#kUh^+n%Fu*Qf&sjKGL{08&q)=G%rwB_|g ze5(p?_T#+5LaU+O7je#JvT%?$u4c5$k%T00;LaHghImg`Eg)B;7uAVK#WS7S zQzor3?}v&@L}i)gv*>2F9tVMnO+Ed2nrst;c2~UU!-I75nbc}i#`E#+=a^?XxN|tx zzFY(vZxYziO`ZF`olO#^+XUNV4 z|Ir1ZS44de!*E4Aa-9})*>R}sIjPRF!yuheBgVU3Iu(<-&0*CMg@bQ#jqjMw{ewQM`YX2>}^b1XAfiZKa|0VGh zYL@es_;TFJbPNrb7@$&7`gO?zC^cTeCnMB?8B~c0Z( z$*9$~d3#nmjvLq>3;#@hHd(WBslJ;TL9J_@O?44J2x**S3K@1YHMFlV$l0?W_QoKc zG3Rt5)m@5(Nco*@O`sE(APyHUo3fa}bsZE= z=d)#URW>7{nqf=y-P3`jONHonB75ZB0MLd_g6E4aUM7Zt*jW`fkyuC^T~_?hc94;}# zpRk{Y)!}J;4st{IVD=IIi=#Y~?E(>kA|${dF3J@n{CX7YRCJop8JlgLhpJMM+W>i(SpRi_6bs9)dr0>r{Pa&-&MVzD|B9pO@pAA+9Y_-YRiZVBpd%QSTg&eoRcI8|g%Bz_WYk0w?VVw>G+4(tZ zwPXbON@Ip9IUioUDMDSuWHximCk0mzd|Be$#86`P=Ei-(gj3vVBi=X&(Z;?UG)PX& z3+1+SNz#b}S5hdSYy8}J`8zlvx^5Q3e3lw#2N;2~x$J&yAJaF-%Vf+O_&F~cbk4c- z?hk5BP84}^ds_m>7aY0P{ob{6FP0A?rg9W{7Q(h!i)2GiO!Cpzs!X0k__&hboxO`Q zF{R{ex}KDzQCdhWzT*+2q=>xeaytbnEI)1FxG1gip+9_>D+ly5 zado{3c^KYetC8)3rHfmi{-l}Bhb`)uK0hJ!M*Zz;z4}lAuQy%hys@n@y1+W;S7BWT0VVWip^) zW@mff-_(GEhJ}&dfQ^}v!HB`o^uGmtV0lL$=zg;R|M>#@@AaSG8Tg%n-x>Iwf!`VU zoq>Oc1^DM`FD(D4_QJ;cQ`hB><@UdU0a*W{=%ValZ$c+;U}i$6XyRn&;%H>z^u8j^ z`b*x0?oV@8)?b1n|Ajd#8|yC);olQ?`PK9P6b$fxChqd1XzT zaTifGElE!7iIi&fwO@F!EtGUFLnW+Snf zL3c$IyH4|EX%uQ2?mqYJv3uY1ZOfhw*GszJTo}DpQxfk7 z^EJ_A8Z~~L+R+t%GD~D*)1+}Vqcby0T$&)SG`%-#joj3$k~ZvD-c>lzHlDY3K`k9z zVviyO?%#XaojbX&*9$s~X7x-e%!6`f#vC@lErHurW5Fadkd)zM;)Ju&H#%EDg31!$ z4t#UI;j8c70nEh4pFrXT_U$PnRj@b`%(&#xH^O=*V(%AgN$bQK%==LBNZ@j@3v>>Fm2Ha!{xwc(?>mN^v#%c5LD&}t-+D(8GHgeI(l9c9B(WhDsj`Q5qPyU%yJRn zBv9s6yu33#tCxYOK=Gk~2?aA_zZFs^eLt;iy#AS~73{v97}AO9B8 zlWz7c^2f{(TUE8=^R`{Q&oHvF#S4^E{p_RWXi!<#D2>%$hDafIMkt6ARiy%GdPDV* zL>)-!<7gZBw;fV@PZ7puLEb`Zs)0274~c*iS+preEjSwh9!o*V2v3-MBMyVyE9z~f z(tGq0LtA%9>c1go0xoewGdYW@UDMQ*hH^a7gZ2zuU_jF(hb(U+Dx?lE*gzKdqoR)# zhgxm(AR6n2u!rv;pJSMCOipQU3m9L{nZxy2e>07BERrokBSkOlWik;BfOxw+_GiXqgF@!G$*-KHqWB^6 z=>7p8sLw#OU3fky1_OUJ@S+id%;EcCDIsKx1rGtKqh68**e4Lu!ac&h zIr1Q@kbx?MqcDe5t$uZpLQVZs^!sW!6^=O0t0X|^TJf?W)|u@_=$ zX9}gc@&NM^`Q&hNLa}WLa;E)sr;ErY99@W%~^knsyAH zI}pWr!)3Ud4VuNIxe?V|qS%5p(iSm~krC`{+b({b#vkedwOUNPVRJVFDw?VgkUZIj z;fEqMIg&KaDq*sBf1?X_Z+^8|-?C<>|YzrnOu%>fj&G2`e8>_4*}xxCAig z>}~wah|{q-V3O_|5${lXD8?17mf-TEZH^%#%vi8YdaeW*fZCC!d6?H}!L0)aK(@c9 zIbGC=k{|VQ-SoO=G98GN1`@D?+wk4BBMPi!)h|>^cglsKH`m~Qk^AOVK#L{jIaM$Vv95C!EZGOy|<<;AX(= zp0Yrxg&5WYsH&!u>|u*T&474bglhTr5R}$KBM`09YLv;F#DgJ_2$iFJ)HHTvX`y^l z{bF?WP&K5~z|(LYCfg=x@qNs0T~EiYiLEDyo~woKZd|mI)h)YlPab4I?0Rg8i2;m>F)n);=ZA9(04N;&NB(;r7652V{GQOECZ1vDpf>!<6!W3&Z|Gze~u z5oW?fYB~zk&kw!KPc*#QnZpiN19Y^vo8UD@#LN!CB{#aT#g3k|$8KvL6*c@3s|2|Y zHU$N;!jwTKCvG3_aN&~? z94OHv5gf_UcPU;_wLP%HB!}}5=D9T~91iAv%ePIQcu=oCiCon|yzP$_10fm_UjVV= zplBz*2Rg|S$wFpGNEaUBClt9gtJG(l2)2I6wkW~s7~UK(a&K-mVgYHH>Gc5>*FA4F zaT)iMx;zlkTT8@MZqA=RD{Cuff>QRAQmHaoIu#uXkR$m%9t-jTD7(ZiF$O0?wFCRm zP|5)oa0cSlVrwC1aaBD)bq%op%-;aYRx<&;Y=wt&d}l8Y8!FrQULeju6LZKr6!ds@ z5(1?k7}%c$i5dj`TzEG{+5r8Iq9wcY@uh#VwsQ`iH~XrIlks%;mO0DebjkU+tRP1% z7td?l5~SfnWY*Z!yjMP8YI?-Y4Eq8be{_3-*7<8q^J&}~5HLnjxepMUuNecZqL@7uvP5`g9rmD-Vr@pFowDbYJFe6$bQPNjJUDM1jG@07jCF!s z&q2W!7NB|O`j(^aKMd+OxYCIOkK{S|UEhDQhT#XMhv{`D>x^>^AE>b7_mBuH--|dQA0kkjcj)s6Sr6{WFfE~ zhCr4+l&XoIr=X`O#egSZ50d^6gE`MrnWD%`p!l$;-*cE0L_Ug5sgoHSZ=|E$g<}wZ zig$AUAec3)&gIBzg%o;F;f}CCY@>gPYoJ6=)ycC{(VTapG_{|zzlmJbYexG0Mapj& z`pmwez$%_VSV$^o+awIv$=qvsZ)7MTORR3t+Ds(A)G3@U0lJHy9r|JbTbgUUbkRlE zRt>a&Q$wN!%dMce78{LC>r~CMt2%<3Wd_DdZ!_xruKaYCl-~CBf|Y{GRqK&|amZ)h zAGtQ0Ffa$MMBBezzW9V+I7mmSiuf9G&d}yHTmGT`yV@t4NZ@+UVQJ$X^0~1z_J$cJ zZe%$35kk!XG-y=guGlbZuy9#VuWVDI`IUJKYM>j})1p?23BN$Er&8kmQ@w52L%MI} z1~Rb(u=em5Uv4qGhpxe2ln}siI(#UNU8Cox?^o-d)e|x_)K8)}gw~eYZW`k@+MNQUTc0vih_+mq82Bsh3n=Tp1A>9#uqCb`}{{G5Yo_3Kc zeArru-(OT*t3_}JQP9B<{MszlAZU|~-mMft%_k4%?q-dzdAMflTb%vwj6Re^?ZSqf zv4C7pJ6{RMB|&VC`@rqO0G?C39I(tgw%&${16=FF(1LB=ID3Y|v4pYykwsZz8-(*x zyJd8l9)n)#xveMs_}bEis_F48W{pWbpZ0uQGkx(|k@nW5-a1l*!U3}yvKS$y5}VFT*~BC$ ze-yWQ&phQ$z3Rabpo?`l?HM9?7nXMK`+Tj^$e=;rLp0JK!lZL0#_r#5V}XG*DIZqO z_lJolg}+Oc@(Zo+5RB1qoZ7jJr-MoD-07))9humZK3YwDiqqq9AI<)1opqNGTPl?U z+}99I2P1jYv?IbZTCRI>FOYJfEX}1X4gT|4_~U1Ki>h#g2>Y6)ofK<1{j2YxHMa`Xh(}kBu8m!CDF<; zJfbU#9rws;SQhMzC=#i+MBi~;C_)(c%eMOQOx^hgig2=w1|jmZ0cjE?uA)zZO>Cng zur#vhxW@Wjlf&F{mMjxAFhD<{=8UW6-?+AGOdCxh7C7@+qjqi8?8VHosUdNkB_%)@3|5yOSQREITIr$@Wd*>`S}bcdxa&jYe#Q(}=hEBDMF z7So5b@G~hH&8cQIgp|1M8;#p|EIpz@^CUxei#2EklbCUIZtI?EtNN)g*pev>HP%2_~qxjB9rSfCio!ad-J_ za`DgvM78~3vV7f}`{hZHX)dwuD%)i>We(o2JU$|D2~Nqb+QnY1to|wlS_W(tv^d8r zmHw=IlcNlou%D>CzGG~bc+;h!7PUaXBk$p+Z9ad^x#;Y>H~nbv;U^ya?+)l;(71un z))UpedOcbNVP4yWc1I`=UC{ou8Fs2GJS9bN!>#0EF`sKaGSE3M`0D2e=VNv1&34dH z07;pBcuV>f4lv-?pYFEB^Xc%^5kKB;Uql6ezpKi$Yq99oi8L=bo*E4@q)OMP)rp3# znpbl!skP8+0#&E(G_*r5y|prc>E1i|P=>YU=dx|Gv>VodvWK)+;S62G>p)>??3`21 zM$&!Q%SwGJ07p9O@F3;kMLe#ryJ{u4?4kb683#LL$5Yhr@L`|N>EjM3v!}q&b?C4;d$%G20{adot@6t>b`YJ zlSX9^%A@Z)BbJ`~fgy`U@C~1Aw!$D$GH_IR&e@O)MUt|-*=lsdd(z6JC;Bx?DdpDqIj2noD00t8|s1$rx5k_ z^=DA;+~c5$5Xv9qsw+aKVBp>fWas?dbb~1Gr`s6 zpo>cP1>uSbA3CAyV!AbBqWb1MR2=G_o>Q`(a}Io_tQKI-KWAo;Mk3T)(77Yhx(*@Z zC>({+=W}B^j*XM_q6I(@U6CGu=;j+VZ9k*0@C_dGk*DC1B)vGRW_zf^FEsOw^0yVh zNGG}AVNbhoTn17O?~lV$WG9-!jsmbd+&KGEr`57wjHLPHsrHIFLSj8I_H*l5-w0(V zWZEjY;0vXyz#RnmK({a+@OQM*({2m=urVxc9jh=DzU878wr`&^Tm0tRy*0G_Nr#~z z1n#sYxc!VrGpO}`zOB9tu2F4wYf`ef!E1k!TJZLSPDBRePV)1Y;H5lY-?$VZFc7~1 z2raILR(@EcL!~gwwzOHY8Yt`V?f~Ma0N|I#Wd*rG<<$zY+Lt>5_guNdO$C_ZE>?4W zhT?CP9;OVh@N@oE&N#&_&rkZA`Vf`@TL!F)BlbTS4CfRTQT*hsTp+7x*;}=Rula-r zbC6_Wd>@~i+*ePq-sbJLr+X}-Vwql0N+kgtYIpP!EQREfY8IbzQb`}mX%A%+_uGu7 z8QSVuU%MLHxz8#UThMv&#Cu*3en6ynHolCZcs1&!wYjhCc)0KXK+^}X7#f-WXS@yT z-;|>%xfnYCoRC+Sk*1S2u{Cox$7ketSMm5YOaE7H;?D`*|0o>%Ej99oYKo2hpE@SC zPPD%iRA`OtZ0Ot#?CIWRDCmAc9e9hTot@s_f#L7s?f*trezCEKL83w=v~lW@KYyVtbcQF*c=PHle4dF<@aarD0?E3GkR0 za4@s|=579SNanxsmEUWuzccVV1HUuyI|IKn@H+$l25<8V%J>7o`0JJUPgdiXY{uVu z1+o4V&iE52v334=W%x7jm&n6kuH^rB-sTsz^B=qo+b=Js{|VmaSI>Wp?te~v`WLVbGY9LR_hLU`n?uK)p|l^j z$gfD^Y4A^dc`~0RQ%ox>A%vx!aKVH?Dx7E*!q;R*8Ucx7Cp9Ea|50sq{gTB^^K z2+_98UC-8;dbY0D8Z1ktd~cWDFCA4=8!EBaMaz?FlR0lUx3}|4k8JmvJhoF^xH(y| z!?G4E*;&aFX{E?-2ZZBDiKX0b5j2YBL*wg zWN4z6N^a?(hH5OGGBpz<%y!dAPby-Ynqv{vA+4Nllt$W5tq@ntC)a_HsAPjsM#$27 zyY=s)jba7ZynPpP4~_ONRLWL8KaPd$ow`(odjqm{?Vg2LFMop0a?F}YWeIkhrz^HWk!yH9|Xk%Qh zinJtl2Jvl3l)w<8mM1z2KOlCp2Uz!q4t2mKz?Zq6eoZo7szZksBb<$1aEBA-Xr@^_ zLUpq>uJ<6Y@8g##A7bF+zK%RYme?Y~x8=?{5_S zVb6O6Loug?xfy#fH$LQVI}I?=NP2eJYoO;h?23jFaKqSfQOkDh1hBAALzpXi0#A`( zMx>?!eaK2p0>cHBCK7_?MxYH4s>ueY*aWLEr4iqHJ2~n0s$i9Xl^RQMx8H04jh`D3 z50eipolb)`kS8?$(AC3Gj${?V$}bfcrI5xhGa$0O$LuOzgO~;w)WLOSK^uF8t^wm+ z-ym1z5Z7$faWB`)wu}}N)|9mC=r$dO+38d=b_--RUuJ0NCUWlpveKgBm^U)CS?MH$Vhs?r(Md9f+T_2iVxsd$MaVa>I4on6_AHRZ#3! zS?2iEm`U&2T`ao|0K7_-38g?SK1~H$c3-Iz<8&8nN|oEZ$g=ZE`C3279?<Eu#^dMUP_|HTWE;VP%jWh?O+{#v&5Pm;NCt`%@2L0#OW#4FCI9 z`EylnF!*^_IH-bK&&vrq%#hdK6pWXU<~ZO0Hwc~P#9~j3eR$|OKvsv1-0shf(3+8Q z!V=tXdUbN{cugSVh!B;)c{XhPlm)wyetbZ^deL^i+cOWq#xY;FS#qR?jCbF5GNduTK!j zf7hBsK;iEXK%#+eiq>k-FXKmaObRku02<_~L8Cc&1iUNp#1Hp>AV@NhEv}78ZDe0Q zQMT@#7x`2>Kk_7*y*ytBK)CkQ<7k$~7qI)FsT`SdSntC`5G61PXavBr4BMiYqO)Dh ziVqMPim*`$MjcdALN=Ke9&*Y!0n#7K5LzGeX3gn3IkKqmW*yzy zrDp=qHBtipqv1~UQp^S%W&UT_Mh8N4_zp0nzPlPD@t1hzS6U&#O%4PSwQzM}$Z+j? z+d#r-?IBm#VSPUx(4&r9|=bX2r5D!=1tB6?LV>{jvUZ%qY}mByJ}r_IUmt)UIO}L>K6VJ}DqOg8+wFBp@n8_W>*j zsD*u>kJs1*LOPOgbo|i=yU?_~_*5hG&)1uNn0JL_+;Lw#DG|TVAcNy6=kn*T^3|jQ z*AL)e3{0b-9YMP6WZQhj>h(rZ7qJyr4n`;a!~oJ24?U9Y(Ui!}HVh+o1t%@L?1bOD zwyaOSP81-(lVPb*fEF7XD&W>kJ{!1O2Q3M~j{=&n#o18GE;-#3135>qYr%>0t)|1S zUog`&s^vrYSr5V6&YBji!}`#ILX9{fz~T^G79(+3$HzO8b3qVc5H@K$WT@7Fz5ozp z9rA)o!=WGOKIw8MD5xQJ)y?9>%--0rP7y@E1@r#Njh6zjv zg3W}~h-40?1{Ywg#E!T;%{XJ|1YYGe(Ri?eHm;KOnDgt8P|ffO7Xs%KTK7inm#Q}( znjxH}FSHs$jZo_fo@mxuArcb8tuu4GjUN{uU$`*E$ssUcSf1S^l5!p66m12^dwfF~ zU>*5d-R*~CW%%?xV(~`i5FdNO6W*I5)9jotH?uzq|Cw<2%h`Fqx<~W8(`Hdgcj}nB1aS&PQ5`at(-e}(n*82W=@XiBVu@XEG`s>JjDsOf1iSh^u z?op0`a6~SUa+}7-B&?W$f=awpTzF6*1Ca2*0QCx9 z2Vh$eLo1@orMOGfq-Z%+7?m6SmJ?e3^rsRVjxr6LJCG_eoz&C}3%EE$z!@NMjI1xG zu!A|Uq}990u@{&YeF!)cpyaESENt9aK_3K2ywoe)QIlXpwI+}5&@GPCf9 zXP0H17e_4NxS$2VPIM)WffuG`nhEUXOzZ1~c61Z9><@BOPC{KGarFo*$ zA+LAGu&YiVHy4p+{IDBkK|aEWUBoqdBI(oK*ZN*vq!kLXj#fXC;B0Eu#2h{9CH?WD zW}ozr;%RmLj<&~Mg#s~AUE4BCG_6gzz7QVHGYn(nWm}9kx8dByQut*9Dd5^XuF}^A zy*g)jE3fb@U~nV435v5bJgmtqms~G!~Zd?ogq}F>xuSo z1f-XfF^Y6dyg(@)=rCPz=V&VAQ}%FVG}+ChQKw=1c*_pYIPvLPG|EVNJ~zNr>owm4 zs(yHH5tlQM{$|_!ivaqFu$MHdT#dU7F23Q{GZkMbxeD;MDfh(j1_|Tp@xWrXbeW^oe;?{EG^_{AuW@5UlRHgM~92 zU=)5!GtsbbXi?n~j$8-LIAs2a=@#-17mbS2iwI+*HNlAvuRHh3_FpfyHxV^D_(38- z^en}X^5B|f2xq%jUnehMi%D)eP}7;-!ur;G za||)u^$|JiWuZpSER3Y1kY_zK3V^k60+cd=RO3J_1c+A-rA!I(OCfS5j$|)Jni`%; zR-gufDdmnLy(_J&BSQqj>8GQo;;4R#jR!6C2CsMlSb#nyHo^4{EzDjdpKrM_Wnn}M z0BTz^jF)>C2j?Xh!OBA@S(;{}#?E;QAJ*kSh+|8AB4Hw?W6`ofe$Hpq z#OKu_`N(Gk4WpfySaPfrE=F)N^QtNoCZxBq7CqY)(wtS~QzF?2dIbyo5f)g%jjpwv zsEd?K#c}rVg1M)}C|7qIKkPW|gZKB#HQ(m-bNtH0et>}5*gVOX@>4+#HmH55xppdv z0~!^;F3wf^Ff85NgvyoWi*~j_hmta`!-44x@!LmZK=8y4{*)$bhX{3bQihnptno`= z-iN?jF~<&oQWEzywTTZEADPJcgmIYLz$r18`3Xp~B$j+)L=ty1JJhkBy&_-bj1{Yb zJlW)@!F7#rYJq6WCxGNAluWW6XJp%$EDS@}zUDsXB}o9@7i4~BrzLO_+S_}xIbm3Q z%01KV#s$%VKPlS%BzQHK4KW%E7godS&mc9LVq!fSE+xt%&*4$~uJwMAjH8cJJV#LN*Sr2gH ziRZeCWGS1T;e-cJMT~>N;~{)}8FcI}d6NR|DjHNE^rr6h=CpV+qz35%BL@Y9@n7m% ze3qqlb{WMzJXNr&8Cb-uj^z}gb|kCkTy$a}^_;ROnhUPo;XApzuaL!haFNtRXLXPm z7gP@>5`U}-PY#$LHW1_p&9ibN&Rm*_U|kf^4p>i72bpt}En$yWhLbhuh`XIjy`|ob zqR4&#G=d+4-kLrHKN-ne#G|s#ivSsWtdz-kf~L`7t6U5aU2v6+W4BF@=SfETJPFGe z5?7KhzA7Oh39dK*dN5NO)8|KM*9RwP?Fm0vvEtF`>oWy*kj@v{+PS^ayb-ERR+^SM^}sb&#dt20*e; zwdCPUd>u#SKVr!m^W3N8unR4i|fPTB8^d-cgi`JSor#=-7|^ zb8t-Q4oF1Sk$+hGrave03SITaN(cYKenp5KAGXpvq&&l+25*!Y_29vvvykjZ&#&`}BEPR(3d zD4tCPHl1hp#n+3{5{IJCjE9EZa;DlI7p;&c$jS)OFCO9T6ziUg%I6Ewq8j^(bxH-_ z$D1{&%R`#H8zp5;8Ajimt@2f|S!#}xx-jv?+0Hxb0Yj9Y`qDt5O+`ikG-Qsq`6UGK z-dsT)?xOV%5aMJOK-L|!nUx!HAjRB5{V(?3GB&O#LDM!fGcz-knHdr@Gsn#An3-Z` zW~P{#nVA`4W_Ao;I@8nBz1urGE6wceCq=3sw@P)Z>bzGf9o_Ss=jH#@YoId9!8rdE zR(&#YLsXCzI6tG|k0}>>H`^A+M!BPV>9nf4B~ruCacmbCk$11A=2?>y%22&b<;fF? z$m{X7@D?yrv?r|b`caKZ_=MLbJ679C&cog;E~7ejZ%BaP<0qJLguc?(a@42=2t5qw zOdj65@fUe&gMVHy?=a85bq`>c8eo3U2>PN9)F@>jOZ)Um&oZt4bH-?CB7P$x|5cz9Ni>v%3w^z)M1d5KPP263NyY?&zv48vb5N z@LDya7vCSASJ$U&C+-bf*CEx|+hCDFKnPu7V3bi3-tBvg1Z;~IcoVBv)CEKGx<$8V zpXLa_#9SYWE(P1x_S#fzu0xSUYmoZx1tb@shEBA!?9D zd|dw-QN!_<-K&4S$Nh(>VPT>FRqsRZ=x*a=;6`ubNcy{r<#*Z2-}RLc{iP7dz|hv& ziI3!efMov0y!->g@`tE#GWcV#`Ily#|3p6J|M;W+EMopi;7PW(aQusI=ARpEIR2&U=5GcYj^CWle+g0Z zcl`c;I#Khl1{+QW01+Dt2LsnHvgX(EKQcAH$NIN?{)?CzW+ozLW|qG;2q^=Iem!R* zQe;$CX8y(c{Od8xulM|ZS)7@O`L{#ppO)Tm{e`>v-7oZy+|BPR`qzQ{JKPNy;O|Rs z_O-NZ*Ey5CS1~_e_@&#ZHQGZHYWd(fs|xmHGba0GAtH>KW6F)&icZ;8%E;bHZ@)fj zOC~a?$iCEegTR6&NlMS}w0Is0FCMQHWo;zIYrbCf9pCr0a(iiQP9&M|Y0>+lSO6Mpemx}MiLEql z9Ua?q-y;&029sjmTjqtf9XmQ9Nm2Z=Y?+Mxg?A0)vj5FWOJq&7d;)ah;q?V<_;aG2 zU2KbF0z<(!sfWSYl~VfRyy6(TDn`sUJsM2WU3I?fX`%SRD&`SCU++s?DO?J8IXsQJXj8prZ zi`<1S4+n!O%9@o}06W%CtM5Bxtn1S-xG2yvFi21PWU<5jq6foAqJ{Ir@@nKTqCL1f z7-V2mj-){ieg)V{ibq|1&z4%V2=gN~-E01fIqPxzW-*dLB&p9702ng5o@e+^+%swF zpcP;PSmu!+uP}uV0M@CwbK7)yjjH9}$@JSj8e`K66 z?GG9jl>iIVCjugY_84-grf#kQi&I5yMu%DR{lxLG(*kes@l1na2RfcOV0Oo?45d1K zX3|YE?gQrY)ynak^xVvNZM4ZrY2QjZ%Th2R-m0KAGEH*aM4^TFyLxeQ;6meX*V`Zg z!*TP!Sf-@77o2*~=CTU%jKk!^D?%h*PTk}@+L~10{3CZ=khADmmLpyXQ_FU%ZuAke zf-C++G2Yo(^-JZR2|qN}JDlx9EKKv@N6WiDcL4pV1&u#w_mXW&&_ zovkNw^i_Htmgnw8b>I`bf;5<$Vr zXq(K)gc#B2*M`_)7^D%bQ|?o#)CvK2g%jL}*^fsIaEO5j7VIuB{KIYgytw1Bd>V-O zNYU$rQcoT&mW|>XN%Rf7{K%ceXYJ3lHd~WV2M2H>Lz^hwuRW$H;K%$Kl1wSx!-ALw z?mnWRz-Mkcl_uADcwmX>mEgNU1m@f9;Y_gY>0i82m}CkR=4t(mF9cvejR?VXOhu)s z^@i*vXqb@Z0KErPdG4)YQQv;vJ~X4ahW^nL>Z}X;ET(L2Xoorz$8#Fa!0+E#Na-@) zka2ZOgc<}hlzHa^D-4(^<|Nh>R|Jjfc6f(75Kf$>PjnC}q$^Db3xvq@(6V>xO0B%? zv*yB#-}nN0Z7fKZ*i=yi1w+fHMM3L{jP-qkezCxI-q?#=3{ayz%H;CtySiT-0vgLy zG!+?`l{CTkrmb*7A#$0%BoZbuR3&-xhCXWRz;>Z3yYkgvo1-maGWr_&%34f;OR~gH zAFiwhDhpHS?XTAj2Z0D*=lN`?0(K(!ClO5p!e5bX%&_5{s>vD5!bn@??Sy|EwF-Iw z2~vEU96U61&~sR#Sj_3~WCj+1IMMlK^BDD%yJow$j-~6+ zNXj+Q&`vT*UmvT<@MTY4=W)lvDPS2IaYbY91<%JVBBM`vRsO~>24CO~0|EzMif<;m z*&T`k^9mdOGv$*&x(5eb#FlbXViO1GDkztwvVDLC;$+UM)o3f)+RxGG`Q2xgGFTfb zKpO$95X)uv~p4jh0tKRx_Hl*11019C^OULOf48y25`Di(ZC-gbB|@DG)JTibR!j)08)Li&LPumn4$p%MVg}EUI20+pB~;}9lK$)ez)?%Kj9%1M&6bgWpmsEx`mn=R3KZ=Fckeq^NwEsbb!;pF4i+^w1o%g{^UiAE@7TNCk!7 zS<5@l0OM9)ebJPs-OvjFFxKet?YK<+3-qMqkeuFb8WUU@LPz^m9s_EN3)kZzJm`TYqq zJ1b`0GM)X{o+|ykp1?1cQSIWX7E(PnzXa6#_>+MmaGri>2Oq1*JNO1zZ_h^~7|W}4ulV{0wPA;}<$LM0$(^^rKm%5F`(aK;BdQ#5V(RS@ejVIz?6ycK%H z=0;Cnk;{9>D{@kQG@Iv)H6kjmSg5D|%uP<4Ya4bs6j9i=v7D=KJE~|re%zS?W-+f_ z{#2UI5I0f#{#km4>lzvF(8_%&1mS8(2j4tLEh8?VPu8|3}SY_u#h7X`PR! zV$fB;t5wtcmj<{=5r>qo)9z27y*o5ECOwhMZoq-c8eR5hl&s=lX%4V0&{C%6PdR`< z=-OtKzW{gV;Qmk*@J%QdsLj7>Kl!f9mI3pyuf|KgL{55d9NaSs&p|Xh!c11l8ySIU z6vcll+12IoLl2_lw$C*ked|1}O2e&zFl#ic6wwn)2y)?kdb2|fIt-DcF6CB@Cg<5= z08_BO(#Nyo;dxdhhOkDNASQbqcgDp*kG`zAPy9;w=L<43v-B`IX%OEC0xP1eBfAG~ zU%W>BE*9bGfHEhFQnrI{XQjOyCmJSy53VFTnXz)rV0o?BZlKhh=s_;o#x%cpTAGfL zY212mep#vR<+J){Z5QvVpF1Ku6yCx9hd;$rg$JKXCFvz3>?TDWry zH6}mZfVyH{e&D+d+lKw6gXYV2BZl6W`wr7(Slc`lz#fwLhn8C1YTg3Yt5)tU$qY5@ znbF;?M#e$H`OckCqpwi2jm|d3m2M;GqxM$aM>#v}l>kzeUo^EJsT8VIL1vj<#I%pI z$Rx!xUj{gWbgXV73)GWdjblds5OvezyK*mxvd!%_A?SEJ>`2^H0ahTre{;meiy@@4 z%?zmspT^htTbd!M$|wxlPVY@MLJIE^YA)ZRb>eHm7nznHuBK{Jdm#OEDggyPR5vNC^M1?5#eQCXDa5^ms8vd+NrF6YvXdL~A4xQzmp`km$-2T3^4?tIuz%dzG z;*;MBcYqP;&@=0LY_mI<-Fx1GTuEF~VsI+d?}gD`?Chz;ftzJWfi#B=TMkQ`Ip4%8 zTKu4CkMzD7tQKVmYDxgFJoug*yqN{NV6(~|_Wxv)=o?dke?~TvOT$`IBVDNaP{Lr* z){k2qyah-m{^&V^5p&jr``&W{P;oU0|6x)(H~mqJG#>!@PX0p}&Sk-}%4~iQhu?cV6~9s8!QG>`n)72ur^FF^b&Zk7+k1Lof%&X5jS*7MC#myUXdj^0t_ z{kcv;IOEmvz~Go>xO|@2z@@7V@!X&ZI$@!Y*sVh%Mffx8!~t`l%>^qa&QyC|9l@5_ zc^pVfAM}f6&pCdWOZG*6pVuMzB*159PSphKg<<5{&@i?eZxDj^)AN9%onk2+x=Bto zL|>pC0-E_eZkv|%H60+F)WzcZE*ylToU$_&+H})xN zOUKcI*tg41%}%btOTIPwz9Lk{-6>uz#~Et=3HHrlf)4|7$+Mz7ck zTv0S9s>X+oCKxYew{$yr+Q9G_iq92w__TZl_Os6IF#Q_K9<*eM^T*nE$#(ISboLK# zs?uuxp28s0j3axE%uC|AHyl&^7EVztP~t#Z1Cv=pX%teC-oy_9H#qwtYD~=vd(=3@ z7&syZ^sr8TcVWY}T+sjmLUT2xsH0Rm#dU*eYEC5dJXS#nIs`A0jo$D*>@T#RH3th! zvg#wH=J;k4M(me#i}lgIL<E6^1u~MN6P%mRvC6kvXBce<#Jx_uEQzu1&GL^()Q@upQA+(ZdSs8}YL|{4p&rP{0f%;* zVgb?2L6zY}1C;Kj-^&keZ!y5}HbOfR5t-*D2c09oRuFRh0Gro8Sb!RJ-4 zsDE3OO$;$_Sd&NcoX*zmyi?uXpz4I9x1?t#ca;JoHb7o9N-ba{Y~G4#ypZwX$r$^NZ9pwV1Yw|>70wb7Kha?o4L8n~{jd)_+0Y93(S5vp*gHMG_N-7N%5Y{5en#&Js$Gz` z7p&xTqWC0qRpcEZ98dEj*XE%^-|UKWaMQCv?^Z^Y^^GlPtJ zGdCgO7e^cjTOHn}$hoW82h+O*YVQ!p%{r(IbVVuw2Lw}J&Wx(!6BbFaRB8ml$bCLe3LZnoCf_zCZexRPF~Onpg0IYi%ny@T}Ly^Id+b0pEnzhkd;D3JQkNcEgCg zi(${Xfr<{A@vE^zJDUSGw}hf4GmEw^bD$rsTBRFOq(9Nv6oSLg5c-lv@_HC2d(>j4 zhQEx!{|3}ZAgwhqzP7QeF!cm34?2b7F3%HYT>nhJr1kAa|zkoqwUd` zKYG^7$D{ z@Q#CZRyT%xjjR;`o1I=I?LZ{7X~U3Yx%Ir#S+U}PAccT_>f3|ul4;XF>Z{U0pM*j{ zW)g@QZir&N$W#!E0)Gt6N1Kzk6Pyb%X-ScJn2Fy%l6pRZ9^nK*zCk|RW2$<+EuV=y z>7=)vsVdji?(jj04j00gB1h15s?4UruVA8zCqAne*r9cGn>{m;C`j!kbZDU52qBR} z=wH$B8;Ib)&&7fbyiIyDQpNurL?0meiMtQRWh=$DBNN(}CJ5)Z60l#+0RSC3|;wf?mbVYQWRp z!X$7=v_k%!3^@grSC8~ZKj?PK&%s?rPhEMxti8#o(}Z9(5Z_xSV*<{jo8o2A{_?IV z0wSsp*{^0^yIK#^X?JaPU9xBF%U{7|q!g4mU6hdDqo#5{Y#G8`AO@|m)`r-0177Wy zKCKpZ@FMDmcp;&RP3+0F*8=4baKp_(E+X#PfBkr2R1bx5kLf4e@)ws3wHJUxus|R3 zxl{yrtj;D15DZDa-V49C?O}y22><+`j`AD&eESn(Y`q&dXqgI6U7^$Turs41-AF)I z@f_ZD8hD3jCRZyp^x8tWiD%VIcYA759(ADT*KO3<;mG`yh1%yJe9?2aQ^=>%)$Fv@ z>B}SnZbMKaznb3CiulRVhryTTe$XWDAh2=KODaJ3Wgj?4*|94DX{zOM-tA8ZcL_v0 zw5!)NZ)8u8#!}NJee2y2LfLA<#f9V38-s@Of4SG(ziS&HWa|_c(ZAn&lN$J|-VD8o z&JPa)(oY&|fM`^jY*7GcUOmBYo z@S;4#g%ro-L5l4;A`EbBEI2o#8$LO|f3!c%{@_w^4v^#`6JVPRtV_Y1nX zMI1~FoJ{_MFckN{Zl3=SzW<-rfBn%B{U38u|MZ0a{^jC-`m_EozW67BKMDLv;7?5xV&&lc-NyEh=+y7)`M*V{ z*jYGO{!Up`&$HsNHkc%Ln^%1#p-&MwO$(Gbq*9AD6t!eIG8w>^=r1L?E2=H*FMCIN zi+>yDm}FA}EZ-Ram9i@INIc*EZI>4SX~l_81fhQ8b(=- zuqs0pd#QBX5-dJ-Cytc<(1RUHE{uZ#%FvZ2S6UvXU^!gd)wvZTdIFcd-)1ym0qFt* z8Qi`-M|kNwpF8$w)MGDK&FE~MMktBOxcwQ125WCflbk60142VAv76M8#2O-EdqwYE zr@~iDnv_*AsM4yazd!nleVwmknTvT1Se%6#))biElIDAQrK~rkCvjOp4UC(RmdCf? zwmR|+poCK1x)$XAZ@ZJx4f@Kv>LxApZ&+@0bBRF;&{*9HDx6Of+XEoe2-}A+1W7D) zRe3`O;<#DAj73&t-!e}Skt^ceitMh+eY@anH8f@RQ0(vruL9|IOiVaH856G}d3ixZ zim@QXv=US2o5ixw7iT{Kb7%Mk!Li|?PVH>ZKgsH&Yi)Dg*5)04+UrGXsg!4d+c9@r zGqC>xk67R9Cn{JsO5?^5AKL#pG4$y30ib4B9|byZJIoFm&HtWvc*jc6BFP``N44Dh z3~9qCjJl$VXaHy-TTKC(Fis$fa*&fZQ~(U|*cEuNRHnI1Gq5&@>F2U>NnwWF#+>g$ zfhv-4SZLS7KZ1M`h9oU=(u=%c!U8~C_JHY}YFe)Q+T2o5g=1ZHu=cpFOvs^dZ-{)= zwu6h5MWwND2`oFy-Hit@`#A|T(7}z?Q3l!au;nV0S9E?j1YX||M+P*5*n;-5h3bXa zp-6hSBZ>AxpC6Wnoh2uyg}4Ho3h*4iEVKt2nev~IZI)R@MnNR3JEu7Y7ANO2S=PHADxh+EA}Y+n#o$J z)-zLqBo@W#47Q2U2Sqi>d6I+{qK5eWb6@jru7QvS0nOq?nzF5wQDzM4cZWzqoxxw(| zJ~L%wk+(%=CztzdN6iMa&1L7%Wml;d-12D$D96>VTbinyRxrsG>+>WMBqS?eu9z>p z5s8)L;NV9As?ILYX0fl|M24Pv(}Z@Q8rLSo8HphuB9AYOJ^;uT43NVUY|4lNJZn#( z7*Bba?Rv_yzDV{}j$iAJebzgFo4Wp+dJ#jZJ!R@^i~2=!sLgX_0Fm|dQWPq?21zOOw;+=qV;fcVtsGj0hC zgj4{^VcU3mI)HDxZv2X79uCYW9Ss%@nil~|!7Y(hJCfn&IHEmocNQfFnvQ`ljTm_a zRl@7Dz83_1A|bn~iI(`zBl_Jx=6#F@Vw9Iq=W12{U*$(S$E>? zJpDYa`e9?9gqK^NvAf_TRsrIjC95loM8ManaC>?4%pP+)lmc9j7&$7$1ZMo};P{Qp zgrg5Y>-+5(2X0=V)CK4po@Ffm+rCheOWoR+l{;`4C(M8q^C~i<4x?eLM{3$Jgb^`O zt!cUuEjwRki>Cl%f<*jQyRa10bo2ct_+U;?S8&a3dOw|*=|d_bVJ@bjUO!IVmjlC-Xj@Fbm~_2h9%(BEoW|D z%@q4>zNZyp@v&uH;YhOOv_eB(nVH#Q@_a9Cx{pK15$v!;+pY9{wr1VBJ+siQe)YN@ z%Imlf^*9kz>WvunEeQpDEFPAo6l~vo$q~s=)t^q4CydXYQqsyZEIbF55qi`Q+}-AE z@9-eFP>ZFZwG$-dmVr^U!L zx~yi>#_rfc*vxM47qESignU6Gg}U)|Q~@s+X`GHy&TPVlq_+wvqUE0H30NvQ=C7jx z>Hzn_#lR~COl5_Qy?xnJTaRG0^jTTt_*SCEn-*a*p|+X8GLpHZ5)0-8wzOH-vdP|+ z?`j;saHgBH1*WzXk^Y8J2?`xZ;R;z4a+6{N#<%|xIZx)c(0UjPWcnT82AAu{n?ngz zWRL6tXMw?sFGYsE`J{C-wWcj|a88NY_x0N#oUfTi2#;Qu*dU!It zeGT58;SA%jrp>Vy4E1eu1FRvT8086_XFbDagKgLGJo$}+yL4`0_z7-;phU2nbFKQV z5djB@4Y<=}2Dc&NA&NTMahrDh&=WeRkraD6Ws53^UZ&VACe{f1^?Kv^Alf|09b2VH z>}FR=4-F4OvL@j4IQu(Y{Ufe}M-PX-WHOtbQFw2QDfN!ulj7ESdgjt63BDy_9yc{9 zKUi2l{O1}@5<-la!w_R`Uu{1r%_&@8%qe8usXN7B?hqnf-?{lPkN)wc;d<~QU#wia zT*oU%d|n_kW_jY`2&2Pyx~`#7{3xXx$kuUdS?u<}0wz~u zD~GAElzwXEXanLIVH~tsDdRSnxZkf~n_$N&gaLNA6c+D6DJESThVKH+?Pf*o~vT|Cnm>?@3Z>D?CfIjWdDE_&~t)6UcQy4JqcNw;er?+fz!?O#=LPU&Wh zvN(kB+Uxy|qGh`Rw)Y#Zvy4>k3uuU7t^uN){Voc3D0r@3-RuxPk=8Jvb8(;~Obd%` z{8MsUp%Wf!hDgnorq+Eso5Q8{DJ@~`6-YE1ge%6oyGbH(9?o-D3%t#oT*JnnGxHmR z`Mk(L-CmARV!&=blU8+z0b{1Mh~!iF9X;u5t6xSs!#0y400LYxZNfxNae0a~l~k8b zYL!SJr(Wt!s3Tn1X~s6(Op}Iel#B$yzNQnvZ~7qdYnSpKyW$eC*-cwQc^PZ!W6>}> z5LV$6u66&sbIn{$!BZIn;x{7XYyfV0!{Yy0%w9Ac4yo|$RA1Tv77%gYMMfb4zOjbA z3wPkbbNh3k+o_zzaESpexvXv@Wxu+#;5zkwT!$%rRw|1NmM)TEktw!s=bo&V!S>;N z&=gB^h9Suc6@J-`F3~sg8i{=3)Qx-y8%nqfh8l`72Fa1H%`;WA;2Dw-p~Ky4xX+0T z>X5*}Wwau}YwLxI)SDcY^$Tmages8hm`JE#lm|Ms9-Id=$j<~KMRc$LDB&C8Aa!JA zemULteKAJPwyVgcr(1lIp^P&WGyd{U`kb}N0=)EeIR2F8bcc7Mo#C+y9#jP8FS>a z^$Jx%Q@Wp^D0tJ_J%I&j1Fz~44NRCh!-$QEv1ys11oolCCi9_cc4^*gV6JY&&r=vX*T zaEb2^-Yi_&bbh+IcWi|nyjSU1U9^wN#6rHqwMamre#WHo`mEdK?)vg@v++#Jw0ED; z1f|R9ehpw{$yM(8qE{8#s;EMg%6UgM2_yQ2I|H0RQv4Ng7s0!n)NWk zs26&&@wg{4g$;BkqbMm+LUt6i#6^gekqc31TTKMMNGPz3U|sK?N&nIUEUZ2jgcb-S z8C6U#u0C&)Ci;lZtqfA_w=Ep)Urvg`@?5EWwa;-vC3Zk+V!ESM%YC~B$3DVuvn9=bvB=uvdN70 z;Q)%g+Tbn?&~waj@J}B+RW82b)uXz*&ie=^yL^38iXn{w1qh4?-ic12Pi!uGcr}roRI;wIQTNtRH z?bJ*V=VLlVJ-{&UFn*d1x5try_c`4NRu^DK_qth*|FX}B@}3l^QSy}uWzHxcrCNr0zhh@ zR(z_lJt5?~N*UCH-VLnt%K)Ih5vWM5FP0+uIkjFgINnfVskeSF@^I3vDLn-C=S0qY zbVLNhn}OVfO?KBMV6NAMms7>bw%H*st|KOl+jknL*}2OR#{vOgM<#7&7ZCUk*F@=- zZspU?>cT*HA1yMs3@^hLI~jhyNh_RY?c7rQsxnjucHVKk=oPWlj+Bf^PGG3nI*1i) z4@Xvi6(&hR#By1>W0`-hR$_JWLE}6OI_)?wQTF8N%B|pcxlu>4ks7gmyGFdDVQ7vbo-`p2_G>oP?R1+1umCdlQ`$S%NK-Q66@x7@RH4?O`C*cE zkB3ir+p0{msaHt#%@Af4(d_}M$-=ondRQ8MJOcZmM}m#NdX}yXaH^7KlGv!(G-0FN zL;vDEMtqr_QlXa>seGZwiasCac!&OQTK#m|8j6gf>(~y4PfePh@IaRUMc;_-yRgDI zQ1v6MkWp+a2%RHE6Na8x&tKNV_5JmJT37{ixD|zm9mE6k+;j39%k39$yU|d{7vj4k zd7XigIFJ4lu55TCQIU&o%W`_TwCT7V9zx~Xl!XwI)~hbdvZ^M7VsJ*CnTjo7jbXj2 z>S%!Nut5(4RZ6pA2wT%s`!hbeWj8GqyqvF6{`U+w{*LWh0$iw3{(L{GcE229T_z-D z$G(E$;ZM`4b3<#u!gUb+vtmnas4Xxe5@!x`;~1UDBw`{5kViE7H~6Afp@tOtkky{sE z{gKkt(XbX@*KmxMDqJivtk;3S$`M9=L3XW=m_b~%Jg-j>%WL-H-gCjP)D^mBC3r7$ zIhhrDBoDO9OkmkI^wy&@;gwA@qGrfM6uf#_mj@y^=80eU#Rl7Pp0Nr8hEy^df55={ zW89mgNkDPcGWzj3Bd20UPLn;FtDns2zaW*X@Io3)p`|Yo>E8-X#RU*lgegK|FzVDL1_J`#55w&2w`1a*!6e82VmnKc!NahJ~qdV_Q?UNkx+`zf+=YDVk zBggS3gT{At@%kkDt5(I)+7B<`Sh$65eXZ$ED>**Z!=bV)?P67UK6$1>HKsW;>sv&-+L+j) zzR~|wa0cwhBr22Hv@>8oE^o-%_tfE2`ncP(?3dxAjGRN%3PGHhpB&635sLrg@hIXs zacm$mS^oG&t4Oak1ReOxmmxmX4;-39cSgjS(^og|=l2jN#cwB&%d!FP?=dcJM0FLB zV(}PVy=qqa_qV2ey?!1XWlhJ#aj!d)7z3&)^#sC%7Pdf~kJxgMjRoD}#ZUASE3?Jc z(Rr0LOw+s`MZOKDr3nEXr|sMhon5vA!ZzBj*y-V`g)#dq*SRPqOo_K*2lxrp%v3Eb zaVSp2-3K?VkuCF0QJ20A#kX=>F}-(7aF7g>$;G+Kvol*lh6D^OaT2#bc* z{vd4$|PCeZ9DeKjVq343N^tf+&w+O~+U9wC!NAf9Nmh*;9WA3*O{4y3qv#o%L z%u1uPgWxk~z~`?gb$D*vReTz+&^sqTi!(Zf>u+(jgG}>CpI@Q4C+gS;BKUQsf8gm; zo-X>VO2}>-E<_onb6z~PjSR-J%1x%gkW(w`tp2IQGNg6a=GX5P6UxY<)E9eaz(wWXq6Xe!gEXL z0_E#Hp9o>7`_Ng+;*tOkYx%<4KYH92RPez}=B$})Rm>`F``m+l*qY)hX)HiqYv*|@ zkZ=H7@x3exq7%1nu-W4Q*L$gZR-Syx@}qFS79m?NxSG+vo?8*g<0t^^pmpl0GcD$3 zS5&5kHk-}@_l!m&xZsj)Rm7$&+10`pSHb5RyJA4+E%jUGF%Bf6ms&iPLUXfL{bNYG zrIxKQsOtGCj9*=&%$|S^Dz1<111<&H?y3fJ;~V#jCks1B4~+Ki5byj{Mw;=r=X{lL zLOZfN?)=vRw}5+wFncbB_1#uLCc zzJC6eN;l<=6UhTn7j)_^m1@*q3v(`Qj%T;FRVqJ#?9yi?!uaHndztn9g;zSiMSWYY z7q^+q+1@sv<%jO{@gD`|qy2n4rugY9)}}>(*OTLM58W%x%cIj8b7`9~Od?vg`hsKP zcMF}P%TbG)1AG!v<8ywG{16aB_0=RL2GExsH>QoI= zJyhnv;AdXhi*0vdUbl+{c_e5h7KWH>VZJ}-2Dqqrlik{p&<9%9^9L9f5Eh)x_&=l1 zIRCPz|F6p!f9SJ6^x6ND^cj;8JBu-ZiT*F$b@TvsV?%l_4ki|QBLIs569a=U`_wpa*br{5t=O0T(?R3zGo{faO=Yp5dP|y#Ff**nj_m|NoU? z{ySgtCxJf+{7K+X0)GVQU-fVF*>4-y z|Dp_!^S2r5-^%bfe=}|WCG^?f?REK2r_cUF>aO2o{aZf&FQ~iznXrqQ?Jv~X?~cO% zfjav;_u2ni*acu?<@`J9>`cquaedhNBRf0q1I!S984?H5vQ7mJQ7XxddRH=ip1Tml zR1oD_8&;o+nUE}-n=tLknQmj@Rgcb05}yrIX}1X$<~hg?+DLXuW2Dp< zQ53NWIIR=SXc5Ot`Pl)tmW3)Q1qygn*s@j$kh@0DM7>fdh0292l{dp+gAR3k?9*7w zNUL*K0>xcJ@clNR3RzbKH9&PIq6Ox7sgaMsu(+p9NS2$u_jfe)u?UggnS=7-pl{y@ zY@_s`%>ddV-46#J!lev}PY-cuCr(qm% zsxL(Y)&}mN8mSv3cXC;f&EpSSLU#dEtP05zO%fMa>jac6qxa4DgQnfpn^&Ku7YHXO zHjZ>|1%1N~3DGMOV;h-tEb>KJA%%`4qFh;#XfrUu;sfVCdgJl3t4-HTS}X>x7gD7X z&`W5#x^txQ3TbL^qTuMf$6kf<{0V3b2enK;ITq;SHd=iWb6NcC6v zO^8Vsh6UBFa$-(cIp4va5x7>0W=XJ*n4yDyt0P}vjHb{OR~-ZyDCqB<2{x0dk1CkJ zgU^eA3w1*=vGXXn0r;7Mox;Sho#|R&RcuR2$@b+!^vkhvA|0zEXC4uUt#O|$6+rVk z;~X%{rD}*cK^WcwBIU91CziVNUAXgS6X~0gAV+0aE z6s3J9CG{F5C5YL9c3_oB-ATf-i5YV< ztgNe|S|I4FE5>5T2g3jj#%1b^+A)se3USMz*Ygj9V9g4{oLAV#P>~fdtAvkJP%u|m=8T%Ul z7zU5!iokx8F(zwDt(RK~lSnQqIyta_{R)nF0m}HKJ{_%|>xeC`E2VI9NR*|G&gLdi z*%!tPp)D5klc))e=R~_QBgb+ zuh#bN6PNO_?+}31WBzIh0v&F?Cm5m;kf(|I{U+TZRw_>*Y>#XJKNfS!PR@9#o;0*B zhd`=E`}Q_BBVwVIUaVm^+?@^dwYJqrI zE&AHHME_fmmbHk&A zvcH9b7raLJGz$59Iz&cDA1g793Q)I+e{*mQY%)^H6*Wwi#su_^S&?oCQ3}9iujJNR zqkav?{rm1JSM(MWxHb@zl3My#iKPMI@kK2To`%K=$t1f_Is^V;{K!xw(Yt!P-i_`S zlNRRpdU5w6?CLPqeI*1E(d@7n5fb%l{)w>W^;Vp%@24PZO2g0H{aFu(MRrrBAv1SZLB%<~XA!~+-=P#uB|!7>dd@GTHW%Y>lI2ZVjd zUBGrj8wA-(SX;=-5ZYSBEO67YI?sY8bfu9>Sm)@2S)quDbI#0+xEEZoLP}RazPbT2 zr!bb&*iK*PTb@}hSTI&8DSs6feab*~$U!Rd>fy0h!71;4{jr|8rfWW<>{{-BiZKCe zn$46+_2YInc?OhSxD8vz2ZjTKSI|?C87cZCQ!|CZUTJbmSM{j4Th8BAKOR}yvBwf( zig`AIA>nB9TxMEB*B^4RXH2b_yNH(w*1MP2sl zvp`&=Casfb`wWk2HFyc;C<6<|U}~@)eJ!UiQ5rd5XbmVgtSxofWSqfuY1Tf zIfYk5d94Vo_HA+|b(Xm@dA?Q%bCSvKSS$Qm)jmn;9$Zbu(zGK@sv2rXJ56lrM|SO@ zd_c%@DZd~RmL-N|+Y8<}CJ7X<+YA#2X8IXzn?lVkm<8HJTcoKdAW>nS1bVjg#zz*3 zE63f0!qY^u+VJG*Z9}f+EsU(!<+)=`=rGDGk4?-DS+Bq9P8i=J3EBoLABhILwA=Sd zQXK~IAZ9<$&t|f1f;UBLBk}87^H}=cW1hJsB-9uB=PCj`?Fji#50b%FYzUprQhK4S z>vYSEf;Il7TgH6W?u%4D{?z_WR-xJaSjL5QO_>|$lm2d$Imu=uti7=Xl2B@P`AGAn zLw2$gz`ca~eW}8|)1ld$iaaz{ARyHlwf;f`Og?E{u4TCld6tesXr^q89=ZK_Yrxn{ zy%33Dlm&z5)c{N$Z=cT2sKMuu^@weP&;4H0rr3ApkT1LVN1tT-2lDm_SlcPBENg~> z>~P{lZh!+|1VT6{z`m&LPNJ*iJRof^k@$G$3K9}D?562vw^04PcNF(@L3QL)@Fjq zTfEHPT?MD`8u?h0^%iEa&daJ>T@qG4PyvrBjX^nVRpqp1*<6}7bH(g2k5qP#>bc|= zu>ZX2$dm6GN9Ow}W7nUZ=p!>m%lIfkJnaJhs#FC+BRJHEGyZ~M%w)0h&C%}q`tF4U zEEQ7P)Bva5ORDaS;jIV69-@2f;>OR#r2V|rI_$w-R*V)pkMO8iWCiY0yN6deWHl+YxA5 z)NJmjGiFF5Irv_Nl@I^}>#@y(3_DPfY*fq-%V?>uhIl+}rgX;+dQl~K-$NVD#uQRF zRQ>vzJt!No6uFP8LlklIo$TAHGQ&C2YmK4!F~eb5)-`;`lzmkV!k74~qDwdvHSM=z z;m9|;zsW;ZX1ntH%1-F-ec8zgm4w5TT|c?hY29dT_gD*W)NHjRJQ8R->=o4BKGW0d zf~60RnIf?3(`~C?Y%6LuMYv=f@n(F?3y9G9y2~Cb`&j9MxWR2-+#?~HR5*r$8Orr? zymqj#l3eGieT$LLuFB-@<^6DRPAt+xI|E$%AUM#~vU>31XQyiKH5u>C+kv7N0U3oz z#K4+jj(D?Qzt8NfRTI2y&UJs9lm@nf>;|G(?@(hO7ZpESQ%)(Ly)Wq6?Ktg` z;ndyPetD4v=#{&;FhZ%K-VOO?a6Q5EJ=79~vdbOkeT(F;2y=6_of9~1D2D84lnMOq zZftxQbd7G@^u)t_wb~9Fzx`<;bBzESAU1c9L~15Q;0GE%R|j{v%)G1@l2U)$0?j(- z7HtUn|j(z2e=fIA&bvFz}@2-Zg+OLU&oVnI;dAlu5{)cSa34L^WU z`ys|`b3=n1R5YQNN?jh@^wG>;-Ck`n(_`}jc8IFqaNAdq@N4@fc!2)Pj}zRdb-zGC z08q&1$ZBf^Q}=|k>B`51N#!K49oWuR)6$K_1I9H6aPL8lah$vcG_~rFpD~V2dt)UX z>Dp-MoA_4~z$Ez6luvCDVAZ4U_{krgxvnH?TQ0hWmn@e}!a48fl@cOd^!J~-v`!wM zOY1LfQ|`!>6W=z-yte*7_TD<6%B5W!ryB&7?(SSHy1To(yCfu}LqHlqS{fuIlx`G| zkZvR;m6nj^_kg;$zTe*OIcI<8{Lc4>{Ij0Lde+SKTyxJoGwZtN9{T&lFyt%RcSC3F zg|t8{+-9ERgkC68pNGQm#iU|K`^8UD%OR8p5I(YMF0)7NA+K0pr6Tb1t=m?a=N$)^ z$Hgh28O80!`dA|@NxXV};x?V6Fe)r`;y2I&S4TP>g0Z)q4O?qU`yi&VL%&lg@$L0f zC8+z0T~MlaQnRGjfzMX)t}cQsjAx3Oo?jcjVzKX6^730|kc(=y6BYBn`*F)NNueYd z**|r`@k5kiL*19MYsxk$v1HE&hwT^0{im6ylM9cojwT0NoG)V%d{)=a%?+265U$_1 zKJRPwje0GB?!Dis&7j7_>mAc;eb&zTzMW8sAe5$5#5E8-M49m21FlYleCMbatu8Ag zyttwYR8xwb_a(D4W==JG9=tl|LC|MzweH8L)pe>(iU?d-1EYk}+Ni*`c1+;#a#qtX zke}Gd>(d_l)F6!Q_`;i{ zc|7k5g5=SZ>e2Z1#UX>362d;J!v1psl#^h|>J3h_uSFlwA}vlD%D@X`x-t(5Jo$VDf8j^pnif zn%%`+ud4UCT6vYxuk@QHrdysbDk^MT+RJq1qhhL43`~OPpln1sX*3!ADs+?XsEaaU zzJ~Khl!o5keg32@;8FD!%p{mJ@Lj+rWaFF6ZL3ElI-adMi?9iCaOAu`ZIP|_-biBN zJr=*0h?_VfPw6xM(doQ1LEuVY{ybx%@#BML`%_MxMJCoZn|tObPl@9eo1@nK(qeh4R33f_i%J{H|~sn@iQ6tzN6`0Yc4UPa*1=R%o`0OvvTQS zN7aUa#s&JN%4u)o^|JLidxw)BH*qDFo_5V-m^}n;l{2K-Mzwps- ziR3o}zZv+=z;6bAGw_>%|9uAj3wr%eNnn1`>%X1b^mDct?wianf9TPF==I#U31I$4 zujjr^NAjm$51dg2oD2mh#@x53McrK7%KbMNx6=O2#jU1)b8)LGlYqEbZWGenT-+v~ zxw*Kl^ycEWf}4x)C3CTSFPV$wd&yiZ-%I9V`Cc*?%a4-3om2&U{oC18Hy1xjX8lnz z>yMHFsrwc@7weCbS$~ww`lDplA0@N>z|8goGuwY9Q;w>uvzw`_lCiV7gR2V(h#SPL z;^+!wQY2wvmNz%EHWqR8(7mYv%nfD&3g%*G)BmNdeu|a;C3*qGar+R=TG~1!9NZ*q zK%+Xi+1UYKX8sXVEobdu`>i=|ll(A?I69k|JKxsEqR*^iZtAMb&dJ6ECgEUbWdcaP zIeUzqgagdV#LoUJh?}au8_fRz2v$yRCN?e-4iF0y(6H=aPA0%AzG3ipr_oj0l?s7`9{YrD_|~G0L`y3esZqA(((PY!doK+3={+g z{UaQlfK_mjfWaI$eTJQt<;FN}2a zuH&y@+&JI=K^Wir3YZ-*3l0)C5XX(l-g5IR8-M3UIlhe`-;5L(D%pY2;-6Xv2^e(S zHtc|n0%OfLOuxeTTSxkBwECm3aB}>kMgg&bm^gqA&(6&RW+P!|=eqHvAdVZ8{T0XG zc~a1~@%RrM9Du3)6FOJ`r^ybG3E%+W053qfHx>i_Wpw*Cp#PP1umT-}v#oxKo|0Wba*Z>0qJwO8(aIAol-g5IR z8-M3Y*?=DZ!-cT{MQ{QOn}5It8#myz008W4x8pr9w6WjJk#A7_isSDlrC`wQa^+iR z0f7K7_|Hc;fPq^lPQniOkQ--BJf9Fg=-xiO53~`*mfcX#FPNp-0w?A6^8i;@U1I>5v z|0WQhm5b%4K>Q{hZI=(diN5PM*x&qkPQ!n>);QePvth^fK>?uA^hT>f4R(JMaIlwOylDY9ytR|NSs0(jKc#Zjqp zl6$dU;8V6U#xtdNrkPV4mErHSKjvm!lxglyQHtm7oN~I>auGDtD(+8dy|j;)Q8ZMt|`B`U?O_E2r{@t#Xy>%x8l!8e!Du~wM=m4tlj(AyaDq{ z;PhZ)+zf_JDynx$5}S^-hCw#5u89G;`lX~hxiZGrMh1Bq(1ztU-Y`>%#-UC@xJ#*q z8m)B#ky!qOWw#nehW6DFNefdXN893R6bH}a5br$I9S!2ok|A5`Q|yba{#``pus9Wi z0`Bg!yN!cPlfV%_%{JrH<01V*Vu$H|(N#8M-HF;NG#Naun5zCUFDj1_>)_sBerPSi z^pIV0g(}|5SB%6%4w)Nz^susT%oQF_x@rJ)=fYF7c+M4kM!kWoo}b9Mh&(ZB%W$ZW zGzLirvi_)A*o{9fVpXD*D3wNW6f(4E8qUbC1ZxFR#5TCd8Guc%#9NVxmt5-h@pXKG zXoTBr;JvtqsT=Q6q|I!{9D6_~;6=meB!(u+1_*t4Jc|t|0}WTkJrz`!LtmuW6k{S3 zMsHH{*a z)LfclN_Qqu)`l%ll!;VrLr#iBW`&_0n~THEgz*!cE8=rjrhA3b^)Ei8TZJOg590`} z`1BUm%bDZJqdt6HrBD{vZ49O|GJ=cXCB^n(qYTN}wFr;^lD@*)aU2R8F~5V=H||al z;w@nOs#DP9B^H(2O*N>!{G8oExu2$zRs3u6XYwfQg%K`0%{_aix{&Qj+H?*I#X-yz zC%MgV@bKlx8@759w%o)WmIEY_-cc}Xc_6R~dXBphxMkwx_7Ezs zX}3N&NSbQMOedE&NqBOUeJ1Crzv`=ZQmz3CYSO8yMlvdFhM%3t+n77fn;#hH>knPO zCSKb$WUUR3j2G=-p`&Nmn(>i=93UyoOI9G`Y$>imr-%xtz6K5yr)NxN8QpVt?(r;a z8&)oIg5b(xty^Al+^C(p)^){~pG>xJt$IRwS=IkJ+rsN1zUq8HWTC2pr$Emu22GCP zlY1H?`eiQZn+~68sVdoQfWtjsXpju<&@Cyh!$Y z>tZjywzjmaUD)NQ*$V~R45*>L=%|Eg<9+FZ|LN-^RHP5+OJFv6yR5GF^98Ncs@vR; zjRcMAHpbGwa!I(&SP|x4`X@4|RNNUDe?;XQMT#U@t{lqI0M{0P@Rl1_`h8SX=!NNa zz#|Xkn5RkhC*tZTeo8xd!K($0;OqF|z3eE|6oSk<+i>V*9yJ%!?){yqneh>eqjXF| zQsVK1_))q>*S-r@@b7I9l+7351_VFoHRkLxCG zk4BHoNpdvscUff+JLc6>lI&XO5~L;E62;fL;x=T@HgbBkY{_2MLatRE)V~d))%8d8Fx@Y=ujHy^rjV;s1?AL}Rf00BvMx+b*?Ph;qo#*k zp<1&UH>oG&Y)E1_EWUY*BHhE$D+6C;{ z{}4_W_g%j=il46~%;js}E{KCdTL&E|_9O_6K?;hItj&ld8;wvryB3uXp&=db=Zw7} z^WF9-=RSb485SWLDr7LKU7zbXQ&m_?(J5s^aM03R1)!0Y39?gS?4{;x<)=XBd!y?! zh`*2w>nL&4g?>~!>^HCH>+zsdBxD35GE*<9=PlI0aYPw&hV1 z{!50z*Ai;{>*mF)i1tP)Yj9f$?du`d;fVB0~R`catm^9Do)Lpa1^1B0W11gT#-1I<<%X2PeWOi zrBGiXBzAvN&Klr)q4$zDfqR7%IyyRFx-t-=7!{M~zUj6yRR|6u4L9Ul!}8WK-RehE zc6C1c9Za=p^OLi$#4eVFqB?}oqY@xICNq`ZWWS)2M>A%RIMv_qRC%)=p!@+o_bws< z_hx;A-BiOtL1&acqj69Ke(H;twMvd-=&sDnW%GpQqW5QvmL?}b)SY>}ux6KYA`F>e zoI{AuJgBb zLuM6jYVUmi=xJ~-Aw87PSk0-I4YKg#(>1J|rwr|^&_&Sk56r`g(~C)JWG2#z+z&ar zKT&|L@U)oRdmpud9^1Y}dl`X-?FK8w6(caZqNIvvi_w3=KzKhBX+}5gU)$oNZKy`#QSl_wfMJ~7!*BzMWh{j3 zpACb&Q-;P3(v|$Qi(08LGp&(lsvwOX6@1C&Yx>~K*GCv!GPX14;H13A_&8qNUDtxm}l-(U24OovP55q;& zG^MUcK7QWzGs4^DoYU9yQ}a_}lD`A@d@s1N!mq9;NYX0vE3_UhqysjcM_7(zH_dwf zF|t5S`uUhQ-P2yv39%KHhQOU{0F$ETXX5j@Wt_k znC95Zv#djbu1_s}l0)8va2Y?=g7T3SUOKi>Ry3V`g}zl7tI6$3$VKg#JHI`!WRNqn zh~@t&_lZ2-Wg~@d2?o2O zFD64H?BW`yyp>E%ScoR_gN=jRhcK7zb&iFngmpeBs=5{&JZZmctg4i}M=EDTsAXhs zl%>>&z$5`17yX!u7h_)E#BV@t5N+4`1*wR*s9lnCvJhqEn&H!9T*3A)5bt=H2K<6e zv!V9kxsK7Jy=PgFj2lZQQL&3Wv$c{m5Aki&O$ zwIJ3-1qILUek=-xh2aZ-eV6F@iJnUklY*q;=+P7SvNr}tR{%2H=WLZF~_~+J|DEn(wP2{q)$HbxWxh8~s_T(l*=^6BYZ^ zaRr+*i2>(zbPs7NzK4gu2O%32wG}KSGa& z;?3TOfN+9iComwjt26@(N99vK#WbS7P=Gi=qY{O7okY(VjupvWVlLP1H=ldrmqe-- zPQlcyd?b@inUWktnXlc+WNyo0)H(U3^G(WyLL19_vb0d@Y9l^AU!#Xg zczZ$PdJx1;4O+Sf=0Joz7a}68fy9>7yPq{t?pgK3a4} z%-oRacAW14SMr+|I|F9T={;u%%h&hQoVDR^W~Kx+M{0A<;GmPzJ%)~J zjOm=ZlSpOp0xg$jAJpnIW6pV~)j{d&@8u&J`kXi^TsN28p(C2GYZ)(dciqB>Wtco^ zcqng-_5ly~)zggOv`EQy6vgX1gr_X0C_B3Pu0+d|CZ8LC&A1w$9gx_~&F=M>V{v9E zJi=lg9Cf5Lkag0cWvjfi`AC_irGpV2QreOTshzMd1w9H6%%o!8!+k-KP? z!w;v}cQsdfzavAvja2!b``$+~ozW!c50v*oi`tCUkhZ1Grtp^kMVrw9C+T37B^ZjA%xyJerv2oSz%eQClQ&t0-N>P zYVy72<*=@{sq(HGy(%fAP`Ik!%V+q)>g@OK4wk(vNzqw2;t+A%tbg8B>uhY8&g$K0pd zWzu~eMYFg<9PnJWd@pQW7@X-En8gJ-o)Nj_Fiom@d1u8F3GU@xz}BxCFbCo<-kgO)tGVzp4|6Ytf+ z)>wBA2;*;}?oQ<6BJ3 zEv+5+sdpP&sY$HO_^CC)@+|UBqUKiCQeMvHs$L3ere3zD+-B5*0!VxwJRbH=_U5j} zBp&v54lX<%{A9*vjwa?j!1c|)naN0QZ*jHdClkK;fJ94PiA2=V*_?!f3CQZj0>nE2 zVF&D-EZim*Yz!p80hp}J07y0#Miv$x5El7bb%so1>OIFd~V-L{{9R< zmKwK_&SK^+uDbuCBYzKd_z$9>e`Imvyp3oC;%|VEN+25O*HG+lkNCaf|2gfLm4p@i zEtH;FO$~_B`WA7eruIWS{;Sk&fLIJLej9G`i(>q($-YDWd&L-t%mDqd9Tv#Z9#3{uo>U~3q#Ga)2C4+(kOk?*uK+RA(#hIBtiNF%8fn#sR}Hu0;t z1^omx%!jOiqtf=yFFraD%(hpH$Xes!r!s<$*bDSrRZZAv+nQ6iOu_%Cj@GlZCZAXm4HmhUOYY3?F>J<3d8k99vz~ zmSIz-Ux4~~f6`G6eydo-(&$-++Q8XT1s3W8q2ZhIeQkY~zFzG>`Y;{;01-_y?J3A? zJgdWSn6{A$w8vWNDK*_>gH0|J_p9C#3YooZm#fOnixD3Bc$5o` zFh;T+oL(OYX^TGe!b(H5N%OT_?mdudb}s1Yy+syvFkAHYaJ=#I*Q9$|f~hxo_W+r$!))QypV&Z;p+b zb2&|*%SY<=j;%iWzQt#4@eO(dDXE%98WNvUO&=v&R)e?HU5i7;9!kOU<0BA^WxVqS zr`HHbJ{o>HpHuECZcunw5>WeAewq8>eP|eZ$Xb{Q0t-9Nl>Sqc1}~WUcv@&ZWjz$< zbqb;GdKyY35;n+!Aw2#9l!E6}b`jE0(mlB3{jg}ml=X!zFxoPobd3nx${#2@%{K{# zwhpxw$*UwgWmg)vhba5&Sa`YdH1G&g%e2o~xzwSLo8gvGSWi12Od-j_?V{NUnMVtp z5T|h%V80g^1s0->m|LttW#~!3mthp898#?bOv5(E1wXmUN=m`J zp_-?PePe0wDJ+Sf)#+2xy^Oy@D^`pix%%ON^RwpBK4E4nccaH!6!e38rY+J-gVVNd zzQ@vNt>*`g?$)r;@>f^|aP>Sz!n(cML)&0Q_(Uu1Xw^4x>oIKFm190g{XCyi+#^P6 zycKMPdGg@UN&D#Ag14iGIg7ZLP-yp*iLY|-1hyt*CQxC}mSqu@oA`87m;V1$29??>Ph7++DJK|Cdny#dX z0fy9R@a@!uIvw3G%gf58Il1_io>o1aTkT5{rCbgv5nB0YvP(>AWWiOLbrdfc59Ra7 zXhC9Sp$M_P7UHuAq1@e`_zROE$ zp|Df2IcLFnk;X$c%nHOU2;(3ztP;E{g9Ak89nX42NJ~Olj4o(pO9quoru?)pH~PDw zzI{(h73pS?A_`4nyhogdn)1Y02&+y*Qp81IO--P&z+cpIFkF$8Yj?%&JQ#!|;Dlnx zH-*uVI{N4hMku$hup8yCl#;X8fGk9On0~(*BeNt(YOXpaP&L^9U|`ube}yjBqR#NO zy_&ykAzx-97oi7{OX~gk%48a=%cQD2sz}{Se*U-ZQo$3Ghod_w0_+5fVhpF3(W2*h6uB?119kT1EH1nEDo7dCK%57+g7Fc7q7nMLp~aXtY1xI8t~oq(p?bZfsdwL0>GdYG1%yh= zy`l_FX5a=qe5|N8ux7R1Zr-F8&4tXeR0HYYah6Z-^3Z|$K}2^6K>oJWiIV|B{OExC!V zp-3i6-eClP$|_}8AY;G#_>=q^%|^M%p12&|E<@-i@oE?o7wD2m?&4h!m3dWctx>P$ zY}a<&((EWkOYE1%v-A5q0bCAUi1-`KDbpCex=B`k()L6W#m##T;dMr`Ehw|`FH~NT z5gr-WL41g##pIFG*?yi(L#UmS9InpUdMkv+*49CmqjrD0 z@wYj7WDj}*6U1;5W}kX1DVYhsieWjEdB;EThNHYziXhyeZXYQr7B|e4qz> zU*$Y31c+e!*oTq4!z|hgpN@tjFDMO9z0w?wW8Fg~Pt2O@3@ZA(+jzYvm1@wN`LuRU zEc+@&vS<0UQ1xk2YAQ|zZYHnQp3ZyyD3|oGWz9&WgE{*!$>hqnyv)An^4<^d2Roly zn(=y~%Bx-KOUw(Pp6OpGm@~c8N|lRYGl=ej)em98;q;6F?<13}$V$EF=Xx>E?>zRx zp?l)$bM3ri8cp`(J_6%(N6d%48suH=0UYSpo4LE$G4v;f-IFV&6_qMhTw^ZC1|yyC zh~<|a#=Y73!01@DG~KD%kV{prEqN9g_o|wS1a)?4y!^AAmfg5O$Iu?;$4`iojHz5` zQaBYaS`m`!CTH^r$WMI-4A-t1+aQQQE!=;(3j8TF`o{|Dw^-xp;A-r_=-@(rqxXCh ze1H|Dsk60{tF@y8$&CPHY~twV%1?HC?#mC|$=>M?CCI^r=~jbcGIg|P_Aqv02DVHx z|I5wt^8fyJd;4F$VP^Wr?M`macDE{%nJKfmow@zZeqx{o)?e;-`bn7j1rG25a5L!J z&46^qBkyQt{r|97!)C!{!otqR&d3Gk;$UPqF$FUkv$L5nf>~I=ChW%SEFcs1e|P>4 zrzr=>1Z2j}XvV?G!U$}Wq2E12(b(hxu4=nVNuazi(#BW8vs*Zw$1nv6GXX zwW;wi-3&f3J;*x3_UtTVBYG2bYJ%-{?qw8z~<0DLa%;K zI6)%`B$Q$0<^<+Hv^Pm%e#%2b0{XAyhygaQ1L_VZ2-sRk0$j5Lc};-bu$(`qz2Lsx zMEFCL`MD0swAyCIeptG$W2* z2>E%xD9!?^uBR4L7ND{tql5F3?Y4{$7#-c0K>3NU6mMItHj!hs48)`~|%xy+^7-bp4Gr|V)fTl_HDk{izBf7Ezz%HMqNd~ImT7jAz? z(xn5pVZMf_aQ`#v8(ik*5H;ZsX`Ym4X~Mkd#wT@hAhf7$i5JCU-ob0^=deTygl*W~xm-}J;d9%0UwhnhSrZRdepf{;qgr5DK&Y&NUX)Iwn1WOgN%K?= zKF5lJV6{vkFjJlT`k=k$axE5#hSVQY_ zb<5U1`-G)qNw;@NJ&LH+ZyfGC{Fros!~*ePI%)Z@*7~5I($V}Ix_>^pDYb25v8{7x zpsn@#9Qm;_OAdq(kQ~@?3P$NKEBML%h-m_PB?}9)sI-`>r;Dq(y|jacBOf1NWWdVm zcEt*0y;1?fJON$Wljb%ola^WWCMlJ*gC&i$8L*_acJ;jVR&FM)H###=k_4bY-)PQk z%p%4v<~Q${MS(v#X?itdD@S`{=9_>0SgAWY14<~c$^E7?-3ryW_iO5cbU~~jeZXDl z0(}>F<^1-_2G#|#wCDpVQgk_3Sb$e9;FVP$NC~0K$qBrILAo43PX+^NgTP$u`Xn4& zx?n&C2Lq{l*g0|i*S)<4vZb)G0m-A-%lG?$XTJSdse+ z%o29SmM$dhw>2pk+XIdHOS4J48Uw3sVFydQn_OtZ-wL}mpPR}6pK0!DYQ?N@vo^Q8 zEkpC$LjtJ>{$zst)`9=Ys%B?8>CyMU`e~0lc=K01t*w|Q@|4pX@LXNqA@^V{`JiXP_ zYj$`{)#(CY5Q1Q&I@!V~!r-EUSt%&wkVxg!(OE!2D3BNrgvb;s!(O}$oUti+>CdGO zWs{}6tX_{A7?m}WQ^RFym~EdQ*B5)xQavajt_&IIvwMCyPh1{fKJolr^`y=t8kI1b z=wj*IE!Jf(%dP&D3q+9`=uYzpEU(=w@6{kN8J@VcveHxm%fa`gyy1s^VRswkGJQl> z%V`C-NmKO{5~_V9#HCOD5-(d4tOonLGr6H5?M-=FBonkCu3BlxlbvVW8jsY&ndyTTXLp3LmHELVkM5t=Clck2<7_q` z#yCyAJ%r&`B(2kEuNEs(b0|0$+W;LBG>dIo-)oj<^m$0HpTB7jR<6|DgYGOMrkSLp zSNcNN+rIEDi~zhCz;JPiPu!^@X%#fy^ZDVCgWGej5W?d5uz9deV-?ty@(S;hsn;@l zilh3K?x~}W)Pl)u4egyG-3?eBQTHtVuep$n&Jc^}x^qpSweK4s1l(wDWxwrPpy z?T(V*j3@ipLg(cjTxugUk?(ADkOy?g*d_yCf3}7_2@*Wm|4Kuc{b9^a%8yXLkiS6x zNnJmCtW09seNJb~;ga5ahnVtRhM3KAhO~~RhjFS4a-cT*w4>%Sg)-t#Hh9RTss^Km zV1XQ6E3`ed{+_Zr)1_+UHO>AsUdv_u3@50UM`XPWXX*K0u8Z?CZ9Vr2*uA*#=v-T-AJ3CHS!5b|9KJnM zBOT^AN5?dAZ~0-$8eEMvK`m2TmJ!@%NTG{}Ek%dz=KPg%H6K+Z|dqwGl`-w%e z39YsXt-4c!JYwP5-iA9$Om)#;T9K6-W8-ni%EYbRF>T^gzVuq2ezABw(8tKkBoUq6 z0^>+?T{!=WHfO6rOR-|4RqAdsS0v(GV;4=nZglm!M>2P+<&leu zJR`NUw^loyP9En_bX4);jv9d{BqT6iahE-;kwr|YCrx@W$qP!*znil<-*DJvWG@Pp zh*j8`c-|}fgvFWC%9PN$$P?6cz_rHu#L`2Q2MOCy8exB}e-F-wkH8c3WPcm~iC!V9 zq*JKgwLWc>baqXaXEuj*wy_lJR+nRZm61VVior2lkh`a-V)T(@SHYe;3HnAb9Q=Vd z4SL9Gf3L76&(Thz!&hlEAegLDRnA@r&GR`e$zg`=J40HNMx^OhcVg4*mXhD|47$#VRoUX*TP`A{ zWb2TVKf$g9EhHHdpsbxYowk28k`7YW|9n7o66lsI0bBCriLz|~aXntCeBV=%)9L^v zk$seW;;!f1B&|b&T(tS}ZTnbU22ipAl_uiuEJ!EBq=*tJUFWJzI4=;dgzk3r4DReZ zeMrDXjQoJ@!Vntu?nREHhlg`Mh%%(!#Z#0c@y)$rqRrY%13LNQ!mWAhVBYO3_+sZbpb^befdqB}cF*C)Yf+g~gWk!cAB?&=%A3kA;DsipuMHI7k4=|5*L zJ%r4Tws!g|g=}*7;h6}cHR;=hWOkB8c`Indw%42koHko#t(?p_Yf!vm#|ftpr91Q~ z7zwiBDOZQtxn11Q0|XJ2%>?8I`aH;TLD%s*Sc0_e!Ae(433&-d_=dWU8jcRdsl4;V z+9l-4=*mtrVXA2e^$Du$sy1B{=JeoYs&mdaEBh0XUnfhRr0S;Md(jB-;3~=>#}1>| znFjvVyFMbk&WLOSw}?Y&{MX^d;-ySb!L6mjq!?=t-`uCORobqAY0TNl$+1(x$o;@N ztzbiF?7&+(qcA60@Q|D;z?1{y5HVcpTvd{feVT~;Lk8hf!onJrr=Rbm6-#zgx~{nsy=~&V3OF!YG83j6(i2 z=NZiJ^OP^{9vkhp-LSy`v5I$giBE)T-F9|(3%&~a2C`?fxGzOFvfd2Ef$h#3DoV=J$rMX0 z&PnhhrXxe)BkcEA%8e}CRP}mKKI$QeVEMIN-0kK=5F)(_beo=LIJ2loKt7X5fcIIx zfR4}G(~fr~9~9PIo7m^R&fHb(`-(3v9ddIhXNqQ5Tooo3v=ZJfy!fea>${DH8v5Q2OV&qW{?cxjGu~eF~pfL-1RL^ zCjt>xF%$jLL!s6O2AZG4UI}#*GNDUL1e+*{L^43qei0{{!bmSdY0~(3PXXrbVi8&} zl(k-w9~JiE5mm5NUAbZRmP3-Y>-rNf_&|Ff+&2v%Rng~TjmaHZ_ApT-BJqpj=8%tK z^&VJxrrc3B?=(o0>^fCl2|T2jCdD-M%+^5gh&Q8nP+K)NrSV+peJ2h2^;UE<4(!JT zOoXW@?3P9|sId(6C*lzek|_D_{fC9e5FC)Nc12XVKk4edpMs-1WbEQumxzeh3qz|8 zB!#p#T8|MAD=&YFYhEvop0poL`1#}WcgC}%ckxQW=*k$x-Dowu$=5X2xuUw^i$+6ppAT`j_MK~yR54x@JPT>+Ow4-k6u96`zBx8*9Y_}lCn@4d4x5|D zmuI|`=g|k9-usRUSGE#Fr9yVMGL8i44%MN%_mjivQ5+O`!Es*lt$xe2GSH9>;rd^aoG8f{#gy%+50!f|q}X%tRcn?Cs7_hatCTn7Bq? z)W+cKGaru>$4T6enfo@Ma`m+02|YSP*Ij7%Y|UOnb75kcG#wOrmTLJ5`=K<<;Jpl_ z=4^N7G%Xa$&W3LKf(8mi0hBig$FNGV87x$Z_h#M?zD#+l!8xAj#U^$!DEstIZohB8UV+IisYXW|#w`r(lG9PD$j+XQ7t=Ea$wV$RVzJ5(g zv8c79rR`QEBQKn%L5EaLsLn-+2>l@f)uwjjKFO0^kF8g^oHbWM$x|4aCq53ic^a~% z`Hod`I!0SLm}l&%t25Gx65OkFq^U)eLv}_KZ%WXw>v+;6iqEatHt=u{4H5+Kt#H7{d*AsxhUbps@fVrrt+>b`t>=+o$?@uD=(@eP1~XpC*~Zy zRZ*Q{v`P)zcOi`vc3F66la~pdr(79lm|w2ZF1_Ps$jk7o<(H{H7Z6h2X{^R{Ejre$ zA~mQ-N!V;vJxmMmb;-;S2tRg7Q-B`sdaoM5!Kfin#bAVA!8u!Fm8D;3gg2MpEQ*<{78d@Q*%Fw*y;3!FrS^ujetK;9F1iP~dFFZZQlT}Ey6c^f=bwS8ef6 zhfOe(J_6;~?GaX%b#{Bt7I8z%VA{Sm5s3??lvAu$TQcNd<)F^`tuae`&Sl3SCl?#4 zGOBJ16q`Rc_eEAUhEc_l#Ez-8| z^bCky$Pl_A#nrTWF(mV_GuBve^zP(nRo4m3<<}7vaw*!+W(~R@6x?6SscNb;U13}* zTqZl1w&}y`U=oFHR6fCj4&_;%jZ{c7t-x6RO3`Ud#MeY6Tn;e@&qL&jJ$R?<6=xs& zGkTFS;TnXn2Q|LjqjeHdyWqmeP1^(l{^!F9=45@8p{;719+JnIli+fB?RVnu$raA5 zKCi61h3+r(e0eLC<^MKD2;C!iGOzy{sXRQXgXg)ZnF85J3si=JSrep=bg-`=)&y+m z3LXqG7mxotMCZ#2^6MkK?v!;q!gepA)@P6RxDY(=)H}ji;r8JhG?JlQkbAs)_UYg# zWU=3@#`m0@O1} zYhEaa_?yXE$cc+ZD2L7Hrq2AXGzXW4Jumqs4M<0mrZ1lCM{qXy&(paLuw~x~VOl-v zF}0)`A(;p|gC>)&oQ|=PW2(j4Oge%+UYcnf8{L9&$1;O12bU6;;V(g_v}(g2;2e0d z5{qVPk}?)nuJ_zO5@~TEnvi8RIP9F@7i|me;82uh0Y|EWC#H0@=pP|Ii~B;hhc>)V zm2*$l{?y@RoU?BU){AMB9k;a41iE#+S;HPR`sW;bxn#-)+?ldYSb3p{9_ zT1YkAzidX(T8TvMGNwm|KB1U0WO_jD6oq1Isk%8hR4dD+aEiQe9bWN4y8tIY)^d(y zVIiAoaa3$sqI6f5R=F9L53Y8fg}=l162W5$0Tt$P#2&tN&KaX!aUU&oQ?ivFlow?` zdzpX68TM3$Uyk=O-k~L|8z`GI3Y(-*MmZX$kE{mseNvsk=0j}(BTUvhz5#5+VGa2H z89PFiTHVmCW1Y~P8D7>|h3T%*>X`GMRc8SOQ-+WD4>mz0;|21j<1rg7PEwCzx@=!s zKf|-M=jk(F%l{~G0GSW55d%(w&V#xG@iu@g3p*BW7A8_`+7ABC#ADsGu~x0LinS+W zF$pj!NeA9#1NqQxt|TNZN>#$<^FwnJR0U=2Y8~bzvxCM~*AFsE<;(KbRyxuql`+n_ z1t-mk9=Dbd4meyQ)3Ff2et9Y1<(+qmY)QbNIrc8@{$jDIWHQk*;PPMIhnpa7&r0)ts|-&G2jG!xy1nS*Gv1t|2ZBhV8vLH8ogbb>t{lzS{7# z?`o?%bC?vkB&jq^@znNkL_nc&blG>1wO9m*eL66`+F8ha?rJ5)uJc{gV zMfDx?^hR<*PmZdfgJApE0e)CdO+|8%+5MF411G^@zQsA5q@{4}Yg7ue{tvPC3!=Vg z!CRMc&)7)H;cW$tGu;^zH$z#Yd7S7^4s~_x%R**(15eGgeHi809feMmb!>KO4v?CH z**Hk$N#(~{#ixl@EUOBtp*GQLRJzYZF8PNFtzUA)Fpca=Ov8UNK$~m{?(eqe4rb3B zZMNDK%7wIED4-5_ba+)^uc3l*Hj_DOW5^+#MD)R(E|rSBg>%E^Qr!#Ze6{+_V(jSN zm-(nyJn@Pv_BjDKt<}y7oBlD2T^H=a&mPoMw~Ps9ZQ@v6t4syjvJ%Ng4N{KK3^d(0 zg~*DMje2C2b&l3xXxM+@%&@MOjuX^S=6{Yc)ZE542I$zjw zdJXTe^$pXy##dXA{cU3BxUu6eNlJ7Bx`MhSl}O(bYUjvT&5!R?&ZG7vV40m+)#Ps42bF$TP2{U*cCQyc z$4n%)P7~+L5?-ggN!wTU@VR(&|B}@GR9c_#8NTJvQmo&Up-xbMtiMio@WI@>o@L)S zw#CrrRaX!dEe;>K>W~u&6SAXniS`!I{7PFy^Nv1P+1P}C&GC%17a^RP(!Tcv1$391 zqUEV&di)pMLN5GydgDU(2^>@<;#Z^72ymI+o>)sdNU3S5JwmMujJ#Bz&>C-=O zWzqYp(gp&w+v`P3%+aW;N1Xb^6Fe$jnsI5L9rB9G;SVb66)QD^~bO(^`BqvLc@+sHfS z735?Hhg{#|k~CBS%_`&VL@qMrH$rnA{@YfjuR99w7B-Gno(9Tk!Iq_PydSg=JLrDh z=3i~)O9P1g%+B=i3u`tRJr_zObZkaMN$}0H_CmcX zR0zzHe`#=6)ZQuOqVmdv?LDHS*Ff~LSE=!<^a-I5{UoUa>ggIc>P@>;;^B#soQw3r zuCkc9943L~FOV_4><8NosSc1nD`o~eX;OxieH~YmBW7vuxZ8-XV7HbG!{!@nrHHfe z{Y^HYVglw|waQKI3-wHEA`mvl^Q6#{$tz~ddh#;`Yz=lAfamZiTvxF+u{H0Um*IPl z^$fe)z`3pPVz>w{v}y$r=&$05b5HplaW*_Tv+2lKbsK}{SrvIe4f_AsyUVCJ`fowh z5ZoPtyG!G42^JtgaCdii_XM}#uEE{ig1c+u?$S6+zyC95&Y4-~&Xsl7{dCu=ZhlqW zlLN@cz-p!MP&G8kA^Zt|zT0oM$)%Q|tW? zrcJ)_+spWq9$a&4M}*IN+ix}|8CgDdIJ<5I9cx~#CvfRH4`W03qy^A^@8E({N zJ&)E=o**!dO}m?iISJ^Mk5I-rR<{@6ZV8dg$urG3P4ixPeM#|e-hv9Wzuj1tCL?R6 z7@niMUpo&9L|Y*gHii(BUxYl`xIwQ@w!zs&Mbm!b`m_cu=%rqGY1Mtc<&K;$_gcJf zIe$-KdD97pUupY<@AuH_9;UE%DSYSDJ4OQ8e)&es@D~8(id-DHF4ZM9#}c|OZ0E~# zv1yj`{ZuCR-3;r@(fCI2Z3cTuDkWPZ?5En&jg>AP z*Sm#a=27*0fqtq!gBB*^N3HaHm2vED`#wCq*!D^HWO?-=h$fgOF|V^}>+jn1(YX9%k5?9&5EPAjX|8$N$Df(Y_eHBq8`oMm zB5aKV_FR+YZ;ilhtu>viyDJ2-ILrYSCqk2L;6<^t9o}Gj0$uig4x{c_u#*S#=MNYm zwv;=wn}Mb@hAd~OE-_=`s&^FU9(P}TjniDIm8x&?UZhQ7rl0VJYd}ry$6tOd4k|+s zJJfDa)T+uBI)&ZfQCS-1z>weINEi%d0T4Aee=me4#TCf^vl0 z&wl!Oj_Ygmpna3I4v@z`;rAMVEKy$46fvmYltqb`8j;HAg3=4p*>1zMFy)M^PBM(m z$-jLo5&oqnNzOwsJl5dOi9X56MZn(S{7-|H0Dg*3PGB~Il&Y6Uyp1|)N~mrFG$%R83;pr>zK&}bjx{EOBRtR=3}~Lo&)BOej`ll<=050bwu&j=c~$wYpfbPZ_|^P z=f1(q?T;oexS7bYHNbssp(7mjCIkCncJ-pFuNQz&A|1pBj-TNbI1dC;A#%zEs*3q> zYqQ*j#Fh;~ugZaHi}HS#@GrZ2+4}L9CJ>9%U#Eb`0_JQkdcjV9%dm!oUVO7d5IKGN zpRd(mO>0n1ee(_Rj6@l%{D#p*JS>s^DC9!C41GFx98N|0BdUw)?%!glezJk?FLFh; zeva-ZJdO39*5lvv2H)39osMLO*Eeh6-W2rmwFQ8!BKo!r+m16|eIeczZrfUpB1*I* zBKpa5fj*b$fzt(Uw$#Ur9n!a5qb*+kGRTMA!nr$cC7%hyJ)N^kGl^7P`pJuU-@B^# zHI?EhG29~H9pPFp@gXi8qAPs&ZQbc*^KS&C3}4lXZd^yTE8U$=v||$H#6k@mOKxC~ zgBxMSpNuEALS0qpsqv}-%Y=U z-0$cwu1a|e*k>}wp5C-)CvpoNW;%}3-HtapeYL?cn(lopPjG83eR{-F!Y><%gWg+9 z=Z)U4-oDApve|%##KYS)&I5Yya|Y_%DXWyZx4(X)dps1NG%nZucKQ~5*G*;db)=0K z|K054=1l>KbvV-iv&>_^k|G|+?)%My=FLd)ZhVVllrNF*f4mcuFPiUGlJz?PRgGoi zu-42V6>ALK9+J6gyZYBv^+l(9iE6I%FKrM`q#6 z_SqVc2+mDmaI)zb5lmD2P2hb&oP^C`bTapE!qXKwmfz}MxcllWcde?JQ|?rm6e_es zkWb)|#q}VX30JR z$GW)ojCh{op;{eMm$=&VQk>>n7gLsRUE!yoG_4zf^n|>zzV8cnI(HeB$&;FFpxc5g z6Dlo!g_y}Drf6rqdjeNBSYy&AiLUGYr$qa_Xxp2thw6Elmn)XZ)~|bAnrD~07-|;8 z(`fP9Yj}<+J?2tdFy__Jj?VMKB83s~S{U2i*@i^9YFgjU)O}ak=7lg{e(op3yyQnd z+9Ko-cUqjIUm`zN6#lq~<{Up(yehzZ%|!O(B{D3hN8-ORJqy-fq=E7;IK8XH6z~2N z?iwWYb(B9j{7*gMW0cP2SAUx#0c4)(v^K&>$d>!+nk7A?EXZcfKwd!6smKVh&nNoI z<%E3FWftSTi+Ac8A4i30l;HdERbrRodsWCWdqs3L*+rre9N#9wXaf1OSXhxu1Aksgo7Riu~>h0?h*zY?B% zV^WIZMbvqSH0FdEDm?Sl`tSSx=?G_^Eb-1=S|zZje8pkk@SIX1fzHF|7=SvQxEr_Ltx|@5ZuE9It*CP3}*vzG&mX&&g$n!ZQ=ozVIsT;zd?b<5#am;NH8fro{ zbq4LTuW{rhp0-Ez@=nJGf~9ws50+trnCJOpW$^MttLNH<};8(Z8Y#FWPh$Xpxf zN;{a50tx$k!@tmSpfNNKwTw3E4fl{kzgeWz@nWmBZ$&$WkTP=XJ1pBQQ;#3_AnZp< z?sqzDzSBLcV#|C#UB1r;ELaq|W2{)qazDE9&4l=H0ekAJiU5}eJ57v?8^2@xF?piDz^snalaj$%3|S`? z@6P*`jI#Q|iQOSQA74ag54*h`Fn-M=PUVff0>QH2=%uv$3H^%K zm1{Ks6hf>7LkR0r_V%sWphC$Qo8WSj9OUJ<70pW1FT5`PYt%pDd6Smk4eCQO@rC|k zycf!cXULuKrrG)JQBb)*Mm}>JNOm^sQZ3)w5&pPVP*qpO3YhnPNLpg~TSR7ZL3Th0uFYr$i* zA?>o4!$HaJnJadJnicgl9oi>vdxvsjyb!I;D4cm7}Mz_%2v(32sx1 zC8KU%dbve+D)B4fTV#PQx5 zq4#f%7jUe4#%v@4HIwhKUKoGRX&9umF%>5v?pbYe`uo)A-2>k7N6D8R5K;@u_d2n< zfh!6tJ9dBIUCnzATrQx1LwZFqhHFZH9q(SqNB6w7Yr|p-);;5%G%bMW%c9aQ8GeMT ztkRoM_FLZ6R~uB<$)N^Im?y@4QTx)c6;Agy|fjS(T?XB=`@tl*L$t*q?a%kwFAmS>PHrcvH%eX`%n51 zG=sa|tl)!F?CDBLQyt%wsx-oFx^nw9d0xq-ht}`>EJrbYQq`o>NwpNg>DdXbud`vY+Uhd%YM1ip!X3tVztGcKN5GEi*Llel#34jaK)Gh`(`@xRb3`s6WDe0cf%1EjN{I z+CV+K%TrtF4Q9>Ag#<0xW)sc27n$UaVQ#mm4awA)+H1rJJf?af4jO&T%nlpq1W_8~ zJ=4!Lgui}9*VY=HLJjp(@m7eD;bZpkQjxQH=H@Q zKeM=&%fC%lPx7gDT0skg7 zZdz?++ZmAh1OtiN0bOLcx9WqKSNIPPe=pS42j`FSHB`={OMfUpWZyo$80mEr+ytWv<@m*B=PJxKA7MAP!n?*}k0fN7h&++-eaWV=kEb`P*K9Xp znH+Rha8|fgUdIOTCfO+-9kkjZDzA+GrV#ox73-OjP*nahvBQ4jEl6`j_Y&8ttT?Q1@lJ*U8k6Q$g;%m;n=vql0eoB z!Tw>y8*^k_tkCbD;G6lp<{7lc?%G;ydAvsL4B;tV6=G#92s zJz`n&GNY+NeYx(eg9jSmr9JjN1m*$}5fBS&AUjp=;#Y5xA+M}dB6M2_k0C%xN@{}g z)MQo=8;AY*{c@%{euan`rf6!Re76$;nr$V5 zLZ31c9HP5!iyW1|Sq?O!O%(xyPfT{f@2k6=S_P`=X)8j@gt0g~JM^FoF7yr?ih_Z^ zjz03=eqFH;mN*fmjJg-z4ERh~)MY&2Oo^n<}}yevVnH&}>(D;abVf zd+;BL*>}P;I+#q%SBL!ZNS+TG@`hRbqscgs9w(rL@#omiLZNv|cXm35BvoL%(zUuw zlgnCpqFA%Q(!OZkg_9(uqc`_CH6_DYr4FVqg5n}>vmm9!=zjYdjU zap`xPx`Iw2)f?vD&_ZUkYsw_{?k5$^?Uh`l3w^(pI*yNiRzX)kFaJzQJRl;Dx5+&; z;VuPc-nrE~-pS%hmz7qPSMRc0+N&Q{#DEOwekiM}D>G~I3#>F7Oech$dPpXG@^dRL z=v4m6GKVYJVtFfKl2Wbf`4mp~BEW;R}IL0DD8IUn$T4#klbx7(`!TB3{oEA4k!_ggS%dZO@g@ z7$Zy?xeiGipqc?@4c|dUj}JcPzpz0&4#V6t$;niVc^za}X?PU_MndfOky0x^H7ijC z7#>3BF7V_NZMaX*!yMBb6arAF1Es^nugtBbDFw|MM|L0s5&g1vE4hyYLbYBEzEU(g zLY6tXx^OQaq7(0hJ$CNlZju*i+Zw2Fmq*_ScBIyt_naK>c!rN*IGYf^5a+o6WPzhD z_1O#_KAPxTyERXHBXLkTprxU?L&MLk+P1v;WfdVO6Dv9aT@IU?IS@t*NzT;}ym*B` zQnh^4et6VAMUwhj0<~VE_tE%x7K;6*wQXgG;O1)R8CK!Zz`hR9sQwBldPGuy(?ABA~^b&!mf|ZE;XAO4{e=JaioER{-+x(jL2pw zS1-Mpl~QIJK|8Wk$r^L-%zhB1&hINhqTV*N`V?91*$ZcC6O=GGxOvAqyfZWpOf(B0 zyPT=KVkx3&Jf##8atjw?dYW80$JJTnwXeqQ^KDyR>QbUchb`(CKIR@CyU(uuhZlO! zwS1KsYwIUv*%xco8XwHe?GE2EKBCbMFU~Hs@SPefvw+PUZI1o0T0(wNu+4U>BzG<8 z1@@tEn6+VJ$;{qwG1VP);IBuF1sCz2#s18Y!uS2zK*5;PHPA)%f{&2_DvC9s}!E6VEbm60GcNX6>tvmvKMhA$62g^olw zAH{)=+!$4kv}s)Nj6Zc&(51s<?HS4$-ThDDvG`JF z4FPP#;fEi*)t<}VOcd=yef91=E3_Cm$#M}dy2R+_t{SQO_gL9Kcm|72)x z-?L5}R>xY&t7s_lSUAvbOSZ`6z*?f*&-b{S5?z-{1iO;}b`6VZmvp**!B#FJBvtdJ3y>!Pc%+Io>zscWIH%Gfkv zUx|SY?=aCIpS#p7*3W!8f_z|3+(t+r$Ep#lUh4}a{_0-n-~}xZVkM7z*d-pc$Vy#+ z4{JbicRWYLOK#zB)WWK2;c<@LdEOMx(r&X6oCBPlU2QqNNd)TNjx6rk*zND7KH5k~ zz4&^QJ}x2q*4R6DP;fpamZ|L0-L@t};ejaeghMIoSc}F%w22!!&9j>ErKCHswqh~8 z5!CgtC)ImSO@>Rqx4Cdgvl}(r7I`q2F*kK;i?&aSZ7X?HRMvNhY_|v!NJ>QK8K`{Q zvp|2#lvH2JAIr*48b;4?OMl8VyZ`!((W=v+KELdnPX|@7v&)=U#^9Y}TlRyKU*L1D za8FYv@z4~B1)uP}q+_v7;HZt7zd91C;Pf>TuMYD#CcZ}Q)d4y8^AC?V^l}KqsiYsE z2xMCLMjE-LuUtblJ6fMF)!h7Tx2*GO(ea$>@j{4@RmtJJrQn}zvJN3 znLMhJ11OHSb?CSfGXf(q80Y>jLkgqS57m2Um7G!u6eYS1&)fgj$|6zZQ>422egQfv z9(fd)3q;QP(s1;_ajeuWP3s7y(gV!W|7ez*j6Qr2yozS5BIyureoa=9g;VwSI|VGY z_#noz{nYe}J4L8wO*=@fxzat!_))P%a~$4Hq+ko1kBfu^n@pPEGdxQq9%C zBCmwa`D=yhH#G&|SJ4&hwevUh+o(TSZxqt(ouBFMO8;0zK-sm`&6y&87d*ef9cx=F zSfNfg>q}XpQ#R;eU z?e2;Q1uBek*`jcdXZ*7Sfb&a@6YFO~miaZf%BCu+yB&;@lE}%(+IJP+q$-W^rMmoh zZtl7ZbXpgcoow~a@&o5C?h@;w zV$#vX3Al3@^$uP=SYw*`@nqhdK_nW`j6XdzVd8VYU}4NzM?y!JQq+}~9|dNaE+Xgf zuJtv?Uz#;HWb^^6YOfQSDzROs#TS?Iy^@SdbVc$FT;C-2_6YO}>B(L7td@>_`(DiiVB z%c9BG?1hg!gJD&D?>;VTwX*%m^TbaX$07)9jv0G!cWSeTB{SuoMXW$loFGmzZ6EFl zTS`PEHT(i_$SlN9@0MIq90xiclanYxgu>uArR1NgfE>PP9hlLBq>`Sq;)3O5 zWw)W+In?$T{+tU2tN2U>v)@ni5d1liF2YbW_3WW!G*AL%F5wD82K2&-91Zbs`JcER ziyV?JN!h$lnk*^pe1i<#`{Ky~(KR$2f z8?;NloTr}&_3ySoq_0ud{x`>ix{1vTD#G_KeHo#bVnozWwEEL(c-f|#-=^m6AEyv_ z61>{-pt-W>-4`cXb@M93{!~TLYGLW*v&&Xgot&(ol&o8qN?Z#Z>DlVMd{_QHy7L)1}DrQNhLOr z*g?EX6ofn1_-Ele64tulksRV>n9sw82WoA)F+bd(38fh7DF@184H41IewHXplpJHv zXZS%9s&P~hE_1N)w%ADcf1tVR-=D*{`8Tz`uQmygzJr9+h5oECs;M`#L^5f0JRa(I zoDNdUsuh;!JaC4-s#d=q%`=X9<)~X#%HtV_kfcscLQsl-BYp#OlFtj8nM8h7g71+f7_HC#&p5OZ^M%`2n!h0Y0NKCdF zcCCJ~GFQK>gSjQq>h=O|JwEnj`RaRY*$WHZ?Vnm}zjgK0QHufmK~*_bI!>OpLPE~l z6c5){_xp1qK5l_A2}>{(XOyZ`(zLQaFu#HLvkKj^sRsHvi9i9h5=Ifnm-J?twk>!X z9t)Xbdh^O{5wq(_E_FXz5e@q&%{&TGHpfVI%9pV^e&J`^+c6ly(!^W7X0kieGo_4> zC0a=Glq@b(6zc|8ZJ$)b12LHz4IT6-DpBzabzI(SkbXix_Xd5cu8zDv{2V9P)|$>U z#E*w6rLok+Z$X6#Rboc)gAL09&Y5k&aXpi0E5i5$nGVvoVu=fn#?qa~Ji~I?}^>o+nj5BuA}8%bVmX950+zUr!a41+t?(itbT`@7sI==TAf*I7Q9Z3 zFrYgYsGMKZwYvx(bDQVl=AzB0(jKVM_s z{9gzSwMwB=(k;pM=OmS6*gP&ozYMj7ELk}4FQkpI66^^|pq`yvweU$s;ddEsM+@cn zZ!JzSJ)P{)1Aj31N_d@5EI7KZWC_T3xM})>1k@`xs#i#bMH)}m#5f0StEzl1_Kahv zm@5(rPzN{Iy+OlUf8UuZbDI=CT#k3f%$6GUDp)e{@}ey!5|u@Xbsm^%)2X-@;ZPH$ zyA{bL)uko!#cZ4-{}vWTM_xzY+K8ATk~nzwp{`<9-&u9yP%1y<3XNth!6fqTQ?j*r zWb0Zulo?4C08Zo8o1q)CQRZqoo0u=#@+{SynMp3_jkm7N=r@%k-U8735ue8qyF|CA zrFh=V-IM^SF%5N;Duses*I*qkb${%{S{s6Qu<~*59Yc&Tb#{#4se?cP{1Klj@66iM zEpUMtqQtepiO ztzgfMir4pKXp#LmQf5)~o>8CSVD%_B!1bsXEl%W6lE#!|qIae?^^$h_%Ygb#kXA|4 zpfr(xd=x9b|Ftyw%fxWLOzJ8wYrKp`oC(!L$+nL?5_z_%3lxlR+E~x6bL@!&i}Z1( zJdJ{3uP>rwM7@ipH4D+FE^}FEyas;m$G$g;p-EPhzH6(XALJ*9{F1as94fh~%`V_` zZHY|#ydMTt&`Idx*k8n9U?~=pan!6r&AaT~U8!#e!)iN#d;^g)OdJB7oqtUe2LdrV z^O)q4q*c^&wP?UX;qm1DyMBU$_OoA@=4DPI(&&r~Zv-HnF=Rz{dm3eL8JS z;Ztd0-&XC$%Y`idf#&_C=hqA!ixJHCIh0(v`aqLjhOpDN4A{^dLlU7H4t}|7Pv1_8 z`Mh@YQlE$#Y7+;ODT+$53S3l_s1VO?`*-+L8vCi3tKmZjbxbbW=NWr0r@W{>A+9v& zlbfSsvD$rCkO57Hix}_GGe&1t*Q3$vKw`oj%8b?;tMw4#d*nkEeN-8}1Y}TmQbAitx>kj!z>N{VA4YNu(CtxQ6Jw3pLiR#FPF(RTM z#8&g0`?G{(6V?h7%cOYYH>d~9x7Odyv!5&XjAS@;zuOn{XlNqQpz`bJx=Dh*zp-;< zwx-_Ip{?@8?2?nzVy$@ntY7oU7{^(gtZdM=-L+}boz_hG`itV$tSgY`21O=Ds$ffX zh6*0z&vj}qg1xmc_XPz(@J}QOX%0u-9X;M$ZurLO z*NxV`GgRIeyV4{OfVbOwf=0)GNh=?BYQk!Mb( zv~yvk+G@Os%32&g)d~zkD6YW2ZRu@)FH#4&%Y~Ip5kN~~LlQd?qZ7rQoP;2wF|OSs zu5#$xxw$p>$+Q?w1cK~IiT*jq)NE7_xABG6HEvngbR2<5905}yA7?gP1UBTB8XCM9 zkvR+^S#FHhGL?GFMvIBE3U83&9AbX4Zh)9osw+07O^ju2E?2F(I9oW$pm{;6d(@_! zj-C3tYq<3|b(>CQsmJNNnlCk#e--Cf8+V0jK6m#V#)+?>Po#J9pvi9vkBWl`vJ}fA zO7rZ-pK^l|M-u0be_7fi&aH7|l?PmuD3o*$`l9Ce(+NCaMbe4H40<-34-JKP)qv8g zIV+x?p9gt3xtblD0UsK+VjKA|*(yHlhCbSh&ett*K%Toi#^x&E^7^LZRQ5>7aayoz zN4GhPZ;iR%w#a4L*oW?v4zzyK#qi^zK( zkLIunJWXr2k{f&wi}h{pE$lApRq__H7Q zJ|Yo6V3zxa|A(%AOO7>thaRuop&}2;++<%YQn%;R)1kiK#lF9xwNa?tSHj$WIfmm) znd$9X*^X`?y~g05zuKnZCD`QMozUdf>F`gXT~w0aDn?1_Qf&<5Bf(b&wTEf6hi~9m zei^!beyjbnsMCg+oF}x|Gz#NVs$Q;hy1J79TvIE=bT$#9j=N5Z>KZDWdYCi=R*_O2B_H@0j?-DU~o(nsD;G>?Wz4+?;_5*FUKBxIj z57WIwKkcp_=FNbt@6pEUcqgM;XRa!Qaog{f*)n(t+pi#`96Vmbo&(HE_6wgn&y~p% z1n0v%9pDuiQQ#wDv)GKAXhJ;)B)Ynd!vUvO9jqP!VZbTX7` zZ_A{2%Cy>=clPc~{AR}?cNa;_@_3Fj7!GnnSNoJ?P~enYlUKO1k}uN9?O3_TQNq=S z)QX#&GmJAbs--u^H_%@^&UU$ae~MtHpXU&tTt9?R`O~@3t?Ve!XoF}(vR++~a%>Qu zV3a(if|A{S$JXEa=qL$sMBVyEzZpzo+4g^zt|^}wW;i99=Dv5k265j7@)j35A1OA} zs?>!W7NNK=222u+^X2t6+`>AG=!vPAD#me4b9MHdEOu&fm3V1}GT6=kV0+2+()?{z z<}zk1b?LT^@ zY{a=x>!}AL5bg0|E7{b|D-+7Vr!ed_^8xlR!kSHVxQ6fWprFSI@V#MZ!7#>y8K(YZ zc2_k*>VwSVHgdn-&+NPF{Xcj+>#lP59+*z8N1o2oVxhYqpf1|HE%mntIup&8bQXRn zV>SBC?L*pba^Ew)%WVPSh1A*vB0rx*)cl$llQEnjSK=FZdXdonNgprmC>URRIg>eY4*FviC+;XDz-M@IIPHE-8v1DawOpJg+5WeEQw(e9Hm$ow8I*Stz9BT=zu;W<2P}w-| zLVt2{sj4Kha%t9Wu~piQFp-WT7>INDO^^rq^4XGoHH?n3N&2@y0kGeX=eRM}# zaNltZD@W#FLf`WfPK4NZ z;wcM;EeHOBCfL~=J}xEflu5=re$<|;Z!*VvjdNbvGVbsR7RODcx1WQJN3UTUFc57U zzNwW9$v`RfVAe^V$u-26R%Gk56%&=Kn zd2sX&$rvEq)2=9J$~eCdalgG6yfpY(`zL{JL``5@6dzM`(h91PjA$Jmu4D|~*(^(4 z07e?P6P1x(pBO_E`)%r+fH^qeyok!m6<$)@ng0EV7A)%$XuntyJXkY>mFoQQ>?llFY`e>g-P{ z@kjpA>rd9W=C!R55F5#cV{S(}O}v__&4Eo?CZ@1uuy*z`5J;0pVt3166}{}9Dt9on5C*N-N0`$e+Dk8-{F z)5$tAS0xRPF+FPK8pM9qv@&QDgU)skH1Nf(PlzX_gI>?Zlkqq}N%vYC&obzS;g2-=#Yb>0q5xEud+ z8JV&b!?hD3*0Dh1pwm&X8&1kipka`x|JbkQv|u{o{A!P6`YZj{aXp(JZZ>3_KAt*m z{qW8;_JYO~3JXR*Ad)@zWf$z}X6SAm@!H~7mvWcRQK7GW26F5g*%=mlZmcUnX9aYO zE)Ln>o1RAZUDu3D?Os4~MSQu3cC1*{nyJI)w`%YA%&y1WTTM@LpGE?OxDUo2h(Vpd z5&tDC`47zc-$A{9k$wL|>l3#$Gc$EEwKFya^L*?8X9q)LQ!-9gfP*1;ppB`S%fGdp z{v{%Up+g6-E@^K9CLIA@rcU+%dplEri@QC*#lp$d6kulW>I5*ebTb7wTY3PTP2EiG z0RLhJ0d|&l;8NjSfG>cr05O0#Kms5MkOF)INCRX5vSd6w06Bm>KmqWBj28^L0#pF1 z05yO*86OY85MTr_2ABZABL|p+IYlmjCHR>aU;~D3Spjwcdw>JD#R+f%I0IbB*uX@f z2bgZ<1}He&7&=?%{!gmlKSdS)uLpsH8(?B@V`J#_&ocjGGXe~4!7XP)JMiNF*8u_; zf|*VyOJ{3^*09?2N3~E|8-0Jg6Gb#C~$|1n1Yduk*tot$07EDXW+=zoB^|E#oZ{}VzfBm0F>3~XK+ zIa&V8v-}S#^B+?3-wId$YgPY;o&@~+`u@*r|Gn_$e-HPz<{`)xoNBbYx6z71-G5#2m&G|n|he2R4!;uzDgLu(H{ zHIJ({p={8g2E$7-lW&O^aNd4Vad8lESr zeqlG6m2f(3qZoJ3S(VBEE-{NihNe1ER=Ofcv)n{N<4=OrpL7j6&lp$vN4=p>+f>nOrG(~ z*1(62gmckvHX{j*my2f7n|nffLD2}B(#z!J)1t?*P1BPNI<4x*KI&%t{(c-F(uBzc zzC+#YLrD2Ko5l;H@edAk; z%R|n^)B9!@TzvZ_qae)t(?tH-)dxl2xrBRMBuP+&9uvc=no2`w!%uaUJ4wa(0{D;e zfoi&Z>@O1jZRaQF{mmbq8;Pgmr=L#M7CW0Sp4%>$#+KmV$+j`KMSfKl!b!gb>O<&5 zmAebNNj(uay8C%7g|*{ypRHUSgiUe3?$b8qnE0_PBV(uF*fS>MtzEpYY>ayQ`6)ba zPI?nR__+h29uO`Be1SO^-4E|l7eJ>EP|o{V3GK+~h}I1d{PWMJgTNA!<@s~MT#{uv7ZkE4))X5CH11aLxTf5_( zqh6OhVQYCmG}ycjFmBWf>uC>z3XFR!7J2+}qufwG_t&sh+%pQwR1b_?s%y8XS&0OI z5_S%9Cn?<-&t6IXF3HObC3qwV5C7t9FY^w#E|Z-7!l62d0Wps&J)sw8Xxi>k6Nwl1 zSA}xc@IDT*XQvRPOcd+z1ApH1JBO*v=!o%~i^$j%YGgtBAFp9vOW4tGt%3Iw+}Ya4 za7phm-#&d`&hH{SLzB!pCNY3~!Sa+$QEA-|&^E)V6K%_8#^^C3SJ>Eu!7h*kBRq_0 zIHXE)UlYYHR6a=;>dcwD2; z3FInvYa~jx-jhV2QoVbc|G8>TkooD5*)jzU#MkNjh3<%JRQKn@;_e<%%c}J9m+|0c zeuN|cY#NS_=1BaTLpPYcAVdS$q3#@hoP=*ZM{OlDwqKyX%qp@fQecTQ$|Y zbNjjL^s%Zo3#t*ds=8O#z8WU;h4}>(xO|{>HWIyT_DJLivH^A8xxNPAyBJ@{)yYhl z&z%CT@;&+kzk7HE5STndGiu7LVFSo-W3opu(& z{Z~nE;a)}ko@h!xzwM*cO`JQlud}H0x3YTrIa&#(N71}FdSf5O-v8a%O0a42geI{R z-dRI97tA-{q?AK;{O!54BsrQy$$=h78Q)<1i9q&P9D|DDn<9YLD4Mn>iLErMH=>1g;0}U>rhgTgxJsGr7(R=dzgWaUS)%qkuW}w4I{e@n zJ{|F#Z1A@qR(PzvW?bQv!TXtRVvO?(PtOP(Mn3Td2ypUVxwQ?+A_3wssAe4B=2%;F zQpb!f2*_cFNU+KCbDf4+)r$-6F3^@tk3}6Xeqr7fiRi7RTCaTR6CP_u&;D=By<>bQ z&DQrDV`5DvoMa}pH8Cf)ZQHgdw(VqM+qP|66DQ}Nxv;N&?!C`*pLfrT{xqtpt9q@~ zmCnDv{abwFy3|oL&`W%Rga?6OCw%O=$HZ3sAJNb=CC7W$lX(RV+(gkkhNlRZz}R_8 zVB0>-G8eKk=z(#Fa0^*zkzB?-KaSgnd(ETR#j^26t+6n0lx_+}eVSiX163%-YNSZ) zHJ4@NHOF@S9<-b%l9HcJv+3Y(Qf=O#US7&6E>VYL``M@NbpNw4ck$f^++IY)W@0%e zcT`Tc>aI7S`N$20#3n~%rM}kM2zv>8e4*o-L#JrM{G8H_S+?t%PJn-9@3Adhu4pa z8sA{u#7`Pc+7H~nJqzqz502;m6jx772dCOksgDfd;^iU=U2KYXxtP_O<^Q_EQc2PJ zL0uVMk2o^;a-&PxN`~tTu3xY32;yv#wV-=C4SZ&s(+9Ok$*I6ie3vjs>Kl_VpUIG# zy1^oOE!WQ)sM_TuWS{4xZcmYsu(;2;i3h#4M$!XhXj{Shqk6^+z0Ei@$^7$>+tPt) zoqlJT(9x%G6CFrxI!|W6k|CWJp{Qxd?>E8;E^u>Xs z<&h>6CaD{V=6HZV$BNcPF<@j&tRz0O*Vxbu6(g*_`jy`6?Z@ehWk*VYXqB-NQ}<{M zzZX>69t*^2QLL0PR2h$p)wlbqH>r4t=_=bPG$WGPePKLdOOk^yU>st$=8m~Brmvu9 zS_jT5MGE zo`&7lpGXSZwr5Cph~WL|Yr;M*9iGz|x&ILufr?}+YvrR24@&#^+r5g?xBGQd+j~r^ zN}Zg?x386N@u8XZF_zI+j@c=;j@c0?fM3i?(<|&xrO)hb(pwq(iF76&Kd+H`M~y6AIP-vaxhJ)LanN&p z*;F5u1Rk-O6&?589aEolx2kZcu=e4cZ0h({0<>dDjj`^_*{TQA5=;SZpu0G-AVsk) z464s>ff8mN31fwDI>8R};?hjt1i6S)f??PKP7@z@?g8Pk)0o@{Wk{8l&czI-H>Q5+ z0jADYvbni$Rb5u;f~T-w%KBmM7>htoNo1Qw1>EZgF)az6ZohCSH0`Z}>SITGdXPD0 zn~%mdl|i8H?}aK+VQ^?vCaZ(iOfjW<)vCn4xs#UabxN@yS)R;)RQCbnWU_$XZ8}K zm+kkv6=Rg7lK-wpmV`Soyp#4Ji1;YtJCZSfMiQ7Vhp;Oqm4dtC74#5(reRQq?!71y zQi@6*5-yQWr7DBkv<>mV8R>qFdEeSr5%lR3Vo9FXYL5=uqx#^@0O>JabPfCsq#AHN z^T7|$u?E5DS8v**63552!0mhiP2 z9NO!#i&=6v6~4%?S_r&Mh{uSeXmW@y69PSY8mTJ-ComZ?H z@##B)pVEo2v^J+CaJ?($-!Lk|dh*N)w1EbVP@b(&5RK2c8sOMXIP>$m*_wR5B9+J? zr*%MmdMH!BMf}B*Ub|v480H4Pl*VVVgA|+LdM3E$94bh*=G~^|P zHnGbK9jgddcSUzCAo0z=`VcxV`C?}D;X9N$%iBIsj@&&+d@XRVu1wMfy44YNLcT%a{9u(w zF>UF~dYj+kJ+m;%IH+B%R-mwDCOyC=fGBEoO^ztQ&E@tyYG@xjKeQ?&>*TMHHJ*@3 z{M|_(KU3l4BXDa%korh#5LGq`*hv9DMc^jFL~W>TdNkI8rXq8xJ2!Loc-)Q$Ue@Tt zXDCfXX_qquzvs^$^&;J8#M;YOX(1*!t$b2TNOOjA^b0VJvZyDTmulNouT2E?yhG*# z<%q8hBFm|o_}OeeAqZuz2&)pwtlF@Y}i`>)@HrA>Kr)K{r-}Af0eL-uo+=kV2#03AD1b6 zpfQzE4%T;B%zUQmgoRB9SBz3YM1>H{{)KsdZ4TxJYI&{Dw_<{eM~x@-JNCH^K2nV4 zb~F%Gk$eYmN)3hgr_TaKkM4-S$j)|-fNR1}(f}&w%GdhPdz9O41lF4H4URmn@P({# z-wB(OhB$)An+(Q>ooEY#J_qOqR?UDjb!ezbrv;9cqi)fyvyZDw^-xKvq>1C3=#Ze` zT#o@Q_$Le&g%eniX6{yvoJu`-53JDcEEr$9wnMhVwKCgcrl)B@YkC_H*=29mcHA3# zMVOSZLcONmO+}eBP%a{}A5lrUxb!qXmK5kurq1Myy`bax~L_%MWZR!>n+>1ml)1-U7H zbHWdi;LscwXe#$;507soOrkY(O5}=#0xYxsBUEI5($>YEmxeaEjH79>pjU(ReJ~Tx zb{UqigO3o9Af$MSbqHZzUju3=fQPqIt#Tsv3+>tuoF`@XrJaBp`#*gG+72J!27>3W z{#BpJT+L<;8yvcN$^qA2EfpSdEJQ#m9D+kC)zH{hj$EAMP&S9v+>+rEf_(wF$VYOl_a8T=Ev!uU^&)^aQ+YY$XKZuy#|Z&~W7_p{h}`B9vL zDFo9Fc%dJMSNJUlkdH;<+Oq1eu;C8+p22ppzNmy{R0Ic=jZe=&+R66?$eb30Q2S|e z_`ITne?_i@yuPwXOD}dRnsHL$D&Y!4+f9t^4?6Jf8YH_JL$*V3>C&loUD=%CtQFUl z&1t432L}&I6qEmQ$H@!^9YUiRw3=SqIuj)3%~r&*^) zgq19gxNl>P&jqg5tZqMdu(H=IKiGkLpLJaNo8q}Z8sF3!)x$6ahIy2!9>=pfISF-} z9%u2wQ5vX!oBD}Hf~MooKYVEPA|rH&F+|H$UIe7>7A``c&COgS(!})3O=UIBO`sx33x3OA10H9U z?3ba@n4VB=Cl>8hbN|M#+YsaA$yJ!v4AOR-8cMj?c@XLPAw=lM5YU!l1DHY|I(&#W zPpWw+@MWFuST|zrBwpUvG8rn-NvHv=uv(#x0^erhqfK3u;jNO1sZwIbkRQ2Ur`^fN zZ)8X07)2?13uG}gWQS?K3FE{%`!z6sN%x1FJaC}}HBNw18WQAQG%76zAveEmBU+ee z?-<$;8~$-nJ@K&U{eW7P;%C2uON<~0x$4g&JNN@%I={LYyL4jia*-xwT&|YeF8`Z9 z3QJy6Gn9tI2psGd%HC-^A$hOcSD5U&Mr1X=6ghD_YS2c=pt|`oj*W!q&>0M;02h|$ z4>jAFg+GCf3u8{)FjtUQ=;aaSCEF-ppo0!74xx_U~&H z6I}}eVozy%$SbQQq=C&+oot2}QA0t%<<3b4<=s6W(5rLxBs16HmrvK$NOpeVp8xt1 z9s%8o{VI&lzn{n;!=Fw1d;^sjw+aH`wBw(au~YKB*RwaB_*!s};hY;LhDj3w;|c+` zVcLt>T)hoqFPvSGC)xC8aLWfqhT~qAyKnQjp5N?cAdPBa_ z##jFYft*vxbUrQa(rXAv&2>0KhA&MrLjJ7fDenUxRmI_3hq!`%wc*aO0p(8#Dn(=x z`GA;F8X*8)Y05lln(KUBNcG_uA{RIv#_BRrfvu)qMQ+|N^H)_ZZYk1>JeA~Y+llnl zs9SofZNl!VX-UNXtHi75;<#{FJyoQn;YtzSR=5)JxNqZN)iVnqos8*BCtJ>3sTXWA zvkPjF305aItbJe>$cgn+@^?eUiFK{DT4(=IkC3@+A!u+8^UfuxM)9{6H(B7)w^f(T zW}n=o^sF{0v(N@NVC-h^NJ7{=UWi5%>p_Z@kBCo?L@oh#`JM#W-rbveZ-}TDc9`lx z!&>vVfYe*F!uX&)oXx>|-^r7OHDJT9RZ`_A&T>-S#e?vF!hTrwQtj#L?%1#^ylg1G z*c63od2{PL{k-im$chHnxp|=VMuYmx@rWa6exkgN1UPhypdGGrHb}+qqE!u{JJskQx zmPJZ*i0nvO7iqlA_H*+=a%jPaiVl73Q^Lj_PW$gL2VJ0hEDTIK2ykEdI*o>y;qQse z=tDPBtwmVj$*X;M44|ND>ld)_h~{(A@V}JF5upPmc)FJa`>mxtk+Y&mItOG$@u1PG zM0zc)ZVV?M`RrUSd&YWoGKzn5M{3zgjWD!=j@%|HW#V|FI}}s3uK_X1KF(uN&INJs zrVxivb4Z6fO)m8}B}Vr@=dSeDfLtSFb+ZUW=qM!+i{l$4RdW=~oQ#e3p7sW)X@IX( z=YON%6cq?mNyJriY-l)BBZD&Lajbab{!Go&ox7P{V~%)syS)0-qvP!A*JCDkM=8vg zK~u2LDbxM_xi-}j!6dgfS*39Ic9cR&y^g|k)b!|@AuumMIUWS>}$v`Oi zL463O&vXd`R5g>SJ8?iLZC4o+L~v?Lr8S$!CVb)u4& z?L7jl=0PUUChZHOm7p&tb!!Ge)CWw#NKwMtGoMgZYKQQ;O*ISTU_ZDDz>}UtKExua z^D`GUW+qP(!iK|lvbSlVCYdr;aLmR zE87f{CF+@(UYs@uZ=&p9MM6|>b8duP6(=j{>CfQ!My&;tJ0yGhk5V z{>jM(lfQqc0^$1&^F48#Re7f5RJk3=^ARvdW@z7ta7$=t`44>Rk6*$i;xZXonKy;M zKxip4*l|qv^gl7V3np~UW$lwjY>O+eiFbUvU5jqt!~K=u9-c=093EuRdB@J@hOD~7 zNp^n*ego^#pmpy($!1a|BqYjy37x=-jitTj3I!VqGwb^(uW2l+-Y3wr z$qhP{v{0#`panKFVZQm=PZtjKB{W7_H>{_bj`e{T)1Y^duW|Dwo zG-fG%8HQpwYu*kpqBop`bxFHWc%9!hA}5V!yX>R! zQ6F9xU+}Kiw=GO`V{8XJf<8B*l-TQiE6Gbx_*BwxuheUtZG*6>8|QN8P*GPfxCXzx z3>xNZKW>&g!sPxsO2b7Mfgw`Mr+kfi^UFrNPvu91B#}od2N%%(sO*yULS!t$WncR< zXdYFwJv~R?1^ts~CHVt^GGk$c0|bz4HaR26>!v4BS&0!>=z-8%)bjUW1y+O;u+TTcJN_~8KCs;V=Hf8q2EI~-ff+ABvOXTd z9v(uW9f>f&59Xh`)vq(WNy<+Yrp47}+;}5~R)G&dH^)d~gH*8bd(5w$tn<%a2m-I~ zZ|8#>dozBv@xOY4zyc}b-(mm9SC0QkV*8J@qpZ~L>;oWADG7iXkp(~;ziFO7@SWeR z&u_3t;ZLkb?k}!I5J2xT zM`8t_N&duSi~!(|#XkU)zu1)DMA9Dw%%AYeZ(8L~)J6cnxQGHkASnR%;sn5n6am>xlP}>1$BOw5^VhEsL?Ei)HyyG*!QJO!noqs_#(g29X;5WMjAXLDm2a=5Orh59$a&*8BmzkW&96n=CEkpV?#qSxn#azXFoU0??87gtq^dUiV)d z%ik$qmHtXJOUv@R>7R*anHcdX=>eDp9Uw{Yd!}F}_1~p`C5IK}|4z97Q7PJ5~>+i7m!-api^iMz80UY-i1Ev75Ha{Q|{xpKlKb$QA zaHko-F~6y@KRhM?h%sS+hj;;gk^@AX9w3Sg03o0Y@R-GKHcaG?2>h=+8NkKH09VQb zP_p0gDM~H%PlE&KnE!0>Kazw0%?AB{7@UFWpP79b0hkXZJuM)qFCD;Xv^0QJ#s6mT ze-cUm(cnzXfVcMVcjUX30iORyuIK;ttA7?ivwyt$Z*hgLATV@akBQu4r1iiyxLCG0 zAW~xzqG7s1@+Cv_usiINp0upZRLlDNzS${w(CC~{NZ(a!YYAN zpparh)KRUYt5EuZV|<53TyOI<8pvKfUg9d0yk(Wqf<-STep5Do;hEcIoA@eY{m%yXyDES%16KfS_r04b$d2tY}+5;t36-dEc1vSex;9$L0RSd$ono zwzHPNM(w&f-1bKQMEz#@P~Nho=)qL)G+in1OnDy>RNiv*QvTS!BO7~lB>Hy0T<(5& ztnv1^ynb<((R>$H?!0~8dRWK&_MB4iwu8R@NZx+2SpU*V?QwP5vvCx9#pO1+(=&@c z`uTaPGh>%H1LN&rgZ|o4++v)(DA9@*eOi08`&ng?3K84#0K50thswx@$heBiG$jAH zDc>|80K-H!&N{Cs8M7$4sVJt&7?Vgl(O{Z@V!1|bsFGtjki3Qurn-CKNARjQKd~II zYaGZJMoV7|TX{$oL3c!^4%zr21O6VrfFhcRy#3-%nWld9}5F_Pq=c#3$!Lme)ZZJBeHfpcOusAJqZ8MgW;xP&7!`z{)bN4LH=$!eijM#`A zs_YknMH*BQS~+#!h@Y4r3`hdLY(|r&kQtN3o0!(^9sKmh_-T0WcRr?ZtQ2p)9IxAy zc$k7^ z-X->|e#7l2YMm?Ob6+Di*0tt(daQCD&+p-l7_=b_q#W41JdNYg5qs0*KG!uRE-WGTv8LVsQYuvE#X8bwEP ziC(G&)5KZ>s*LXWuxx3+G>@HW=WbeTnp%He#OHz(OxjU#&W!40L^^`!Mrdo}V#J+K zS0yBTbS05X{Cje|<2tsF0rgbbxk4Eu(-(OrEPCx23Y*4ha98H>nOBR% zJW?m)m~l!_B^U<(<41*5TmHP_68Yl%IW!gHfzpv-pD(0ji6CU^b%r;~Gg_xAveM<| zm=u90cOz3c;|`!?7hk9^RW+86T@sfM7eTX^Cs7NX=~%)Mz%pzxM)WC@`;1$&W`)bB z=Kbh2vqEcck6g5OpQmmN^B)A{C*|bAC;WK^{S~o9WaF(TV-4#-kMTjdm$2$|8+z_N zcg?UC$Jz`UGb&bH``k2+u1I5OggJf&%vPLy?DV_Fl9(6GVKD2JMkeGr`bAT3diQw$ z9mi`k7w+e@5%bb)A)=Ot^{Da}5j4F969X2NJ-Y6}kPs6Rx}=Rv?&8ROH|aeg$Kyu zca_pVn$qRZ45lUgS@%Zrx(6oo zYR<3+xB`vdV->!9VgHXx;rrohvXdeFS$fU##anY6OS)=vC~6~UsH$Iq@X?%FO@hqo zwBtrV{MmoRos7os8AlPW>9%wE$8SZH&=F0G0nFCTYgQG+A8bQU65@;JlYFS{&Bp9=Ti_`F1)QUDf z#A{C!K;@^WgR6r5Wb{#CersuLjc4Q++ZkYQ&SXHoxV}luE&Zihr&e z$9}2_O$E%{#zt44W4P#bIw->zS1B0YMPI45N{|E0VxR;YpIp)kLje?4H_XxM_yg_% z)^uECVI39oA(3W-jD^(^?4Nddexmn5mzar~^`?Yw>Xf34GNqRc-Lz`zrDTaRJl?e$ zb*Y&0wpj4{RpA>V@O$4w@{kY>5iJiy1Gk{?%SS?TrsPmp!+}gFx5EH1I@bN@y;$U4~G#pVabua7cJ)zg->;3TWU+eqPudafYGn>OC2zho* z-4{6o7%&3_Wosl%ONR9z7rLT1>l}fjhbC0zvIRCG zq^|8hPb`D#A{RO&Dz7q{!%8h!V;5orO)#ZPQ}HtztSf&akJl5e)4F6bwEhSxp`{!{ zD3LCEk7gpER6u?!Ql?-4BNnBJu9zq9EU(&EqpzzE&SgloOrG1iRq6^}{wX%cM9zGY zG^L88japa6Gxroxk;ROCfy|Bfv5! zQK`I`Fk8+38DjP#$?y0|-8%O~XXvNH12i_;-ay#O0*~Ubg>vGoNfM>b!t@SP+z=)0 z4#--;Da77PnF@IMA!8nVe7mC!<8~Oy4Uz_Ml&_B<_KJ<1S(Q$p%?D{AG(r?p=sGcx zx}1ledq-Q@%Z;frl1oHLpKS4^3x@HImI;9R_!SAdQYjy65ZL0uyj@@1pw=WW1xiSR zZX-Or@Lt1xY37siM+&hs+>EhdoUG9p_7^6j$EQ{IBwZIb2$n$;{*;)9Z5+RcWdj#;q>|@T-soS!E4Z7xrt5MOxgJkreC*?Z6vzA- z8}rAOMsq@btCg1kpQA10`b3kAh=eC*v1)CN0;?>!P;C(WVUU0rar76)zE~wo!g~pp z6Z-)POX3FDijaFL)v#SDSOHBd){$LAwo+(+l_WFCH+D_(G_*6~vu{`qp>jpT=BL|5 zX$_Q9;MRz}(y=|pGr=y>T$o+qO>Tjf*OXLypmVywIp$5?)YkqoXh_9_->#SHnn=TT zvL^Oj-6Djs$^=1xj&wboS2YN6*~o3lXUG&w70GKq$f7FJT8pcz_a&U#w{yGr7pBfF z*K$@5>UJIY*d#SHY=foDC`=$U(bnarCn$V3_9tf$v1?QzulS^@6g7@i8(>V2YF=5V zbscG46{Z{w0xmh7QoRH!oj8k&HjAs=10Z}%0-by0rQP{!AV>)j4e}`%9$-fZL=<58^5oo!xRDZ4)7kE)1=OeX(G;sv zs|;B6op|Wk#K;gnMW)ea@mXtodOXMXS|>kE-IQVA9`}oZeW8cgMLJkZ4DvC(;|=qA z!dYQtA0;kmXK!QXQXdSn#Pr;r^WXBr5p`}PwrlV+r?>uUoDAWtuLfcTeG?rdr1WbR-+@sA|Zdju+a zJxy}J&~l_Erae=|SmmDOW>v{Vl9*`;SpV%sn09N=;HOJOeOSQm!InRRc;x6NPMN>A2r)0#b8 zlpLLIKFp#NHmMEfT`&79#N`7NJM7H3rvL!#E(h(kOW(gaLCJ-#ukCR)YEq{q5~BC+D?fX!#rOkRMRfa0{tOa&)@x z)r!6t3H^rsx5sE_jHYVsH|3ITG|pt@&B-Nf#jdD@j0C?{qeEHqd}<|D+%uH8csP`} zWYv^4I5pX3oq$ZS(a#@9UajBOe);M>b-pI0T`vzOh-4UPg$Z$ydITKUiIs@jQ{aI} z^b4oWh_3d)q)puNcx%@gKH_u6?{nxe$Oxs;4WNz6AZ<^I#U-w}4>%dvq2rG+_H`!( zU6OP-vFkhs975VKW_^kC=1drt;vGx9-a<2B)i{?6*yV9gX%4b}x{B++AV2G#VnRgbw&6L&D=^EHM; zuP>%mQ)Mw~q_{auvOCe;F%!&Gx7cnj$E-uXI*+;rT5#Q@^Ft*qqwx*86<~~`{%B9t zH2+yjkwRY5un;9fB1`J#I6pDi6uXVO~aIvN^V3Yh*bS!&8Tv<9cCcF|4;sR^ah$7MF< zw7Ehn;Vlt^PfOhq8wktjFEF|7pDhb=#tPS$&2$In+C2Rms;KEzXNacD^ZaW*l{xLx zyrsJD5qPM4BL}X1SlICvPE2^@O#p_5>4IZ0m@Q{zEYPmpFbkkH))Cp^x86fOT~xoq zM#J>ql=g{#GkTpAwWtw2_0Iuddy}GplOI1?HaDYRiksuz4ld_Y4-PX%@tlgP6at5q z#d#sVRad?dH#(RcbWGOD8N--Y_VP1Qls4tbw`9-mux&9~Vu5xZTjLOAEr)$P`Qo<@ zq+Xl(agGlnmL5G6nuy3`saI8DIGFp&MH|tN=Y)`IkEm$&tBbliuzo&)2t~gL2_*MD zDEG_PpiwZC0Ghd8`Z@@T@y=?VX)~xm2&qE9g{tmmpJBGrVU{1_e2fLg6?<37WM#78 zMLn|zn4>fI$V9QRU1V)XsJi8sQjj4Y*QbQJm9)oIa|+`javb!Y)^GZ=&^ z1;k>|P80o@0n(W)Je1dI)pJEFKAPizAVL0MH-bi}+8RJ$bP!=?K5Jh!r+vK_HXCOg za}Fm>i(dw(L0>qgQQ5FvNcKwmM%YRhC$`6Y*9Tu#qqMHRZGYR(2vgP^Cw%MPaV)R& z$J%^)IGpGt-%F@vEaDd-Y!jJ=Ro^E=CXyF9!jvXq^YAaezfBZAWLl32NMw+!r^+<3 zlM~_@d^2Ca8G1ljE4pXLpw2V(6=`poT>zb|a+PirbKBBpZsS%Ds%P_k)tXogr`Eaz zKi?F(1h>8F*(txOv-HuXsW;A{En!SK8rsrc2Fer@040&<#64u$Zk;^^j) z+5M!n!tWx$_sM7o>WCG1l_D#-TvugINt$9Ydf@WXmn#h^Qn&h${3_D|g+4(WzF|~= z6UI0HP&PgEs6>}_PK=;K1ijDG?Y6nX3g%<@(g*Hx;hCF(`&hp@N_EMPH{F*pS>i>$ z<~3*Yl63}Oju%QXin1ypsw$z5KN_TrRfvpQaIP>|SJbk&kLqEqeoz$@9j_}*q`Uml zn!D&4Q3pF#&2RG@?rS+N=+=+8?ez$h1s&TB)n&lnvnc33lf+ORmc58FaDLgPXFoX- zhK`_6W|nI;(zI#tc@=xK)KAT*X>ruSfxgaac}IM^e9y5~yisxpv`w`B(1DTcF;SDB zzF9?l{zy*Tw6KP^6oPdniu!re=24{bjC>UPB9*yaV~M)4JHDl$R+w*Sw(`XM`0H|7LA2Jo_Mf(Jhtr3M-I)D__hvLxYHE*nN^CDRZ5CeN=N$?u3cg6qMpwQqbdIrp)*J9WwT`8 zK63-3pgBB=Rmud)vv0(J@xyFEsmS8T*WQPZ(>kh!>QHo4@hT=TdfX-YHNh|D)N8qd z*6qs}b^&Fl7a$)`z9D#mv=^#J{u*!;^JFcL&nuQfH^H6;$h7_>)z4PCTGlU)jeqRL zF?&9H$elLnm&Wc_?xwW)idCJn@FR#vadTNN#gdkXqqI@JAZU@WM5#yAMVT@NW_n)Y z;vCn$REm-%`mi|lQb{1zDL6*y!OBPzpG@ABNiFDsDIr8%KZq36+!X!I=4P-H*IBzF zfm6iHZgQrotY+uC&v%d|e^Oi%8P@RArP$MC9vi>hU!~JNR&w>g;a<`(xN2T`serFp z%=~%2yLbp7%@s1X%@oF##PyMR!f%g}BCG~xSV;u#4_>&LmZ_e_K7~=@ZZkQ?h4LpS zJEVx5_82!p`?>50q@S~eZ!aae!Z*tl60r(dZAK#se{Ors&+vlT?VFH-N1L$EqP^W0 z^_8hd)wMQWRV5rN8&LCBF&w^isnpO>?6pm7$W7<;&ZtdzzRq>z)G$q0>eVps(HSuk zYU4jSy+5TiDQR8&Y_9z|RR~llmZ~~wx|X|{2sl%3#Km+^I`if6(>T3-{GJnhCD;W{ z`30g0yQLL>(UBlSFTWW`PfLkeA*dMEK{q~U#p9Tl-J=Kf30RuK6nrJgX_LDSL*xPH ztR?JFH=5ZdM+`z3^A$kCxkg+>^`xRw`aEvhe&Osf+A*1>nZUNBdd{;*CR@>;@Q0?1 zRx?6VK^GyNib?tH5Z11?E_$T7p=Kj5>|;FKhuO0wb4a%xKZy;eARz;;K&XhmZSKw! zqJt@AV)~MGJ%@w+B%@hI#A(d(Dl(Ye3V7F_iZ{rWDpt>A@^F~6^9IX0LWjB{nx8GU zwux=LEt_$7n;jB2rY+6)#Fc`VZ`pV-YqJ&TOxGRLI4=)yo8b+V5G1kgbYZ4{-D4?g z+9%Qr1&VsT0kecKL5f6fi@|?SBI*pygBYLeuq+WliV0mBLiQMk+_T)!Z?a~|;4;G_ z&DHT`AZ~MrY`54tVb1|Zx&&lKnkaqJ1^mm9zSGWpj=QV$=`&nN8b$r7=|dTk<+Ghd z_lXj;asBB4%xcFS$ai0wDiyDl0N{X0d@Q<#D*P@SKg{?=ux$P8h_r`ZV3QTQm+rOw zE>)uQl$A6`MuxNu+~G?m%gX93624M2xg!3!T(WsBOa@hy;Y2BgP*bPLpDu7yreJnG zRR;uoI4H*^Wk06jPpkDhYVPHB1bFzlqBEiB*naf$NMW%D`r2J$ZMT@DBkbH~(aub4W<5|ow~$Xzve9>bj%F0Z+3}Tz+`>(!n|)KF)t7F5;xsT!8pnR250j+ zE4>~ldAlwR`RQxrTvk(UW`!R;8yMTe!435_8GTYv6nY1IpM|o$bZRDYtW?*!2|z-?t+{1A_A8^jO~c8rb@?orC7+zVm6{ zk{!>w5T(^{GI+jAzCC&P30W7klqMO>>1&Y~csWZcbyReQ5mt|&&J!nFSG<|mzDhKg z>eg)i&YQ==i=)Puj!vQege&w~9R2TPd|Bgm3lRT=O)H7Z!kD>uixip1-2E&QaAS{Aj}RU8`utQSa!2 zIm;uz|9V8I9pScrqwbtXpwP%R&;8X=tT1i{q*BGM8&e+U5Uh$V4pD&=)V(Iya`CGp zcT;x-dVzHT++d*ps&}=p83JmS=&he$@Uir&kXS!>esy@c@v%to$o;10$Z&H9LLdg0 z88DaH)IX+;RnP-@G(>=- zrw-3w^W_nI^?<671m!ZrzUrnl^HdtU(u1G9k8x>3S4OT-8+RYl=V*C=REJx+0-fs~pOwcwm;eqXRLK)_{c5WFb%)2ns*Ubz~FKH~|p_)hdbCFd3aXnAia+m|Jqgad^!tESc1H z<9@tJeMMZKV3WbpspNc}QoITXbFoa+_rigio)Gut-hY(ZDQt><8QPFnX5!D5LXd&# z3ujyD?_au)-_I0WJiI&($fz6 zw;s|o#}qDMkW>iFfF+g{&{w){r<7lHCiyF`-Q%$&l#BUw76YF>->^S(GWcgH$`|!{ z=p;tZo5fE1S%pDs4;Qz`p~FShS}aK$jKBhkuF_Lb=Z6s|9l`z6&p*~{?|l!O4b+2l z=O&|2&~aF;eY+)s5^AMSl<9%Y2Pd`4*HfOgu3(()g_ckSyCRS2Ir8TZ zo%54C`#ASYBgd-RKYsM#1!&O5m@FO8eokyNvv!DGXJHrk_N0guZV9Fg*};)I$`Z%{ zRP#pt%H?htnK;YTY)(Z3GnzEdsOf@kC#Z$yB&da}BdBG+A5y1p6VUD}plXZxp;**J zWJrr1#CU49Hd9{ewzVVu((k;Hi=E(O?8rz;bT2KTDX&VjoPm&nX!y!G7Fo7_sEGnY z*{+ewe4917Q=L}aN{{?>{FnxV>7-AiC|)%U`>bQ`y@_*-?^TH-}zx-wFGzLo^c`QTl@vW>Ewh%xgj3vQ(`6)dW2-)>~w z$~J|cbGNXC{bV*&!inC7gnkOG)nv&`!Vf402~#IH+MjEy0rj~D{0PCOM(K0&Hi)b{ z!?LK5WjczH6>ANix2E7F__iK;wZUS4RBbQKg3(`tsJkJw^}I$;*+XU}u4cwDjr;)8 z14lcnY_amX@_8sO8ez~XMNe@EZ5l6EjY%}9ItD#Rc%ml-k;3%*LM{cW23|hD*`RM^ zHR1k&K*dgKdnAP(eyJKr!1omt+~u<2qb+Q>j`2C>MAc=imaz5k_-})jH>X757a#RbtG%;>GSq*=Q~xHc^scW3DEp_d(z}|B%zqYE zVrIc(W~BLhVPs^&V_^Y^8Ucif-jCA*gn@o9fMbj-40v>a`tSSi>-Xio{{LqAyFTC? z@8|iePg)v)lG1x;zdNL(!(#?4zxQcr0fJG!es^8?QJexCP^|60GF>wW+43jp--*Y@u||GYT5_b~t#MnL)dn&n+B z>Hl@%??(Au4(Q`|8KCa_7~hZmwfuF_|EKkP`~Op&|C`Ghng1^uKQqfe2MpIH&!TWtf(BoOyo0+Nq zap&<^SXkOo^IF;f^x0J3`B55mY8ic9J5^dbdMZ{XJbFetDpm$OS|%DQzyv_g#6b0L zDo?BcJ+J>V7N-9=mOqHe|G#5lp`!u_mHjyuI$BmLMuz`67QmG95AC;q;j#dpmF7=; zljRTU^&OZ6Tnr1~)_R{){(@qESA3tR{yP=RC(IAPn%|rLA^r9nztuK3HghKV4akzd z+x%VO508?LmiAwh+rMVMzfs)xrhfpoypj^UqJIFk{~51k1!xt%R|hy--%i(vTEf!C zT-)sTA;sTRH9$p>=3hK0D?p6xUrzZmAl~O$nt#aN{j<~mIR{e<>j5sp*v^^q`=3{& zrwss&0b>AYI|9BndAA!Ng8nf-GyK-c`_~};to0WKtoV)<(@?+L&l#X>XfAAFV9Cx7 z2oWnQGkx=SgV6xu&d}J_&IVvg0M@EcN-g;wl*Sf@B!3Ntl#}!CpaPufeK_w!r~5CL z^VCr440mVpJ279c3c2v}$t=~bC&>D2)ntg6gREPxFrMpZz``v&v- z24DuJQ^%vD2kfvgr~{PiXjB37_xlE;DibZUI$)M$R%Lo`2@uc&9QnP$sLIUnzQI7N z3Yb&?mFbw700NmT>gw<2kh2sL<`>tt`rG3FX2SOX{nLbhJmdgm?^x;H!`S%0Jq6Ly zGqKYC<2h)>71~X{7ws;oao82w&#yw?_pa+J5|k;TG7L4js1gWtB%X*Dm@@xFW_Ilb zNfo$N!Ur-{b;O!BupY0v%sFt>3WW+P`IRFDurg7!ftOdqvsQd|?wZrPCy#b&<~LV^ zvDC)uv?SJQc4YpUAl_W*`X~W)`fWPu5(3OikL$$z$JAGMdLl;dMArWYD-)`SS}o@=N-qfI8`ZK=`0{kX@aTt@Eo+puId zpIdQ8aZSgDc?0F=7%orc&_`}%*kB}JM0ho>CK^padGm9ExO|Dc(_}PO=-o+erY3B9 zf{J3&8e>GWoq|VL7mwW*$bZv2$>zr!bV@|NwG+Xs;soZ@S7Ba#jO|Vu8o#Vnf#gp) zq@_*6%~`!EWeHb`n3hY6^{y7T)eumdLsMw6RWzTy{DW$CRDUH03c6 zp7T%>suBWrOs~QnTHF3*PA4R*E>^&wAw?lrd#;wjduVpRbCPtptm9bj!@#&{8!ggq1 zdzBV>#^R4_Ob0?yo3}{|4hzp+FK!(L0v7PGhJBaLZ_6H`tL;~jN}yE;($4ap4l$5? zqaOwG?#oyxIAd9DvRf2AGFS7svfKBP{A4sGs*TXh^UeiY4k!hKMSB^nW0c4&&`oJcDU$?4qF42XH6pUQ=_=Z*(*I)>5t z>_kKVVR<&~5tXnVDrgVQ%m_a%pBm*}7Lnyg(_UY)b5BIcocJ}w{>c4LN!eE`0>5Gx zZ3xnb_5E8^)`-p{mv^-va`q-MjVo1P%5s>#H;a`_?3pkdmDE=J3i;)D3VQnOlmPet zVeK8GY=b#CM>+<42k_udlw{fen@QOQZT!G?z-4tR z)$}Rha{z*)x}>05H}3qS)~1 z#IPLk*E_-Faifh#$P+_nQ70+an}~;IELzoo{A;ZW1ocY+PY+q1(k0JZpiAQmEH~kO zSiY>Asz9u07&QiZgmCdov&kP4QNBnIbNo4?bnvJuG!KdspePDty5dW|>#bmW0!eH* z86YN8j0Xc4ksmkNRWDnfU|?}UY-~T7i(ga5U|n)GT{=V*htS)&bAfrsBSO{R>fDM- z&Qivqz@jDTmpzlWRGXqn1N+SybGSzSX?Il^#=Ap);_Wl4ii37CI<<8{?lX}Q3rgLLz`HKdg33_p zoUmf^r6eXK$K^o0KoWO`nIZjKtg&~?^04@&d!qC-kC{M(76V$M>}c8F3j2DYL~o;d_%?>3xHY z0VW$1+{4GzkZN)MtUI7r<1af8bv0Rd7`%|e9O48uHgt#|?)J(5c5fXmD=W(43>KbB>^YzVt?U1bDG}tL#Gube zdLcjhQsQWC7Tn!7pIx5RXwTG2RbcO)>ope?U&~UKIB%Bj@8sBDW%EI{+klQAJ58>> zM#rRHF(#RMWooOusNn0?Um72hSN1_eJ;;inT6~zId`NtnR5}nq#H)}HDJj`!Kp+3$ zDBhyv@=5{ZK0%(b3oi;<2RvVHl9*>6U*5;`7P63DShyo|4)Oc&wIV_isBG7N)PGLA zm3(os%;Eud9Vzf@fKiacwLHesLe?gVAY= zQC+b>ULx{Y@T%e*TV=`awvvRl&iSnXC93GleZReN;H9g%lf~I|l#(Uxv06lC%#jh} z2J60*Z#UzIsZou6QV5J;ITab6t}?2(2!_(6j9r*u?yZtm{Mj_*JjTNW)5o%grw5}% zLO;KfIvh0Lw^i~!w;JW4&XE7mSm#@++XPkFxq=p#~)r`%Wyh(q-cGm}$k) zK~igkqUEK=ADk&&^@z4~lDB+Cv9W5nFyn&qKm+yJm-sBT%$F3@lm#(D$FVxeigm(D zBhq9P{=uXPV-nAgi##BIfhDn;c-gJ+MM<&XvxvM8MGH{!?BzqqbOlOloy_rsol_Rt zQn!y`Ln#@1aluP@?Yag=Sywe_*ivkMcKe6@ecmmM5;oXx0}1KS!KSdlTCYHwWy&&8 zx`FxhYStlz@SoybhiGl#d5y)nUu4?AtJF89{#Sb~arnR;;1A$KK;m$=Y;=%h2E0bD z4q9G@aK56m5?I%dvsQ+c&QPaSEINf{Jsd5pr}%Rw_3)Dc-+%+_RmhJ9m;>t8HhG>s z-SE4LJ(}PeK;I%Qqy##w!?=g)!H+m(dI8&j+v>ZC&qSA)Ke~g4Em3ue+sV8hq(XU! zba)iLVoWoZTBQ!L@qx%ClAypjqQdUPSH5aN@O{omL>`3Owcc)st6vBGAkm--{!e%E zXT%xv^SRpcU-mAo{^;gdDXm>nBi#ul_4>zua|z>)@csQR4838n771+ssG;~1YQRJJ zPl3ey%RBH+&V~Nr^RA-jA&K@Mq+gzI@2Pokzyj>%u?vzb>u#Aa!P$Hv@d2MBmnhsO)FuReqC`85HY=HiQ2(EE1ITOA<#WyjJ?;&jV+4or1l$$}k;-MB0e!((0hs`K0|MpqER+^g zReXcW(%)2i1Kgz35yB<1l`y?zs8qZ(e@=^@YG%t)x|dHsB}nQX{S0hSI-)7I&-H7<-W9<&p{Y)|!<` z-zRHNEuKj0@#1vZZ@92dJ|g$&u~4fll;{`$<@3qa;AFKO2@cc;oR-NaWmYC4(R zO?t5M4;#BTf-~gT?6Q=+spUC|L}Gc(h%X2pI{$N_Mo@X6X=pA|3)g~dcNz`h$cAQp zTDuLr1*J)JU~`?b4T8VYSd!nj7hQ z&}fyX0rZ9~48i@GP!AIkpQ7smry(&zQ>77;XG5d{GM22yV10`CJt)aXcKV!ZM>zv=89^K-+DjptO6XA`YJS+Gv%yaUkOP`C3 zDmS365Nzk;ShH;suxPf+)x08NY|PX=qp$*MKV(1rsztbx$@K-oYyPa=^q5=kG4OiF zoU!hp(HpU93nF(r_w)2i*{luOahe*mg&*2S_plQShr@@<_sw^_e^f!?Ng zc7%6=zt_zn1FC`S{a)cN;{0og;d^;n6VVW}`qUiiC~pvk@(gBJd-^Z5mjT24+sWNj z{kCMC_B2~+0Kem4CC^41djC=)_pa{_JaTMP*6ruGg`W)D`VC*ckTwA@?tDS@c=_yI z=T7@=0l!WoUYpFAUfw7o?{>qvVSUl=bR&`Zzq8Ds2b%?Q2SQjZ120M8_gq1_7KP zqBY$zV{3}AHN!;!|HbJM( z=S4Hn=X}ciYQJD68yyT(WoCs9`{hxjr1($N?{e`U65`*C4)}0nsl>HaQC&IP2y040-hcn8#dF7Nmnm*iP>$}rU z^G+vWY|gV}rG}|*^~49({SMnis63Y|!RFsHDYN3K_ZHoGZ4Kfv=cl-gcF)lc-og8% z)!@Aa9+7_UAG20FkH3Sz{}lTo#+L6akBTX?r2DouHoq+AvF7dxbpy?ru*NZUiPtrd z^HzgKdn}s2YtAuR+w_FoF{R;#d(!cMf=Y6MGfJ`Pc8K~JF4dH z#(DOf@ug#a9ff=!nA&H&6pmw;@E*2Tl7r>ESe@W5Al!v(B~v$6PQS{>xCqxGZyOUd zK;S<$Wns<1(=l2zd-JtCbHj2;0c)!DETczDGBcJ3<7ZLyOYG263#tl#*XFsrNm6s0 zRo|B`9}NI5;Wq$$Ih9>P%iExcbf}h`wM4cu&IN+#YL=!~iJgtOWfi(eA-t`HUWZsL zN+v@->%a}Qq1J53)|ivg%<<*0J6WY?(-qhS4>Z9C&~s}SFz+01wm~{^eR$rz18H2R z7cV}|Ir3`Zn}BvQ4;d&h93sV>rywP9T`kvPb@12nc6xfcN9>UjWUM%v?CSdzvy z3jYGS^K)q6yy=1jW<~S>M>16gAC0d%K-bB3{YzN9i z4#3jlQt5o@I&`|hcE8>H-zyLfAj8WBHk(aFmo+Gvbm#Spml8Z+Z}Prvq?gdFY>ABF zE$v)Dy(+~nW0^L6&TD7a?Bp8BzvHEQ!Lt2xezRfMz|{HLl9W%VJ z#I9R8nvI*Yve3_9!;O|Ea1KbpS~jIcz2Az=%fxYUxRUr4XrmfI$8C!LO94(UorEhGVus; zEu9!*^sn#)F1h7vJKN^!Nu;@8XzH*KU@?tZu97v##Ei%)nFg1SY^fS!~tkEfIc&xRg7lSd6MaJgjn` z%YK2LPHzbA^GvvwPuUOQi(i9e9lhxvcZTwKfbYEl&NmhimpSqpcR5~l&*#B&JUmxg zTAd5ejl5GHw@=?8yHsvYYk3@XqNnIw%{;t$vZLq1UXNxLCm+6Kd~9@bnod)X79F?v z>Rpd!6(6aemxL%aLYl|mjz7K2IZsWyI{E-Ty0-X0^z z(oSe1!r#0R>3>H0bI~^FZ=UZ!gJ)o*_h?|v*v1Au(gr(j*Nih?i?;bS*TYWhV2^!$ zL*~Mb1maypt1cY0-jD)P2JW&S=>B<|`>xY` zS2%ntZDIGd791;dwVP%$Zkyo;`>x8lnm5TYf|Hy*lIu~{PWNWPJS6?I;dY~=bpqG$@n%iPa9}G;(BAk@oM~TtG_*$ z#XycWcAb9C3m1JDs*ygPTV{rvsO!q=Q+=(c&ZvIex6b)gr7fpzPeZFgP&L*-OTWM_>bHi_3+Me=PcFsf!3O!Xz2Tg=Dh zVMWCTbC?-qYwsR)-dbE|1U_r^^PO$irA~n7%#FMuL#E9aRA&~MULH4a54)f@?Csgk zAwCORGy^=(M75MRz>cPjvFGqb?LEOIK-cT_V08iN{Ol5bGr_%gY?>rZz%B<~kx9`f z+xHcZbqh_Sv#*BD<;1M>(IVlK;nyC$|IRdT|?&@73ew2BLZDDMSD6HHImd@HC@m<+~e<9nY>jd zAKg6L5nQ1h+wQZ$S0-8~)kErEx^Bft?yma+uIw}?v22d5Gwzs9N!ABm6O`?f)Judf zIUqK}FK+;Xq~i+j1x=kzT9!dw+~}4wgbP!v$cNr@EEwHuCzOlXUr6ts3owR?O`WW^ zSx!F3xlfAjwlS9q)cl`tM5C|Tf}Y2&kb#@q(hJw~I#Pyim521olbBx3*yl`9tcP4p zBb^I^*$u-4_G12SR0{9$t0RU@`D4_a9EK7s!lQU(<5?^XYL+!v3F#|_$s#X0)Ul?i zzL&SH8}>Y z@ORgGG)A=SO@~VmZ;6t$M(7;&O&s=9$*xm2bSX@Vj(3p@{?|`SHSJ*@rR}rda_33C z5T@7%4O!P$%@r;J*sG@RL=M_L$Lt$TSLs_@tQDS}fLUgOlk-}!IXyIyLmiw_MgH_M zS{88H;+R3?v%s}r`0>eFpqp1& zzP$!CYUpWtMNX_#PNj;1IxE}qZq@q_`)~Ti$k)QY*t^jJ2=wgt*z73x2-TPu2z>Tf z>2ccy2{tTgNJMX=r#Syf4r>WdEjMUXae}D@fMt=QEL@8a0YdiI^ndg#J4j{3Rs*;I zi>ZjqT`E6{!5i_cNJovZ%CI*szLTlzM5a;Pvfh`l=I~0Cb_`uH@V5SYg}#14v&zz0 znjpPD&mbsOV94-n)VQFLA4mP?mfa2d)ieS%z^efeOc*2XWQB#abkNo^CA-y!H3T6~ zoN8li)bw&zNLp%sqSDNK)DQ(;@Iumk0q&1zGqZVmE01OAhaVoe3vl`e!1Vi_w+2D1 zUDiG~f*Sk<7#z?rfFfS+JzlmeeZ;_6x1y>Z0UhGMj>G`sy}EYnA8>k!%XF@CBgEa| z{(M>|TUvJ;9&6t5kn9Ss{A?^%&6&s=`!l;}|1yUoT46MdxXnq zgYj!ez9;{>-&F$bLc^`R3ULtf-hls*zl(4SVb1Cj&6cS#bMRo8$xu5b9RdcV6o?I| zRI6rF75VevKC$d9kD3XOx?m3Z8-n$nf?Wu0YBn(F!#!o^by=tFteY?o^ z=%VsRh47#v(DDA>r$g0-PEIiPJNW89QZA5Fa zinQeBrD!{^fmpx)p6ct1FDS1re~0Ey31gkpF`3uA^f9xhN_kZ#?mL#UDDVNdgxDbS zkmvLVY%PN#shhOOElo#?Tn+F=$V7TfO(?QT{Q6-1ce-6AZWq1+&z*O&0_E-p(;@jb z_LqkC!V8>#y>saGW8z^~r?zG14p){AC7;V{(#cffR@d+o`D`$Rn&y7Sv{C3aD|w(7 zqoNXDFs_~ZZ?9gp?URSwuHF|Nn=vEZTBPlbUF=kc)|zhW#f1Hf8)rc@1}jrhqb9)! z38onlZ#$_P4SMR8(fT#TJ=4=GqJMWmCSOz;tPDWdZ_$v|fu}3+=Z`h^a zpd&RwCF)~~t!Yz5of7gAXE_YwATOU-d@z@vpO{IbR^3*otz+Zd?jli~ltYmuWlZTS znC5LBCoZYTKrPrHJ9=S>X^?gU@H;*p=no6IgwQYf2qbYtaS5Xf^FP%wBX=Hu4k><1 zXC{mPH8zN8VW1Z!NVs+Url@0N7-;e-QY1N02Duvpa!2J;cN=t`N9xNP_3?d7RDin) zZG5O3RV=2@QbMGGRXPYM0de?T{3OXFb^`)`hCWc459-*9KZERz*hu&3^>OzXZ!KB} zL)FE^)TsW#>^D!EaBU$67eY>Fs25Lnv8{oIe{hhlPSuF#j`m+ZS&^Yn*Z< zReQ>^N{02Ok({ZKzR6%S1yI!SsepV$dL7VMmxg7W7=jI6*G~@s?mTstImmyah_e84 zp+-uk@6)|tREe0C%T}8KR!44l)tI!bAh7kI_1=x-t2f!1Ou6A>s`T^7(|6z9Dcl%q z6$0Ij5eD7$FBnQu^5oh{w*S-Tt*uia;@-G~m$3>u(KWHq;K6_NXsV->NbKhFu6sU% za|KHHy1;^HMSP@ST!v<&C`Uz)f)XpLP8vnK3aS}V5;~@UQmbGTCh?3d)5ORy@`RkS zI=Eqpo1%#Bh-)z{lqk_GarWI($8ct8MZ*J8uc1j|Lmb#Kq)`AqRA-c7;oI9ryJa~d zQcUwI%PW9e#mz(uZlCYAT;k>ylM`^zEQ94+h5g&Z4J<630CIe9{@iJwJgiom_SF>` z3{T1wk7^*jm_@oDx_~U}!O~L(43>l6#~a?39<~J2BVZOE*?>tK-O4=@jlsw%ak;>- zGv7SAXgL85^;7hfjH>t+Vea4s3zNw;T%b?^Ln^<@ph7nVc@%q5^_sSA7R-zB@9ZKa zGK0u~x1;*^u4wluzH1^S@y0+tAX-mp(AAlS!!;69#(Qlm7?Dr} z+AD$Mp!gWTP2*atU5;Ld46ez4_v?|?Ls6+33f>8>7RJ+>Sc&|)Ub*GoP>*u*Q zb-&-vm8(c+AbjUb@}%UspY$(~_aAT3t_JM`iH$xqu3#|NV||&7xNNytUvB4h%}#Z= zTQW9ep{a{N99eY!2$ScHt25Z46qp8^vPa{DvIu_fED>jl++*TtP+Mk}GTEE^Pwy#g zsst4GUiLqE6^JrY6PuecTRPHU;6Vm2_5rTd#DqASKgMdr+H=3}=RPvA=}hn5LS7B_ z0b^JPwqQ6_%5vhIi1(c@V8O1vc^kQcU`Js`Bs?ZYC;GKl zM~VYy>arKF4jVWAQ!uOV44A=Y$uzn4&F{k{Od0$Dxn<=S`{uMo+s*)K=5ZDXJM%Fi z=`l=jeao($r4DP_#KDJnb7|`X5~_sAx1LIorbB;et9xN9`{v4tja3k!`9y3;d!-IS zaNS&lQE>Z&_W9G>XCosP*4gI9itL%iv1wPCtR;s-;nmV$)pk|ED5b4WGqVaRJoNA;*P^m9Qda~11GMit4-kdl-a>RO&J>%AzY)Ue10 zb7ASNARx>ov`2F$b)h-w@mET+z4T^CiM|8*EwnN8zjE8&PtNxbjkK>gl{)j|uQp^~ zRlhAh>Tex+*{9su=UFZT*zDqcv88(}9)$`j=(%DwPc$&HbJU_$TR3k;o^fBA?0gMu zPqGUw(Rvks-$Xz^P^3w|n!b*j`drvjSlks-m?+0xD|@N%Q=}I&`EN$Mdn+d;@r)+? zmKOE$al*;21yYuYI% zA&GUk8UsxdZ-7MN32}?m3|{Y`i&&5Gfw9}Nb_;8Qn9474@2rvz73h~u9U>?LppX*% zADPwf(K-crJ5O#v76W`|)@rSHkZSf|&CshW9rbQJ*W+xFtD(ug2rp_qutpnIgg@UQ}$yh!)n@q3`dKV&o{7*l%k0Lqad_H2=? zXEuO3NO0p`V(~wfs9kYr4IHnU#mD6xSIN@o2%O4QjKfzp%<0P8P&tx%9ha0u zE;~!dLPShK4#p{}4$2V9(of+;i5ZqC2)9FO($Djl>sb!P%D*4+^3gH#aROCGJR`u( zyZm@M>HO%NjSoY*6)NvoTqs`QYNF7P9&fCpT6Jv@STDX@f-v*C2`eA19LZbFXvsy> z<0`U-zJ^Pn%fx#yfaPC}Q{4}W*mq;00DtH9tnH|jGG<>n*j*Kb^E8!?Fa{=rAqDQu zO*vfgeKy4(#Bi4RynRkX(Fawc4Ok)wo)C5hVJj?U2fxFatbLdpDcUeIIhcbu?}vFX zkoOk6gz@c((qZ%l5^DG*CcaaxqqWW)*Y*D7em{1!v7EczNwxWzQRH!bSAiPu>+LRT z?mf|Iv}Ac2GIEqQ#Fb3@l=^D6EXBg1Z-;#RGTGy{P%=%JO3;y7LVzcc+R8R0PYd4H z8ziW-^D=l-2^D-}2FxEa2!CTNge=ZO@ekqHHcvx@n5MGfAzBcXdqB+M`&@%P>^Ny^Ni-&!u0rY{PC_P4}e#h*~}zc zit^c9$Ya><hJ zjEhQ3d9E~LpZ7R!xILpThsJF&19`au7k8moY&*WEzm2rqlDwib`!#QMu`u`j==Can zp=(xs2i@SN`*cdHXWiykxaoQto*KiSPsKT~TjfAf&X<{6u)U)Fheo)bxwc{bv5B^S zRlFR|F+4kLuknGO?yVQOxVGC$_M8(5<@wMFv7I3;lnTNmDK>GjWgUnlkhvrre1aQG zLfqr?>9BfYj|>;@12R+&tK&D%Jvw1;N|#BL$Y;rz`0~Nar>Z7;er+eqN zlxoe6BRfZILPE#y=?7MvBt2>J~gk--S>KbnSZBYfaJle(%2~V$k=Kd_nVt zF^LHH5|-U2-X;A-6%SDMSGN8dp)2(IckJBoO99h(H{bNlwSD)#7Esa)6kRRjX=BGt zT+rWnP!7`K>G1@4Zfhv*csB?*r1vAOz29S&IQ}R6zghr6`8|6}ZkR%T!JH%R{<71+ zV8Py?2Qm#)brmaq&;?xowQ6kwGFliwOibm%6aa2zlegSgDv*T~5&9ud-y*c#>-ME`LRiRvLHkX2B^3U*g#KX`@js z_tiqv&c3iKlTHD{_=}`pX~a0p@8!K0%WbvKCx|`~gelu34Cxfw&NHJR#^gWSRnksZ(XDAVl?Md0^(q?Ro|_+1^(hkgPtm}ME{_NHI?OF^qi0M;M9E@GmUrD!YfEVhUuR05$}hCe8!tn1 z`Bl(1xe-qm{5cZ(vlChxEDd4hfJ5?{r896u@FH!x+=ir;FZ@Ur&M>ZyBjErYNlI;c zTX;y79knxP)jt?gYZu)!5^7>cZgOTik27MtIXL9tO)oO2K~IhsCap2Iy*@W_%*bnv zhEiGf*2-$E3CzZz3neliHO9DqXmiADDiC^8v!}fBZ=ys#%m36yO6J3Mv=3rpp;3@&~ zVD?416wW!qQ-@%1kJ%Z}R-16AlkKJjG95sEiLeV2h1Uyod;kgqs@9`UQ%)%s)Jn<0 zMmk(ab9SQ;y0-_3ig09>Oo{2lAw)Pn=tO#0dm`ty7hi_ldvzbAqk~gpxb4u8zj*@m z2J!U%9wgWY>5sfLtDQ(ybgn0}VB{hDoHbTxC&z7l_Bjxa3{$+Nsh}tF45I8}*9*I!BWrCpJK0td1L|n#n(TP)`+wEd~>V&fdHMu)wGCx|I3BP?iCM*ervFKZ}Hu* z@lPA@1u4YqG72=>7x*of)ZgK|o$Bd8303g#-bKQTalruT(1(y56tK%y0gV{2oY$y(-Wt{#RU38Gl}- z9iSV)9SpVx@Ei&|Gx)TKY<{eC|KhyUo23~CC&n{BL+CSpO~6kx(lwLhiC`^!yW}Q* z_Y(I(B{oKI=YCDW@mwopuP*#egCmZe6+l(n&<5P-P|K#C zzwp)}b$AW8-E+yN4Vd%AvdhWa8+nZnR+p#StyO{<>+{W3B{fyu^`_@a)-tZmO_h%G zlb_9I;~X}pC+l0YJkD2-k||ol#C=?E7finRe9HH!a3c6k$G00Dx^Kf#>;%`4MyAG;q3+w$p?{!vKT}JS6c$p&0X^d3q zT3%jntizFw+bacWe*DV*LoDLws^-siqP|bspE*lEFgwAKBWd8!tVFO(I-|g-+8@=g zyu@;V=&u@5u1Mn&6>sqr5YOF90XrSUM*kKy#L?kWYa(vM!*el9BDAd10!5HLfnc<{ zfK0A^A!m_=?5i^n&4i{=qSN*o=xXuxC4aMQh1x#OPc_HykDn?;o7NsG15>K67cm|q z#8y{m^=)j&tbFH1eu52Iz3cdT;MfWiN%6{%dCV zKgigBF~dLfFDvtZD+wbL6AkkZF3!kG!~TQovi)1GA8hgm9sUQu{1fIMobG=P!}?$J z3*(QS=HD>gpD;gAHvP}F{&xUo21d4jrT8H-|82wYv-#=MA9nbE4)c$?2LCzCe@!s| zf9je4psD|L`kzeyK~ppT+_?Wa%s+t7{~U(x|G%F3k1$N^%>N4WN;BB4A?sca6^frStiB z&(FU}p#HZCo}cmiBm5EgQTGVZ3jcU`M1Mq2;y;ol$sYle^p6rsmR62{jp4`2r1<0b zQKnTPU}ye^)QNzdl~(^>i1Cm7$M|Qv}`}VAnP9ykS(p<&){MHaR)j5 z=ga&D!v24llj#`$IVa0YTlUkzbRMgotjq@9<3bV2X+Uvb1U9a7Hzv{4vJHvDe?BKv z&R7EuPscxIKNh+Sf6hKJma_7mAy3UbF1ck6Wh_IWcRZoRqe#^pAEJDDnBkI9@NAY~ zh8A3kl%9)qDmtWp;;O9QRKdS;{46dxgMHi5(RY^N;UTwcV}FhYUc)pn!=hUews_uX zOL5_o^DXyPJZ={h>t|QbrpLS#i89G=L=`1@@c=X1vW+S_@{ySeLq^LmkCC?XVNJegYa{iOb9P9mg`FE*=-Ox_;K<38gPi=ZJ5k} z4$n9BcA+3DMU`&LgpP$CBO`^ri2-9BGb)P`!}Ank=>DNN3JFZ_Bb|P*rL)ot^KoV# zo;|s{w!>xcp0c{iZ-O6f%AuY_P4a?)CAP|7y5865JKzJ#9l!PFh7n$ti^yx>VMLY^ zUp>6~!$oH z`R<#oBP_>eEoB9W7G=n+G!Fd>%BjT^PbphkUM3TK0erQ8W@Aa`D4F<0Bt z@f;#+9D^R7s95T_Z+QiGJ>LIY?n#{Y*pO5x6FM zy^t+mv~+lt)iAQ(REEeMpQ>lEkGZsS`q197!pOyd06FXzSYTS0&%ow(2Ic?_ zxh!+k{(iKl;I;qd`{D*iehlTRr4M$pg`|uW$2&0KFN84m`CMKTn`dOeMnRGuA(B55 zL6)OO#aV~8U8?FdWeE#q6;+{enLyS0vrNXb$v>uhXS;g^CzF`ejOZ-QK9dK-IY6!C z-4JY?k>UM*(`tRj%Y+R?uJu~lHdH1DDlEL-`H>M8@eHA1`o&&Sl;7N#svG!xA3tlu z!S)CvheX{PU6Npsu@1{!v{q>tnsMgk#w9OqN5TojhN8v@5Q(x3;9LgCl*sKAelv#o z30=W7AzJf$*EyzoC};`20BLjD?1Y0hUwMx#pi^+b32K}gBUCr5GtN|In*kEBV=uPwU;U7>SVDnlSt&OsnPbQXFNHM&ExD=e@%&^w zkHA=v!rJ0-F=z;2;-=^Nm1`50s&gChB9L(-Z+j8}QW&A8f60&e=mOCBQ{RC$zeEo2 zrDa)d3BL2*@e$SfG#tFKURAm7N+ymIa%6lM?DY+BUM;gFtMt(~1D54cmq*O|wj{5z zY==l{nBN$;gxKPQfFO^15L|Sa=NSTGnXcij zKsBG59W}zxV#;0chg=~(kv3?>OOw1$PwbqTfCdMUj3HnQ%yZS%fMCgijQT zL|ZNtszd!M>@Oi?;&EgO{*8;61J5ewXf}~^f(`U(PmD< zyf7nCu6*HxzK*lSs2sU0ou#4$Vp_jDs^9m?15vJFm0LsLm_E2&VId(M zY5B@*vKGMK>jgd0N)cm_kIGf_#v$uhN zfPKY);ujCiV9#qO(;+s!z|g?%Ev=l`l?5-D+f@~BmYxW;HEa}s0|fVWQoVU`y~vg- zO)Rqd13%_L()8l0>b^6&klHziRT~Jd&al(*7!fE6Ng$$dXza}Q|2~tP!_)y|Z-4GQ z7~fH~wQIio`B2mDV7p&#ZeHzOG8u;C?cscl_b{@sGF)%;MtkI}qoajoh#G>y?oQuIu zSW(L0+Ko{?#S8n~ue)P$hW_FJS2SGq?tGE|D5nNP+w1u7!Tv(>LCI}PHlaJU8RYW- z+LW)Ik9va0tvf>q&<-b3M=f;UaJGhfF+OwnSKQ0tLdp$N3Lblu>5oc?5DnZ$9L4O` zP8K&?NEGe{jt69iZeEHqvhl~6h7Wrmt*Wgzw&(UczXxkJO-^-dkKhY>O$9G_B=pq^ z5dZSa0Us|AX{qIcg^Ro_;07$4z@{Je^`bm>8KOlHo;4M~#I;}5VDM-kfDfqWtb=5I zHLU9C0SVsDI2RCPRwtU(lpTF=;NkdHXI`baJ2<0=SWD~PwU~w)^(;5l%)3%Jk?o< zmD;WswJRvCobJ9L5NMvH_Pz;Se+*dpk>czTOERVseT9H`XQ!*)p*@^f*m`%o``*#o zj!faKvC*`Jx4t)HH4pI3E~n6U#+TRgymQHcx#)>!gx;P04&Lh)f8Fidvl&G_*CKIc zLAyq;U^y}*ik36tu)SRUWs)cZQyq=9nEp_Q#*>AU(;K3nl|=ny^lKO!j~q{J7N(Ni zTQd%+RN#l9#PgFv;|*tHIkhzbs>8)M`C~wbfALqAr!#9~5yH2EIPt_l`s}{}_VDeZ zCiPMp9QWk4nAWgt?Fe1$g{Q~;=4NsUXhSab~cyE=}Qw+bencY#*^Yv1(X)F}Lq^7odON;4V?xaUTb`31E81 zM@jU5>?j|Pp6o!xW8O|@_ty>4J3x?z3em@a-!@#GdLzrFK#{S`kr5;`(s?|TW`H3v zc`${Eu2&@LLs@3XM`ko=7xF^0!B{dK9<=0*$ymnH}!k^v!lnr5-}jM zxv#jAX|BcWSHZBy#=3&Vg|LFNa#8bG@e$TEy$7pD7&?7C1pJrqm?;&9uHqD_{3*;+ zb4Nr|{26xjH67BZ&cpIWxc0iXwKLqz0)0#jPv9=9O0+iOBri>bi%Qgi4o^&X&eH$PA ziYUUc+4Q09-wg^2Lm%$;{PsM>5Z5uMU#l?8Om zxyfT2(1SDfH8JAc!}%X)zc@9A!GI)k(w}t-?e-7T(mDgftUUmeccXxMp zhv4oO+=IKr;O-FIb#N}(d%yd<=R5nm_uN^lS69_k%T(3s?s@9pzXxq-n|gc$z+&vfS)>}8R4EfnAp*aVR zv(tu950;<>OPHXVxLJbRE$^6LhP@2u;>&>@kN{KICN;?x^^#-i-95b_Pd zPnc(GBg=av9||ryO$hK;7hS&T3#oqM*I`XN_>3nq)hMz8%1I&nqa0YjG)x^9b zy0@9&r&X^fd7)aB#VOAtu_#AWb`5O6C&GW+=qJ~Zygr_(uD=e2UnyB|yM<6iC#ijf zN*eT=;yD6!TAL?`*qOFl;{lmLY)z&=Lcz5xlx=ZCszhLoy|V9FQl97vY=OzJ^c!a1 z-OwXMyByR852H5BC{oNz(`XC#uJ!SK05rN5?pU6qoaclOHvJf>u1I(pCa2*b zh$B@5!<-ovXcbD5kkg>ABt=|kmuh9(n?oLgJo5F(6fYf}>ZlE)w-!n%3t-XZIz%wCcB%K3*+E4Io`CU8kb(q2(@C|u-#$0sruwSLsWp$UFM#Ng*(9Jlu!n!klAkQ@T z?0jS0WQ!Ny6*;k0fIeEKy{-9?|BF#ZSK4qS#>dW`*T*7#I6GqP1*%PGqm(Z^r_fjl ziruSTLp*HmbDeg;OT5NdNLR_iw1dREH0_Q!5e)XdejEH{$NFn#vH%ZNdIjZ1Bb8N?w>QJ5ny+J(d%Hj4|P$>9ZYi}C#)aa>Dy$C zSr2J<7FxsIid90bgu>A}SEJ-#p{!?G{bC8}XMcX)0KYh$zl=q~_0e>MccGtQChdJr zYDTkbVYaz*UZM$Gcj&e1X2$dAfLUw|r@`$`Sm|&oIhzjUQb3bQcKfMtYbd_W1VVQp zwvE85`~>CLhwu@4T4bb(x0@xm%X7WKngS@h!R|HbM~iVG>;7H3&LxiNW0GEIoyDog zNYLzzgvYb6QQ%Uz>cbpApf`qSht+o2hp67-XnDbs`%c~s;!GkW^&f?WzX_fH#p0v? znts}pwl@T+Bz72=u|Qvnw8)`?Y$k1T|TM`(EVHK`ls*tKNYP%dX^HM z%7=_)^>tL`A1dj;oV-5>baa0( zc>i+p78R8G{!h>#ji9ZS(f`WM`$Itcn^q%g{=Xr={?Ft54;J6QsFeQ`J@|*y_eXgA z_{o3A3;rMYR|W=FI#qKcCo@M)#(xn}|NqcL|Bz1qs{emdQ2%fFzv}*OA2ip0 zt^ZAN{c~;~Wq;1`A4JrT^dE}rANuNlqrZOi@}K;_xBFN6KOXB(-G42AQC9z2|0(~o z|G)Bo(tpbS-bR1Y|G?vZeD~-3zv}#{_h-A0w)t=R=!c^JZ>jFTsG5HvHUG} zV-Dg^&;9A`e-6kGHZH@*h-CT$on`%Fb^d`%{09Z{9~8|GjOSm~MgK<8BviIB{{wa= zlveyZP?L@Re+kqS5|xk<_~$tP*Pf(59O9kyt;`JtY)q|;KUk9j|D<#>(6jy%3Hl+n z|8LNm3?I_+f3?P6b2xtn@!#2+|MB?$bEN+p`S>3pn=JpB_4x0Q&5z#sXs^E$BLC5X z|DUs2Y#($`$G_$iPbc%>yXld@yQ|UUQjr-Y#mYGa#1JSyQNliT2_^fJcGVu&4lRpv z;{bnJ@}1ok?aFv?pU{DPeEfQgKPJ0OjlfF(JP=Njtr~G$<%p&hqqvZ&sLS=-w`Tr zYY(-`g=&W#D?oC3HN}O5@*$)Ln=J|*_={ZKGf%KvW#Z*k!QLZh%;L(}HLfbNy(XZ@AZi0o^GxRFS4iTJW{r4o*AtOe{Ao+jl{p;pv;U6tc?F}bWm1C7W%(y z=nbBTTJ!Ud?>CR0)oezpg^9xf>#3Z?Cc=_~m*xCn!clQVD8xi4A$sECzo*ie1QhEy z#Kw^g#~I40AMYY#(o{^UhLxEPnk#ZR7OP(~`BVW<*q4tTIX4^_bYc5Cs~0+(ya(I| z9DkNcj)OU3`yqg5UL4byCVN^jj>V%@IU#5A6;*QlfWbmi=I_Wir1vAh&kS1)ytC1Nxi zLi>TwVYji=_&Rb|M`Z`)>(oXE4SjSihOmqcG7uy$MBpxm{EYn4&sg}#H2u_l8g|CP#lWn;_6|JZLs7|EPOmZOA ze2gV}ssQo0q{(9@^}_US-d+`C5w9#ry2b3Yr6OWR+=RdVp&`(ij_U0!ade^C^`#+- z5%kRnT&e;-?l6z-iu8%7p;`eq&_iA5u>qUMI(G+jLwKc%w}kg6tx9&Gmop>_byoq~ z{F#%$S?<7b$8FSY1mkCU)dbX=wgl`W=mvZq+q_RF-#)ymQ91;7&C;(86K#a}mJ_KI zG|w8Yd07%7s|rrahAoO$E1D^^y>bSdQNI|iHt%SPIcr3w7tEK9c#AcB8kcR_o6C?G zaCP)W;}Msl)TARx!Ln*nKX`_1U?fFxyvh+AaXGwY`}tvIE-9@fARD1I*{!zQW8M2c zaEnxAQDC0o@cl9;@5~_CR55-yWw-4kT0qlwn(Ou9#+>8rz}sMh-vTaJ5p6&o3SEK5 zy0D3e$&;K^k@nkLq`t{3)=IGYCS55DPnuVfyQQAN1* zt~jDRYb8jVXp-_^S6QXsgN$uvR~n=rny{3WO4o!5EUJ%*FmJeN`Y6=Enu8)eQG zM+p+&Ro=eWqQQ5yD6W@x7P}Z$(dxmOAD^UVB`N8@9`br{jX0R+%AsaOcl44~G#<^#PK!3~2wRRlJyuiwuaJiq zp*3Pu?16kh#DWPq1m6g`=0wIs$jh^V{x;SeGrj`yJ48xx_juw%m^}@T0JNv@Yh-sK zrga8?-och9qILK;L^O=f#lAKSgXVLg2@bXRN;NU%)Uvy&OXyov4Ux^EOm4`v&pDDL zY_GU9jfUvbBC%perRh<(^aPG6kIax60-{7McR42+4GOm}Nq4R%@3&c4Ux!cn*qTp| zVtZ&$YJ?j36S9`Z#HgYrq0c&mZI%gJx~S^&=2;sS?`&`PxGFXcf48V`-Qh5e1Y)H| zWv`ZrOZ2u{9B~OYQ{qgy`nPs9*S=#~iK2Zz`?3wus*9Hw->J(5f2I*sOhDmTnhl05 zOKJwX61ueMqFBbDY+eW)=NT28lPa;Lnn(v{wVTGMu|=4e5+i=)P%fX0&x=w1xlKS_ z>MvtPZ{KaA$vtQc}04~Ft+eEtA_t_i>)c*b*Cx} zV@ZGE*s~Y5%P`O#wotYyk6LSaQKZBoq74;0tl+o>ZCh)rkNz^q>yGPRS3@dEnr5Ig&hKfDo!~91 z8n0|^s1e#XN^SYV#4Pi}&JF#NP~mZD(`MSGr?qfgv3UEG*s^=psB4m{OKC{1s4jZL zKa{Rd>qpt{*PZ)-T5Ma<-yAsUkOdtkW{N-GL2t~fM^}%WPtX*)f<lsp!(8nyn|IT>~wTO?b-B=mM=5aQ==ixo;8^v*M{hv+18~uzqJ1D zwkf;(&DXoS8V4BSz|6D`DO{V)7RU*GI^~h}$qw>2S`;Hd`SAW*beYj7P zFE(dm&+#fzeAxy+7roe+UJp&pYQimbMVe=jZqe9dW%p8KE#hLnNr(8m%xly11Z%D? zrD2Vj1ddsA8QUmtaqd|OI%;jt?$l3|wq3;)zA33Mm2${kG8xGnOr$=?S@w!#o5-G? zwOJm>Vb4o}OT`5kCz*ymX2Ur>3-B_-XX#p$B6_uv7; z%L22-<5%hNLLxIA8bQzXc1oS(%-=@J#kO+Doqgh61x;jr4ZZ3d@;~CvUFq=J?;)Eu7Y3Z>_|vg^3AGIUeZ*t&XlV$|=bKu4hJ?MF=RAa*sVf0UcblcGI`hYP%t! zV4%+Iw7~%^B8ov4H7*{SkMT!C4%PV7@rIzQW`%3 zx{>qJ=~z}|mL=ULuyjHzg_i<1%x^m8keYUrz3)z1*Ob(du;hMIrst&W&hHE9L7O8> zkg9Lsm>B;K2(I!A_(7k2DttvCtF5LWp~iFlP1En4C!BjP@IizW_VbcNBA6`ksOb2x zI%+4~91cYKZ#?v)4jcnlzNZ7ZN?!BRK>f_hYF*TQYyVcCqU{$xExm6_)w0*QY|P0@ zoLMDbJ@i(B=m6hP5|}FWeNtUT^vllNZx$ch`Pw(mq_o2M9oO!=ea?2>?~Zt zU~?BV?-&{L$=0rr>qR;7EV9*D#Y9HIpcJ!1H7g$Vy}35eSI9$U5JVFTHtp%KD|m%9 z-8si}0cb@>arLSiXH2K-thY}@wI@=}V<_YqCv*Q5V{Vb$v-igd?D2uCI`wBBeH+== z81?#N>K~tb`&(7JH)oNKr9ZHwC$tpu=lx&nyGTv1$G?UqjW@f7L&!cPPF>17dJSNu zP$x^l0T5CSNL4O);Tyqf(1SxrZ#rj!;fdx>vU{n~B*y_gC~o|}${!G=c6picM={-&;UntyZEsnJX>m7px}1p+(C(~Hr&0&W3)`{~|WgB+Uc^RZscuFM^0nRq5157C$}KSvX> zf7*|thi9G8UZjf4$6E)$*KM4^JO>V)#N1*tIot9>kncvT`EhI{d7@Ge> zDwM!)TWIj?3Mvz^aZe1=ed&{J1S$O-Lx=?*wIyLvT0QseG>80)7**!Z$)gqm_Uk|i zMsHx1am@R{ypYVuPFIoycQt;|w~il!AV)Fw4a>vDxW@2%Wq3-kg%-OM@|!YJgl%|_ zN4agkXy3iz*SkOw5|<;P*HBWqbg&6P(q(p4R8xla^a6uUcWY` z*@w->i*?v!nK3?O$d`h%*FDQO0jRf_9STT>=gXV)(yF3wRmG!N@! z_;hB_hwpypJxjoD#2Ytl5V{O1XUmh|cNtOlay9Zxnb>L{T(fH^~T&6(V1U-j_DWZDI)1^>dmAA{k};f?kjqn0WaIC3;S z#hEFb6)hT63(o>A8v`#ZC)tY|a-%1hP3-2GA=N{$ZPi~a#g+&h(PLU{&>bt&u>?b2 zsidm&(Hb;OaQ)B4YFslLVhnU-($$yB$+p#_fsr>2D(gX<4*2X7&!87LsjDQsn(4JO zKD+VRF)p%UuMGm{#zhA3?b49PSdw4v%?r(PvgDeT`C@B9ZrRyYIU%-VS6F1m zV2>W(j4j0mvIn0XDXz`iFC6$z`P>{X7B+G*dBL^t=2*eJxwdBkZm0G3bNxP$I@DHU zCoMhAqV)T_-O#)Qzg9Tv7W=mD2A|=pAEg!o8*)xbrp4}zGO0mc+{(KE7$@2@HeW6v zQ>uVHC#bv2pSUMZ;2wtL_)^}y0t`7_40 zRd*?s;hUOQ-q82uvZURoyv&`e&(!CVA2m>V#Z>W??>ma;y0<60OZ#rc7j#f z$$b}&GDP8+C#z^fjUxcd@8sX&3-iG7Gwz1Uul9j`a=gUwKL-I2@?hVRH)-ke9IEU2 z(~uk0`x|7;u+cWHrq~n!+2hdznHM?C3J_sVQ>_fiXX>pV{jcZ}ya6AM0=EMt!n)TN4Od|H#H?#J zL-`9tI*XaC8kN>2agEa2@YtqVOq~$Ung?5!C*HNiI^WMk(IJP`m;x4=s~tB`-8Z-E z`!Gzg8I<-h3~ly!)ce!EDbg6orKrn17UR^a;HK@YnHQr~h7@0OqM1s=ED*arNqVkd za`f)49Q$K3#_l!fROr&u)$cV>ab+`%)`Vc&7ZV7-;T}T~$Fkb*7aq!^h25CJ11iv> zYQ=5`7?3D#gmX+ORz7vRA!_0U#0+&N^Y4m$pAERN1>eK5q#WE1z~ih@T_98`g|dYi zEmnZLomkXfa`haU+Z3tr2sqXYMC|qOY97 zm^k`|8)?n(rW&Ezv9UB+W-tq|8CVFe80Wh;qzbCT87Z62$O^j%oW3K{PLKe4szee26{tM(s81xXfZ#5aTnf{@^Oe-^x}BBf2-AjzCpS_=5Cmq41R7( zR2Jnzz~vb>mg1aNW~`J!ydKsrLXiT&_Ua|wrQz0?_T?@FA=Z&wt^#$@NN(Hre4)4z zF^?ajXwYdAgC-UJ5a|eM>~d8@b<{){k#&8!W!+BGHei*|)sRjwZaOxbdN~)leJjYv z5IkTy%x*&<3vJNetmW}@FisQp7v#?(#ULbb94)05j(}gjbN9r zi9D$V%=Y+~n?bExlaTC&qe+t$d=xqIo%a!Y`WCnaN4b?IUjga%N<&J8jtm4SD=3Ep z!_AVIBnygAeM!cBYf>z5hgySjB^s|W)@CJ`laT&OriJ>_r}-9{-uZ~<8PCQ@@0{kk zYL18!H`O)8E=u;>%g6A9ki#GO*c7E0XD96SX^fa|$xPABWPoy@r7`IBI;qF0gCfTFBE7Qr!qZ9L4 z^fP2Juf-sTo3=?LY7^utKmRR?Fb)a>c?LPmc+KJ#}y0kF%y; zesvwWyZq1ms!P_NR$#c{JBd~=K=OMmLA*h4J=$z{$)+%@vOBRKX%$7wrNU z4e!j!tufKN<#7ayL(Zr9AUYr)y~<;A$n_Sv=E*C0alCc?*kBpFx$XGm5D4Gr>#yC3 zpd5zg$e(S4PtB(mVbgm95d!h-#d?#rc7K~SJ&2sTr8n;SEIJW1jWaR=8AgS;e$jDr=i zj$d*o#4bx6m%|Tx7aPat$8%@=JJ7sp-M5_6uhNuLp>8G@14?`YR2fwd9Ji zKwXe*!Jyl?-^Uz3=B0;4(BRa%8YSB9250KZvcJV{hB(2zAQ$|IiH;+mXwVtXrfdl=*T9=Vdf_eRVH`Z? z72*!Xjy4a@-ks7QAV-i^?4t1Qr5UoJO+31I(fZal(HB|`q8++D`~_U?!qm?tkqP<;%&1e^WPWXC0zZ ztK$A9Ss|Pv7{Im4YoT0 z4K!8P702fLS({7)xVDZ|!mNxWYyUVIz5vy8&()l=?*%qH%T_Q0-Ho;* z@Z7ng?*=htK9`pyHaX@2@|u7@Megh@Ku$1b4dZ zDAus#T>B*%8&upkjOUKq=%PR{iUZPf{i_%iDduKA$Q|et8B)dD@`{C$y5{`(8(2Xj zpTtFAmEW$*XL?`TzN;^gn2tv}!x&R7uw9?nW3R}zUY0Arrnl7sPm3K2n?VfYsvu*C z*5O*yXIEm)vVYESSCoV4;X7sQeJa2TLp^A5FvGvkS0CiqatZ&M8NZy%I@5@;&FB5Q zhxd&TFs)?>`XJ?x)&QA?{MfK0AK=BiaGVQh)9$J-3s#h_QkSr>8YS~h%;F2An{4;q`h{GvsznB zUX)_JSVjGD@KvSrK0oO~yy03Kdxqsz`{$yE>UwCa;*m=M(uqFFlUJz8_Dd@KS8#cL z$~c5^kUD>`talY%h*fV<;0KM3c?XNip(FV9uuaKn!g1^gyY^$OICZ?AQu04~`%WHy=>#3$WzqCW1V7gN>XwYoSH`OfSB z*N9{)1$;?pQjg{fMQU8aAG$UXCqs7euR7t||SHqkfwf^liU- z_Q?yu+#~X#Zp~u5M13PJaV0*(kjS{TTofJ2cgq^$H8oF-tGY~+F zWIthod@`t#LVF7o?+a9tVMjl#5m6494^tASU^idTlNhKC(;)4^lbIrjy?kUhR3Y0i z>xzHYTw!@>tcM#d0R82P8Q)&AEIx{P)%s^eJ=9G{aPkTM;jbhI_pX`FLbbCopBPdw z=z^Rx2gF0E%k47@$|v(evdn^n+QokG9xV({4ug{olVN9m{CGWro#r=8u8n<{=L184 z1w*ICQWxeVm-NzXdeo6PQvhY}TCf-R!kyccV1#RC!FMJo`y*B=rX`bvOYVmBnJC7k ze%RB{vnKG`#Dn30HI7S}K(K&GcH*A1TzEs&sUTBtQE25z59t71ldlRbD#57~X+InK z*qad(ECwlep@b@-&LGLa8o0UJlm&fY28d*?N}-X;S+6hrj&b6`p=RP`K+b+Y z4T5UAp59q9#Aq3ET#prXf;MHxKv21gOrt;<1So_vpuDin1Fctl#V_Q0<88oy_}k!E z&_9$55EaaU?z!=DMAV5F@_~NGxrH*TOXLIZU&+tnOKi5rE5&F@(6CvhqZUch3%yI=QRPizyifuVEQ@Rw;c=ZCjJ4vTUf(tvD+% z8|RCUcCQ*YgngPvs~5>r?W7R{uLSes5op|rg~Yt(; zyTvY7XD?aap07|Y$6DYVkhku7J3E#Le#K-cjEBb=w=ie&j8oTGM4^T~XApV9-Pobs zNtUjR4|-Ifcj1(5SB$WJjAwq-JFQdyP_rM8SS#Vs#fCZNLh6(=<WutF-_xWd)a@~npjX^da5?u zcOH@qtwCf!$dx3Zl_VT0PO0QVr9z;AX``UZzwz3E9dS;@`W3J$ulOso>PmnNBK8y# z@pDCp&4dREko)&_>qvm^k-_BPF0MlSdf~?qP-Yc=`etWi*V2uD*_KuKWyQMuT;HfF z2zcgll)E028>Iu2rs||eJ;Rf$4De_3Z}hr-{A>n7aax|7@5OP*Du?e%1A;{bnmoq6 zAA;@2s0Usn5#vm=(u1pzl~{HoRGxTMr23S1{@E<9^haH#4>!TfV!j_kXCI8RyRz}v_G3?9A)kW#_4j8^DqlndT){p%SJkO( z>Y3l#C3eqmIN6%CuD(igCwtT>y6~?W?@~?ASe>|>WRgyio@1(+wkLaI$wNALc$|El zToJlr(Cdr1ArYQTs@>h|d!#A}!qijdr;SYv{X7}^erl#TQ#LkDO%jRV&eyyEV|^|D z4p^18zJ`C4#0VCGF112Y^sR7{+6x+B2+`Cxfu3o=pODER%$bYDL4|Zoe%tT!fIM`H z664#geC?LeOZj!-2$`BdL;wl_?nO?8Ypo4qbGzHbIpH(R$O{h0xA_U5v+nJ3y9{Y4 z6aTCfaq$*^f$-y3=q(*!w~LeRy~p-Q7QdwGqG+GT#R3(G1pc-@)<-5c&mw z!oB$j)dY_7mAy-~$z6dal#k)%SZfD5dZ%*+TXzGf6Xbt&^~%2Be=)V33*Waw4&Vd; zkMs(5y>etWTz8*cxty_PA1MIf3Xjf-R`$M@Fcgna?dhZ;?>bMWcd|2zE?L4m1aEtY^ioFUUdS#(%! zKb}lEfi*4*aURY+2@U2)D4In80%fV{7PoYn23QeM*Jriz^AgM{>3tU}NWm8~c6!nM zzE=uErh@@4NvYD+HPQ7L3;R&!Zh0Y40K|+oav{mR9{vy^t?6d~OTSGgFpFIW)s=6N zM06Z1y}u1NFE=j+>@yw%{*g=%ECjr8o4-L$lIxiJIo{COb6LQ7fBm&gkvrxJxW&pA z^9^(ygBQ=oWkP6-Vphu>+*mV?4>5g53~|Lg%9?zzBVk1(%iyQ6mX+8U=GuL(SGKON zyAN~UkSjC%4BML6ge=rG_r6+qw!V{XXViL#b;|bhYKDtU1?g2YP86jsUvO%oyf6n! zn;%LzcsG$F(*XTc_RxC=drLD?m*dcdTR5=YgTfL-WDepx?kz1|7xb5J8{o$a4qK77 z;A2FF1!{zTD(OjXIy|D(@iM}k$Vb95hE;W*03F8RS4jYpm3M4)(;PtIqBv6m3&)>QJ)AJB$USDyg&=| zmc07yE`jbNtKs%vo_p}0{JlaLBwlzKohghuK83^!C81Db_2q~hBcueWhESy(Egj9Gel=M$2<}B3*T++CrPN|$U zV)SQ(%9Tnvr^bhJ*t5z6^g#q(2EC9-G%ruy$6lD8XQgpD?q@QY0@gUdvoF_fmuU}c zV0FcEGfbE(B)c|$MwKN}VZ9RLWS&`@7D6N(ZOC!Pj#Vm-(tp@8w5dlPQR!x-Weg%< zV(LP&Q(XdUa^{4NSUC=Y;iK-NC^PY}aT(s(Ko|o6vd%2U&j(N-rq>a z=DK_$?>7p^Q*OvM`@JuuoNJeI9&>aC+$GFRLm2$I*KJs?i61a>P!@eZzn!pY($!A_ z8F}EmwDf6qiB60+HMW``y%CN!?Vo5diUA)6glFrqwwIj`9Szjhi3~o&7cdKy_=}Y3 zqEwT!L>iv~Oq_PV7jfP!L{SX6NcxvXmxd|yzcMjeUyESkML7{Ze=&QzBenk6+ic}of7WpP&P0|kXn{p_m_&*V;-o+X4glyKmQt2ZdR+Zx%eEL+ zj`d<*Aqg7*rlHX0TqJC3qTt)CzCp8s2@b3K+-(uF9-?XUQbqm(D}&ELxcAZ_(xcD& zX2bnIWTrRt(DC+76`LpgfUlmLa9Snm$6(Ny;6$hi?jtGV&ySS39I2z;x&}FBEJ<~; ziAF3p8?HgbM2anJc{jQY4Ozlw6&KslHePn}4M_m&KB8uyVNRbr_?PpXmGE0>`$zKb#&5XT zeQ8Qq_bxXWhJNaAlEl9L65ikn`KEbZTzM|4~K52h1&#GmA>~Cy>8r*g48MSv%8f9!UEWG;)$lL`P*6I7YaQq zgEt$8m*=oRCT6Ro8blSrvTfXhD9YY;_F+PNO8_5KFYwB%RiJ)ba&+fb<5#MAxqjNB zLXJQfu!4JGZAxEb(%AtQZTkDKn7f8-;3$a_wu})zf_)az{)lD6n4f!!DU!w(11bAYbmeb5|+%tnWFf`V2-5?wGylc!bis(M$*e;|qrsoZP zV#Nr)&2%I=XO>DPV~ta#-jmlRYlsc~9LqLN&ISMgUbb-zX>S4hGIb1p-#8+4mK`Jl zB|xiA4(4nD=87B>J%!PpZMB}Tz8oK)w@TkjNm z9q;&A`%EF%t&aZncXr;b2G5P}(NUK;Pk%SEz?|iu(6;{ve zrXbq#{IPjECq5o4gJbi4z2~rhxoJB*obFKQ-Q<)5vk$$&7lzsKUEsbk@SAL;JKnF~ zqvHZ>PucukitLKEeGgIR?S5C$dbrwAi&&xb#jKZCp9VLeIDme<1x$T5E!!!5^^3@D z45v-Iw`>th$*;686(?`tW(><+xUz0*e&2D!;5|7hbB_GMOV_ zhgcy|8Jom!Myp(r0`BAt3h#the7{%V;SD2kH{dsjRrbawYE>g^Rhq-<8Q-J7S41%B zI(tRLIL_1xv*3eOxcW3f`@^`712QQ?VlrBbFg3$x%Dpao$31Tl2?J{1-(zlld+CD8 zQ{RPZwhPoSVtZBY-B}lV-p3514Rq2tl)^cb_f~vN@mYS_v(g408zTwe>~p|U2riyp7`Jz1dC~Y z>d@&O$AEg*(6Q9?fn#cNd4XJ=gy@%RZj(5*P69k;o5!C)e`h(o+J+``HV}CcK*R5v zIpVhCiFLp{5Q87;nqh)Z^og%-hc;9CHlWwp2)&vE`O5po@F#QZyb|yqkVwoNV~;%% zpK?CB;MG?EIyo?2I=qWy!ML(`0?NNdEeo^_tQ@?Aa#ny(1kA8Dxcg{HkUdG`TYeiZ znnL1XG<34T8_}1mz?aPKBkD{Y&2#+d7Pfw4-5t)&--#I+2d!-5S>qNyZ(mC)pE_f@ zasbaanKxNqrZzse$-!h`d!E&x;NyVkv+gS zPDOtCt(<3raE$H;GoXw4#f8fPvFgEluXgfxmNHQzO zKV$1QwF0AgEG6&MW4-r#&h;#=6ukV3a~HGwY|l8R6Veyz%BlwM8G%WxDPZ4VcrjC?c&h`&Yg}lxE@d3jJD;3| zf^KLjlD|4}v;1@h?o=A)kgofoX_o{1OsxA|=l4NDB~LB~I;SFB_80#UlN7ej`6Zzw zk}f1CQMnQ%MoED-jr5Sf#2FtS9u@pN>;{FC51HhL#LNqnMbdgeE#Pbltb1k~=u!ocPneB4Cc_&zlmvksrVr2$ z+C7}Y6Om@d&sk&l-gwsaG1I)h(AKvEQLl798`jx)t6fmrFueHNp8~G^j7xQT5P$J|a`AD0(-C?_qfp!Fq zsm^Bh(h;7`lBI)dzHm0-G!Tm&H$Z;8o8AidX2~&wd4FxV!o0pUUvl7Px3w~3$HgVj z@MMp~Xo}L{h?gzQ_ZyF~vc}|Z`)7i=AtwZR%+PHQX+4}X=$Jd4;zH#uS@nrDXV5W2 ztPHlS-!eAxzauzsFGOTjsAd?z zx{yx>bC0r4_Nb4-p)20!f5)LXCd@ggEOQO3>zjC?rPz7t$lM%zRAB4$S@RUc6G6Q$ zwU>xENRp4BB~ICtby$g2(%WFOe}#NYuHA8ts7h6#TxIq54mnxt@wO>GeQ-@yj(x>F zfH6tye0_P9sCrJ&j5QrtUt`tNJ-GkT(vhjTD9a9Lz&A*jRlFaSYOXpsWH_mc_wS0b z@F{U~}I zdff5?Tt?W)=P8hCrh+}F^8wBCSm+q)05*>@nG3pD>5JU%I~ga325HE6YJdIiK6#yO z7hN0Q#np53fy`Mc;SR!3dWs6&GRy?N?j1R3T9d*5J+`Y8Hm6isnd1K9(00zYpOD%; zIF0C3YZM4L6MT|NHF`-(*_hMi*)7YMbzRYIe}T8f<+axOi6eDv?X)eMkH3k20M|8{ zeqB`UmHv2VA5rCzc*5h&q~aTyU;a+fRI_h9s&m6LDzk>HN&hSB;3nOavUQ)5mdPz0 zTlJkXq(?KIohF^#qb$coz1BVGd8E0xS4f@eJG4dn z868&Fm~pdwle^B1jZI*V>J4sN^y26$uk`dPFMo9T#?XcCZ_XU!4W5WvyKJF%j5J>p zILlve7p;bIrdd^Q3?zp!i{{XqT$7t7^wQLPBlp|BcG*X;uQd0OoW-yGCEC1LZxyfb zGC9tQii>jz7ZW$fU4}2n*9$GLT)XYFc#-Cld4@B1C0Lp}&A(!8Tf9e_sSnoeD_Yj~ z8fSe_8Y?JFjTEJOV-4oR`SONWR?DtjvSG_z6r^?#lEJH?EsvMT*QM6|!Ig#xC9FT! zOyXcVAbaAOrWakhMkY;a=U#6f`*wq3aK}=*2z5P2l#WjFek*C*PeZ;#l%pz{Ur;(t zzW~`|Y+_{nK=FOX!f62<^D`Db0A@_y(R_XV&Y8-(*NnG0B~-p7sX4Zvt4`w$in5=` zLXy&UX0Qy`5@f2HoWfL#St)v%qg@x(zQ>Eo-(wUnV?4oT^BHr;j`o|z1Kl=z$C;Qm zcV5M>sy9YAUy5Fb*nRB>@JHD`S?_mZUR}ThC)y$%{{{jwHib~<`rR1alApOeZ@8W> z_W`0=Ed7(yOLq@IH(w5=fs+HDQC+Xt8-hS9#X$7&$*-4&iHqB9WeoIVlWE!S*psUD z8xS)*?~1>73LCS%Dvs5b(mx(%Zb@Zy>wZ#Br|T0MufH2+yzuSuT;Y~V1Q1dnRsl_vbPr--51Iqq>YMOxrV%T~;sOzwGjew|vOs9Cr}FmS?UTf=SoU zrXD=(rr-OqurBVv+H-Ej)){?28_0eDKBjxXzd z|2*b`b2?9WH)x0=?jn&`#8*eox`>t=@8qr3X-9o3z@8+l-e9~+u*$#`fxDTkiHnbJ zCF|;L2^4DQb3XaasDMQpCE1=~D;cjN)*)Cpk{o`p&%?X>%W*M-BDgk5KG;WL9=InC z9~~W~9@0|am90NGA979ekUS+5;uyPJL>V1_e%)){2Gj7HdYGN52R4wq`vts)A4oQs z^=q{ek=wH8LDuj)Q&fRCY}|gJ?<(eMd~pFcxYtOx=TFUj92e&yV0!stjE;TwU!FqWB5nm+;F}MX!&^_Q>$%|md27pNXq(F-CYDv36vvrZ?u3Q)V4p^jbhcEnCCslBwfw(~s9dbBsLojUKqWba!~YzV%h< z!q6bT*EM{jPN7t#UFfLNs^Cgaks?$d*+UrK%g}loS;CR}?ckdEz12K}B!QrXxxFl= zok;`#&Dfmi|DotQzrf+lkVD4y*)*#TqsQGGA@XA^wJ>R#pqBvuWf*?VF-w~X(1Z-rN& zFfrkgj8nnQnd~4GvbUKDgBc4c+nClXIEBgOx(d|({CIxVr;BChUHL@&E9Ok0TjA*D zkVei%cg?(kaG`)f1KCHI`}cT1+tlTZUF_CdHK5P2nMAw*Iw_vG;TNh=J~9}eN=Arc z3Dp3@k8#Clg83nu1OkZ>mh^rKb>=kcxVo>F6!t&~m6X4)St&%+Y{tQ+&HeU2v)|X@ z{oVd6?yqO`6IcMpr7{^`(tisuQr7?&s3_Oq*M6dESVD?eS$oL{>6Fe-vMlt(uzdfm zoZ7nxjuhv)Bvi&WV-lq#-8YxepJYm>Zqm>V%H-VP5aa-}K9)^XDAk5%RhIo!pam_<6xL79K` zwP1?<-$<}Jo7gVOVI2%j(rBE&<^$iI*VFqGJL`4%fj=l?+7PK8uCa?NiT74)aSYG3 zIQr4`@36{cvkzht&Su;#Cl|2wrr^(FtQfzX_1}yU_D$h^w;Hp7z!&n!+CfB5bz%zd zK&jy>*W7sydl+Qs%T%)%-(cWc*Pj-e*XY;5Kc0+I0N2;K7JtkVhY+-%F#gv477{% zPCZo3K*8iri{(G6v~ac<52E|mdUyptjnl&^&Ms@EZc^FHHZ5iqI7@iZ- zMKwD5;>)0Zoy4tvJt8%ig?a^vU8c~UMSh^W`XSi=TRZd@JZ5sl!XokIVx95T57?r% z_~YytONYJU7lhvvpZmWqSscIKlz8fuFU0~@B<*=O&~>nfxEgnai}UrFFRBZ)!1hj=wwAbDjzTLxC80 z%*feCoY0PEZ+`Tr0hj9@{#&_XT`ULA>2H7=p}93NT@d*45zP2wYsx)?Mg?ApfKWM< zTG)rMuZ+2?ICQ)~t{PpgT2*ff?|S>MP5Hru*K~)g@`FkDm|z=NP2>krqx(j|mN3Le z(N4Ae`c0baw?Q2FS9)4sA)B{wijj=Wb>K23AhPrjC9KxbLauK~pOAEZ9qVMoXlJG5 zxR<0p4C;d`g{@DwZBEvt4pzT-h+@>qu!wt-Omz&scia-QT z*o7IXm+*|&R?yK(^N+!x8&ySQV_nDbW)5ov|3OJmT+v*DWv_T@?M?4+P87##06$%f z=D_EGqxrsuNYyyoJk}G|oX{PS&Lp;m4ZU>)Yz(OAIFWR7ey#f-iF+>e)kXx6X(o*I z2+$VNb4@1vqSN-1Pg|E9qa=(q;xkELE=}8L(5)9ptTyiDzVM&Lvv`p1*c>#F1fdHN z$l{QndDlRFylU$owmo~158pnZrKF2tXtGWQegJ(H%=^Vtooq>dh%4fhEmY%%(m6sz zAFl{;g{VhUjDy(onN?n1qSlo(%t-gL5F9h*D}1EU&Sa{ejM}CoqNKupPgD9oW-HVAHB=Z5o=qpe^+nU zm&-9ip5w$iHh5>m(6sAN*G!=02S-r-W?uZ>LZaK){=*TwxClR5FRur~=&OIBNoAM$Jd;=oZ{cRCyKdNo(>PUBM(w1f(^s+;;j;_0CDOudwyN4q+r46L?~@&~m}=&?ZzS}G9`|LCN5qNJ%!lF@)7Ee0d0U1}4nCjjaSY4X3ZQ>M zR_8$?lC{AT1w)?1Rt{|-qFP>|xP~=QQEVnf7Ue$PB4wr~X%V05Bo#Orur4Mq1mlvn1wKqtqaG}Yffw0;~*T-b6K`cZm% zQ^P`flanSgQPl265aoXJraM#L6D#D(OJLhWmkO9^Xi06pF++rW!=KGW3T?hjYyKri zmN3DD8Ai%PbX&{hKrEEIJqUVJ%5YZ8B7$TWT5%$3J$U!=2hoYT5NtEwkzy3h_>T+$ zduerSx7$R;r8(6Hm=DfZIpV5nC6}jd)^i;1q@q&u`)9^;i?4wDbkEHHq%eFl%d^?P z%l-Sfg5@`XN$%gzUHXS5wKr!8`xW~pi0#(85T*wOgnQG^EeMfy+&`*$n%eNs9=#~} zcL&LDv4uNTBTAFc9)}ohLMI6O_xBk9`jtH;CgcT3@6M~bQoVBBp8T;PH0;-7Y?32| zH4iBS>fS?}m_Moeyg09+Hqg3kK4SJhXy+Qqn+Z0P3gb5eb*R^c7}W?p7&u!L7>smP z0I13WCRa}Hk+!tY%s1b%A30pY2tC0Jjd+=01^U-CwppBK8FKd9h_6$P?$&8sU-@sg z#iB2t&41TCeEdUra~k`dkl}<>c;?3go0q|syOwEt^L_^HHG}1^HuH%Ij836&25hi+ zc+D-OBd&_YDf#%7r)MF4Naf!zVmUbtxo{-#beU8*M`>l z=X!@!8uh|06&1$uN62EwuW4`IOY<{{>-qWBdlCuyX}8B2C36zvu}r)Z{G9Svtrk@p zUCs$CX2f&QR7$`*a>wwSS7*lZeXb`P12$!w9$eeVh=X|2BVDKp?~fV>}5!Ceyc9Be$@vF7CcbX-Wz=*(IylFdbe_Va>ER$df2pFXWWL$V_v>NUKi za$&7=k2QWNV7+}~0ul5TkLe#5suzGD8A+=ceRWTQbT3i+Wcmw|;_=MlnkMB2Q5s<@ zMB*fK&^c>ZM0Pv&YAjcrAU^j4KRU9@fKB%?+RY^xALb&^@UTLsl?*3IC<$_%97~ z9A3eNF^bXeDJ)`)2meKU^5KhEX2A803qDa{T!6ht`d+M#;8>G+7vq>Z^d#7oCE&)% z15etOGVL6(jV6sdu?~X+JU3U=`%#-usV=x9Ig-Xlym%hdSz(qQ)ty=_&X4bR>PefOkQTY7G9U%VV0ep`xznS1Mp((ZgCiT=0{U`0 zsk1kQk8FqqlCDN(J7o+k7?a zAwzB)b|Q}C6pD8zdmCwUoa#sG(B3?VCd_`sW zFHM7~Sv5XPgJoWzO)-E-Wm%^=;GPaAhW~SuAgMFkea^!=6|1tSxJV1eLaqt6uw*~A z{kOyMM>Zkse7?wIrzr}9U^D(y=}lxdq4mm)3(DiLD|&Kns2+m%`HCP zbcuQ-t|Ie(zhiMl865JDFf7-T1`rMKzYsX_xChm-ZuoBa*0^|-^ylTX+v{yN5V8SV zj3j{%f?G^%1$J!2U;(d_JHYi3<3+yB4EbrNOb{f`?b!jAv-`o1-DG=#z1FLI!}-`N zo99MeWThFzyI`HvoQlUlp8NYkBdUpn?o>n8_a{-siZKMkD1f6D>ViTZR$#J3Xk0U{ z5r1)Vieq!Vc=<~&6tFEsFSsX$ykM&md!jK*#)=;vWPVqrU+m)DoKxORN82s6bDdAB z5@ImYu4l|+w@8+8DNyBF-1%?j`uuL!+?GYt{i{}=AG60yEc|5ty{koPZ`M&mGS0y5 zKUHh6L=ilmYm$?5p90O2mm;(Wt`Y3db#+%m`m*EOa2$QJhNe9`>SLPzw~ zHOsMD1-v5YA36Pp;xQIE47v(V4fFdC1B-k?!VTq(Vzlze1=SnX+`VcyE@7M@oDna? z05$BJW{055*w*3i5WYutw*vhDlrlylnh95q({`4W zWm?HYT9*6alS(*(!>)OKD)5$|)LY?JZq97I3NWA`BSz%P0-ZSn`&BHM8Z9ChIBFjX z>hRB306huCu7WtdvLROD^26=BH=%5J&DfI!98*Q3FI6|^l0nZ>NUV+ZeyXj--r(|C z(`$BV@n@PcdHXKtT&ts7*fApE_WGaz;+sy6d_O$LJYc;V-zU8Yd9r8SX(te)c|W=~ zAftK*4{hc2Xx;UaaEM*CX|daD-ag2o#7Y>01|JZu=$|orV%5xtQ6*kj$@>NUYZM*A zL04T!9TK47tR=8orbi8%L@)4y()V&qYSDJPJ@59;vfz(q$_Tw2pNy$v{j?0n@!4q8 z;d>Gm2 zN5cOL{B!;jK#^`UH;^Bn|D<#kGbfWd+k6V7aq~q@_`+vdMf3ucV18sBk9~?-Lqe{4 zmUlk6f|^aOSS@Z8@iQ`>C1;F(!oMh@3HD~lV}oZ^<-Z= zbvz~$KLTr5)n0U+;Ni{OZ(H|r==-J-*?j}ZxhJ#ap4Y$D6FYab|G8!DovBus{z(82 zcwxbCx`*1%qO^z|FD%V3dbH4URuy%xE~8t|R05%w{o)?UU5EekbL{p%0;GTVvdo&M z<0XQk^>huN0^q8rjPx_d0rynM$6{s7728eImEKtOd)-Rkx!`xr#Z5lz4}aguFO=G} zKKjiNp$!RXL)nsw4!EinPt~8R z6H{mHJ~@BchU-n|s}N-zOP!^>+7JC)YSuK1(L-tqu;x4q&H+mgkZik4|D-^DYv>jE zZZFF*)FaK&MSjmVoBc;L+f9$snSk-g5Zv!iI+}AZ7u*xZPV(-_!k^Nel`BV-y^9(l+njv;OE9W2Mq=K zto=NkGx}yZY`stMs-9R{3%Xsd_wg{-PAX)`EV!BjQ#ZZP7@eK)pr=*_5ueTUP#jaj z{Wb%izkMJX4rBWE(I8E!ht^8;oGdaLG4zv*7vDxc}^LSL9%WVfPv zYwF=@#yjtCqM+2XRHfmEBb9)Nkf_&gccDmpi11IH;m{ALW%j;ru36#If6kjuZl^Zk zhas>T`92j)$vX5uxjvJYb6@oGHJfLS-3*+X;s+L-`Xd!!k{Zsfey{8O`t-uITPYqZ zEYx~=Phnc$iBPauMKq2(1XjCx0G>>STe*3RgWp)}1f{$#yt{ti`ZTs_Pu+dt!6QxW zkTo^nFg}`{Ggc}hZZ|<#F(VdtBm z=9vtUi>tSk`)M98cM{4}yYjRqp9_Y_LFbxvVlQa=)P3z%6) z!djD36<#c(Za?2w=to^|9W>b~Cw|!KhoYlY9LIJh7EG6|S2c|jUW?u}d_~dtQhsEe z59a&`NIo2VVy1XeS%OlDCfml~oto}5c3eO0 zaSe25^TKN9c8UmeDwez3mc4Yr`_!=@-bU@60W%ryPUi7?VJ*nx|3?bB$f{nX^C{dF z0id5ZSOjrQ4o4hGd0>=&jpeUddC?s=L`yA3ZAx`>v8G5OAk&tH7zE*;EbIu zpp>@O@r+5G-2L&{bC&F5>I3qdpYC5@{W~RoNya}7iOHj^7VmXsPl+LQmNl3iP#zPA z7puZF+2<1~maa0N<%f(B+C|mh^q$k4*{v8f)tJ`guwDBK@2HPK2Y|+a5Z`u!V?bA| z2IF`E;!C^s1vfVljlhGJC8hqv*@e>`F+z^T{iFn0(YxGRH{U!UVDA!Nt_+|1u&h-s zp9*&hP0Z+{lx5z>DC2xzozAu<&+~2$am*%&hH(U)!=`}8#oxp<<^G5`Bk_n0z0R)S z0!V&OYEJB@;f9tIZBMKK^=@}?KVi9W2#tDQAr01K$W^$PW%pjgg>{#%mF$Hbbi7F6 zYi~(YRf=uD(D#gf5RkRC?35Vbhgus1)o`-oOv z7h)JZPHB>*FGbz z%&SaP>1`{9P^ldc1!p`I{g8IK2V0BP979Sc&F&GD1bY0M&B|*h`J6t>>U`jkb$t4? zGwys`<~SdBx^FmrlI_0V{knFtMDh z&hc3;mE-jIECK-oOeLKJ*<$t8^)aM5)7iI0>c_NxcfT^+j@8aGj&-W zGp1j!j)W?A_op)em$jG?X92Jt(Ta?(Qq!wiP#z8Ph)cd#dlxR3V4+q?PW(a}qtW_Z z&boLjOd*sF?pBayqk5=&yUA(0i-q4WJOkF+R}kaRPwmBWG3h9T8~{7r!UtTonJ}di zNbE=L{eyhX6(;DqY6Nz4Lzohb3Uatg0qs|l$9uvZ;YweTxhwRrvESI*&|tE3;d1=h z#Ieh+KU{^_gNUhu9Y({$_Q`~CIERte=`idaDyB_zd%&(HFxOZ?sH^Uy+QKo$w2)KL z)-Q8+!xn6w_=Xi(s#r&VHvMFGqOJDRHxH_+)19vNc=710DJhaq8eml5P@^89o%7S( zm^`P&l2c#o_Q%&tkX$6>I+1574-!;@Uqagc37mgcOP>=R-a9#GUJUg^jY2le78ftt z%@2ljb+gN|`xQl7p(57^wm%pALC0-~K%H|Rz6Z9@`^UjkmCB#6Kf z5GNz^&A8mc4|BP?#pg?pgA6WFJJAFjb=491RWl^9)G;=Hx}o9ixt9D_6C3;2MQCn+~cra3`&*79%9`duKrj0uhiRnjH3c#Jl5lE zYq6O+v+riic8}OVDN(@rj_}kK{tk0@qf(_`>b=IZ8{(imQi*?l znfBblPW?NxnaY)~)_sQTB)D6=Oy%dQ4Q_Cx9Kk@ral)luYMZ`#i0Ovg#9A)CB4q7x z9i<7eb8@+nw>74tU+A-EA&els-aJZf@0A~dsra__@(nL~%#IN0Ntfg(&*^7pyLu_ob!#PBr1s}Uk##sjrOZ|}b zc<`hH&kql?&6l$%m-lG5%ULDz8tO2k0o_xlDFws z^q_0V&d16ZA96x}x){5qX{=L72A!Buqb`;(4AyqHW}EYSif?`(*uCB0JL$Vy1Y{U% z)dt^uk)A9%!LnwwHkAs*ItZ#0m8V^|vKwyAtR!lG-a~?E{oJ)WeN?H<)?6*YWcK+> z-o@lIR&=XuZZk7X3X+iRo4_aDvM@wVN*CJE~%DOaYh))H$@Ljb~a@o0l)otg7t7 z8yrfiOx5fV{y9j38{qHyv7|rGhS17G%3)nk5%9K#1>hu$ySDz~@XtjzIB~uAJ(F?u zqD;Thi+4tol1kRgCoecKW&&iRNby=8a(V@{WFxEYpliksH2+@y)2GZw)4?PV)YrKvWZn{&}V~tA^G|f6tL^Qu+v1J>j(%6Io=o z5Tfqa>omj`+&AZr=a?Z4#q;o6qP6WUuhiH4Qh!A_3wW)g}SZQCED{hm~Qg7(scXvR$ zs3H107U~hjR=!~$*mp8Bw(G0e@zEuyFSGY5()?Y&URg3Zs0=dv8>!zpq4G;`bK-J< zF=hHfBKFiy&>DAFB>D8Z{Z|N0vSLsK%vxp4rD3i@ypn77*z zfOeqcZFn!!gjcs1*2*kp6L>{vMRjEK!S$2eab~qF!zK~SY@W{;0wiAJd?avht))Ah zI+;hwnhjD?i>Io@g&4Tx>+$=Y*T*R1v8Iu>`h>$=+Rv8XxD{^SoZU#@7YB;VG=X7l zCFpkeF`K8MAhGiOjpsHQ6)>YKO0P*49RU+5c^U$1ip_1AD%R8Y93G#xu_mb9-*aZA zx-5hKX}y?xG-wrTi|N*ws0?9%&2sOTE;a5%lsBl$GfUh2ldZ(#`hxUYE$2f0GgJI% z>#do3ySQ8iCcDOmj>)#bLeCGo5Qc;G-U3Zr+iidZ!bq-rLx{Q7enY}LHjq(e!^s{c zNU^~CZCA?veb$$ayhzPD1zo|$-Z;D<`*k-a{8B1X`f}O)MI+0^dQ8RWozCQfJslcfXB2q?NOLTjLexm7l`hLQ;rLWx@KqA>~ z?ZXd6MM?Y~xpKC7Q9pKdh}`ls9eA42aRvAn0s?vC<@9P zFHZS>0Bs&bZfK}am$EOdX!?WFZD_Rv{omlqA16}2AI~Cmf#e7nVlFGqd05l>s0QlO z-qW-}#4$s^D5kb()Gn@zHl_Gp`brA^%k#|Lt)Hv-Cw>qXv=*R8bm|MEjL|e}7w>>q zkjRxCGztr51Co^ba?d|{HYZYcWEl)}&GfDZxorcyxdUCr z0?22;*GJCkfmWw&G}U7ohHwg5cUqTh2*nT;U?hqg^~{iVcT-YpMm5Q?7Wf2R1wew?*`exXdZ631CT-LMub(}j2sUd?M}v0 z(lsn}JAljQplW9zNtzu+IZmSy&~^u;2*C|e=>8z=I0buXBz@iJY^D*aaZyE=Gr=9CGMSZ|9)M>@YdMzcguuUZgXjc`vdu9C!=wJ5;Mv<7`T|y3Hg_XvV)CK~ zEo;rBxLAA}I}f*VjRs|kMWcxwYC-yZ>S_J`q|7qQQjb<{wOaFEikNik8gl+mag7M- zRAx748QxSB7Pd@ZTLrW7PQtAq>1ih%PNoqn3%7?~It{=rs(b`7HC{k0iw4kbUbm%g zACAzT&x?idB<068Bm}5FwK4niKvau7|0gi_O$X4db5d%tz8R76ajtb~;~<6>!4LO> z&f`z-i3ZvK+qvcWJ5(Q{cfE7GIoas=tv)UAVZTH%?Gbi+Pije77>4DKJ68<9Z9_h| z8O5b$LXkP(xQ9m35$tOBZ>`%fJ~W}hoVCxM^@A{eb3{hxh96r-J+QN2q@2D#UiQD~tPh z_Uh423^t!&D;rJ+^SQZTJ_rZDV)Jzbg1jVan`6yA+m1>dQmwxF#2JpF^=)~i9sxg9NvX9xv!$Hj&En}y05A@j%> z%+!5^XOe%@PFzN5#1MjJ-pMObU!n4jkUS@HDw+GZ>lk_I+{Q0)H09o;b=9J9>Evx% zJ~(*lJx@A?syld`ge!ywl98_M0O^hxuTud+B~}}WO`?J)f{)08yB!rM>!x3aw)KnN zQukq3yCs(*CU*V5op1(LIJYa$ky&|VELAeHI;KTH$3EQ3RKeXtmB7bveq5n8v4HVD z+s-c6rU6^PSNK=9`MSl*v`UQdAd&^y5z)a(F%v@Q1lNA9P4k^p`Ndcncs~1}r0ar2M<*Fe08W@Z>3_`k?GAidWBj*q=zt-;sxR zLX?<1O=6`gI`-@Ryy%)V0?v?8K|T% zD~Jnd^7_Z0PkwvIkCUn%7$3SfIoI{gl;c;%I}4v%!{lx_?V{x|eL^lAHfQ%)iuB;? zMyQW#JXzBF>P{M$J$&``nn0?N36>yu{vP6vZrhu_7Fb6_KTPb`?Sfbyu+bll0}!S<&^wy2 z*$)@AJTknC;(>bZf-*jRTV41HF2c{ma@U4WyxZVD7CXK>MWMx_Wez;<-U&Bk!kB4t z0QNZ?o3b@aS?9v`VF3|COd4!K8tj0YC?!bdNAia;L#far`d-W1>`At+DayiO0|4LL zez*IRH_%9bNJMNyYpmrnzgI(E#=JZKCH=TUhAKLCP7O=pUHZt^0Vjh6!xE5d7%OmL zG`95qZX*NSVD9EY$5+(yzhYQ`!#~wRn~YV%;3ltj)>nwlnF1NhV~u0?r2LF(mt79y z-HHf}HTezhFw_+a!o5g80nze}A*s>JxH;RN3hAvTYJ#}4h1b-MY>s2abgd7M<3xcq z#d~H_p^VFdUayZv-sUcs{#JaxlQvf;xvf1DtCbxa8Y;?N8{sE9o4#%vBDwvCS^p5A z(S@!KD+wz-@xmP2D7?&x^bghFe1<}zs)l7Lz1QUKR~AD{lC*Aky0(YqxUN1{POZ`g zR4EBp|Kp)rshjkN8A|$JrtclULDuLFdy7h~F-AS(MHnfY9N2w>^R*#Aoeg#dZ7uC~ zMHy3pq`bzVB@4nkI#Tj!2?P6Mm6w64cM)y>1(-`4g)7%CzSyO+hy#@6TC8y}X6jDd zHoCV^Mr;~Aks8#pN#>qZ_^W2R@sU5sxQzLyDs+&*in z3zTw+M>||_ILazQ6gmB7u7JOm60KuT&kZyomp+Fm&*AC zSzHmI%L=5BRT1guZJ7=}90U7Ozi6D@2$Sy6)JI5zlS;Ui|8U=b&0h6+)#+;UU1gP6 zNr_yZhxeXzcUPX{uk;aht{@{FGR~#H!8*Y{5;=FJC-o+~RBtADXErm%x$;7DiL!VS z^a4!$JaGVb$bR-B{6-D)VB?4Kt!3JIO>fL-YshMd=0$2*dv0&{N6;DX$I%yoH(_A3n&wF6VoN22d=l_zaO^|h$DXdD0ILbk>xdOUNF>F!k zv=eG`dl;!ckyXzWc3n4uKG>4bM5kJY+Esm(tKDKfxmr@0@OjW~UrW(4#6qxwrFF-J zQsn<P3g_o3x0W2#Rq_Uq3im1U-~;k8Dog-}AD@ee0rmXw?^a z#5;Jz87Cy8^hAV|+9LK`2+wL&)|xD%e!Jq{S2iitJE1+M6(;T$!&vPVj%%@hb+d2f zst;`X@mMy}earpJma&9N!-|~Pg(5yE{Ypd`*jI@h)wul|=n%GE(-AOMZ-&&RbAbfX6YBzzR zdp*Kwc}jrTaKe$rvGaUA+My^bK>x(=Svo%s)}Ik{6V_i?XKy{32Q|y)0ezaDeb}8{ zlD;3s>%hi^wjT0Jyz##33A0DVW1esvWI39r5-K%kq9u7NA{D=b7aYAl(HvbLEt^oO zJ~}U&zMt|1(VEz3ofmw^tJs6y(uW@6n-GW^YpC2$pA;NYD$Oa#2#n zS~4yHsr=sbOe}&Y!Ll2-_R}P#mSB8s&}IA^&$T^Mz+{b?QL*)Epa||4B>VJ+(vOQ6 z$>uv$d%AO)DA%^LU8>Ba!8b;rO=?qzK}oyC;Pk5q6>E>-=N+`_-nf&&f#;bpfn3Te zXw6v(o7s^k&f40SX%X)o%Xqt1z7ecKcB{t>-E(NU;#sG}F10uzvbv8Rt-XCbyZ0z2 zJbf5NxUbmPeJK>oo~e@wc^_&`c!98+>-w#2_v|2$tI7o6mz1m7QB$Mdv8^}F81b*s zwK2G)*J2QX{H2QwO&muvzFuV~L8_KyXp5}`c+SO3j?f+75A6x6A&>6kj>e+!@ zh}>8773r60b^6CMt0Q1u8F==p*7jH&YrR_26c^OgCV+39;qk-Y8-d%}$VVf6p8UGn zHoo08+VW6x=(AvZh-oDM^Ga>4K^bd#pOxB_cHKS-fQ;seyOo+C)?f#07J@qd!}Jqh zpFL1fCADxnwq2#vS1N$iJ4HF!^(e_^%!X<11pz=BGqmX|{X_o05k_*Bh|AdlSIMFj zIUSbqfli!{HEz;bblgMzLN|X~#%0w95P%En1cKVX?QIMnb?sJ^LzN-^ibwEUt`b)Z z&15QWQfI3dOHDbP%8UUt+(!X=4&VZxH2mZx+4uSjF*~{ z^dE7;1oTb*X0XsvvUfMu;+fh^hc8uN^!K?Nd(~TlD+yBQ`&)Aco3VBQXuO&JRH8`w za48Fp!sxj1oH3=0OoLW#nvJQrSUAJXoGf05&(F~57=vwNkf)s|@Fg-#jfNEhfmdbQ z=e%&y3`r1oW9G5kBssW7U^z3?D6z=DXHDVX}Ga@%0>_0!+xV@`_J{etLMCs2DU9${%8L!##aj#jgwyXd^xj`G$^2{_(p%^cWho)Y6HhZ)yD8B=j z)S2UHJjsA%V!pP9waU}xrYN+foa*6e6%})d8~9pfYUn$(4yfgEemcs~*WgJXHt?oL z)j7o8`$o)x+v-o*a`jwE5MS_ct8`=cfLk54e^hO(>>YbxpA=_ZT6uQezR7@D=;5hf zbq&+V7moWsl~iXd>xFx32*0qF77Y!TfP>&k3tpD;mYsxl@ zFDv3}w}UD5a6u;+S!kbR!_Q5zI-cXQ32||&mz_KwAX^OHR-CNeyk2&(8E|?$kfHt$ z9*FJIfKz%BrT{G#q^?5?yWN%k?Ew^$RA!5$S!0q=cKbd39*I`Y7GE)%p$FvkffFEV zCje;#=|4GBOTnV%VK%qd4G1enGTk?tU@yWA0i=NIbGWD^*(!_HJqbMut^y0GR>Tm| zMh-x#=NFTi<0{SIX5XzTZm_oxS)R6xB92cfS1;ZWrky#rZ#58TDYCG}io4ZRA#kSu zDt*su<;A9pN2Ip*^oXd?=cE^EHxbQ5MhIGFDF{FNUx2p~_sqMqm$}pm?TSh-V~b6? z4)2w40?%)63&xnnt;1BBiH{rqirg>ZtVV||m6gr{=_0oTlLEv?5y$=CaDA25HFRy_ zY5$Z02Q>X)j0%AL>FClJq$?)|?) zp4Lt4VLb)ZR7&t{0h^?T!}Ti4NRMUh<{1CtcePy@NxGgwGD~<}j7Ug%#Bzr^%16*6 zTFAyP$GeJ%`~>V+9!-n=8b1R4!U1=~uDkuXqI_ram1a^n52t#BbG!CiCK21lV9?1F z4-9)MFfT-Nm(U2FCG>hda-@eIoQ28m_tX6NPw8>rcDq%jYp{qv(Z~pR^ZaSnY@K|P zctjxi=!-UrtOX!@LB*4B-%x8_0H?UQ_80~qjik`x53TMOMa5|Tx$QfGokF&o2CPcP z2m3dwMOB6OiyQPzm=O)c4JK|doHw_WG&qWx%11Hx)*tFvEa27khIarO3-v-s2v%bQ zglS%tj3Cfpr|Q3C;8v#?4T21nR0Hdhi4cO~k0DE$q<(nVG8P&DJ5C@Ee7wXkttl&Y$OaqWzG1#fosK*p;+<_Y%>_a4m&L$Se4Om?V6(U^gQRodSFgY z&c4goXlfqsAdbV-duou!=T&cDnkn04P0HaEm7GMi+98f(PX{4{fb0nnl{^lkr6rwi z>3bf!BQ@|rts}Fv;~dn^otB+rDGl196EunTK`~X6*nUF!20PJ0KKynFCHsUEn5blv zlbSv&@TLc`A5I=zTXsY4gP{8%5!fk2utORa!U`at$T%?l84iIA8oe{=M3P5c7Ow-y zASBiUHeiB5nLyXuPDr({|O>3|=)~%S`Ak$6au-V;8U9Iq2*w66(pjLkh14e5| z&jY(s7VOJLYKg-3>zDL+^H{ozUiYb9P#;{(yXbquhI77iXr+`vbUs#`loGPx@$+R@ z8Lcet@OA_yv>{e|z1`M9Qw7{39p5Y@!>eC^3pq$jEd?l17YLb;1YY!2<$|U#UE;lW zB3@>{il&qxYcI7(f4V(2Q3wO*@f-zn6uTa z1UG;Ek|DBO%zf0H`Y|NFvQ-;hqO#1;*C*`!TzN#RewtZ->XAfcfCYCDl@+{iwaN*~ zRP^8xp2}cN5U>$C=z2(KOm6T0J3OksRu-OFN@R)uHPGOF9e?}0vzBr865epL{Il4f z9+~1IlXk}({97%Qfl$h1Ya*GoOV$+JK;TLxXfZd;i8)|$Ows8i-fgr;nP6p8$QSsu z+M4zmGXX*~<-)Zr!Z2;4LH=F+)dPaSQqOQ9lycqTxh41;11EIib>`tRXPc_iCT#<6 z)`Lp_R$=0iL1#&cmN-GiQE=N$Gvu48cO@gaSna33a0zp9y~BK!Hva+U;Vxdq&V$nWeiTSKQYA2sN<%Mv|@(Po)5dy(OPu~#1k$cP<~%4d z{e^vvWB3Lw?^y5Oz&;@uE~c1Tyri|YRYj3B2=*S9{5)*-w7(bK3f1OsSh~IaA}?W$ z``LAU$ht{U=eSvR@>aw_v^ENRfgr|Ck&GzX4kvRfAAE=V#3(%rDhTgZ>|J18Din>A zBD@m}mdb&Zv@xdnvGq%F<1m9Kk6WK{5fQh2zkt!)>qC4$m#=J1#1tK36^Qf`j1wts z)M79FlRE&*@zWEI=8x9T*?zlc3KB1`p*+z1U2#rmc+8IWz{XRJw}Kk=@s?>Vz3Q8U zD+?KwiVO(?72)5H_``Dk8f-&Fda?w<4^C@!m3t{g41m_1jTZXZK!{oXb19HJFUszFUS z!H%x$S_zW@M`8Lk#r^i5f}m`1TJyX8-w$u`SF=Mk2i0mK-JU^34gif6oQNk`zNkHnW}rQ?sf}N8?b1~y zzCB>m!STfJ*r^4qF~i0<>rBvEYTCz266xw4ZU=_(mQfT4M{wn5%Uq{}BC6Yg5Ig1M zPJ+tdY~r_tgA+kY{hrEAgwDSLpC6Z0^X7P!nYel%_w;DCD`+PO4BT42)TRAb*9_ew zxQOELwEnlD94+K+eFWon&^_MO0_tBuKMhMMaI!sJpton4pVgL>LRMAwUhjkEHd4ew zRGvuAwhQQ2*5m!i+%4ft^UC0EHVQ`C`9~aTrsno?1VpGKIOtsnpQXpY(KF-fB$I3x zhN+2z){Ji^Tur~5PK5~7Y=GiWQx%KO2%aUaBU`spfwkT>gT`KtiZzJv;`GJ!#th{h zuU#_Vs*alIvHI`sGO9{z@cjt7jY`*l%;Cw?hq9CyV$qDzQvdBr54wlVms#33-e7fb zYt^#)YFhsC?O;+{Ykz5i# zvZOgM{`TbDev-W0tE$c8k|)q6T$LAk!$ya3>KZ%G(!l;BLa)(e&0+F!zP8bpL3U%e zh4fDrK#9Tv3zRtH)ze~f!fdiQ3(+L=-Y0SS4xiKDWTym;Nc{vGiaL^dF#^kn>L(as z?RTRYWU4Mw)U<7i$=lRV*FS+U1z24H>++iAx@?qrt_6BW+VLM>Zh3_30ZSqdMr06G z0>J1$J24o+{7%{m*rI_b1JleKzhuMrXtZ~f%Eo$egr znrRhVdHF1cIGyy)sX6X{6kTUL+uQ%Yy>7X^R$JFzMO(jKdvDq*+FEVZ-h`Ccd#9=m zH5+a1Q61D?6zoJYectPns@MPRd!glEYkreS z)z50c30#k)Ts_S~Z&SkuKd%bTh~u&o(LYdPN5N-(`(552nsKE%fUhgF2I0b2Il%1Q zN2~Mi@ysLZWm%Ab#stZ*F<2qaYIFDSyO242=c?|2gZ(L5X3(Y%w;r&wocVN3VPKYL z8E%X8qR8y^ZdQ1&vy=N#Omdp*af~VYg?krp!>Kq%KX(1d{Yv}H-ucL&rP$dQe6?bu zU6fbH7aA27N6i#lX1aNGu1F+Fk~E($;En5k{yAeK|1-IvHcFQs`TJzvdk}JN_ZXeZ z|049rB^l6D)nE3b`!(+um)w0ny+ZBYtO8rHT3l0f7yL!9++8c7VD-y-jZTCMvI2>4 z+rj)F$!)vcUJ-|uHION4Vqxb3h;p3PKApexvW}DbRo0>9BP+g14*o|@&|0TPf?Z$M zk;QpKn@!Kt(7yNdI=14e57yl7vt{G~{+XXCb;`c1R1+Q@O8j1{3D3&e=&3WKwD-qv z*`6)?6IOV}nayu7zi2IcU5vZKIY}R1@ESeyfsZqvlp`N@k$=4q*$+1)!xfH7S!}|G zU7J5L63;zFcRHV~)>RuR@%BvLbI}?Sd7n`SB-pCfxlH8YcFzgzxf_k5KW_|4Y-KFI zT;SO)j=5@2Yg}06Hp(zmrsm~Ti&6PQiUGc>a5W>#AOz$~=fd}{?}-=n;cTU#+onK) zh3EQPTZ&uTP?Iw^OcAp%2tbp|Aqno`Yw*RG9;cYftyRqgL|}$4Ia;7swg}0MA3YrF zFyYK@J~3BIsrC^Z&4%WvcIfezV!y5Ty0(7R8*hUDx)9nN#55om;KehXyg1`fNyVaR zY>HCI*9OcvN_PfRDEduzWgGqgIP*b9OWq%$72>XnIbWdb-0c&$b=Ip-EcsRKkisap zbevRQ>gLLp)H@^j3#tF{P7R)}@@qZk2s12i{sQ6^HxK_yQ(@Cd>CD|R+J$ayG};4B z3dKM4^2Vna*cj^Uy~Q&ZBpnG}!)c{XXYRS22`a=Nk_usVISOIY)?57WBirDE>YTQS zQ#dcHiMJE<9m!EM%M!BdrU7G-Zc=fa}-eND{JHIeTzSuz|^Kw zg`{piX|%*i8^x_BRR%#h4I^Tou)0FllaYeyDx@>T@n6+O|rD-==(W$xpQ z-k*vt(mRjJ?@l5oWA(oa8$4IKn*B5z%wBg4!-NwtCC&s<0GWL^IDJSYtra2=`Pa~b zb6;g*-TT--ruWB}L72qTsx$v<#2#A5ZbeS`2H9XQw)Twm^95+(AhL9&2Md36NO}k! z!o5!{Sne}R!wtUu`llHu#;|3Xf5blMNdFrwK8=H>uo;?L{R-nq{wihV*O^s};K>Xb zW}Z_9p+CQjBKcUSbJ852W1ec1-6F95?pPm@7W|*iEr%%sVgdE)xm~t-^-&gL&YV#f zIbuD2_P#joui|zxNGG%xdS>)I2P8eyxsO?`6z>q5xe^|&wih93c*M15jDNw@c*T0O z@R)v>PFD^s)P{%)b(TNMHtVY((1JYuf>IJpaUaXTl7_zP-mifl-9+{r0iW^(N;LM% zbL6#e7>t>8#jfm6Lcm3i1itC$+LBLO6W`aU=7TTNm6T4lc8(X`wkFI$S7F?wz9p>+ zxgR<;H^V)lIgcf(Q(F1+dYaaqg^XvdKiGfQ;7DrRziv{(@aEjHn4p94q?qM1^RCWd zsI^Of!_@O|pW+;a{RM?Nn177-#o+3myzY1HXwLb*WLes`Ex++s52S<){H*) zLiSqsb&E)@MEl@x`+w95oO=_Mv*p9W_B|EWH%4B7s$yz6V+0M9G`wSh~zB$N+AE$LH~z|{VPe>X==$_ zK))xC5{ttC&TA=tZC`9!lRCMB|A-8Hd1Mg<_n&BOK4>P8l$w2iFAmCGW%mOB9?3Z*#^pnBbVa(_kF&2@3Z%kbd(-|Ke zvpu>z)UkTKddUtcHuRW}2mTj3K;ns}RY$u1n9l0mJuh4VB5@G9X2FGVp$*a>pKXpw zx7VEZelPnmT!ye-Z`YmqB-98Uz;HOYqkJlI_dHiAZ%3sKHx}UKcV(?l89!3q@O?hX z)0X-Hs_FYuVtn2|Un$WcwHmz&-e)pV|kQf;BjmTv)^004Evme2k<_9`Qj6e_?8whivAglXuZqqJ40)PhQj) zAi!z%@Sp*3FH9Zd66GPO?OgIr=fp#_`OCON=jf$zRt5PT&6W1yqRT<@IXgzeJhA?C z969oU?0hixO@6Ua#*p>bnS0Vh#ye?1J)7%e{kd0gzNq&}&w`)IV(-e&A;k)!#eEJc zQ#;m^G2jb&PhW`#BF2Bf#gFF#=alzv{aZY$Nff76!#+C&UTU=QIM$Lsims^wgkK@B zP&t|UzMFrpwJ-B__ao+0uPOs2yPRMViUFI)U*ZC;IG84wm7@N3fEB-<{xk8Db||k)|#e zLW8FpLq|U@wX*lxa(6T4e(iwiBZ?;m_U=g#8K5t?Fht2Sgc&DQT&@^%aZsDR5DY@ z`J6HE8&5GLvpDHP-xFs0?SAx%{b829fIRn68{b#l?C(uYbI&ESxa6H*^!$(g>DJ;< ztiUyML4k!#Ee}0HIZQ) zDqrS0z+Hv&s#N(AyDm0;Xt3`yA={KRpJc_O>}}j=cYL!W69j_EmUW3Q4FuT<9Y0V} z3jX(u>&zKuzrVbUp3e9zWYrcl%~9nr$wht4t(E_pOy6TAqa>@A%`~CL4CsxGtX9^*^2$VcCgw&Y?f5zvwWHOAar1>4e^l zme-c1C%D5?vL&4lK=)^-GL%zYGOy*&rx+4Hx@?P`IUa@)RomLrO=xQSgw0KkY_&-GiZgdip2J|)q1~1el4*zo!T^;DT+c6>MAUWy|fczr8gWCNQ93z zxH?TucM0gz%a|Svv1y9L9bSjGQ~EqtkhG8`nS2iP#-KZ|{N}~g*%Hqr34Dj;fLH|Xwip=Ay zi~c8G9YR?|7CtO*toWohq8~~An|7MaYTs4Mt8+7^w9%?f79nTbKVP)hh};nc+47dJ zPAje|4XOV8_^VLK={cWNl?XaZNfPQ?or=nKQNLVs*r_7QWalK_q z`!^+64RgUK7!GKUaARt$UT!{h;pEb^VfIN1>K~QICkz?H0tYlU;>dM;W9UrXQtLf; zeq6nh*q55EPs}$%-Mpz=DxDEARh&e6cn}C;la_G>6r-RD{;lzn1L`vQdqhMJz!$_z z`s&%WQnDjyI{du$*fPmaEw|h%;k0!`7kYT#${ZOXdva|P2$W%5ty5uUSmGxOU9_-L zefN8EwWW@kxx;tRsk8a|vve7%d%Q148rzf6?!cD23FRI1u3;n3wPf>)vkCLc{W$2M zrM+cYyCoP?6l_xRmtoKYV7C7Bw_|*B=Vg4~rznHG+b;jObDTw6GM}`QzWQAEwaXzq zSe&LXMiN{T*Y#MB)lOfp{CCn#g|>}`P~P)NHJA7bEHzzt*5_ zA|!756X$kGM`V*b^qZj$e_Ybi>1i81y)2qF&C6BTMA*npW3ZJUV=7b zdvy%p)bP zK`%h9m#uUufKuY}`CGH*vSJabazxU2v9)%vW}K|*%_groaXos}_41&hgL@BP?@u0@ zdzVbdG*^Vw&9RODF~@DelkKRgZ+x!XFJvYvaxd1JH$GK|M7BDMLiGBB)hgYcAUV7; zAin>;f6zea9X*Nn6&z{yltW3OpY06(&h~y|^jITdNsWt)Q6>r_{0ON|QE=4=@UNzu z4j06tmO`#+%<_Y3b-s;rLUo|RqTaZGyVEpIQQ`@|@>GujrKBl+Mb+P|7V;&Xo)kQn z9D2Y7ra@-v{iEstwCJ1cpm((pXk2c1!dg1x$6`gOR)iaJYiY596gOi%)FinbcyE9C zq<8)eFRo2vYyP*l3C~gsYo+3sgvy+|`ivjS=L&|QnA>WX$t*jV_63OjVG;c=Dm+Ck z&P($TNocrj2{ZLLaq(auvf|KT8m}_)CE;Hb{U-RnzBst=84JefU!`W9)DrLZ{-g0@ zC=MJH7d@;@v4(~kZ$gfLy#kKm-Ic}Ly|rtD<6EnA>bV%t5)t13Qi6pF@*3G+o~ zUyTfOFF1{r%MIoL`&q9U2D92MGgGC35#aDP#T_t^>Qy`#sK6DSunq?fz24^l&SP+rNrQnxNpJ?HXOIm$k zEk2~L0l?Vt-Qel1we0Qi$*o7&guBX)hyZpv4_A(zC2$7q9>|MLXwRq4NcIT$``1D) zyuJ~YdSVsxA3<5Wimy^oOL`5m+tz>g_lS_FMunPj;?sK9{ZdiiDlKU;n{PllkZUxy zjJ@n4?X9-)G{NH+tvjF~YCeHtOa2sSD7F6$K_uFp9`Q%Tj33XZ-Py5URF>;o{tA(3M!M)1%_$)VJxwQ1+7fXEmPIFWNen*KE}IP0H*J1Gi6lbzYW=$;!_g5RrN#m~U;4TBjD{ z^#Ujb_Nws$x9WTQ$ph)TfBgsH8`KeE3~h1E!b+`?UFOCZ+f+h}Z&byZoW0L_FAJYB zhj;AO^2LKx3Q}FwTvdI@Hd@{K!N&qT!HWT?7evj#wK5SWwpG6yxSYs(6HJptvpa1! zbDIM9a|0gYFk8hChU_1OHL8?#Cc!4ZiScE+a4eQqt8(^{RQ@c<1P-=yFZXvds>z~!ODYE~ z;K}gSGvx89@y?JR6VD5aJ}zeHKL)#l>fF~08`Ud6<|rM4AE)lgCZ2tbcdzYT?M24X z3k|`)s3wx-b4h}%)5lbX6Q#utbC3X)O#Doe@C(XOCkl3LYhl!@Fy91}=7ktqZS?J* zho2fgmNScRvzsKdtUcMo!`H+_8!F-0^t4w9IYL%yz&~h^tY%>jcj$4n3Zmn|-tEAX zkHEg49dLU*exnp9!UiIc<40$X3g^vCgEtDTHL{J)zgx#oK@MXpMFte$zIgqbQMDw1 zoU=(@%ZFRDz|Z2TS@k%{w!ZSYzFr`3nXTJ!Ivise1peui_@OhQ&VcE(ZSZSOVq;0X zm*qmG>F{qaybUmzAlVqupC%?$M)%nkzp?^5Q^W^7wxv3Irbp(dY!PWw*OGH)wtFL~ z&P3_8SngKONytz-eOWVZoFj;15Au;R`#IwrxPn`|+~-blzb1#`Tm++}@~s!(9aicP z6GYPx7Vdv^;s#hMURcYze>MyykV~cX5*!oVr(LwZjT78gv}X@X54-qLU%(QmtlOpn z0ZW6=&##Q0XcN`BDW^A$sk~gQvzGCi@FmJuYcG%8!ge%8j=1Lu5L?q$m5jKI(&?;V zxXmOPYU-W7Qd~uAIOAn{jqA#Ul+KJ|?`Y2wWQ48V$$jD}J?V0roZ0)dH?H)e8k0l` zu6o{+EOY3|+ek!T?XhGwvSxb#c1mfE@{j*2=kS^k@+LpF-CovnNMHGEQ@bt_a#9Ps635@BmmcX{y zV|$&zQ&qc;EFbE{UAg7YJ%RhyE)ca>;HAugA{{SCt$(WwzCu(O{ye`=sxZ8~S3)@d zMy0kyA?60f^3-(pq}&H(YQHA>_H5^&rqYkO*%=28apY1D8YuVy4p^51`l~GgxdD)cc9#H zCv&k>1Eja|_@<$J8#!biiPi!ehacIy0yWxOp^U87U-98i)}bR{s`KRGU<7LA8&~af zt$qoDCy^`v$RJ^fAMwAzPyZ3u;^jM34!Ee1Zh9&fGbLh=5W`QJsY}XO>EIcmxIRx{ zaZK$%YMvJhmRLuj)&k1N5pyTmfx!iq_8=D)}2dgf+gcv`3xR&jrsyLu@N$Vi~1U z*c92UpdAszHO8gZ?n5EMQM-j=+|B67$vvvCoNQpyl)Yt9QgZN!+toE!WoJDg9>pAJ z$LEZRD&8B$>l<11h5V;#G@G4CK}3EPJ-d;uBZ@K(E)-Y5>eaC|PXzXAd3Jd}3{&?# z`orK(*#yp2-O)u|hhx_A-tcct$N@8NAR*OG zl^}{KvECWt-rMkJ=66|#m)6=0w@>zi!nVi|s7pdn5?es1OZ0sE?+rmR@AztJ? zF<{)}fRGzaW~O#T6l*q_dQP(1J9E?Gz41d$#5;g`Vi-VSsbObv>Qofk);d&l)x9gA z??8hZxM_UGSF+{P3U(%vr_oh9O{a}ydY9*k`Ej3r5-U9`9yz`l2G~}JN)|eSF&0Kd z_G3L9{s9ARPAUF%J2tahB3Xo{CrZu-)LvH;iw%quLP=)L#w2qcI7vrB+t!b~rkuW$ zb+ZZHhZe}JVyj+XUE!Tk9fUpM+L2h!Sg55)S%aTdAfk!-ouCKpDGcMoDG8MIw|WlV#!_o6sK9D?tSKlY{F0iOYtqhsss01s)D4$M{j4?JJMaw@$etuO22}?>r_B^ zY@^`XNlx&X#CVa*^w^S>$#Ck3Bf7}-j(r94H7GCQ=AL&+w5kz+yeG3V`zU-#O`c7{ zQB5*rIAODB<3-WNsShZAiuk8BXlh(4aa_0${x9mV#@~SG{YLF}qD0p}rPxe@WJvZy z2+J4EPM3+j9f;`KGQ-mHdlo+v2fENh*GMm1uJ7x4Y9=lWxBVwSSOEN@=5KuVeS^ z;x6j!P8=z-%|t?UT-DoGY(Xa9z(4$2^gp~F7TY=1tY-H@-jlResLJQZ-55%Xp|J7n zQmVa{uXgnGF|bG9W~R5Y&ANoITgh;4Bpqwkh?ffDp|NyTO_wzEmztt~{F+dhY~8&? zllJ!EP;oe)@W5ioY}2!M|L9mq{JDLHUbv-yQl>FJI_bdo@9d972NcBW<}qh3tqzyou_(tACMm-viH^k9 z32b%lA*D@CI^kw)q@_I=SOI!Y3V*H{)z^gdzZfn>bzVi_qAwSzKr$sn2pg9(8aI=SZeAoc`Y^a zwzUQ)aWe8`p?h6g1e-FA-tPLOAQR$QKmDj)pJ@sZ7d&L2YahMI7A*}@UXEFuh%28? zTs0qbTITIa*cqywTfG&0p6z^PeNu6fZSK_Wl_2@deYwB1^{@a&cDXLd@&Y7GE5&pX zgkyZq?90R_-E#cU!;mehHn?aMtcS>UrUYC9Ev)kjgIJ~X;@rmcjU=!4vz9?Z9Bm74 zA(6eqv^>Q|!D}-Q6_fN-gFAi72^E!G<$AK+!i8$W8JQ_9vIf*U15{BJ2aZ;caQWeL zJF)7%)AhzYu`Otl4cqg?`CqNkGNAsIC-FVWMXiC8oJVU%{RAGEOt?YG0H|e}pp1g= zJSeXa&5FL0wtTCKY@j_FBXl1)Dq<7EJ4$VF=+gNOEH=*X-^;4Du zVyr2%2Eb`jAlUgxYVwNdw}Z|vhacF}+T1eg#Jfd=>9>Pkqhh&bLifo<`R<&w(gak$ z7siD*YB?*HfIl*|WY&J@k5asP@iThCWV#P(;z7@k$&!6R9X?se75ah1wz$a627gOC zX|fD_(h>Vs%uR*)k7@r|yM@t;91Wd=(}34`C9UPwXOQf)a#d;*mB2H7%eC6D$p#%3b= zip`k?ynI8<2s*}3qBhS^E6$h}K;FK<38Lf;Jny&0-5Quir4NcwQaa>_I0!7RnpLE_ zo6&crYM`A>(?Vn1b@SbIY>|{3WDaNc)V(Kw22m^aL)oLm<=6nCo~By>wI>Uxmz&Z0 zuVXvPQC4E_cKH+}(o*tp4D-Qs=Mfb-d}R`+&NcOov{x<6+01ny)H0oyu*Hi_Tr$c3 zp!PcD`zS77{|&yTIOt_->;v+zjIr%_w0OeDh8b^z+>8!?;H%SHAOqs>b4B}FYT9u% zY?x6NFbeU!b$o9IKT)tW?HD70y0d>=p1v}70}b~y{9T8N^IoT$0(Q6;aB42o%J98M zXHpB32%$PsK?@^juB2y)BXJ^~&^XTR8u(VDVX09+75=B}h8}{=UZxXi4o$H1x*kHl zDdX*x8l{*N<7wmHqA?h}tM||8fgK>=>Zwa>EVv@7na=d3#KT`K3UG5s4qglXqij7C zkO1isjy2fV3@O{wh?b^OONw0&!D1;Yx56H7b(UXL7FUIdr?lNyKw#IL@OZPZ=GXXO zP!5cnm#=#uyJ0?f^>#>ZJ6Ak{#b&%?I@8@)d}eC4I3u$7ra@0Vp#7GG8P6qy9QUqg zG-1i&qmf*Oq9{?4`6_7Zj8{;5fD*YCAHI&=#QRm%9I`0KMU3~+T`bFD)}+Z;{Nxr3 zN5sfuu?y<%Atvz`SnPcPj`8WILK$@iLrD!^?MRYqwx_>t;r9wd1_b9pn?8nFnOFG8 zu4!W`=pT^p)7mq0w5>w)NQa9}ydf~E(i7-?#QZpH-B2Nl3YL;!D}U89kU1QqqnfQ6 zRKVA(UP-5UzoEB%p}&s)w_uYE`%c`m#&~-)k;*;ud#&eF_;GbvuY6C`XSGOY47ifD zQ$%4`tX?lc7a3ePR11oKq-J4JO07Ex937V}Xb^WqF6NAV`L-n%f2xpl%kT*@LLqWy zF6GtzvMaHGWVmB?b9Y zB>DnC1a~)=S4PJi*gi5eG}Qp{c{gK4`%FxD6q`fPh4NKK`B=v=)5o=swp$oU%i{{I z2#gHq`N~1)68%Q-oN0p7=I6dP>ZvOr5$n2*?e-@pGm?k2&;A38l@c`9WLMfnon(IN zSb2B2KWKc!W{jS09v|m3V3jSg9KB;NqjI|B^^qOB=WWD^b@5tljTHaDv&!gdD)WAz zSgqvh56S!BUZ5d{IWN1}m;LHh@VXfI8h8sj9On)?NT#jAhN*pqIU2|uwa)W3yz zoEF!^g|Z`B{;&E$)gWilslg|FvCb_R$K$tscxb@l#POn<^T}*JGfo8g-}sbGz448f zv-l~%%NSyJmsLccsBT92v+>zT3T%dOPc<2Hi3c8V)~}_@(XLKnc12slCsc|zsgMSy zQ+f1fqv#0sWcP-Lr1X1* zgq@u=j9wo5h7LYEjkVBg^`oZgS$n!OzepEW)L7D z6hUb5k9t)?w*n*ru@S_|m7f=>U)lpCortn;oWJlCbclG%p55IFo}LL+$gRDNUZ|*- z39K_E2I?z8GCganXa9$#az>TCE?g6(fA(J1uu093xXtl%xFjp9mt07hg;ARuDD=Zln_KEZO7m>z&p8B^HJK&p) zBd24EGdABi%eLgq=5$GrU0PF4k33=dkP6<_kM6rUDP+H)j;YcPt-*>8|7~ zuT;QS2kk#ds^Uk1ZYSAUlBO(|<|G4#i%{y#<$iBRpK$HZRdUO$e)`T$U7+6uxB&x4 zcnMo^iPzdPZJ@CUjfwunN@&Lcx=UK!v~-l^xL_tvIlrOI-t8@ip#b@|u)(_y8I}lm zc&;q1Nc*sIC24cuwOR16hd#vN-h(i69`15qr9hnDHuWQbRt zlG0oeQf%c@mXui;>Mj$))Z%jgzV?#Ox+L_R=j;-N`0ZLYQ_U;MibdPeNq z*sH|$pOfh3L}xy*Qep2p)^VYYGyrT!(Mj>xifr9*MaX1C&CDCKA_D@eyp@NE4j;Wp z1tQQshR$wa-d1LEy}q4RFjbx#i*G@H@dM_E$D)V>W$(E;rvrL*QbU{szBJbQ3jS0h zSLQ54#g*kny5x*WwMOkSoA%~Bju%xOy)zS;;E7%H$%EY*RM60l>x6-SMp<>QD{hu= zQHHK5T1cMLEN=2pomRGZdd@rrX^Nz@_2X;NxSO)eE=Q5(kXvcN!3Dt+Y5_da6<)`6 zpt*u0N41uydS7Ob-*JaGv+db-eSv`|uV$NJ@xH=W*RGq)r8I)KxF6S1*$_SFrSL*k ze3=8CA2}TEK9v?Ia<)-yF)orf#Iar+v|f$QUg?H0;#son-uP3YIyOgG_@j&gF#(3b z>YsCFyD`@B&YJOS1rb;p8+M~$>A8{37)!}GX-9n0T#v}n|5oo;7g( zUzAjwm@o*en0c7I6TUdzO&P7+sS#IJ?()@8O?B3SM-QB|!8{Ayt^>niZ1WPzT<}KDV>1ZevtCV#nFS;-E&|w!*-*f1 zqowzELx{nGS~+_ICj%$b#(F<4rNJ}!M^I5v>_^Sx@6&_a#BCRG^3unRbfgHv_O$P7 zq9Lp`Vq$z4!Z_(B+P~pU;SE6rECd0pVyBgVd_zkvMtj7(?-16>5=S)qP<@&&h1dn( zn}G!j`PMkOx1JZWjEJ}&{cmb1K!`?9SWPxu84D;<^v+tY3-DiThOUouA z>Bp$3CWWGQ|EGFE=^K!2wN#o&F2wYD!TNwy$Xm5Qm_O=dq_6Z#7h`A36*sQZjLycY zYz2(c8g2-OI&1sH17BMjoF-(rf=NHx>#J>OZNq zU$=>ex@_>3u2imYg-NPG#2vy3IgzY^gBR5LuXpCvEM~ICqz<;Z0*V^`Dt>`m${=tA zj#ka9pDfH!&aW_Ts`Kkx+xPZW^dKn)*}vQR$GqnwFzHyOgG-=S`j=&7=y&V4N;byw z7>Jx{c1Ed6tDL#7eN*?GMVP)1m1U34>9?0Hx2FXOYgGb)hrRB z`Q@Wu{k&Q`{`&%VM?=6Rx86whG665(uUvKDlp)Xy3J@W)jU* z;~qp6Yv_DSycoQ*q2&_@EjW_@Me-uJw+i$BwyNTHJ{3LZzmL zjFX$XqCBiT&nj^}-t`1heZ#yMi5t9A&~T?cnww)la>sAnpcPw7RO@oLP69YG6D+;A zi!!f>I;b&6J6+qG?E+2*bX%b(Z*)W{U2Tyb1s(p{zi)f5f&J5#4W71PBqp!lYz`!+ z^w{V<`87So?1nZMp@qiEUzo^MhVR0wAld@8Bhd|8XC_ay`hh}eUl;I)Iv)d1H)XQeIbd7mxawM&#sQ$70rOyI?}U=&A#!k z-O+_E>aOsh(|QMIIj9R@rg<)d(>FiSVA)cD_1Heqm_#0Ug_hJYt z&vQ!yBst0ynWop%7OIRNG|JW5xaa? z$roL%Mkvqu|0!m(ZVx7#1caIv)CSUc3X!I{`!0m?O6l-F1NA_= zF~gsMLQDXlWNPhtWY#CDT$B*LNbKMp`bz9~maLZR>#;q>qWb@qZmm|p@9l?iDh6KB zQdr$EaU%jxn)-~>(}(mbq+`BS$ZZS7{t*DTDS z@pE#Vnc;+uEB+tB=s?4BpGC8yy2amBe%OSfTo=P}oGYyE-mb&;vi(5Nu? z5N*4F^4Zh_t*~=T#@pd>d`>3ioCma>vvuFS_XEP8fk7GzfpEKs7mPFy#sd`DforB{ z#!`YwbHBkJ`|sf8=xrnAn@8m_M*Bv>j-B7%8EZSQ?`Qu74F54MDweQnwDo)b zXNjY1#9-fX!L;GjnEgl14|96juQXbd*DBxsw4X8)6P{UAVM5@%n53lu&&h*rdhVs8 zIHXn%m_*1!H#Q4#S_g(eSR}2n`F0vHV;a|-c!A~$~LS&7PZi%vjCT+sAeNLYel z(QK2q)_uLg4(~6q>Bd@|KH?lonz1AfT$qX!IM% zH202gXp5;ylH7nd9!j+FXw@tDHpIS1w!p?e(IY66Y?%zZS2N&{2s^mt8AQSq^O34P)OJ7mz zX8S~42=7e`vs`EV?9vm#7EeuK17rEzg6P?V3RrI{{9-WNFAgfC=5V+mZL&a} zMC?*v8^XlX_nzfC8xbe;BBH8DA^5YY2ReYOD+Eb=g|XTSQBoD?@zn09GI&9qdtFC= zm2=~(dpVk@Jz?@@B) zVy(lE^;Kt*6_kn<{IMOgXmDrUp^k7m_q!>jzAJs-=}?uDi<7g2!)m@%v%l@5@PH|u zr5ZlHHc8H3&h8H+HNH&h9w#We9^I$*)B%^E<1zMer+ga_y&|@@4e(=pJ0M391v7lp z0Iv$o|BO(4X#ZTH?=jB$P+w&N=l?b~7onYNczl5yDNMHT*DFtr237vQuk2;f$U*%tb6hGIA2Vm76?);2nSR%TDzP||7 z*>FhUAkFF8w*_|byKMC&b?lHL%e!7)T)}H>q*I|fBIn{BZ47H)P_1~qctHDA3bw_4{UZ`S$<1-6D-KC|AJz>f>FfbW! z4+&(Eda3n7m!EFWn^L^l@CPzf@$!-@ z-U?>J^M$-&{d4}r)c!zO)5q<5RvUC@=pN|k4nI1%&k=(i5lfifn)GSq4r!|9uW$=C z33t|%%rST=dfWByP|F0p{exYml7r}}RIlSCYp~|EiCT0_@hCAP=)sbsy|yArIyQcM zR%+?a`08!4TK(Yw9%*z3T&@;dtb%h^=JDUY?g)Qn^?{tQqh-}S&#kOX9 zUdT5l)w`?1)3pDbTT9^D|E-OgYS6OadHvRtiCSqf8WdaZj|>R#PAUI{N3(W+ylp1N(tDVfzzgXaY#%1)VD>jvG-eXH&tzI;};@j9<;#Zmjn#GR#xvn)xH z&NY6Lc^Ik3al^o<9we>G!(&&d3HP)({Y3GV1`T)4-+asIlyReVkJ=SI97AY8BhA2S;`;5&1lX;-9bipA(oJ4*Oo3&fQX2x~AR>5z6g*&fjyyK(LY?6I8`9;uEG?u=Q%mUZK!?J;ls2H6aWGrke|EymP|$UPqM~N%55jB2XmHHF+&~+wSIa*GxzJ0}aH7a{ zW?^*y(!8%d&lfmwnm6|G5uVeZBy(u45AQ!||1Hxao^mL%oN=ib580^UoG0CCT|0La zcwgbzDdN3f_tAe}r((AFa42)`YS?v~}0?epDn>A1rhCf{0jqFzi+?Q$;2g(e9|aWs{dfSSY^h1_t(BhsFOo;i5KM zQ5#ZkM!PZfBpf-T#uL7Fp=3_}2@!==|0~>RCP{5z3I!r|`6qpj^!0Kt`M-mHF~-HO z8hX4m=w+i*8V(-1)3qXa6Ynm)J{n@9`ZeHAP_u3Ir5CpkBhf1%BeO4fTl`^3D(qy3 z5zoYDz<*04+%dU=_vnhxN$i< z>sUOC9v`b|)GM0(=sA;@#XWwWb0fdQu2iCQnrm&lk+ENk!4#LaT+e_o_9^9$);i>F zT3Ps&D(^SZ8vgqydZ#()S2o9f00TC|xnzdZsTBU1T#MZ~^~O1MqoE-9b}x^PM}l0( zsB6|0qvr+x6S^3`po(t;#BjS^je2$Hpk<-rv(3j>ls=%p?ZOpi(p8zVm+=ttDUBCF zdbQ@5or!Z(=?pA{Czq^^YH%4@narrzAZ@j{>|veP%sKy$ytnXb>uVNF;^&eeQkN`~3x9vd&`m>^-wbcNQl( zGwd>BLuDCVgf3RLYjL+hOb;PPRP|udhEwZfO-Z-yj3WXs9s|5B;20hvVq@6+)8W@1 zLen-A2W@6P!KWB(p;a&I11;PJ{;lBgAUhVyQNocqx1pJxfb}u;%g18*oD3sA7L)fg z-5mLdfovz(2_4u!yapolcM9$YrxK)-)25^%-QI_^i(*B!g05>oAMI$afqzW;Nbldq zcJoakev%~p)ne=6vUoO>G78WCnxSt=4$t|}vir#m$iv=Iv;QMp4EP4yTr*RYc|bmE z2V*{kIhEDWhlKY&Mn6S-Uf#$>Vl#7UuHfhTQa=?6OOAv*Ppmc6Qv^$QZk^)pCMzO`Vw8zYpdm5kcv@U3Cn zNrC`9xP;tUF$+c_g&3h;ELc1;I>xtA8?04f{TA5?|CPEeuG zne9T8=flFw8h=t;0_$A90;5l@s;hzrBPDAh`p|ltWB5iu)niWJq=vVej`^%U17n4? zBFYA3%HozO{so>Dmf8Cm(41Po3-un8?972*g?AUd1$ddVkF|K;eFEoKT-9jHs}m(H ztuDG$ByQ;MpZ3aj732};>#r8^&BFyu6?Q||PPHK6LOEOwN*lKMMTa`)g!kN3CXFgr z1m{`88l|#W?yR!KOYsGGSaP)U33;0{!KdT%r5c0mDK;&;`jqeR3|p!s>4JT?z-pE) z_6FppW~+|HcQekDT8ZEx6^W-6l5$N;3QwDgyK<>1|C@N%-{)1MPT)vsrsYdaBhit> z$vRUZQ&MBymG2Xc&Ku36F~`-?TOY*=X2jU{9GKa6LoQ~LD?l|mHD74|*gENC&pUyq zZ7?jy0w7$M$LYj4nGpJ)9=w-K#*_Ug_QV|#j#&Y?h z#0w4~HcSv*s$NG?P`}oI- zZYRSzbI?yK4vocx-@tswwonR9zcJNQ)@48ft50J}1-fN(-Fv$DqY1Q_5e>?6qJ68I zcjwF1FxO-cIo)y`B7kNkEXTMey)-E2b1!hHYAy@j0w;MhNWzULgJa24Em~QF4)UGf zr@J0Bu>80d>wXX}Fdx%SR)F8&-$Q6SsKEQ}?XEDv;~o(HN`j z@B&Yfw3~N>FOm%yy-3rcH8a5%czN8a;_PtyCfrz5k%q7;IM8|GeY^|*a=tOSlq>9R)53vR^+fcxQEFD0bX!|qdS~_+m z&9KEj>a#6m)oJr5)${iVu6>dn+3dVns)m3A1@if?7xih6KHw(HIs3G`HHv!$-C$ehU84*VYM?}Ei?i=)3_h1$>)b{aC`?I!^Hw|DfWf95EGwz z@;tG`SUeNzcCu}!e{+5FEUH5!X{YT^m5x3l!=0_eV(4Fsk+qJgyNPjTnzyUbO}TL% zJk_A6qoV0WUDKDz6xk$c4lbrAvDNorN_}O5uoLTY9l*sbkJT6JYV+wt;#NdZ| zF~=lb}^5PE<+lzfk<)8AHeUj#cKK&kTYgR@G;E!BRr$tODa$51xp z`QgK_bPtu-7Ik>Prso~{cH?v^f z;#p~gq%g9B$}B7HGtTW>J^!pVtCU^IA$A$QF-E0h-NCAJnBOeWuq6{2-VFPgtcGNm z78^M-V6IZ)1stJVR8EPpWOGP;9JJf^tkr}ejL1LJ_xWhstl%cT7W>jDYxb%|gQ#bitBQ={@EUpUU|Uf+p_ z9J!h=64D%Bjay73&8Hv7NA!MGr4Eb&FC=23Z!EZU_gkKTJd7m zShTAHxdz1OY5*m>-S0hvk>V#?Y~qX_Co`zd^K@dsPK4%h*hE{2lsG;8#q^V8W3Owr z$AWM^tqz>z0BPc!dJxRLdE_T4F1REYWb?Vim~T!~D^{}vymCjKZgqDgu9GPCJ!1Cx zKVK%_#2@5)b}Q~S@#DE9pZEv`<{>3YIHt_x)!FEpwRoy2fxa@!`p_BSW{<{3u<2Yh zw-JT1JPC^0uIgtKy&cVPDqDAbY)C!6-Am=$SIY&Ct=<}xL}C2ImF1oxR)>(!b4lBO zFE4~uLussBF+DoNvHaOCIkzp|j|=HdvrO30am26Y9oy^)MFZaIhDVt%Qp`8-MX2qW zpDu7-*22Wa#QURBN)nNDI034+pE(5}pd+L3?yGGYJQ|=ZtbUcc$}5Bi1aB5u6r$CNC%RXAGh69;Ald5rW)5F1n#!F_ z+@@|=$;P=4*#Y>myaokb~7huclo#owtcXL(qKionHf)oGKU{QD;t;%=6`0MVZ*?s+Fw03EyOZ8wuDL{E}`4Ywc( zynt9TQX9g2#cM1AUb_zr-G+K5&h7xXJszKKWR+5$`xvM1v~=2TVPEe(Q`kN!#Nw>F z%0I77Gm{?sLFzY5zKTq&A}{^3tPsvE$+W zOh-Jh(YB)S>5IM1sury8C!=k|PX|~Koh|ZrUAG>+m@{u4>S`QJ$gpU*|qCaY_Fn~RL>Tz^^1@iYnzT@EY3p=F7#2L4lR%w$bD2b&v0zcyo4>H(e zvKn#ql9Nuq5Jv9h<-gd-F?PH;$EaTk8y~Sj!%g@0j$6lJe!O9v?sfOx=oP%&xNlN3 z$OT{OSI@7!D4O=og0{kSLmEN*mmfgnx4Q>-5m*!iSA58ouw+HdL~o_AI?FRe>nQN~ z-mL<&7>uE&C)@(%VQ2~GVeS_b&liDO9Nm{cN|DdnM?WHNVZV%juwqoc(^?U%HH2k{ zNUgj6?PkM+9;0=9)ga6U3@81)n7%Bzz>nQv*r{X~gA?vgLI>L;{F7e7&p_CrH8HWs z(POAf7g-B+AbGGY{<#f9%9A?rW-r0$6CUZnm~LCrl4;xXul0K{R6HlEMcOu<8DV^3 z3FW_k`Ya*38d-Yb-gZZT-Y{)Nl&N6Y$MKv$O=gR`Ne8~WivriOrkwLJLJpE6!|gL* z6{dGgOHH3EBWk_o^&UJk@~-I~s8^mZBFb!`FuNr^_sy2?uR&c&o+N!v`nBJ_#AIHS z#RQK#&usfgKv~M}O^FO84S2B-n-m6?a?+IycyUizhZk{U>JTodb4W?GVQy4I_4q3 z2fg6eos&L$uQzsRNpwQ0DWJ!RW$C6fENd*f!GeVp%h8aaKhwet0EL@@L*_Hy_P zo!jG^;~-Q+y!!>ypNW^HGtn$mKEJyi7O150_E&v1!t=&M zKzMP=>U;#8Ys@*vxZH@0vq+aOF5^d|Rp|X;J3O|}O9c_7TH8kC=`H;&#^tkIBbEgbqqOskPby zWQRvfUg(P3`{sFKs&pYW?*t#p#~o$)6O;+Ajd858Xde<9U3@plMH87%#U0Yq(gV(e zcAIa(D*qFz+aa&{!wGvZBmFM5E@5V5X1}h$HJyzvyX>%gro^GV7mas?2YdRD%v1^= z8$MY+bN;|t!?2{w%s1q@?dGRMum9Y zu@$%+lO<(1b6Ic)NV>wWnv$qaF%P|l^))?g9Q~ z-I84sbbc_~>b^HO4{^WLOKsgb-P6(ysECq}vY)#mZVPJ`{9>UMH6n|%dw4qd*pJu<5q?c0x76u4?gx?oz_N8eijEe2wF=h?%@KuJg(ht2@~7yJ~7lcx_~oO zTk9G+RA@`mRZ3@8Qm!E{0?+iccIs`YiIsG{#uG6o^N@rQ%^IgcsH9UvkcHQ^+t(zF`Ss9qi)t0pQT5GASNPOe7 zdvGJ>pX8dxUBDa&b=tQGe~*r=6;LwrUTaJTK`G&<)9yDyxd9LVo7V)W2=KY{3!V8^ z7-y&%;zlZZR4Z+)On!Wy_rN#A^+Z?1d(6Zin&_g8OS|MWi5F#L>fgVqfyYs!MS}kU zzu$m4VvXX|*lJAbE0u*bgoelh&u7KQNtWhU*FCf5k$EX;lO<|2Acw+u_4{fleDcah z#7Y#?HrYEc@7PEyG35-_d(0>)esTX1~@+q?wK10 zVT6yC4b1i3;CasJ!lk3Jv5T_QFj+=rIcM|&#LSG+a9Md_v}u|jiR8QD;dNCFu9F**rJ~WjW^H(*x_x!o#|OIy zfL$d1D;(=&P1UfndPRRtNQPt$@-Tos>|kaCWHkH{&f=DhcV{O1?v}MIh~faU=C$%dLiOyN)8`%F&IDR4VeS*vh1u2E@I=B52C zmaMdlZ^wq;-!j>l%)*EIY3R}GRr&NK&Z`+Rr=l+XeDs8af1-~hH&&}h3J;{J>Z1ye zh)IrK&vjomUBF%r3aiAXzLlyrZYtGp@*wedYR2ae)-AkX^^VW7nBLi+=WYm7bH(5MwWj*ev@BOn1=Qaz-4 zL~Tp~N8t###`8WQd-uK`jXHig#F99*dC0Al^;n;4PG-z;ygX(Z?^>5XvMeD@t=-@%?WY%#^FWxg^6pd`KBOL|Wd zr)HoPTcyDj8NW|Di(g^}yp2VJI@zvI!IR6u~A7mp6k|d&jPq9ha@D+&`_Zga@&GntSx? z5+PwDoI~|OlI4w90^uz)^*UaIL_Bm1aDuLH&u`qIm16?~zfo~aD1I_>wA9djGj7B# zwhE8-Zymno&%sda+Ea4!Qib)2M2-3`HC9m;8=V8%44WPc`1<3*-TWQyhpAWF0WzV$ zLJ;2Q?COLs%CF^5V@#822VNr;ZaabT_p)He2xI zuK5osuR&EtP-iF_R2sFo^lElq>f1E`gzSEyx+&E50qJ3t>+))MZu3Dl$>X8v8KYHJ zmx0F4QJm2}Hq9guX&*GByR)I;tN!9IE$DJ#@xj#^kc8dw{pF3~m2TGi^r%NksQK<}=KjmE*EZ;!6*2J{{(L5u zDjd=?w%BYtUJd(uV2Ah8=V6 z^%30bV+Zut+zvCurf40^nlIcP)he>pp4)A%axaLPrVlM*kvb}-PRrVE7Yw}aSS#(J z({PslubR9}$(ff8)|H?#3~1NWWe7=dOYm*T*blB(LB~L@;qLv19ygPAM}p$jUxhY? z)17>sKAqow93BPh*1X?$_5cne^c-H{9s6^AS!ac+@Osc5xbsxpa=PB`p{GAL zcDxd~MPdAeGji~6a;!J{^PJhkd_ zM(;EpeiE~gJsdiFs@|K<$=g2pTE4|POlEM~rPD~9knpQpi%`rd?-m&~XV(e_HGUqi&czh@*x zj>C#Z<^Eh(n^l~(iYN`=j$emD#YjUChc*2LcA>%3l2Psx$GjWD>pu1nYx<0rv*UN; zdJK;mJ$3f!n2}ET5$_CcxXU~H$E`mIj>u1bRfNa~Pcla9Sg63vcY4JTX94Ms?FYrW zYapAYmg47iZnPvMx;G84JYW4LEk^^n&fZj8d^2`k^B8GvmG#8b^%C-o8`e8^K30A2 zEuH5}wkNru!*E`Y^~PIfoU-ne=c<(y3V&=KMEJWvmT2~7VPCskXhFw|YR#z4JhG+< zvs>E7cj-yP6A-iTMQg(63y#9be)Rywy^1iWyeCS%cWChfU_r4B(`}cUr?v6&0^(xF zWRoE(B9l^OoW9UmL zw|e@)cyP3R`=#JIxie{Frd>KL3G_a1^#3G3JhrRhhSPsG!IEf_;eobk7?_%z zhZO7cz@e%39H>=DMt`h%ym9qGo?rGh-68FW&g&F+LPgB8)edDr2{OT`* z6S7V>=i`yX{5mhy&-UkjZRD)C{A%n~7<1@*2Ui14UG80WE!^qDlv-HDULUJ;p`??5 zDN8lBDx~qL()(P!>kWCrgK>AP+VU(JAq!8+$_j--nBSB|hQ=;QmZ*G$q#-L*COO zp#wALyq;0{12H=QGL-w%7u?_&(VVSlDsytc6zw#vUZS^?T>EP+-0b&_j|jCrLR0Hh zn?;TU!G}g!xN2|eUL!6toUFi-ocNFZ=o=b8W0^701Ew}ze@nJ1qH#5`(huJ1Bo8&+ zBDxLcwPZocN-VO+ff6?xB~qgZHRF=W-!N(4(7&NaqDRt3Y(Zs>ZZB*Lb~sU8(F?iw zb&-;u;rJr3%|=eBeA6vr4J@;A=!2`+JCObeK;AAvB11O!BFDEA5!BpsKn)xpeDawY z^qeO4)JBFf(JyDz~@7RrDc^`_c|Ka+l1dQ=}?OZfY>a8KqSsdMJ7^o6{N&o`f~ z%KpZcr5|=>(g^=IxKBU_zbujE;FjL+4e<;SH4jX5aPZMN8wkz3f)>1Mx70pF-^G#>Y+q-{jPME8c=g6)WwWEzm&XXsZZE zxbn^haTWSDp|r;Z>s(qRVfCKdniHv4He;j4F6VB$CaB9{5o=$azI)>{zE^s<#H5Cg zdoGeLlHMo60S54JJTA6hlAJsQu`sJ;x@({j3SpeW3A&=UOtrKMqco%gap&diYq!Uf zXGQm?XB{4N@w!#j@iac&1F}izb44`iZ)v((PuK!9PXPhh^z9C11DLRG$61Iu69Q(XIHhNQ39UjLmiwN!www3*hat zy0m3cWTheZW~H6$3kU^ZB0s#bPAk`&Bd0+N*HnMKP-PhDlKBCm8xKjJ_>(t5l6SXC2ovEx6%gbkKc~AS*CXp zQy-grrS>w!(u(rp5bi)`;UCPuntXSe9_(v~fI;L~#mho$Hi}Y6wH;nqt4!^&F<#&T6KkM{)WQ)&!sj?H7-bsH<%)C@{6ms{;G2?kM_Jv z))&rqP61gbLlXWDdda)RGJDHXH`sOv<8!Q9yKs{J9$7e?Xb5NVBLkg+E6T|?XS8V^ zzf!19>3+vX-3*$JVE+4;A+`*5JXOFLse>@(@{pIVjt##`WD$ zI+YJwU(d0$M^*H2`B&5uWoe0lxXN1K*ynqXJkNwL?{q`L z9AN)s5SqO~HBMkj&8GsySvChr@x2~9w?wQ6I*(?}N4Y?WXT4I!eV{>GlJ04ekXN|B zeD^|c3@Y(X$|g{e*$BiK%8Z6mZZDq}{A_t2c0q2v_AQ7q@S(Fy#X=)-Yio>p5IIc^nuBUSS~c(*kBY4R!ezW-8o3-2l2=YBjGTKC-bN-nM>&*Id=qG7Vs z(WEdV9Wd$PBs1IU%s`M`S0HN=Yx=p-MfqDLwGF|?w55V=8CwFvv?Ys#F^0uLD%a8P zHl-e>czJfEKBlSfVt_U?M>Xsep)X5uXecWB<*~!5Gowp|H5)41V>1DkL!8Lo#bs=_ zu-}le=UQc!d^T-7I5Zhco98xhFvszAFsV|t>^0(TDVZIaS${I|u1u!;4Jx7WDc(`N zt)$u8LJU3wdBZ=1+Y+!o|C*8PPfQ#@r#)VEeks{z%+4w)IWPl;2+250qkUqNVTfVd zy$iW?yiT@QV+#tSTF!e3h5WVcvN%6_mfz!hs$(`jz1|I=$~(HR$=0-)ow3w zd*c>f^2fFG4_3WQw7lV+WjZMoQN&zC)^Zrm%%L!Se!_IJRg;m+eR`v3bEu{VTIa9P zVQ7W=p$;@NJ7Et+IHtWoK{zHe%gAaD*fG0*{2Yt~DDE|1$dB&USJA+u(m0Z1ja6Kf zs=70rm#vy!+9`I?QJret{GMQ| zIC|>_>bLJcviH!FC0|{CBHVZ)9CP=n1)pSC3-`M<#70~>xq&EI_9m&qZ+ZzQuxw22 zFf%)A!1CEo1zDBbsDW73U!8&kuc{{|5HC|#nw#8_IFjc{3nd%(s5VA%t+7N}tynq0 zxg6Ro{A};;j*536B`G_+yPokqa2wy*3XYSTRS43(c_o7{#HH*+-=}}1tdT2uClZKM z`i@4SAa=TgMnd@-&KlHU>t&pJvv-$jOJy&XY7z-*W~+l0mzHx+#iXVZh#vWfAdoLD z+tuSCAt3HaJ$2GUg`K&h`kOKTo$J%D7uuqtC@aAf7Ro`u7tv0o+APQ)3!UaQIPct! zXe>^nr*1sA`699xe8LLMvQm+GrX00D5;?hKwD<0EZHXxNNJi#*> z@SS%?#=0s6u<%pZ)V^L((0Mdjgj(heu^IE720$}NFqE{l;9$gQ(^z@1mcNP7zjStT zzjwSELcq7UQ2Q#Fh=dt8*eIhYX~p6*=R2HxNaZkT8ItXB~l ztcX(20@b`b1A=PW8Q_R%gdWE9lzU7=pJT)A1sI4a-pHh7(6TNzWAYx zqZehEuI55j9GgQsGXBZQqyW03{#4uj5sV4su`<(1Y-8}Ymp?lTDn-5dScuB;f%_#> zyA?emw+)^1nfKAb)3Y#;Y2j&`bNtQlS zNz%U0v&;-l)T}r234s%6^?9wPpTwOb8PHbK;KhX0&2KjirpTD)lT| z2CL+>%aj!PDCH%AditJu%Xq9zS>}m&+Npf=pcXiJKLe0Xz#VHPZPLOr7=SOnGa~_V zn5*1-e1G^@&@yToPF9QJV|-wC<~WUfmV7>*cxZ7f>)V@x%zlBa+~ z7GRQ2BR+u4XMfHw$t)?IEHaXO;l$uQ=)|0vNmrqko#JqRW#r?WT~*v>z6k`@id1;QXbe+HP792*tLNf|tsl(P zfmFp>9?-3WL8FqUVtkjcExUCisojF6L0382uFWm9kR*HOzLK_kL#Q{uA*``-p3i6{ zv+piWuA*!@kQ+(_>CZ>f?4iAvwWz?Q*2Zz197BuPU*ec^%bH-qE2k0aD4Pb##oB}% zd~H`t<(A)7DlAznkycecv`{uYHdm*phyShZ; zJ@{~x<@4fI)=OH)(XcKg5-R9=4}M-+bvqgsg;kVNW1RNgSl!V*J3as5x)}c)bsciu zdhltw$n6feu-?+!4(NlL99txhlP#z zT|Kc5M4J(BQrWdvQijw=RaErShq9huUSkgxPe!nRD&P+$S!uqjdb*7StcR*8O0Pjp z(y)X|v~^9D219ZjH_ujn8a<;F!y&`gAue7VneCo%iKV)BSMfwRn&Xjji{*UI%zd=T zW(Xd?{j;*~g>EG12=vhBb~wJq+UxKB=N-wpm1KE$3)06U}QXw?057uW3{2fC}Y?6HZRzSHFt3Qzmxa zIDR^oVTotm7|fHHs>i*+tX<4?iEW?L(bsHf?1mJlC|Fxd^X~sqJa4$WSW6sm<33al zqu94|VyDPRkVzL$8{C}?cHI600c`)_s&6TbdNgaMJU`A@YOcE6*0Y;&opq*HEWcDX z6w)ryQBV(MFlxcX(FV+RblKqo?oYPgJc>e06>nGfW%*raG8b;YSv**kwx4{}c6=6Y z*L6K;TY1@NbUv0oJW_$(wVLSnExCMl8$M%D;@zHQV*n9v&yZ41Q)Y`ay?hG{cm6!iR4Jb-6}Y*u`ZN zrB@(uU@&x@IplY}A|UV>`_qdR(LAjx@yR>8PVODEtWF75E1T*KTO>Q4ck)D7bZEnD z0UoAWeLb2AD~tX4wnF()oD>3MG&|T+*Fx}RvuOw+V10;#Fv?%xe7peA$a`v%&^lM)!Vt@b7X)3QGaq z4Fq+#KB2fCwLX;e_dUogwH|k|6jaY?HX7}Qe(4x zJfw!0MSV9DwW1}}y`~7X91f#`0O|<%lpWBTC-#3+BkS0_X2d=}TRJoKURMK|!)aAmVO>mWNR3tSNbFnFoZ6g(pSwvQ8z%ujTTxRnC-5(!eahz@d+kZu zerQ**@B17)Rjysd8(N3kfs)O98rWA}xtB{jzX{AUnE?H5DJhbaBlnbTb=u{LBdJV_ z9T_^~OZetVOjz+tZ!R}}eXK1>kV-1w)VW@=n~6zb!iq56*++Ifw~v<_N@rS>{qu== zzFL}{PkuS3I(D$=8qLwa#xeF@PzKgsi-qxrb*~W#Yx3|Axj2vdfOri9LaP+!#l05; zEiyfp6>`HDhjaoIP3NeNcY|xclEpjHo433PuK2}HN}|vw-3|NNY`b4hW`u&S5wX5{)VNi;$=T)k0 z2iLRt%eAo2i(zi$evnUAKItN;Caa;X8o2DOtOsBY$+N04KE_a*x81#YKyM2P=A`u{P|=^0+45EQ2Zh>SHT zuqqKzPodUS@bPKDkG?=R9ga9qtQ^i5axfnK^3UqpIne_pB9sjRFqyd5}O8&7ISLr^8&yJl)$n zn?beNzn)QTQ0;R$#Xg%+5phux@#z0WyO`)<*P^&t>VU3OJ*6-4$E!5*Jv_jwB+CB7Aepu9MBZP6O{pd@@<3Rkb=t)@+RDd1dcbr|2i;@$od%Ey?vEx`QMCeax>gwbBJ zM4PV%Ca`c-^OZvz)R3i5Xjn8}DGp)zGWDpe1^Q^o`Pd;2S`oNQ+z81i&jsh86z=3*VZ~3a|&EU*~F9 zpHU|NBx@aYgXk0J##=9Gfv{^PHY8x#YTUt9#{5aAajobtt*Iqv*)&!K^4P-2g-UY= z*?I?5-_o|8p9bn?dqp~8R+u@#qn!E>VZ~eSBwZW8~=MV61Qb7qT_5 zcn9EQV~cRSs7a!+c*(3F)A3F zn!}j5QwhL07*jLKIv5!{nA@0Ai5tNdm^-;s1A#CK11EPo z6QIe$!KqEm%*>|A#>uKp3~SV)$-xH0a4@lJvU9S)02U@qcBa1o8=EFOE0;Dg0Kf@b z#12~oU}NL>7af3&olBGLpILSQ7cqdHm5KN-!@mM6*@}vPm)5sq{4a%o!2dEAGc(Ko zFqeqAgQJs>nLbQbmjBjR$-&w9ABq2`wsLZ!|I(ID(ALUG+)3Zc-0=UQFUS9-udofw zG%(XLs+!vf*f^U1_gG5*-y1VCbHOH!oXi~m8U{xGKi>cV0H!?P-?)E4e^;@wvH!0N zruzSd`8)m(<$ri`u&}@k%tFl0&Oyx1%={1M|Gh5_(9{V%uw z+VwB|_xcy-zu3PJCnwiG*#DYkf<*|||DyT361KngfBXfDp}(U1JG_PT?Zk}DP0jvB zu_7$GRfw5c0Suh1T>rR2#N5i5GzerNQ4Qre^#bxqlOco`sqDKOy^{cxDu_(l>P^=3@VcRz}|%mK;JN|Ifhq zS9}QAm|7VV(=)R%3jB*R7Zck*w2H6{hCQ{(C}Znjt#9=YO7&lAaWFCcFWvuY@^9Ux zjBQMv%$SK;0qmSij4)lDj2-@pgFBKYzwzlD3m{q#vQzO`)eTmv1mnwocIBeluw&&g==k zGB=S3P1Z11*O<@g*mVHC*zP6OmKI%c_LPuz`R|pO7}Tdy znJSz;PXt_72Tsxa&&}Ve_gZB`2#il={pDP-2>_{*3h&se~#G`jptunS& zvt38&=Q5kGkV8%MogxCqJ!S%K#uu+}LbKiLBE$3LECdtX$(xfzxC1BjXtMc6vUq7Y zK!x?JVPi#Mgt?n#^l16*bZ>KceyU8onwirQEyUr7%>8u|C*4~X&93+uMo%72{UiX0 zILO|DPW|?naCC|qMVZr6kyrIlZSQ>w%+S~8{S{!w?Ja3aqRW#pAey3BW))12{!fzHRgR>m@Ripf*(~Qb;G~yG=t5C{`bp5`PI5cowC( zD1Oe`{S0=V*-w4CBXLmgYIv4o$rz3p>+}LlO_X~ z9|^J;_sH{PjAG|_Z+I8G$7(})0~)u)y>NYFJnv1O>pzvCDk0Lk(#TTN#`{tsO(R;A zq!d>uaFhgHyF5_mb~g6ihI4g}u$=;kI2w~qlaWRksXJ1R~m14Jz=jrO!sM zyv-3)1a_oNkQ{T|BUoFIYgNBB8cJpKCbhjjcKboI@4XNz&xNobF`noY7a`ESy2oPq zM^GKRW4(;t$?{d}k5Q9beauXKa82U8h)P#OO}Z7)<8J~zqFV5r>XGFQ{9|zX_B-35 z^rcb5dn%wmxc`=!(@jgX$%$6OSCD2n!k~3lDA&(f2H`-U+b@ zh9ekeSO0OFahu@WGTXA_(Xq1 zkG)mQ+>E@y?vSxNSXQdc8allC-ReV$-U7D@@<+NM8 zzSVB06{AXm{A+05XBx^Xnu4NUwdl(v2|jo92ZAe%JvpY(jbrNMYvq(0D`Q^EUp^r< z%2l5*+^J;?Zs#$)VkT3Td$=dKF65gVVpYt3Owl;{wRAa=7+2tjeVY0KtjU+?Sm?vw zY3;~ybg7LXW6Igf0CIC7|$NQ zLWwUPjyK+sH%_-DUX_Smc>92jDP8FA1$Yd9-<@IeLxoe%aa9S!><3Hy7|nfKfUZ8) z-5ZaLm4Gig;M{qvB_aNU+^Nl@tvX_tSQbL|a0ss2_Qa5EoPm$9413R#t&Qor8e^({ zqR)iOh4~fdQSblZ?3|V?;g%rWwr$(CXWF)H+qP}@v~AnAZQI(7^FPChsH+wE0+p*W zKMsu)Z^JQ|f|=wBhU{Q;q!4>{wB0|y3@nhgg>vhxLG@{J8D}f;%x?BeD4`2-MgMlCeIs^PT5)^Ihp%C} z1X4HWm75ds)z%g{Rsdsw7hM047}M?vNXU4 zyCOQaJCZNCVLUl5hpgzH*}lNuExmOi4pNVtq{6zIA;`#1Bp^UeT&t}~ zFNt-Ge@)_D(H2x|RLHU!tt#_`--xj;JV)Tarm@x5Y;GpW5IY-N#lIrZW+GXuLOYJ+ zz!@&waj{SCpd73fQ}mS3x-HD=IFM2lTmPFLfq_o%(0`sItOQn8G z)g_MU=9X~ozk>mTvUA0OGYPOzCly+($8SS>n`%x9vGdTxu*i^@9uvM^-K|V?pK@=n zdRVX_@r@3uv^9PNV*+GivzG%H#8|Q6E(3#FboYhxdzQ!HkyB64-tmg(Gd2PeCyaOJ z%ILGMf4%m}_xZTq_%)TkmyqEc(;4$SQzG^W{IipD(~jl{czIWoRFiFE9M1Rb%(Q|H zJaY)lw4k83szppnzB}{n!b8rYAV1CJySFisAHMa2L`7|eTD2&%Pt{0>>L#iRTgWTy z(GqsLis?8d(k+Bnss*hqfgN7n!A}5g89 zces1NQ|Aj|fZACDzC9 zCVfLYKd$X9Z%YCm=0MkQGiND!*QOCrX?`2W+52tT0WM)Wf*frKL^gUs_Bu8c&yH-J zzXX|+Rm!5%MGfvM9-!aT8CoJE+?y0d-e`VJpsk2wA;NI!Et*=RrPkf)fIXu< zA~Wln3wADXn<2hp6Vt$Xa@<+blU{JT zY^;kTT6Im|E$kBQ^ApMAN(CpT*SHtKlf<>!iQ)4j5kx#A^IQshfIUtPWRqSn;Kbec zj0?u!V}!_KPn}nDEc+lHEJ@tOyyE_=#hlLY{4fY5K{I5_G(gnk# zjN}?PtZc_sAu2g=;o=QGO1An!BQ6TyXHloI{pM!}B!a0IF@Kxb-UR5;|S;xGLG zYswp0-Qpl#$ZNXBcbYFI3qtKJ>Tx>DH}@^_<4Oqmo~lmj4I))9MCfzrVrDN$F8nZ% z?S}xj{ZQhbIB#SJ5ZE*@jre1z^CT4{C||sR9Ybq(qiAqfwkqVGM7Ud)1Lo4AzZS&P#!TCwF-KIEwcS{ zhS!OD;hv)WveS_%&^zI<&fl2#qY^-G7?F(`PIKX3sG*|WPNP1=vzr32sqR33o&L>b z;DWmH(`_X_87K>#>&Zf4es%A0+4PbzR1ilc0+~?ZtgZ|<# z=@l^#v4iJ02;8PSoiTck*y^y%5jbGGh zpQp`Z3#NYZN2ZC?GA+peQ&y)w1+dK^vy8Jt?K~e;X^x_7(DR?{a}xoc$ZpA5z0F)S-_)rN(|P zd?i_=F!ZTRAdq&(Q(cB~&J1m&wOTL-i=lCY+^keuGvr(7#apAtf{U;1U-&$qa;;2RXQP%Vx8;bT}0am;cB((#LWW-$OpI*Krz zpj+hJ;yNM!{i&4=!{6*mGuPV3xz$>O!TtuMYGjY}s(Fi8ztB%4 ztv?f9_2SGK3ygofHfQ~^{M8)hcN^^2T2qtWQn9Wb;`>&o+`#emjrpp$&Sgj%nv2TO zKc}CqM?W|G^W5A#1h8oDX;lX%@7Eo-4)nDyGYy|vvbYlOiGqnWp;2*D{?{99$9Y=} z`K;^0`{`TlC68~Kwh6E_|J9=zg$5W^_!F)uRYW$MZ=I`I+H)v2Z;KOWuM56lUZmv7 z5Vz`b)35&12;Rr0d&{Oz00e#Tn)vH|WKu-BxKi*_KVe9A{nU8Pf2FUZrlPay-iqdD z?v+=t>8I)1#w{P!>-S2&sjpFb2#IDT>_M3#ym*hRQ@?$Af4^!>_ZWwJjx(dV9U|qb z%MXRg7M?ZZ7Z|}w6$>CFnYw2lnd&A_*R@D=iar80PWwF7^AjxYj(7iK`N_kBU5;e- zQ2sa|3&yMhw(Os_zKpytX=*^J=Lpj zI$Z%cAldwgKK)tWwYh(7c)O&#&^Gv%@CW5FVngw+_Ed;28L@)?ug-L2lz)Dr<(bI@ zE9Awy$qUl-vJ83G!q05$=HDd3vM1pV<9D)^;D8G@?=<2+!L&Xi6C}T=1atBeYHey{ z-%fHO3}5OZOhYvYS;@!A>xmYY++D1e@=xD zAS>&JY6YcZQ`e?JFFm|uzXQYWxo`^L3cfGn*@TqO*@vf8@HSHk2i_Prp*kQe0@22f zreUS1M9a%8O0&%UVg%}xrl^FO8}f#zBzzaY&`&0tVYI4ZnHekF7Jv$50w@jmA?B^V z4RMGzQC~o%Q~Wc_z?QjB`%F7<5*j~=P5dgtrsb2wJK|AFy5$$^vmRkIt4^wm*Ft0b zDe_bPEJr&fox$S7IP!q})m-MRhk*Y^bSQ>!g{JXwXka`)nZHu3_iZ|8vMDxN{=i)@ zz1P)*5b&^4S0lCs8{`j=gKGP`nOhJac&8jfN&Nk1-?zA=@*u@%tD+?WknoFb0ey~k z&jtj3cj6ww@NIJ-MRzzKJ7u@;CM5hhR1k^r;v4PcD-6)^OrKIPWa^ zK_sRJJ&*JtW|BOACH&6%W$Bsqd(B_O0j!AzZh9~J%X~k5U^c(*p5*`b6|(!xTUYQS z{P-=eOWU`be^RS3cTgB)cMXV%N_ElMkX|=5i*uy<1=L4}-si$z&;$MOt{}H+Z2nm{ zGDI}=FB|XqK{=)_cJ%8Ap-*hP+%N;b4yf<=e%`W(TF3pXv2H8rzVO8ElP=?n zb;0T{8wm9_*z3r7-6;J@gXu7uL;sy@Q&6?{i)7evhV5%fJX#sM$H?JjAP{GiGYiE% zHO8!`BFCv!n04hzz2xNRA5pj&>4qr~9TV-m#`XJU zG+z1}DNpLdRuoo80npLrEb)OhOI%;I16Bt*5$6$s>=%7{1isoZP6KhcVws&I9YNEoa2sAZ zr21?L{fAv7Q~KY|Z5cpc9IShum3~b>EcO8+>3;1W|9Db&Q;grZT+p5nuhqG~@jYD2|qjWI-iJEhnJd_{2|}N zN-rPoI{*XblLZZzB9~QUi#EWm%Rc#(3pPZGLKiYkD;F+87m`oIxoPcJk9(LJew^CG z1z69x_o=?(4(cs{6Fn6{4tgSt^}ci zHp07tX1~q5B4c1LtoI6r3kKB}BdS2|2gl(3g~WRXDjmU7Z`EB(guBi;LC97SLNTBh zVwU8G(|{r&>K%LEdmq<;#iw3c0#Squ@g3g-F~j5`>;BglS%`xPS?HzL66i}=zg)MM z_#Cxg%7FH;3i!ROm!ykd@dvhm_wXJ(H2)g470Wj3(8jTjyz9<&pK7p1;YTgRAE>wK z$@bkr>;eBzFX}^F09HC)0r)%=Qe!^o$j7KnSUt24G@F=CPOzJnK;xY+K#xsmJF@D{ zIDSKih5>^F@(6aOq$OohO-;W6Opg%I8)OOR8=lu^I3wWwX5IWO;SVR( zh@uE5E@UF1WbE?jDf+i)TG?2`=L$gIo(EjeE;Jv4EwIlS>wLS)mL;! zGQVQ)kk1GD=!xpZ@hgVai^9|raBlk|{HY!5J^y4Bzsz`O)0ao^3P|{L?9lK0R(Z$u z>r=UkZTaGHMREs!?CymHc%i#K1IkuhBfPELec9Kf=| zVI~yod6zx{(j{|dLT={<@LVx|hzB*^dSzF0CS1ATGVE#$4c#Ty z3o0Vw#WcE4f||1KifsiA!fSnOYuFwAiDu&jaSm%_&Lb}WLxl+2{(6_l zhStI2T15eJy@h{|Sc^S+DQ{7~pX1*uUpMNrHvK$r&S2FmxJYZ7^EM=Zcf59q^SHNx zR_03mim>}()v2wCh`s=V!G5SS;Hy~nioo^L@+UhN@CAZVPVtL4;mI%ortIbN1+Pv0 zn+jO}Y0BgO(^NyeNPc}d4|#slG4} zF)qmfk1bi8S*C2nyS++(W(N3ge;=I_rsK>BoPFHIeZP%?{O)-+&u*=a>Ca60SM6~o z^-%1m^HJn4X}e_mxOvA;8*LN4iD(u3!}k2fVqg#LH$|9(t(;-5?n88v-R-41HcM>C z(hmGKfXArX@*}x_gSc&b)dKCEYd3GiQ$)BDTM35pe7Q-lWyMa!ba!Wg}0%AJt^y zgfh-4XEQ@yggK(PgYFuCj=<*ovTaPZuz>hrJK}+=0>+SkAz1iL0=1y2Zf0!z)sFoG zdSLuWWgC3#E=cto6OGDX`?g3GzOUVBO(gq!>GHCFDSX?#m*zhBJV*me{tT2pFnZ|sjkiAem{*uyfVm1 zW4{c+^~uo7Iv=T{Rp!%#$Ijq6-zQBl%m2m}1P(AOV#|d9NiZo>TuXYTC06+Jb9Ci9 z7pRG|Qpjg6;hR8gh!<5tj1oU(%OLkUAbR3l;Fh2lY?HSO6#aP|#pVHhM|?fP{~4hv z!dy>?h31Z>yF~rbme5RpC;o|Eb4V!!&ELKCFTuBi@=5mNKQk!Q#uU4};?JH&KI7f< zz2_;TSkyUTz9>@c%izoXSA?=J2-?hy_)j2~5*gH<_kO2Th6yo<67q(2UlM2zjX#H^ zW$c2TKPAjUwxVG*hQn&hG9XRb)Qj%*fztxYCl3-h$F$ambl12ayARaoxdcnUao4iG z+=DVB`Yl`$#F=Ps#gNvP5r*)?55k4O`v>Tz*>eI*WMnk@{=%T@=FI=D;72qgU*>$l zy_^)-wX21r8Ij#_3vtLZ_7ci>2uJs@4-{R6j}_I~=EJ1)zZ2)m5R_T{-nwh=8t(ZE z#u$uKK?T9*{+J-f`>=o)h8WMm-YUL2mw#O=dZ+1Pf1Zkc{a2&~_BKiG5_QzCNM!*L ze5?F4?^Sy(%ya+r9vEbKOHzF>%|m_K#Fp)Q`i}b4vrTs@2FlhW*lAxwM4qVphh>u8 zEuc>Y&gF=o4Op3EJG3vSky-=I>ful2{&CKuGp`i|PH>1lc`QNlU^zVMR46wEP^Q>X zEydm{l^(&lL8?Zy#e6tg{ns$-U7+12tUgNH^iMqU7+f!W_xWT_xi*00oZ70W{Tx5W zmT}6S0~FY>i7+s)Elkc`yhn1rCLa0Ls0WpNPhJx339OgLzoqRvRsLSx`(6KmcI+H^ zybvUL#MyL<6X9MkJ-h}(fZRoCmobdQzvagGrESkV=}xs`FS~D_ulo(LSDS2ye($4x zP8TabvES-Sv#0|$0=V#BI%TzUq&?B9eDfsLcB}6}c^`4Po#4_9!*>@-lRfq2P2kI_=6Ktd z*Di%^uY~`~Ys;A$pgJ4MdLgHnlH?nS|D-+DZ$5s}Y5GC0gu5nCz!y#j?XDThAbz58 zm$^`WA)Qx29r{>LnWudSV_im!fV`?&+gv_0NtIx`9o53ka|cNnkUr*`)?BS_jy-d3 zE!t$-Y|}0}>Z(V?GBi}fLL5t{Ri;<=NgbQ|!$$aytw4p{r`cZ=09~%KH+wcoe^On- z`m0Nh`XGbF7QfiWM_;q_bt9#(1&;@cmbobmv(m;Vuv_SePmhCdyO5@6(ax}*9Qe`i z*VRrD4as(mmX7l8`Hz}l^AnX{8z>;qxPLAHqT^E$F9G}*w5m!uenN?$MBg*}wy?*~ zEgX=#27Q~7i&Fh8JmRZRfH;ia2PgVjuqn`}k^dO5FGrj`E#+4{oxC^!<073DSac#u zor_Syb|S7=4k{=@K!iIEDF6}&Y7Pvl_5+0u$_S*lT;N78XF2g3=``{M%3Q{Bop^x2 zvMn5@%W~c5x!T`d_b-#`3C7ARl*@kvmHo2aZ+n016tEgZS88y z@&*PAp=>f|!an!_)C4qb~#qYh8rlOm+7y5m4h)?~o z#}rxj*x`4HaQz7J`V~?DDG;Wq(MPI@OtQ}&gOO#u#uO?d#FHb}a>*yVwar3PCVe)^ zrB#A5+ND_nGJ05(Y?Q>7ZgGjQ6ZYGRf05{tyv;M^is39R`e1|iNF{Z)fW2y zop^la)0hjQ^ z(>~xT-W5$qTJ&9rMK}pZDKU_nT+y*Cll-AWxy1(ZLVgE+$I1Yg0S^Oi1F{s7Cn7kX zq&nu}o$?rWX$?SU4P}N2XgevOkgX35VRP_ky;pdk;Lum%Xdu4aQ&|0Udk*N4t!Xgl z*5t+|uSlnm>WnMYMEh@}R+vwpG|CfiSeX|{P@QK=a5Sya4v3+*z5&5jUhM>x5Z1gx zW1cl#nB!FjS!arJi+~ANg2R)f{KRYm+X$vlQpQMzIBd3Ruc&@;GMB<%4WbxCc5QTs zN^7Pbxpy_4jb1>fp>_V@?F_2hI`2kGKKUXp9US1`>5I=isN{IPjM9s!%p5??<&Tq4o z9p~XF4ycj1OFcWCwPyfwJ{BVEUE-o59TBYc2MQ;1g6H9|?1gR4#mp&i?yNa|oMoz6 z@ng%NxutG{VZp>$;V2$l8u$EX_yh* zt!vEM6L+ocERF3=CSUADJEm}Ik^bfr*A)bgfOosHT``TDB$*kkJk;&u2wpVMG4G_f zKj83yD3%@3P>RR^+h(b!r*&(#ey(5?>WRj~%1y^ztR8IFv-EpRx%U&>^9fyr95*wa z*93jp(7NqFffaE|q(xUaLV~k82v9MTt8FV5&1*}B%AkK_>5+EQA$8(>U?Rv$?2Y6^ zCS!C@)|wq+frxZz;p1myL{+BysP765j3)sH)=vo535wSdMwl2|)AW(s{d?bn0p??9 zJx=|RV`xp5KMAIP@mF2Ut73}WbNeqU`P^U5mHmxjF-5X=5>onF%yp`P5wQ+^B%NIi zO+^c zm9)%U?aPAY?q*~Rc=)_sX)Cdw(w@~2`_lN-B^64JIoxx(msLmOBYA{qcsV0SQpExr z0b|l9lXG+A#Vaq@dhCGmf8u}FdkzjGU$iv;=#s59HEotOOCxK}dnTfj{RGd>!gRHX z|9v-WU7dvya{_G!nCnu}dNaQZ3;o zCtt|oaq+b+GqH5%eLeFPx4e~6N6FB_LjloC%+WRrHn8621%@$c<;4+rz!S8?5xm0^ zaKRFIz!0=U6WHt%T<;Rt>=0aU5!h@JT(2`0gGu?{f?v$lq#dlR3-GcccEcd*z#wwC zAi6mtZi6AZnInF|BDT6B;=#$wz|2>hgw!uEiJ-j1-QM7(+y)y1LWRK2xgu zn+*@LP=~*(c}yYLmaJyl866}cs3XjK5FE~X;Qu+vfpmD`0?+!}v_uyy#@6ni6-_d3 zs<(>p3DGz!#;A5m-1@x^PSTP}kw?%`fUCn~{chC9L3Lp}ySoqz<2Q>cmh~AY5*YT0 zP*jSb>m5$J8*n53FY7G1mJA;1hri-Cl}t*E>z&1q$1TDFX@Lkv@UZU>Ls!b=6hSOQ zqI3-!3jHIz%BdwJbp{JR{iTAl6$+O4(EA|}KT$^L{2$Yp?}}g*gon2WxW`Wn*nKN7kd01$|CzeYxCTv(O8l7i`?MG zTYWZ@tAiWBSlbi)_AEwEcRIgzOZ#QJeQDj$LfNomYUam()<{GO#g=j(f&Hv*F&&g4 zFfm=bFgWf_1#BP)<0_(ooOVIybytDmgjL$)iWxDwjj^R5@w_LL%i&w1NU=SAj%v@{#pSgmDoP_tOzAob1~GCX zQgNuGa1bmR98G%w9L#x{*|x)f_@2gC7_b2(*KsPSC@BGP(*^87EFuPMEO0co+~3~I zfcNhx-MX%GxzAkhyN!yVs;QM(kvJA9@67?y=p zGJB0Z!SSX@dxLOmKs;-aVK^L)c{_V`qM`?yA@sNV70ZVs!Du_Yza72gM%BnR{s>$MJan0F?Or??#7rhY#e-e1~F&&O^V? z7)<@}I8gn5bnBx9MZicra+vX7Nl0Op641FnLK(hdP(jlY4KWu$$vx`!n5&_Ji~%PX9)$UJGF@_ z2Fo?YPwy0NH1y!h|K$m2oSe3h;J9I1UZSSD@4e+pknSG5r5e++_hFS6lgsN=HN0V1 z4cjOC(zjRJmZ%i0{?@IDa}wA7jjwriisT{p?#R)hn-G;`SUon9W{`XDH!Hy#xo5n_ z3(t>am6DEz;kUzNyr*Lna@7?JFqKM%9#3{S^tjyM6!`L*E|x|qyKH=zD-ql?@49`^ zjW<_CUyH?KkN&W~g@pWlS?i2t70>PIq;kAHbzkjyaIi62+IL*C2P-!Fv$74%j2qI+ z>g2jd_0zfEsKT-LmMr1oC{;l0qJ51$NZqLV(&d|=WtoXkRZ!j>C6X0$;?p5^nYZlLh#6b$e)9528o3$+5Hj_7!|7UG7>+M9BSQ5?28doRR}nBue%TGpKa41^eP8(7p|R$?|gD(C&( zZhe=_zj8#YV*7O0ZZvGV7KVBTbCrJQ^?L-s94?#n{~3GzJsep8UrO8IstM#5#?aB{ zzqr(n)-TugI{qkaT~9cx^)eI%lm&b$3Hh?OL5MOli}Aoqcfb-f^Uy3yH$z{7-Qc)p zajdP<=Q-v-Q1Ps8*wAm4T%}v3zDljp+)x8pYY719aYcbkb}J`tZ3nSo;!Ry_Gqn|V zBjHvv3N8ym`|8N?f3!(gJ80Cl#!0n1WjxBTv*s$gXmr_Y@oRl+hZuIj!J9`6&B>v} ztQ~NNiydj5tmF>yYWG#C;5){Jk0~xBz!|L|gBHexhk|fb))NF>1B7vjA$EFvcbchl z3Vq;RllL&gVJ>-5IUgAH?Qrpq7!;)GPN(;GYNPw-3hDo1je8A(JWDrV>hu4HJh!Gm zu*G`3F?1?sJ0Q&D05RE<=Q?|IPw!t9gIW53ExH=ki(a7@@8?(pZ${r%Zejtuty zMBo|9`Z2z4N;m>eiS>%zD4aD-L}?(i4&frPPUGUe;&||TkbKab!+D7DU~u4=8I8`s zG^vB#)~W52sY5CCGK++d)UUIw2*+-J|JfUiU+tuo6s*EQldylHz}G#XhWFqQ1PC13yRy9O1PgLB8}L~V0MAW-0* z*`zH>kF`=|+y*S3P?N4g4D4rxofQnpdH z@^VRVMc~?3uMe$3WKCWQ-)CU)cVz~n74+s$IC4DI0qV3=;~-FmwnRTe4>Z3##Aq46 z{J*>0KrM@*rOgMNuWQd6h)ie~=6_o@ZV3figsth>aCWCftTX{(pv#3qpmB}zlNmb= z7r$Orhf?Z?A;!nWtaXiZ#uvekk>8Pmz03S>t8w;@TY8mR>3{NSLinDF;Ml-!SZKmE zX>FA8tW)QVbfznLUCv5$5{s6(M;%jZb{(^C{I;T=%ZAHRZZ7yM1WPw-ptKda_GqrC z`dv^&z<)Pp^Wd=uN1e8hAZ z3D6Ka5zX+7dr^8(;y-#P@3%?mP@w7ccLYI+dJOYoRE6qe9qnsIW(r*9((A@>3Qw|& z9Dl;d@F5ysAcnN8b1iLK8&|zun@9txD8}<&V5c9*We5>Y)V$RU6h2sM&b4M`_&2YrMffToo)yoFBnH6DLqS7QuRA} z4&U<_`1l_r1*PcD0c!|mi+A?S(aw)*?_o1Usp^fHrDL6o4)lpkI8*h;;F;A!#tWSo z3IN;o(TMsl9y_`&Idmp;nN9^F7pUBVe6Rt=+1^=BB3yakh5V=?rbM6yHCiy zsNf+K@w5H;`oEmnneRLUiktzvw}PYJKz2kCTCoG{1{`qN{~Ck-OKeFpbD!c2t(33S zI`(?8#AcTAQ3LaS+Ol85rIz2kqaPD_!*2{8gCb(pLwZdjmW4H-Oy)(q8z>nsG6vtN zHYLh7A#drC#&iv8AmScu-cK{(zM9~Q)G#R<%+d~+w}wo@&MjFPcS9e#(FhcfT5M0w zv0C9d^GPiw_N*uBsf>HcI-N+eh+UKDDCB$G{yhW?|K5%?34S2X!jT~W)Hrs09WFk- zF}nXW4+xU^4Hh{(aCd)3|1gS3p9-dWT+LTVw zt#V(I+}z&mzU|z37e@>s3uAR7xQW>BK4sxYQlDz@3lZrip3ZT23L#)AR*OHxQN@Yv zlEs6VUG#?+!FfYo{w)Ryr~*HyaXGdn#pM{9ovCqubCq8{jFn|GOcp4JPcj~<^8xY* z5V42Jc1iWo!nnr8Lb(*6Wu>nn;M)jhgzx=K1XqXO?QArhVZW>P^qN6UUt#Pt)Oqm5 z?QoOB@fsT1vcHl2dN`ff$g_72(+jk%6MXaO7xo@C5i-CeG7#n$tCrK6sm4&#URRnR zf>0i7Bw01xQ3}(LOVLnEf(Y2>?(|nhdK$rTOkO8$EF7*!!wdKeLEL1X4IUW~J1-|j zL=fU;2`!(mH6BqD#)mp@1sQ_HGU%`h684w$qd2X;>fi{NR(c`X50{@ja(4!^$en_~ zK4=$YkaE?RIYcGwC#YD#kY}9>JbBXdi5VNSF;!GBznnx6?L~4avns0Nbt#g*3{|#_ znx`dT);Az7q!q^xd9A_q&@U35TkTU&!v=jA7z_^km57{kPfX0_>iB_zVCYmlYs;0L zvTaKk3uT_ZeKm;wIvdG2a`|`F&-B=q5_cc-n@4w+%zb;^cb8{z=jS*3+q9~sJ%W71 zH+VGBJ^C|@zNE{BduI*KyBfkw3)b62;mmFL#oY#5Q+IlSRytM8sivK+0|T9M?F+=2 zJ#27{i%<+s-M+#gUR*^vKMiszX+Xats@f0*)03Ykw%?J)v!! z3aEtO;&k@Kvp>Q+Zl6^^#D&;d|e-$0H$ za%*fw0pBw+zWvo%)kUkJujze1>c92c!-nMIA^8F)aRVk@?b$MNaSMf+R z7@h~8CTvoc5rl)4-ObCX(GbH~i)J%P^||l8u}5QwJsbtm2Vi)3wRg1LN{NZ@S>5xI zA89XfvUu8eG%p>gj;jAcM&Y*;mm6RExj)zbxuNbxURws}LScE8N0LQXc{3xGCm~?i z(kxr$y#jIm1DPBCN1yv7Nyt1R1+pH(9)<{QU^tYy!I~}JwleA)IBN_!3<<1ZB#Sp& z$*pFdyne9f!$8P9EJGoHf<9;;nbp#fk^+3azhxejCTKlo<)v?OLm%q4tqI-7kPl{C zQ(`0zK7xV1Cg#-u2top3FZ&$}GjXD-ZIAEd_EdD`?yHB%uh7)%M>QUQe{-zQX>2?G z4%;rhuTDcvnFZz%ZfD6q$2x%P>`?6J7I~1%w%S*uet<{2YlNHAM^}zjkG}S``jsR6 zC!>+Lo&UXe(Qpsdhj%L$;(*4~#>EFmnH)PUlA1jc$9IA#FaF)sK8jZ@NKWdr~P=1do zy1ovG_LrOGY$Yw}n@RvVI4nni4AmQe;o`rCK`0_EDpIFjDzsrsI%%WQM3CpLEz50R z5%!hmKOEnk(L}NRH!oa~QykA#6S{vDF?8EOaBVsC64trUH`F&b_xJ1LN6P9UurT+; zPvWfx!7QH}x0*92=YY;W5axCtD|V>^Bo4T?sIQ>0j+vlHmuD4Ap5xNX*=j8U#}O4> zeYcolW>Th%2V?QuXU(mvo8WWo2Bakw0fBY!EXKmuN7K^mux?I%NDAbf*g_hwl}^{^ zP4-V%^%btK@!`<0|E`qJQi!0sI)+RRtDlG7^TX8-n>nE3ZnueJI-94Fh0^_+zNps! z=Raupp}+F#t#CwLIL`h8KuobjBfj*&@*3_z>>11pM{wo_$y9dvpW`=dQ^c7v_v(lv z9R=B7Jwupb;Kk+JsrNTdjlL2fOy{fjn%NgUQpGp?66nwDUy*}xn`cGc{h+oLttGz{mHUlM1oA5 zIf~p|r$S&?`YHilhzyk`W<)Ok^Oo->I@f=8P6?-m^0aX9CQ)J zlXrunRU2_)59OYdXH{~UCnd%4WYy8ArDb-LXtrTm1rzFN1bk6sitokqfqM$4akMkM zo7R8Sr4l&r9)+<;bv^YMW1dsc7eF^ZYv;U=XR93g;X_TvL$|Kei5Myw%~3LbvII#&C3 zyjQTE%|rh@&}Mdkr8_PPE4qk=iH3{ATGYUF^|jwSa1J!zJa?kmgy75$t#BY1WNYttEmpy9l+Fsb1O?h-AQj z-xgS0-yH=Saw2(c%ok#E%K>v@@b3o80rH~o?;$uN-wC}P)kHB-#Rl}E^2F4(Ce6qS z6dTb<-GS4}yedH|vMVbfE&3+`9JKJ*n`B}amE80Mw(m~jp0Sfj*m^qq?A?Iw@E$s> zYven`_QDKLTPnGHj@--dj)q7rXfF8Qg#i;rUyv$lSZ~}51#AKYdu~$(3xV-B^wWWIXh0UB~r*|I^**pR@n!c0w{MS--%f%^d1Gw`1vU%H_&RDN(uH{bRpy_73y zA5(MMU#FAC^u5oYp@ld{W6EV?ya;@(M8VUfgQqF}F213`9`l-s% zzQ)4}Te+?}65o5%)JRTn0fh`d%Y*aDD;%H{USj_=;UBOC4r2?Z2qV#!o#x{ZVl>8UU@*tpi@Fz0N-`hgU zv}H8(f|lo5`w2Nt86b<~EAT%yut>0K@l zWL(8XhSxJ6Op=;CaZZ(nPu|89nt@#11qQ^lJ!j#kQ?^)?^k%bE^KZEUqurkRq zEUb4>*{NI?+3i-N(u77mZ%x^!N8@v*Pn7aHej~c-+fRdE4@2=j3-S3|LjRfOOU=in zjCL8n4uz0NUHFX_1SW}?JVU@o@w9k|ed1iJQa zVz{2Y6n%uW2|T4Y%>Y85I-g1*azwo6< zCF#}nFucRQhr$iY0wy0)T*P^(vr&5;elWRF9!9U|2uhe8%#T6S=Y{s+afE?pD`Ik3 zdXv8`8Our;%%}JVbY(=oL`qtd;|!%$2d`ylYfHR=w(ZH;oj^Hf8RdeBW~w8buL3ky z0+ne)%u}$xo0mSYp2iy#5#LYQnSgYT)_=$ppEHd^ygX(7-vq+8trBi&!gziFof;zH zro(j~q`AENWZRD0FJVBqooK`cG|yJJzj-$mN+R#58}#>kalbnZWHEzFj3Ms=aAQ7q ze?wR1&NV^p9|KP4trCRh@Os<@+V?sbu3p|^(aB#bX%L&RG8Gy}b!3iY6Q@p4= zntkA1NH`mPI1)V#0YBx@)FVz^DSHQCf9~aFA_V~GuOV@4B+meP<{EJzXvncBL?AVO za~r#3af_)!H0QCruHRInc=)ab+=FF-1gqfBhpE})Slf2KeGKC}6a8VQ72k;zsUtW5 zRmBg2X7nf)@E=T+ji1KWVKrKK%H}*~+ zXrR#cuIs>JG0_12&xY~Q zpQh2BhVtPLW6@1BttGfrCG3&q)zxD6qyjliT7gZ)s0{1+v#FVsGsSp%YGQ-5O#8Qd zVX6ayVyXZl+2*F8a6lWw)Mg^go!LHE4J-0VSq!18la8|B_p-Kux}N_;o&S?`m)BSraf3v~0`9HO2@H0EYRdcYvp2Bg}P6U<(?zX!FrFwbc)|YU{QYSsRy{ z4UMe=VjOT#FcaNl>j5}@yU0ys%PF%!Rw6XOAjD(5tet{{1L7cUcc%R7cqRudVmUjd zfSO=O+M+cbu#8%8IJ@Tz;(s4BjI0wv+V*3&Gwq!ZM=y)lF~?u%GaW(g`GZ&6b2oiL zO4v8l-gn2`ct7e8smNtyHX)~Fo4kKt$ZZU|$xJX`!YtFYOyVj4x`-8;}`i%_FH_9@|!e2q(STfmy{uE zg1RKklOeY_kwZj@l?b$B3_-?8f(KCg(9waf4mPxy!~}}ZblHlkiiecC$sLt1Sg;QhrtQ& z&KNsX@gxB)QF9E7FAaMr4&C06&?sFy#Hv)QGq5k$GsZJWf2e!(+DO0@K{EW9G4p7V z`y!|MA%;xyQKB?bbJB;wmm%8jlsnR-fKEVG0`;k;mkO(p}_}cGQ`KQ1^;cI%)@@8Nx zvxu)}_XYF~)L)H{^qUAXc&2Bjylh>nk!&)D&KYh9C7MpyL?cmy&dZ5wGQibrifd!U z@m@?4!!R0sIQp^e*w#aNVBE@D&4}zAn*H@Rd6ELhx}F^*xz^7XM^>lB zWLS^}u^Js_OYWiE^%8{6i<)HHU*ek2F0B))m!+49$3o08S<#_qcWeZ3r9ZUh2gE@G zW(R@EoEb_@qTy?BaYp7T$QjVh=UVr+3jXT8nOu+OTcrOsxqOTd^Lj7dv(qmG0W4)N z$8%<>JCN zg`ifkna$9y!Zk!TgJP8P@9D$#IPR;UiKdq~AzW5zi>G9~cJ?(EbJ&{^OrYtj>N(cM`~AaHcf zQeefy9*A);lQXM^ONA9$h-);koVAc{(Yh%|P%D#ZSgvp#I5`%WlJb?@^=g*WEUI;^ zcCUlBn_nB{IJIrDX|Pjn18*y_ncNEARd%z!>)3lAE*#9!-mB1H5p@<->-^TzlthwCG}n<907Vj`B2vtwwj$TD-~xpqrW5NmYG(6L!z z+qrcb$TXlT4p-JWaDbVeSuq|5A6U75Rp83OP44Mv%t@Pq!Fpiumcklgn@ONN*#g{{ zo;Fm{Ghv5_WK3M`f&7 zaD`dKc1}YVM|T6a2`r#fd(gG&G7mxj6h`9=g(539u4McA70Av9w?C11+U=Tm<5zt1 z*JyQA&FEB5kk7CBqofhhX}37-l%*c&OFBKxBHh`uXTr{T?)ro_)ziP1so!Z_QUNS( z55xb^qKK=#OH0{h`B+|u4JQJ6PC`G{;t^>EG$ToEPJ8Bb1Y2HD(Vr&M-ge~F7#1PW z+4UY2NkZvzacAi9y>3FDN1*otXgok!7US(%^pe5hHlSFPR5ZiKuLiUdA5gz4UqgZD zN*nmg#lN+Tch}s!-2eh>>uV`%HUDGnEu-RElr~HV5ZnU6ogl#*Y1}QiyF+kyx8Ux< zT@&2hg1fuBH15uj^PO+*Id{&Txif42b+6TH?{`kJWL#YNZCL%mZ&a!fv)*)~19eC~TpZjwtdD@TqcT94M;4aL+i-od>{iHKhK7-BI zA#SRYA^sU#u1FPLMxz|8PX)P_hr@friznOS#RMSNEJ5kW)Eb9LXiaF3foq?HxstWz zKS2!U`H3-%jxu6WZ21hW8xvO4v<*|gC=1MOhLQkuitxP6 zi>rJ4u!bCs7fWWuS@kp%S^2U!PIH6w3imn?Eu71vn2Vt}q@NW3iaht5#uHhUYQhaWV$VSj?v>h{mvIKEU- zKXx=p2>jE0m9`?-$cbW|N-WPLyoKrgJZAyode<)Di^wqF0U5OeEthx7?VbAi8k?yU z?kP#XmdZm|=T;p@EMKEM%@HGW27m22-8$Slj5*w1NgBDub~=0^M@wSZz$by}VnWd- zZb1#7_=Lh2i@>kDlAxGgwJk@`YCKVE5qDr8e!l_tARo(m&Bo~|tmgHl1iQNAiT z^c|DC)fiY4vR3_I>GQus9*XycwTxlMm)^x^gl+Q8R~P9=(g?g#hp znMs+dDz}sjKj>p68g46q%nh(~ecmh!+}ebDgt$NF@FCiE>ijqDgo5g7BPI(23SB5+g_jj)ph^b5ItE(rZ%>FFeSjb03 z|E^BGn9;e6Gw63)Z~NDigrm{?lIlS+FurkJSr5K33>vygV~H}{mx?Tkac;nXHk zgdr7zA6{j9Tp;CKT6J+hu$wlLDJ`RFw|3H(o`LwNxuLZcW=+%=ke<6*i-rIM1*MWy3opn!8o;WW2g60M3m zP5}7}xE;*fpKCu)o5q?PSwY5iFj3UYlG#$aHg`7E{>Eu|TjZhoKi3tMWEBS%__f11 zOKrgwBkCQN)x(#*Bju_>6NT@2Bk9U0g**fo$cLrfF+E7# zvUA)g?bmT^IXbl~#~2<|P?rb;m|P_zz$ADyZdk0(3&k`i>e9Q05`{ylfg^|9k&L^k z#`dp2nUA?+mji02pc`!gv(f-pSLE#}Y%XREL^NbQoR}k;p}tRT+H_O;MkUrX1jXU( zpM;NCROy^chj}-62wvuC;qD_Vc-L_0V4~uBci~l87qkGg;fP1N?^2kM(_#U-8dRqnt3j)6@OzKF&xml^c8UH;f;H z5bb=B{O}38@}uuLgltnKpTTh&@W_pcM^ZZ#<+3WWjq?^o&by zdJG5QFaC8RLIjYgwE7|sBAck9+cByqSP|&)VA%@bl4M8z2D6M%htf_`!m|@i%)Q+i ztNeARhfGw*y46=Bkm)t|cKkK$XnYm}@^rKb4dIy)Lw5@%4~*B#su$=QOr@r6zfcMF zbUQVR9hNb3Na%#LEQzW11kORiXRjrEszW<6Hjvf@1VA^CIx|*!ML4<;91~rYk6-Vc zhx=$O&Xs2{Z%fHTWOi%S6UzW~m${XOL=x*N99jDyRmfN>Yc1v7y2eiJKsBKjd28sN zBxT#@MbdRvD(yJ6gw_>^)GM13i-ru|?ML zkiKI3I$s24o^x`wK+l-B?-`ue+=!iVow6s`r#RRfyPTk>P!)2WLN`jcDY&P$blEod zcxFi3MQ_!GwcGO;SRmKMwZ24yG}+MzP>wjo#v4lD+^eup zv#-9((9fQlhU49;j|c%bkUH?OO?f)^5F}oIBPo`ENVD75S%|3CKQ2* zdDR5Y65cW36AUWsCz78z7+(=+lklAaxCN{FjK>s>Tn<;R#Y>IJss&8XOD-KakW8W9 zoiY?Yof>5#XCIv%YG;;JrTNXY2z*zOa~uQxu;$~p`7ze{B|R$YVtqXSkh0eCmu&$! zj|XfA-OqCZtq*R-10vEfKmD>@mCGig4kXKX1TueCC#_7+?Png8tn#mh?b$-MriBHU$t?UV|Ax3^d5G|*EU^pJbfu32M zsI)P@(zl<`bJ#kp;TQaR|0LKpaE#1%^xbnscgc&iA7t1P$3j0G}%TY5HWd3yYiZ2 z38MWojH$7({V5iMC6caP5?v;#%O$Bl1`Sy&hUIX4Lg#kGU!2-tGyT(UaoFF}84+T; zlDcIt@YRlfSf1OR7ZZWK56CA)1_>!oOvXsZ!^+-|u;I-F<={gVV>rMQ6v?6fA%3h1ve( zEzfmu^u2-C)|vz9A}AlCEN)mVs6ueHpr(tiN@Je-I3^&d!SR>!{Nendb!_HVK#zc5 zP2gj4g}7$!avvPpTlJdi=i3L?_?zkm@G~TV_9){5`$^*~iO~6BRfca--NK;g6j0&x z7^nmc?g0V;cq#<3b4{z?KfR-g!DmE{&4e`cwYB*sq(ROnOIu)%kkg<0(T}5g|0~q{ z8%ES8bxiw%8Rg`(-qp#@q;nrgr3f~#OmZ4_H*u25?`Foiakg!v;m|nr&&)9Ex*4Go zGajVvfv@3v71w)xf_#odI23r8DYE1lBJP`7x{^kaU5xm{bIr?BMOnG#jww@s4|^DC z)s*Hlo9yO>(u)$}x6HN)4=v?A*0#QN$aBzh3)Jtzj<~^F6tagqN%L&u@~SBHuCkCJo;dU&eF=G6Yc) zRnNS_XbOpF-XgnDAR4i4;#$N!gGFK-nP=t^kAmB5W{SD21bi6Q3fq6tqr9WJhV!Ao zjcEaYp7KUKl>=MLwC-4Qgz)8E<*V3vjJXuYA@o z*lGnGtPQq*NyS%!mSwGn^V|!DU;>48h0b^2;zNx5ZnF<+tA_5b+dZVVhj zi^o3|Syep70uq_Gp)y&~X@ehP1vGTwhdMk8d)@FopFw^aK5IVb5g7~%LH0cZjlDBM zI5i<}w*Ka)B*t1h^%;jGcJrIG#>@3r!!2EiaYrR`dFEp%PhGHOge~27ioYC^pzO2X z<7B}q7sx9|k_^ke^V4PCO>*PzORs?Z@I&#i>vW09^2|>u<6-G`S9ZMzBvpOq#sq5v zouTy@#BakaSj}hfRczNBqPu^bYmy9G-N)-I-Z6Gs98X-y@HnLYwq&3YpWZaWh(P#3 zOg4KSg^>xt!3;LeT97M-lWxRyP}?}NGn!EcNl}*rBl9mtA^4KslzbJDEwy*1ZY7-{ zMCC}VRcu%ZTh?s2a@y_-+KOe4;;tGb`?ZKZ^$t!!Z(xDN2a&n6)&l z#fRVL$WF?NBO(a6%c`olI2b2nt7}{owSH7G2wkC+7rzlpwoLQelgAp-zRzk#-Y@=_HX>>LdoWcBQR zGhLZj|4+QHq^XtpZ`P}W1{3f%yH=iFRZX1;$n=KAWhb(7w6M_nANVW3m6f#vy@2&^ zII_kcz+0_1a0RVLDZ|~Sx=zze#iTMMF`;TH+{y_`?@cU~2CoBL)W+EUX>wgs& zW_Bhz1~wu_c81^KVPRyUV`d{_Vqm2EyBPMr@R$FVBNnEA6!Whf{UHYMUnU92NXJA3 zWM`*iXCnfzGt;pWvA)Fz$oSX5FarPL7yjRvY`KLA5->wPpKOy)H z#{R3XSlIrq`44XNe{>Eb@GU0HZ(L?pI_9@yvc8dviI|w#=>7^ke&bXB1^WBOZ6~0@?lu(f>sX%kN|VlZRP={}`2jNnw4vK)`=zVE`-Jn??Rt3IIs= zChOmou)I0&pOparsk8xq#PCliu@W%?ng6SxFtaexz4Z_#MrJw&Mj~eRx0-(Q&F_-> ztASY<|5Jj1|KgedtKt1s%bWfFdofG^I@aF|Z&o^nKikclf7yO#>Thb8{(|cMn{$Ex zSbq0D762pN8xtGIO7{l!{ueqM!1Bg~{|{OE zN58QBz2`A8{#o$<53_)goso`(i1`gd{<{w|vC}cVCF@Puzl-@#B@O(i2F&ztVt{Y$ z^#8?R`cn<#TTI>>@IR>e1MdD$r3C!9ZuUom0lYc(uW4d=JLrF-=C@mbY;Rb97COc^ z?*e{LrMDjZ*6se*gIQSr(>!2(W4!;lgy63h{!3$in@w*W^uH3r#QZx$tZ%i;$jbP; z-LSrOoxjg)mN$$4vt?NSxv+n8`Ts0zmbX#!-?bR#w}Hs;cKWxlym^I%k@fe?{vDQo z7xSNLiS?f=`~Q=eKc@ijZ6*WWs_OT*nm=^?rIOxo`hTgU|Ao-AGQU;p|2>4B9q<mR^T-&cfY zi5SAlXEX0ZVsTiX73o~y<9h+eB6@OAao`J~yJ5gX&6koIh6)qU0TcI7#( z8R4@Ky6DF|xx>5Vj8$5T=f}^QPU|xKbL&qekS-eV-Gj9=j`lA-SK!w(6|>*L-hp8J?=wG@b0}&)?h2l zt06Lg{Cup8%I-X(qsrLc6CP<9VkIqjp%YV3NO>F04-m<>rL|k>w5#2!QP0PcPsM)Q zwVCqMTWDoiaN3kz-V)NpqHIa(hY;};Ke2oyTm8=gD|tz;f@9VcJ1a`?wdGK@Te=!B%7R#*1ec=b6P!lqbgqn1M+%?%~?VP!Ntq1xS*cFT6Y&J_?_9Cbl*I6!n zHv~LBX%N{h@w9;RoW!upC5UoiF8gu#ZX8_HKJ?=$P;M>o$~}LSs~BmcVj-&QQ0t5p z&DsOBjN?X9L;Vw}>T@Rf6ncud7nRU`p#t`Z(8%(aYEz_7sx%HuGrlIG79<*VBJKf4FP5&KT(^t#f9-lMe&!v zeJBg>uT=7u=&E(Z9UL`;?~na1*haD$K~_b;zAN5cBVW1btI){ull+7qo5tHSq-5Mk z?#0dYYpJHV*<2qfD$8XBOeMX#8{qZ128y%-?$y4u${PCVyLIZB=wN-|mKElPs42xW zj%B69oyA-%*ZWtx#fZw*ATNoTJB`z*E47oY8kHK&XfqQ+ZZVl`vdrvf2flv#>mz{+ zihK_pRlDen{I%?$7QP^cDZE}CjSH@?^x_Ao#MQro4sK!W-yZdbYYoLdbmRzheWi87 z&Svd-6-DKcww}t|*UE?W@Xn(@^Tx+#<;g8zM(5a2o4zDvM)ZCS@(y>Jj;%}OO_mtR ztcg+lDJi?k*LuQNTB3qJjJv@*sDxhZ{Vn<7^QEXI+%lb`H(*eJbJ$w`Qe~}izaRj9 zcPy3D_$H#-==%sIZL+o^gXLlTz&(%ks{eA@QOWsMZ{z?Tx7l`Z_*KnDS6~4WwntZ% zFGSg?w^n-_x4Y)b8-75ZLZ+{H@slR%T8Y@!ya+%#2;4A7_SQira1CGb2KxHzSbvO) z{k#GUzn*^wp@U%j1cUVWG3LZFqCo+Pk*VUaBDIkjTfv7@0wKS$lN0IN20!mFmkr32 z_Y2y0ta+{;C+nsggw#)NRWQu_L;zIlhN-3a{6@dfwRD1ERe6jrw}r&5DZQ0l++7m- z@S?~Fzr;5jWE=!2wD6ar&_mT+@vWDwfBf88mQkZSXP8;b zA0zQ?h1>L?6CL@(&@ikwA1sd8If3&!w7@QRp$tnrx_Aib=ND*wdQ5<(l#A6Ptg9fB zTwAx$=ui{H4@G~z3X&!BfEC5yK?ZQkj>!9Qj?{i2T?}AVemLo|td7#abe3s6Ps9~0Y9B&WzhA*`RlZ5WiPJ`6 zP*Kj$0b?T8C3-;`p@YvenXoTW^P)*2L6=NH`WXu?Mwtt8gG+6#(d6w}w6yH9ldIU8 zU1gcuA6jggRC57O46DH#yLhI&ld%kT#0oLtD7Msfw$Pu4j<4fgJP59`r)lUD zBS7jk)<$I%Oq^@f>KZq(u!sV)moLhcUqW0gpr<$X{jfjNHLD4`_`?MGk>(OaRS~+g z>G*bB;Y`LhP&b>QZvXpmS^cWX$y+MVbK|s=jSK6w=FSP<>&l3 z2iPbMpEOKy2A;Ar+W72ctO57BC4J;8J<_Dx5q7QLy90=LcB`odu!GVt-Oq~VPwO7O z(Dhd3)97n`&x5pY%g`hVb{q)m?wO3mVGTh99{NI_M?FWlpQRamV)x{sz9ex-4EM3_ zgT^Hl9Y9t3tiyM_K0!GO|FRNLVmF=0mV5*ezxBCqdLS9&Gy6H){3laI;+oWJFM}^! z&&P=dE*~strr7kw(lsyGra24zA$IA;MnX`%8JsI_wq8Wb{IH6);f3J8#VM4X5TMao zl63p>Nyfpq>&~c1##K=Jo5=&rp&FJqguLwwtAAdFm&x_z!>FKI>DEBw2ubPd?wero zgq$4kL(0vbK!0;o;P%w*q~GLT1mg%qo)Fe5uS(H|2^7>iNmK#g zC!3HGJ$rB**-7H?5?mqUMEn`PC5wAphj#PP)F@|=-}IM_h!|sKdMj{ykX}Y)&e6YD zz-kR*IE1*cLM(i&?fTI;$&EEch7#5!)T4Juz4;mnVUZ!q%mjQR2 zO@S$MC8$&>Vi#6G=RoZLc3TfI;fE>`p!jC+EXw-wu-K2}L&=|s!QPkhCH(*rrEQu% z+~KO+;PdVbsL%Yhj^13`zo^;owcRr&@6IU|t*P7+!q%LiXJEA#xHg~Vo_-ElF@RXU z_#?$^6_bmp#eMvdS^%kGv@7Y9t$#kQEL<0xLb5$>^Yu8O$BNha=IBPGQ1!!op`}Wz zY4;$AR#EGtD;;LgkcZ#ID+ucI5@`7$kJW zTd_;u*-}Si4mnCR`IYG=#jBXAxmZJu@I=}551F>M1;{5TDCVazw%RQH!iVMXK`FChgQZ+?(`%@5;%qgakd=&MHYpiTNU55hU&%V@w+@ z{o{tQ4eAQ#PNvH`hHm+sdGOa0_!Ab=V^Qlali>HTmj>TDU(virFjbo8z3dse90LX| z%!r%!*Hg+lBRR=prms4@XSRuk^-R!;aPgr>Yfn zC|vcOrPN8G_|&tQ8!f?xv|mt%X;oksxmfI|c#t%@X4b*Bal%j!Q_s7YOT0A}hgfCP zLcBOC-B;cwoq(}BX0vA&5^w42m1;7(ptofUpQrT0BkV3pA;%4};t`Jwl&O%@c6Y(2 zMZv)|(Z1Hs6+I@kZgo)h-k?+cU=2N_E`S3Ey2zS&QGQr#sc6g9fh@E8^YTlLEOp+2 zI{SkeOa2eK?_SVZfT8OC2NP<$_T6vt~5iY8U5V zl#TlY!;Zqm8RucTL&6QBql&d(q=uBrkGTm3$9KEcJ#XZn<0h<}#kj-nOT&IbQz*WE zvwB}_xhh&+^2``hWM6#U`shTk_F342XE3$*NyOVrotEmx{-VBW8_ZTSnAvdt$SBTZ9qOGiZ?-}51db4wL@nakL|l*`MLTz-Vo(mLzBkl>a!z(@ zwn?OX%~3jJscY3QGsG1d3h>erhM>VExuOCw4{5_#8m?F@z-N0_AlmukS0r;RJPICjqflPd@1u{8;PXJXWDKQ@(7G^_A;AiXw`dofBA=npB zYbZS^D4HG6#vjMC^Y6bcWQEf>HF2J(si6B@e-#8wW(?p7PHIM+MjrzOCZ6a&lfZP$ z>dOP9-E(=Z{7*muF6|2g=t}HX^FEoF6wM8~pi}tJ%C4@y~)aQK7#ZT?v)n9e=nuqF0>$1kId`DK$c7kgk!n{~llS5pL zO(VM?+$r!k-kDjJ_#b_`3%8mc?eIE4Y=?c;=2JQ70V74>&_uP{_x5Bv40xP{9R`Vh zqELlS)}D7QRg)1qw8`Ve0`eDRgtaBYr&3{Zj7<8%tCgFMWwnF1lGWN3P5(*~gL;73 zucVV#(Sm4#eXi!Pu&>B4m8dKMZ7(m2xTkU)688g~bLo{2=iu-;hVPh^aESdy6-of$ zRb9IH4>#|(k3Zf;$$4|nJtaFbEjC90F%9Fg%3o6C2$l6`f)0d5+*3{EWc=1_UxCU~&(WpEjmJ3=vp(oc303IV*y@l-3uUVqKpl;6=mWD- zF*#m;X%_)lliI;87*>%xvupBwl&qOw8IYr$HS8byy=Ki0^3Re|1)aYc9+JeHqV5zI zn~CV#Ir!yM#J3jQr?~a1#jRba8RFRa6O`vI!Q!mBZj>&?e4A2L|=Ip^|h2@7Qq`RFEo@7_#i5Jtkv+m z540q-sPjrfe#o|F&5v2Ptw0$Dvyy#h1=&L+%7Eus?Yn5^tV_@Wd#T4lW^UNu=QZ6) zc^J|b^MhNIyt{nHk66gxH4HMQS`g!v?7Ie%|Vc&8>fe z(7ay$Fb7f>`AK+xs-q2J#(wzS5m{8PIcN@^?8ty#BPh?@hxG#)_JPxWq>z# zI8{I_BeI`rF~3VCxxxIv-v?8#$m@u@o#8XVI4n4+HC$#WnzB~quJ(LFM#aL>X{C=> zVSCb*Hn{xlsmo}S1%C;z#VSHGPPDAKGSZ~{#qVSmZ+s=$p}q;XM3fD2W5A*Q0*g%~ z@Bwuj24sI`0oWbs8D~F*_2zLn{Z#vnk%4u;BA5I}raUJ%zJ1Ts7k7L%KD7z}2&;9P znRG&1KTBtGy*EHBVGbo+KgH=zJUnS%;^%&L5C(e&!h^nloj+8Kgh53x&KnNq#b(kfl|G_!i(?W6lA)~Tk~Uk zk|zjhUTEqXSFZk`h_z(ve5KUvBYWlS=U$vb{9W5bO5{u_RYj3BQD(3;O)@p`hhTdc z*B9MP)-O#JVRqLL@JH2<60^|uMWpzjfqQP zw?-r7_+6!~{HvU@LGs4OpNEMJDpqkMd5HTs5bc}hZ~a=gKe!t$t4QcUC(3<~1A$O1 z3Xy`{zme-pv5D>P^3))dgh0Br!q~Qi&wCRXc#+&_66S-~Wr!|vR}@@@Ih3}l=9#yd zEFc8aTlkHq-buqA`Aj`UJ~X+;J#h4ZJQ<*`vYUn0jv$sEktr#bCJmzBc1a7JCRQP4 zmE}WUmzQu$_jztRTXfflWzD@kIlUvS)6?uXt$3*uJ}=55r;^zbsY*G^0nc7`!9DA& z1@Pwb;R(DhP&J=BZ7th%JPo+{o_jM~edKB1{1l6w1+~ON=?*RC)$Mf=F}`NLL%_VX zRa-0dl?PH8fBF=6XwS}@4NPCI-01nGf)DL^!?qFmso@?-dTVsqVb`eAtiB{5zP(y9 zA60w%wRtnS7Fq%i)3k+T^NeXa`GR&Qw9VyP)8@k4UVyi4v0u74gMJVm+GahlAWkmk zWFmDtW6C0&PWV6`zulz^lHjR+h>PC)MW9i1nkP@oXZXg4>HElx3mU~Rv!16Z97Z|a zVt1QreQ0%g-#k43#U4g+?FRHQKjT-hvyuniB-TQ?%gNqVa)RQdI z-Qc~NwplFXRy0zZl-N-@;H{-Z-Ik%BW&oXY@TSi3KdcFO^W`Vm))5#+-&kC^ zCRH%qF8~hx*|Vy5nc64I`qUH0zOoW&2C-+qbNZ#5*D9SB=h(|>dnZEB*X2?qf~yjC zzFs(WLs0zHsvDcsKFEQUlzxSe5ep6`94YhjqI>1e6^czY;m&dIqs7fVQG-+l>WBSz z9OoISQr+0u!VZl)3p(A6Yq~bp&yATrhhtBWmV6ou1*{Uzm7aB&u5A>fS4Z}($zS{J zx#TeNP^mZjn=r3=5J6#y8zl4(Ou?5Cwe++PZWy~!;{BG*p8lUMG-($zbcjQ^6spH2 z=(f1_>_%-EoKDMmS<&3RAZmj^d%W53J{!N(S2*m4rysxhCOrnK+m{gGj@6ATE!xhb z%!N9pv&iUqb>Q8$&O>DSHtrMd(p?*W-?%p$cI~MVBiiM;tZ<>9Ta04Pg6L^;;vMYb z+6(DOc|8$Haqjx=8hwI(w)~RsDu8eF@@d(}74mXTHUk1s?l7Ck2faPPed9r~TWPVK zyw||%yT{=D6iE)pT@_LQi)jcz{rHnW7#(V_D#?X0ArCSHhFAt@2?Hk~myj<(*?( zQ8PgT`)R@lJ`6Hz=S%iEl$gJWScxi3_qpH{T>EqX;($CZ@(Q{=lN|dN#vGne^MONg zgN6LaX4A324e7piF1a}y&D%BIw3Ov`;)}L*Xl;R}aVQIU=CP87v4dME*It~l$G3dd zL`Gy;R-U~rrndKc?psBfHaluMcOIt@^H*r4_B@WvEOI(Nybxo`@|dNN&&6OMOdi&4 zdwy2}tVllx6pFAdHej~(>*#VmZxk?!#hIM|@LI+T#d!u5{){({WZ~;&{=UB073-K+ zc8eVy_{{+Efw$L>1I@D%!2>MvODa8X9h?R1{0}dDV2WL!mBTXKc3oR$K5dXRZ`jMX zmoWB%VjxW28f}HvYFLHLHr5Vc$Wvs0-5fx^mMgXRVNgiT3VpA@zLTdSKJa|uvrXWb z46A-bqi9<0DC$eQpA-yzP}?bFI_Jbs`;mZ`c>=n@zU$I!!Su`IL%d7w9VWR`e;_E* z*dEGx(0)d09Z-Q%hf_a=shl2mEBi_$Hq*h8H)32>d_c7WBQ^do?*IPrQMEYi+Knv1 zXW=FzCqv9MJVk0PUm;64(Hv1O#^1GF>~;kl{|BFy`MWlG_s#Nan7Y}rArrGOceY;+(t&9cS@8*9>~|7 zAhBPEd>P)h@xDQo+xgq?_kC;)m^u7Bw;uleI<2WzlEP;E9-FQFiH2V)oJqP_2#bcNdLbHi87KH`#Uq<~R2)*xjc!%=Fr zS%#9sY^KAMotXKQ}XCtD6kyb-?2FS7zH37z%i89zRARt?9=?bAwj|;R_nq zj0vWO&-ROkjaxp{jT88bs;cf0i+p#nZp;-cS}sC3h7Br*S8Fo-weGe&%kad63Qx3(6zbo3j*nPZ(Nz#~ zF%U{R7rvvX=IJfWxdE#9C1k%&}VLwYwl%E^Ag&UHaIru^xJcy z4b6)oo$+XUn(Lk2ZspJf{=_BwlR<9R-bfH^_OJJs#J}pE^_K89!)Ycng0Dp9(exgP zN2}l~cp4UZu_#W$dP186@>Nffgtc$gZs)IbhFV|Mwi!2zlG)5lv(1dX8$N&6lJmwy z1r?B~nzti9ZV;;TA4``1H`ilfn#pqZy6-lKxm2Qa3*@+=`wLaABSINZ}FcEmRC_YG}4taami9_{@<#_eswuJCrWxP!FE zVa-Y9GwLgzccVOB!m4C;&%AcI-F?k`cMe9(yY8OI(|hqM2U)7x-Na z?L<7cwte6!22TXXX%ZuV(c-feg!qtaZ&BOCMrcO|FNu5+CMtW#2~1QScgPm1*?ht* zEug9;-r(-q&+`-o*N?|zkCrS(Mn_I=;=KI0GiWujzTSk(_cX6$6&ZM@*V#?8PS$X1 z5&P);LP@vgzueYcZP)Z#WAdNUPm7jp*^yrONXPrunO|FK`P~wU9%R^fv6WNwk5?pD z$Ht<^M8us`gSjw*?=-;IHcu&^5gYo|^L`Lb&T`7i*utE$!kQCvPS}>UG4_Tpf7E&>R;V;(jglRp1?bqtLAI8b5rr<%nia2) zR-dd+dqK3twa4iaB(6~>Y-D^u!tCerhId9;p6*}M^`Jg5P4EQ`E zHYOxb)|m9vM0vzhIdX{RIH$fA=B0C`#F8^6lCN;vB1|jde4LBn=xy=km?rQRt3sO1 zi2wM`cPLTr$(`&hP+t>})5%PrY{nf!KcILDz%N5MdqS35Qn+>Je#REStsWF!=G&;9#l zU*?|5_iHk|YXPgJT_~0|#zZ0De7Zw1%#eYtkSSy^Lq%jXiq)}~ z%SXEp(n)j0saVT;MXP;Ql?eCc?rJ~pe(=j=x%14UB;0haI$Vm(wHDMm6}`Xn`p67^ zr1+vGm9HJlGWh<|!1XqNa(seEkTUFaEX4i?lD=iU^;M8Nz9uK0F+syQXzZ- zu}+;Zej=>xilZl+5CNrKVVP)_G`W{(8`IpQ`;_cN>Dot&2is}eP8_oxupq%RJ@NI# zpv5Sdz0f@YbCm7qVt6VclZ;8GD{F7c0D3_-RQT*Ggle z4Nhv$-pvtW^M$Yh*)gi7q77T0rjS_QI`NeJG;egHnf-F4`pKR87zzv%$UBR_Ytov| zuC9T@k!zTHyk(NWHuQjVGs%3ZbS!S`+)ICDM_^jfu8z%Wuskf1;u^oTFa6x*`4eKL z!?X9KdQ_LHt;2s50LU3}nRlq&cdqY1)-u+Huuy@d69kF|GhJQ+y)B8{tT zbbgxuaVuD-F^RF3TI?mfiJA29T5V0fE{A^bT7H;8oh)fY9w%mGCx!IIXMi8+ivUrw z|J%)mqCUPh{*UDSw4s_gE+w1bE)@hrO<5+>0pOoN15{Lpp_l|j8O6n$n{I1gz19xv z;UV5*PP|?|d5>KR^4@zjH#Zd&HyxJNbH;H1ml%RZl-qT^cHnn~htILfjNX7~FXCQU z_U3GL*8FQ3UAtJ{tJw7kGupWhGdsb#>NA1>v|irrXcodpEkP0EqmN1Vh$^j+11%`U*Qu07`U1(<8>^6ty|+LGBbDv+rQ;h0JDIXlONb(~OZ zgIC-<#UTrwVKoBs`ZBca*ewmF0pmb0V<2>LUtUfmp<2Xjo0H|+|45cIi(z%4Kx!o# zVUIwk=TsE?3GGT5bL&SS=vV|Hi5A6@XF_{Ix<;->$voGBaIv6P&bn|`xkjM|b1YZP zrA!>?6>|OzLp|H(OsrIbxyfn1$=L*DkqbyEMYW%1BLJ8{QCFlzH7rAbM2Vh$KA7C8 zbwf9w(_)woc54c7v1*`1Wp?1bqAw*)sbO zGv>+-Cvzt;(d(A2gxIZBgepqE2*}u@OT43hmqIy$ohFM z6g!47i)&v-znaTfU=mwt!FJozY-X^7SN<9W4uEFLbXo)(5zWsY9cc9t75DUqLRTm1YMSXAE8-=GtafjC5^S9*Q4%r_k!5{aQjmYQqUs}eT^>EDGe7r+yDSSjf!t_?Kt8C*5tF2X@b2xg%!l7Sn_w~(U>bO+J8k5<;BAPWu zH9wiG#mVy}sJRJb-QNqjTzPQQfjb++gb24=DPGVhg1BQTV`pg|bUWIU@Wj`jvhh&2` zjO$F|?Ys7@Oee&;Bvf8KVe!qk1$j zNT%z#oxXse!5d%q#MMa^DZ9LG^93KlIxM*3F5SnSu^1oC>l<$v%b6@^#xpG0;nn&= z{fonTvelK?c6R!)nm1#hDi^56nC9@FK5bypo7|i|qwDY$w^tg=o3AyYr8Dv}?Fw69 z#!i4e=kZdO4s*v*DWk)K4a!z>OBsJ7k=W}MV^gk=X?)G+0=>-j2zHRKP5SwByi>&~ zq;X8)bJOFTUEuBQ`{l08qKO}`ELeSkLkNy~Mm*o{p%l9*xfGgqZpO+m`yi=|iFBH}pkhXeL;1xPV)52*^FNFuaj0_3LZf=@OWE<9s~aN{u-?7%Z% zqo=m2g*5f8_YWL?NjX4Ebh_@P>U<^*`E7ayByh$ncz9mptg4h?a zOBz8PEpfBWQzaC^rLH7M`oo%Z4_{XDdm`!Zvv=3ikYpb=T-+Z%6b@$#M0d7Zx%00P z6viQ?@KyL-Y|e{laprikcdk#x$5>2&il^)A4@;m~{Zct7+qG{&OO@H_);eW^(jgEU z9U`(ntgUBIcdXvCI?8I#R<_~eF5chlaQMIafS#^3oxkrr{~xry1yEeu*6$nK0|Y0y z2DirD-QC^Y-4onhg1ZNIhX4tUG)}PK5Zs;H*?XVw?DO4oZhi0F_o^mUlht$0Io23s z4yd(y{r-ZYG*5FYF4%idJR!ZcPc-(l(0EU9<4DVYtk`Exn1VCHakz|r{2d`Ir!>Ya z+Q6`+r(0bzCOf-do-ifd)W)Beqv`VayZb23a|4VWbn3&62BGqag_A1Wjyd5!>@iiq z_%)HmG@#u}T^8ML2|Ru3I%`}EEWP4K>2-b0k1zc^yjNRgJ*U0bDYwtO%iX7(!FJF7 zfjXVH&kOEnJ%PP1?QHI~Q|q^=d^`SutWqGs(}2&nX)g}12`>(U8iMo3fYTdg4nF9+ z8Ko!fd!G6A(u!w)1tZ$EU}&t&*L#b9If!5dk@cYsyBA zYYU;*m&=B~GUDpgQ*0k0I+S`DBim|+m%UD5z+%7;1YCE?_|cjDCKlr%22md%bWYGB7|!F= zg}lQka__?5gFRUH>4rL{2woNQONiI!&^?b!m<^3He(z}6^&Z6Q9ykN>CBcm))C|>) zRw3Jd^M}WI9iKgmWeM6`4B)}k&qYr><3*XziyZF?yI6jf-FkejlOpd3g?{FLZR9F@ z`$&GSo%1t2SYh$??Kf)W?yoFUP=fvwk?ZI&)G_Tw0u$dUhV~-d2QO$2`NJl&Mu(ny zWmm*+W)_}0BLrbGmi;D>SE(7u3>o}(2Bec4RUdPEzi{(5zYbWtHmJhMWp^?2HV1$< zT>}ml#1K zkKqfYEwnh&jS^W%CE5%?T5AoNMv<%?kRAz;)p{a*m(My)#RD%%WRe5ztSzM3RI~6va_JUX0M(LAGN73?l{A}j76K?Hy;M5O85EOh5d$bH zu}-l_0g#s<$gqiI{RAN=mkMVUfRGa{z5qgtE7D69vUIFrW!QwWUO=-_Gm2R@)(^>Q&cBQPHH zL;}18`B4K~L4M@G1&|*lFdgJa3fu$vQ31<9Pm)=R#oScD-jaK*tRj#f1uz`sM*{3E z_BPDAExs=UoXT9r0UTv7(*TY#mtg?OVsHJdK@dUGF1xis>MoYGLE3IUfJyqY5WpmL z*#(%Cb`{F9EV&N{OiEog0TiVh!C(FZKa$ECDOsWhnu7Rff$JqpbU<oyF~{S@xh6Qs6Pj zF4ZC$5SX~jVf{i6w6K1m0M>w3$bdl53I&k3WQhV83tAxoUW4?gffCjnQjG>#wk7Qn zSyd(NGFk2*JsKbu=t(&1dr7-omTXD8SXMJ=v?=`i|MSL_BC#u|_y21v<=6YOS@7!p zht_qfV62lGElRT^usvRY$!UgSua7Hp0HZChTP39trcy{kXJyMh21D^(Y66u~Q)B{( z^7a!wC3m_R0->&)ZnP9OXac5Sn|9PM$(v$NDY2abNZ~3X{Y=s*ZIL)mktxi|pQ476 zDWR(>U78?Al_|!WXa+gv#ZwpA@-;Dr5>J#ht2ogNb4)6UWDGv(C&i!?zEoHeGAW)M zYeaEwaa=J+3Ktq{f|<~VdTdZ$F;$8d+yRbEb+}sc6=g-CX{wt+P;tlv9rb}IC|f2i z@v3k}R$4|XO4=vsD*s!Q6kXp;OU^??K^wHQenTRle_lzDh0 zmL!~{pk9_(4DuF8!b~ztqDT@;`lvKu6p=oJA%hDUNgY{~I`Cmi_Gzm zNM4jG+|Nj*NTLXd)0P^iC{6JEFob)-A%sjpd#q*^oy;unl~F8DHxNHFA5S5e;HNm! zQ&i(9-9vhxwOy593BCP2!IEnmY5C#vHd~V7!D67*FN`Epiq}$8;$(u79O6VjsSAj) z-ty7MrfVYm=j4_(O7HM(d!8HYvFxTxlq7PBx@3Y`9uU=S3uSA2%gM45+xJ zcn!(C6SrZK_9{kQDd4R38Yx~$yu-GwX)iFx0+#P7#xN-2laI)~V`KzmbOWiEWG~766P+60< z(_w~t0Z)r{S`t@;M;xe^WEwtgGf}iAK4qG*jpda$=vJiZN;#C8awa|{nqiE^x(%Q- zx$}>?Q@lS|gsn8^A8U3y!cVG_t|il!bfHY`3TXlJnz+ZzDbkbl-nl4-RHL+0i!xHR zf7s4_t1aqcJWBYn`fWRU%zb4-C$$;n7$T29caA5-SVMv@?Y1A!Ghb2(#azKH92w=@+4SOWfVv=~M%MYiogkvd+_FW`Fa4;2V=oEv}VTpi`CQu0l1zP6_q9XMsUZ`(} z4WRKbqkzG)s);;F%S!YYkOB!UunAXXIqU_CsR;oEi?XD11&itlJq3$%36)B6D8)$> zLMp;4L{a?@6OU6GrwOir;+SI1QJOFb8fod#C1Mo<7Y-4hu+OF>{QFwy(#E5iC^Y#= z_oQW3TDJ+R<3PS7Js$pW0n+Rt#Z-6l1DRBJ(lN3ziUR_dD1$?AD!Z4e=2)v~)6Q*@ zOBY-wNhe9YlYS@FMJkCggy9ksJ`_%XLE=mSPUm+x(#Sa*#TW!lGYaqIO~@k)lQd}Y zqiRV| zWRG4U{o+jXj2~6ch|dtX!k%AYiMJM&?E;|%poxP5#V60YiTP)4iSDSqaJoYM9Yxn6 z9YZ+3_(MPNa3Q}9Ua)iZt6RSCuM;wCVSjwP%<9h+jPuj*%f0_(=w2TLK_K?yi*fcZ zU)UGxyRwbj9~O_fO~4C&4foyY3I{w1@nzoGC2 zWd*_kPPc};hheHW=!erpUO{$^t6yM9FV+jT6Wfz~`LFnLTa3dF^hbv`3!%UIB)&jY zk9aQ>_SllaJ=Vq%YnuI|1k!|KCJvwF!^(!8-bQs2UVkP7TgZ%TDQsD@9Et)> zCc$SlRu-;;Vj8ZV5*n^rGdU-_?-?8WNnbZUq!4SflHuCnp%WN@&MYDJ-NTS(N~jPhU0CSH^jYY~f^+(@#FVUJo*@`yg@M#BVg_^kED3iWn`M!(X>I(@ zj)nN9if5D`^p6eJJR{O4FKaIhj@6HI_xNP`X4pHw620m@>7Ktva<)O9>$}=&eI{pQ zS8$JR8AicZF0vM9w+=w9sp)Bp8OQI;{;?|13OW^Z2tZKvrrnF-C8>ll_i%2llkks- zHl)YH+gtd8{?eg=^j@PCjPX122w1wA7#yAy8q7E@{oc~Jkx?JZ%hhXh^|tnku%5rA z))?8oPQNvdQghB;k!TH9h0{@?7GJ;BzxgaUXm@ac4HNH}xYufoEYLI`)wSpNX||$+ z*qLun>Bz&OHT+nnFD|-O^05%>oSdKrw(v6A_J|`-9$jz%3`#K?B%1E2E-xUrInu~e zN6^p;*Gdg)E_9Ud%bMxlwLD&-S(eT_GUOu?{K}+rwD2-AZ`C7a_b_a5{#rP^i2cei z-IDo=^Qz1;`ceF@RqI6W=+*E!{`Iuy>6Q59*eHW6Hr05?ghRa@z8V52WX=dn3qd1j zX9MyJ@@LcuDB1pYBLppE>|hupfeg3_2v=dY3@D3GZ6m6Tf@kg{HFNw7#L}QKqjwqb zR6*zY2r*Em{kY8dfDmOP3iuFoBQ9K6{5~aSXk^$>A^vixW+M{ZHxHrsQ>bd8J^6@g zA#cjx?Bt{FqkI!$QbLpwV%bOV2_jR1M-&p+e|sUsI0~`UhuRTbn~yXKnVHYF56vpX zBL!8_2d5&$Dg_xy0^t=5Hx$aX0bAb(V-keH6+|co^*#bp0}X*J2qq&K0XMjSH71W% z=?z?r{LbRYk3Q!!@;eAW)E=ny5XZiS4Z1VRJ4nB`0#JD&uR)4^EN6~qEL?~Jki;RV zeZ75;8~kUAXN+EOT_|h6i%=i>*`GD2uWAG9jFk)C0Fo&Lr|)uu+vyD-(hEc`gkoq} zU%(lK7bM@?o8XbYqK#7{Ca>RB1`;&{uJ3unF(2y);x>5I2xk%D&%%Ou0&^SGDU8&H zw1UtQ%-eTtM7Id}0_gx12%FuX*H^OvE+cISPv~}#oFVV~t~YqTpxeFq)!)2<@5J7Q zSPi8aLf1#!x3j_OMAHUcZLUhE={g=5cR$JU%DpQ%^0b%nN^qHH`tpA`hyywFCJwg` z9g553jU@6$1Ox#~5IL;V|K-8|GD2WvWiR8Az%|eG#C6<1u6>f_mU|a`@U=H}gY)_Q zbD;iN_Dj8Z^fINzW}fAMSK@I)i}+#mSF2K1HahdrMI(>Fo936VaG5CN{BhTtot~Xl zRSev_;Ve;DX;I!r!ci-nMw}m7_z@7W@O*tT<|`(Gz0TIvjSa%#4V|BWTW}X~z25Yt zfK%K_->n?Zb!R`1KJ6^cyNQ0!i^TI0s>K_?)+`a8ZQMXn9OggwCxN%ohM`=WL>UJ`=62>aTeyDePzQRn0a)*=(L0v5`CB3m}RR0>5oh0}?+pQgOG6Y?BY z7RfeE8J(D#B@67gvVwLVRGnK%ru1nU+gzRvGk#C*rdPLl?~4NWZLMC6i)?7$Yfejd zvw#Y5QR>{xQc}iG6{8Xq?8pex=a4P9g(T0|_+DQwtcc)s< z9?P5D&dj8$^AZLt+=m=7#~NXc&3l)sq+lv-0x(UU$8jJdI*EFi*|Kjv%(DB5GJ9Lk@$#>ql%|Btv;NeP>GFLqC{BpGQf>!a+4{ZJkZ{ zQ_5wSf@|FV9^10;Zf$+_=jj~!ejU9>;=G|n`kEnQcdwpLVEfgJ%fa)GNZ@e`Wn+pN zn@-cr&PA4vcY4kN${I;k`IMy1nt-Ksr-5^1VQ027hd$=oTy^S=n%ZZuzL0Grfm4I# zEV@;1I|}F57!Df&qeyxAvtsbWI&${qHUC-)fJe|$;=TtZ4|!a#V@qbN#1r!h9S?ct zGVpzD{Wy>+(&Mxug81F*f>-K6jit}8%r&3V)?9G+mfSuX`E+$_Hqe3 zJY7p^Q~eQHI;JZdn{U0f8=JNWsB7DW_tfd)WSCDfihP%jYtBND7FP@U0@^q8Up(HV z^|V+zu7AL?v00caJt*5mG`*&a!P?@cq-5o6?F-$6A?myf4uOgL@KdQ+2-EpXN|JG6 z`we}l<_Zc+6CJI|rtI|R(1#jV>~94*njZ?~uV|>fuGq{}#dRB*EgM)jH&>`vg{SYl zatpuWSXjKwz7I>~@C>QpBBdiAT;*VEW|daPjQ+lNerH0zgfN)Y=r^XYM%QjB+!JnU z*Xg&`Hj69!swEd_>mr<^-XXj zb(iBbQLi;H4LWOlWKvN>=RziiguXH1t0y`?O-1%c-=z?ocR`JqW1&c-C&qd%Cf3?X%8VEiC=-rfG~2&0tDACeQKV(_MNIb zUEYT&dkEkL{?`rLvk#LTQprU$1v?0lnNV=X=gPU)G|%v=f`(fnnnwp6-5oIik(;`M zQJ&FJr^#DAy8Qi)-KQDyTAzAs$7ZR^!5Zpi!KF<(mv$?D5#AXVkOkQ{H?$dT@$Hq{`>pDAkPF&|tU{w;_QEBR zu}pedcv#|$(_)c`Rq1BSv`LY^)HTnSEm!%ed#hKnll6Q+^sFBZ{-Hr(p_M4w)KH!p zh|#B#Vs6P76`48ebD&(4PPF55z}b_2lxa{CMTzz+Ch#*BcT`G4xAIb~(<`cleK2)U0h~#~rXav89fuX_J=3Ced)Mb;;+b;F%BHk7JPUGl)p>?L+Domh;)k}u4-5uv zZ>gxwC^{QL=_HS$CoA@K*t#n5w_K4=lq(-FfA!zkRs|+y1V237w)C9%x5-v}5*91x zef}))DPBdVL<_2=%Zljuxeq6z3FXY)X6GBDX3c|hPsS>G3&j0W%}Nuw2^wfQ^u=@; zHFrU5+A%Me7P`=H4K=F*GQHebv9XH7@VQM}twC4q9jOfhdl5c`3YYw&FzNIxqd0pz zO&w4wTvl1^Xy)CL+OXBlO|9*Cw%cd?U`6c3T`%kmFJfQkh*nn=~@Xn z-IMaJRHIM!%&#VIUg{BO#>IBCWAg9c2oMf(tDG1^>tURY}Bjp5#7 zOjmKf-)oTDenqt*U)NOrS`%4f(v>bzxFAFL_8jV2i#9Cq0P2bNs}|90y@!B`ey2OjUiF1torAXQbgrZyOeGWZoh;JTPp05O+bt=}@gbEHe;zO>d7azEr_^nslb7M(e>vSLzrQLUK^LGg6lc@@gQ3n+eA1g=U9)mj zRaG2xDDxfLTA^is)o%KDN#|pK#=V1Qb6~XLd6BATQE~5RKB{}DKw-0eRVk(ka$)Zb zs3A`etlyk}#D2DivSK9ljWKSe^Jen9fbykzbqODRtzm3uhNo66YPDI~9rcqIj#y zJebB0OX*L~m1KZVVSTf~-pUqL(&}oB&3mIT!QP(qM{~aYyEKh;*%_{#J})4Ft#31l zw&o>sIh?EuIU5|3zNU7JzA1CRoKu->^;_;7ac-&%+*FHffnQf1tcSgtO!${M%G0YJ zwYhU`sn57-URPPTpNF+h9EESM72Vss{9gy6=FeISdFif{k^LV9oXZFJpgD{hN6sEd zBVm0#o2Trs{o_dUJ_Nj)gpSbwsHw7$T#?DpV4}pJZ7_+aGY zZ=7b&P*+bPDGw#P;oM|vc+^yQZl0+xex(HjvnpE63h`CadFi zGCGfgBT&fT=?npdxWwaHOwZ3@wdq34-8rmoro5|v4`oszE_zIUY*d{rwMn4p`?o!~ zOI|n$oRZXZOrP%SC4VXl4~I(pCOa{OkCZjXjm~T;valX_{HvOL8+UgGSW&~-GtJSR z4UR6iF7=0X!>;O~EU1&})<aRQE9$&@xxjfa85%Hj0x^>@iPMjm7uK1r#$m3lsd?n< zQSl8|D_FdqqVhVXF9eUWW$oUJ;|5t0$912Rwv{)u^iQTGLS$GOg&8D5NIP z%0R!XT#oIo*VOg}E<0di(Na(-F?~kUqa}3~!W5=NRNHZW6G;y7wp?-u#^(ciM7R}= z{GFx8u@VubSX1Rx9T?=lx9h zeO_ZvF`Morm-bhSoA_wj0nL`fw5dpcZQk)Dn>hZK>tM|({<7ORG1ENVm4h*0X!UKm z&sb1bqS{cenHZ~s#zx3bqE^G}i4Bug`UZQL9yz?TOMilZcNGQD9R_8PGmOLg3pTBeydxKrMbh zQL?m+VdNy{tp(84f^XbSyN+)qtT|RU5osB&ap}@Ix5#bMFGpNrFcWQ0WH~*PNq;gL zzT(0@a;AsBwS5{kfn+mQe3swhZWn3GRnfsHa;~c~#q?uasQdxZZ#(!4w_LKRT$z+{8$+5&9b$#(aHtqdBPK$c(+ekU-R~4zpYAe4#-@J!Lo@yDiT6E zLSY+dSywmRAB5lRPL4-(U+=hIjzn%9FvQD6H+O_BPG`9xR=4S&vC;L2K4{dIkQori ztPa-d`h0ZI-N)wOnz!;iv5!rk>j{6q4x!N_8~Y_5p48Y@Dgy3PK>E}V)F}UMk!sBd z*7M+Uv}nW>+X}QIkuGsAJgmZW4FOr2f*ZM%0SP>FmVC%)-fw&aX(pFg=GTw;Mooc$ z!()Q$xFwbXW~;ywA}-i@%bz5#^`mDQ{Jiuc1dCT3k%+=Lj-yB@?1x{VzCt(&e@0tk zI2%=tL8@YuEM{i~9s1!4P(~!Rb$xi`eeCRf{0XGRxj8&92$P7fz! zxrXmS-(O?+JS?5MuhzHXYEa@GpFa>mYZC+OYEbjqIG(5-D9?spLpLsZsaO2=toeN$ zr!~5Ln|eDv$dchhNZ?)IykLaUp#QJhiM~|O=kO|MG?vj?J&)w%gm+$9@I>dgh<>p zVpp?$#TnIYf&w#YJTl%K3*a7fgd>P{+wr7zRsACqH!#NRc!R@a{o0UsvT`>lR!68BOz?K z(c#RB=x;JV?cM&a^%s$W!4@|_3u&6A5#L_Q>^S)0>|WQpSXC(o3xh~@9S;v4p{pI*T$s}B3Zzg3Ey-+Nzb>( zxTRY@^Q?5ccB!TERdzZy>YTFDK7Gvl#kovsbZ#nDR`b#f=~tFbc(U1L80IyAW~KT4 zj1Hsu%30=1pEL{LMaZy>lE&o}V@K+$M9Yly+y@*h2?lfE5rI{F#;13{Sr@GN2{qw5 zrLEiR@hoiq@=07D4fgUGvTc?EWgQk<4UMAe&dbV@X&ss}?IxY6{kwfk!kI>$io)Xp zEcqfGXNm7SO$6+A3_$DD>XP)OGq3mDHI;`Fl$i>|gxOrI2^uK=UqO!94UW~Ev^6?5 z3Urn81nic_L;&Q>)^VN8ibjk2>NK~Sfl@B{xPii~AWh>9RE!COH9ooY{<1p{?uEyr z6FV+o+s;OsYbQham*nOx0dLMoc%seVWPlXx7~98>;ij5DpD^#nex%qT`8~|tj_BUJ zi1F|}eF!)`+#+s#+>^(7aC~yPl-_wi$xN}NJwAE34vqWf`{vZj|4y=J|^=$f{aWr^6sKvbbj;%m_B&RRl2#nwK=M**=u~ zvVPkBtPlfI1Kai~U7|$P+cf77=Z6mNnK-4pccdd4?KYH#Yo#jsQE`-vW@;*VorSG}_lU z(3juWPtq6k784ggg`^rb{~c8Xnvy#d)L0NQLy-|CGVB{Pqc`1ZP-6df<-chMh-s3r zFf%hViAsy9db_%r+eAASwVOB1Z*KixEM@Ra5{?dTze)A1Od`gv=D&Yq5*1aFQS|NAn)13AGR7Is~B4sZudy4MA8f=>Y>nRNlI;4!RRth!*~ zfZrVsT>$s*S=qoHP8M(nzztpxJeZ9W@aGr+3$rd5i4I!E!8rCm zVaQS$>F-c7u(C7%NgV&z0Q}zjmaZg#KTcLKwm1Lp@sf4}2hLR3!P3qgoDaf( z&c+Fz?Dsri6uY^bsTGrgql>+<-5-ZE|GZ8BGxI;4!NLyaKmQYe{a3pDjyUsw%#(lS zgSZ2@FoARKzr4&K_19&Y89TWBHp5jH?1Mj$?_h-uM|B75-<9mQU;aR^|J@F*zY(YZ zg(J`T@7V1uf92*M3JCvm5cfY65V*jYa@K#~ui3z&4BY<;wdeXz>~_w7$8P_BY0O_# z@IROT2NfIu7OVhg(7$-*KT^T}Jz@W!;u&D*I~(W!3{lSdCoCNRM(cxd|9|n)!Ta;S z;r=2v0{v}ErU_<|d zE&m>{|Hr2ILxu)iH^5B$|Cudp9AKvXpR4~@#()K3*#4d{9L)cTuFv)FnD*fM2Dbda z#47&BF#_))R!0Q9JSh)XBczqTC3)kQA`bWM9 zUTWeurxhKtoTLdtK|^BsqBF+QB*xVAqzRvd77-Fiq##M{AeGV5orTej@uwjOF<+zh z%SpJFA(VwIp)IG%;A?{li?~kSp3ousub<9#bGl_waza<%o@tt?7*xty%y2tLyr*ybAtyka z9AKV?aAYvKSh`(!@8e3;_p0PluU2&adj!zqu7aV~`NQ&{YXq&5fIgtk>P9)}f7M$A>dip?>-cWLINxAtF|cf+#Yb7J(b;&T~x#;QbA z-v*%uDGrDxdcM6MY^)*IfdsuSK_~~!Pw~&D^}N&H$6FQ`JrKs1D%$73mt&ePn$4(1 z^OdF++?kO;jF_jWNO<>2*!Dm^ODugV-0kDCDoZzcR^eyJ%2MnUd;h4{XzTW7s0x!0 z@k9kVn`A6$tUno(@W=?+*F!9*RD5mou3`N=XTl3>)J4Ue{Ke$U3H4>G%4vY5IMrKx zB7jtmh^nEo6q%hSk<(hZ8t&QjmT3rOwAkh#Y6TBcXW=ch39oXI^;W=b@*vq2F1JKi>O*r zu12qMNfA%o78dN2o?vI6ca!xnuf1^`cB9dc^!DNOafITR;}yf17N`0qcBMh)p6?vd z`H4vAS@*zPnf}`lW4*3#-zXyLmn>~yO*~5)VFf0^nrNr;h$k76wNmK3gl>5&2nSzZ zQ7;@@1Ehb&+6^hNCfpmSC>R-cx0bvxYFmRaFY!zCY_nv3Hd|swEK4zZpS1(E=mGgT zrw6-t6jtg!sb{1OboWgswnx55c*CDUC0=}qj#)<6ink}!${gdTD4kCXl5aG z9x1}B6*#+!SQaw`XPU96?>eGti%3>u?i;e^XDpf?t$lLeP6_URkO&f^^~(LUyk|1c zfW^6`OGkv=IZSlk<)!%4muDAR*L_3-H_E5|!E&_Z&LNrUd*}tmv7ddGM@o%b+bYdDGQJx_Vd@(>&bD)>NEtcJ+AgZa-Ry=S4?X=sYF53@2|A zoA4skEB_z~8`Fx>km7jPosWHMU9suT5cVxYGLb4fTKR>fz66AD)kdkW811CZfEV z@kv{taaK5%Q??ykrp6oVi>!SaX*b^OXV0HyS|;xuap{;RPv%&ykj*9C-dsWeklB82c^vg)BFN`{|Z?g zP=6P~h#^p2tv_q9myvx1DOKt+x{h^6Yt8ECIc?*beU2ZC87bDm)b+OU$-V=PXU~5& zPwu&IU93ZM^38&P)NXX*R;?5Il_?|F3LS+$O0Mgd3CRQTgf83aC99wG`{l+{k&`p@ zzdXJTF2>fUY0+6lx!18rT1;u#l5d}q*& zkc3DS%lqjI{j`UxsG=kYy~N$uF~%bVoF=xP5>+h_7tC+Jf_bQs`XRnLKyDVRUal@G z+AUjDgQ8)JF3MIDP2!zb2*(F&HfdsG&KK0)DN>iDz0nHQjFt(XW42O62~*fA`fpz@bD@2$S?P;t76&y35VM1G7U=z4jdpDFN~r5 zZYcB(!;8Q4P?4j#a$=e?STtb*1sn+)mMna^<&tyk=kN_*`{eEpeX}Ilj*-Tw=QK7vcew}bwwgH7 zX0ue2&*Smd8yiJ$hjMuUzxqer=~h=>D3#!lHQYRd{^@qXz#V98-Erz$1=jPeta z@}bIB%p^|fZKl>#nM6`6dIlop<0X>F-u-1}Xae?!@^a)@e0`mSyre9hc^Yzxi_-hD zuu;JenCZ1iO1YI(X1kyGD)HCp9Z+h{=FE-|*P8fqOVi3mG#=>as8Yk4qF8H-q- zdVyKW^t9BlAr-a#Ef1&cqj~vT94D!JJHztez=S{xCl78|DsJ3D7R_P@X@!YZW5R-M zNW(A1vw8UV37bq+qk_GqcrYV+_19f`>)!6BJm#}Qob{c}rOuzXBJ42_G7R3A>Ctj` zMvctgR2juRi82$4^jHfxsT@jX`&J*HX6`{9*y0Wx zg&%tK?>|M@I(>bzT1`Nt9zq@pR6N?&R^gpSJM9oXI4FoC^bYa5U@qKXk-YbH`=-^T zANDkNE`a7n94oS}k#apIwpUhqaaOySE35hwfq_Wh=FuO(ZjQ8LQJVhAjCy_ZLF)_K%7u`_|+R9n+Rf6$MGSRw|#=-O8ZP1>INT6V2MN#yi0VV=o|G}#Z|?P zUlb}CGWkpD_0ou#=bq|TPR>mrtI&SZArGhHEWM@=7nkOmmLAV*ewIy4Ui!@O>7jVx z`nG1PZqUF^32M+Mi=HtP7y0XKB;Cg^h_Fb{Jp)GGUraiiy(-snPEk*$WST)n?!xW7 zPd{pM=u|{Lai$iavx(;^;044Xz|z@DA*G~*xv1KFbh-MbtBj4GX|RhbFMnJ1AX`?H zlqG% z^*ie4AhxxRzN);U;dP0`uF}$n`S99w9HN%7fPw+A?`#^}JdOgXiMLar7yV#qcd#Ke zHsH0Z&*|t+UXIT2id&MN!Y?K}?#1_#k#RNk*|`}z&dw%>le&V3vlkv!C_Vc7B+V=Go|u*ZoD0^@@U;LlPO?X)`iiTRf`q*NJk6&0{LMnp*``n-KCOTMzLgL(-l+7L< zFvxlz(y_C$4cL}2xbOMS7J^aBaOY2R9U_c zcbdoa>^ri9SC&<+P#w(;(h{I`8B;{0#Efqzfg$`6d2C6~plH(;L^U+SgcRS6A6Nhq zKo67;%Us&@^N_~L-iKnyOFI;U*dTMoz{*@gQ^uLG8cKbWgWe-!C<0NO2luKqrOuiS z_=pPA1IZdxAB38Lm;yN%R24KW^&Zk;msQV-&N^vNq>?$e$jg+k86s@pcwxOP?g3Kf zQ)k3;d0qB=63@UYtTQ1WL*fnhFVQ&34i4H?uWenSX!Ja&K+*gPOUja^WQK9^RdZZ+$9MYScL%)M2PH4p)+b>PHIrx?} z*Ea*nKGC82o1t{g6)FwhiC$RxKKYy^M-=nTaK88cEmN17e;n%t?gvY_FYoU$lmn@5 zA>uo6iPvJ9^2knDjUaYMy@kj&HOslXB5zy*X4w*ceHjVfoFjntI)TvF=i1sTEI^uj z&BoMu6DhfqJq6{*aSZZPfLN=3-x;m(K#>F7oR$D>q)oxs0?QAasE7 z9DJ|uw1Xx~;MXDPVR?LJh6&fWO*$mSxoq>%D;VRob<*U!U?u;~t6ALp+22injmGf#X z*KsTz|JP7Z#4Z5+Lk{Bs3PZ>!ujwyD(D(`mOM{SugTEv zd@I^-h|ErubjoWQ4Q4cG@YM1@RmjPX;7b@>X(y2$_>t#DC5Eece}+vN%m`E+c{0;h zf)@PxE%iGe_{$!%@K%<7!u->_LG{wj?D^YwgtKXn!aLoVJ}~Cqpo$7cnpJ5GCGXt< z1EpsOJltuVIERU!tUwl=zL;`V}FvEc04dRrB-8>r}XN%Stf4;KNBFlgh61bLe~i8 zZm}OQl&VU7ES$nde0gZuMqE#CPW%*_<+KXVEr)R7bLYIUBivdzpWQa_LIF z`$Kj%%e`<<;K~@8ou_qB_j>??IArDAGShnTdA`3n0%3oWH|7bq9h^IR&KQ+(s$EYv8@Lw>AKWOfV;_H`jUCsOu>MQ*@?Luh}JO6!9iuZFZ+WVTE z{q2DdnBouDM4PnvjccK~Z)!t%p|0xsidyncpw}SN3Xk;jb>*+oq!I`|-XlkSaqlDS zGvH)=r#E>pKyF;D#rx~7Re0eIm?lL{&eI)0crE77fBiDA5Ozc325)2_3*?I~&zyhz zvnGM*n`5!igndy9zP8}}$jnkuZ*@e#IDa}vE}hqLL%@q;s%LEiU5!8PmfY}Qe2UQ| z>Ec(o8qxf%Vd4E_SyEYuJKp}hjhixF1^8a|Pm;03yNJ$tlHrn%MI+4uy(==RWIrcD zm+!x1x!^v$DTXV2UfZJyH$D+w$yI%R2=ie^=lGU0jEqXDh~qDa0xb^( zge3fRhZU>WIPJ>AGoDIWCX3O`(J-O5`>NQt>1 zm5+GtvsdE27uyu{K1_Aj)>IX>LAWn%!rNO6_)aJ{?hj(XbLIhteRLGJoLuC`94qc1 z)`2;XH4MQw=}YFHy^{9RISJ^SE{S^)`tEXr%srl1jL6U66XN+CxT`jLajzWDP+Pw9 zyuFRQ4Kf#Eaq5(hhl7Z74B~lP&+n4uzaN;IYyIk6JG*Mxc9p_ER9E0X89BdX+qPv$ z?0);Mte}#Z-~|XDFwrsMOtY&PD%F>E(0k6kCO;#>sAJ!8II@ z-&9S@=Wt#Sec(3{)Y>?Vm0F_hDRLL$OW2&^mU2b*&3R7XcTT8SFY>=-+fX#>hW&Q4 zC+jpKyuc5%7P{?Ue|P1pS=Qcgjftllukh&j7+4ec#OY?E38z}itBNv*-@~*SWTxP(wgr64~69yM%3wFZ64j+0awbx6eMuVqL%J&PM~@|jawlA zU^E5;V{f_KZ(lMy22i_g&ILTcca)v;`G;lTTZyYN&J0llyLuE$7~GY#*ZtyhTkZ1b z9?|?uw>OA00>42&K*|T>8`bj8cioDuzNRRmp9^T_S^3wU!WG)}`BiaQj&%~3@q{+T%<9n*r zb>k3G@r%osTgoYKk)2NDCAe?Z2%EFZJ2fUe+2>gVJkz1}4bV$*d*UM?;!$KqPqn4* znG1QmCM3Djl>$%U=EJ`L1ptk6{u9iabqxw`tLT=2;+1s@xz;VtCm#q~oXi5*XJEwL+R-C+$U?vqlJZfn}IMU(4AlLvK0CN)s0v$`G(5HTdnrc;(ub5X7m8 z>Se&Mxm68};vN1L-@UYf%jiiGVPDAOdp@mICDeCGVl_&tmHd5*D} z3_dZ3XFJ@?Gy?%z&p7Op3U_ZVNyeE?iSOLHZ{_YV=|bAJjF$bLP#$!E?;#^)cr?ZLTO!<55piD?$Tpk2;6c8Jf~QamQH$g0IoEl571w=y408gIvsN3MwP_T(5`fJ$f6OUNCncg;D@74!tW z_+XYO0;{n);QP^A-owk>%fSbCt{s`4IY_iJ^@LWAI>Z~{{OGOH@xeIW^FzcFJDlD0G10MJ6Qz` z%qy+Onaf&?=}h6lOS#4mI~rASo=k$Wd9f)Geex`NyM{M*iT6#4XPKdGpza+ki`+yv z%d7$f>yvKa*Xh=`HRT?4mrZd#v{lz^T3}sAh%_&QEN8!2U~d#(dM{^ZXUyBmI@i_>JAgU7M|{rtFC&C<5kh--KFE;n(H@E7f~ z%w1~enmY^CQ?XrY$d!`^g#PwU9uN?96%ks^w|=N zgJPRo?kLY4>t?Iw#bh<(5c!$MQYXzk?U$t`5(mO>>7V_A#O65fTGih^ z3mV)HWV>v@t8Q8xZK=Fq>4-U>OanhP8SL8fsv|d0F&af~0b=Y>1`s-c@4h$m1fk z>A?P;%OMC)t#58|7Wf$wO91MbomX`-i(#o|sJ#yrRAnOA^s8NbeVF9jFyANiX*ncw zv+(9f4tPgj@Uh)h*$E7QU&hWirVHghXqB3D?Gw#PKMaaQcA7Wq4;ai40`ZDMk< zrUy;Duja-QzKc+rWkHoz{ZmMB7#|FPnb@XJZNAmewMci%RjstOK%#rn^CQgKFTlwd zurfhi=;>!y8pB~+Q^as4+VK#a+ArIcywqN*z|ofzHCGbpe+qrUuR5EX2JVT(Rm3Ye zebZ?*?CkL|$p+1^?YxM}rwK~&=s)w`qp+rX(`EAJbo_mNZLCc<^ zxTS#l_C#iszK+DZRAs(qmDQ`x-F-t`$N36#3_bEU^wZ#2fi`N4h*>G-$km!C*6gQ_C>9brSk!l>o+s=#reb^BojyiPwX5~V zVyU&c14mInDR;SVr+G_E3f=Qdj0R&9 z%;bK#WLVw$&GA)Rl~wwchvvxRPW51SydocLyP96m5l%)>cHm)E#%r>ZNl(O+^krBFC9t;Jq44)ABxwLTNMQ1ZNYT= z!CknVt5TaMd}m|Xv(Kesh)L__X?vh*tizBHRL8=m2%jKxIFw)Bx~FQR)J|_rzYLtz zM-f=RyS3R#c1}zm2E5!YrhY=#BuG9wtTS5TFO@ET%emUW#O^#~J(zeFg>Qd&k$LsP zB^KP3v1ef@Gd0C_&{2iut1ru$B+Kj=rXHP5K1*!Xl}0j`b`r44O@C>aNvmb+aBZA} z*Et3f+sEJdnQL9>5pq%UAalah4C$HT)Wa@&*FsdzBCQw8B@fni3)fnbHRIwdcX$^B zd+j;bRepVhSoH>sS%ES@dtt~H(&PI};coJ;jj9hhZ%JCR=^xoqz1*c+mm4ym&#f1^ zJ;ismTG-QE{CGACDuUH^fxx2?!W;B~v6OV~S=(`0=jd4@?%lzb_)(6oINXex`$Tf~ zM7^Gc%Vf0uc%=Keck8k(#h+S4JIxEPL)@Uwm^FweUD0j^pt$EGf-j%%&$Q*;xt;Hs zj`Joyf0Ek}|2#khW!~nZD0EOMpO+jFOn1~huK;^zNZeJxSv98IR{ap_>y<0=0C~C_ zLf(b@@tZ%Zx_QCqIUN=w~F`*~caj<_>`Rc%vJ!w6(x=(W6^;$Ur?*jaj6Ne6ZFI|d&gYT|2gWNlDs@}32@xXP}0l;Q*pN-=d z{j_*|5P5*-d3+Z^IKHiCi<=%Z89n$EdAIay3y5LVZHUh2uAVaAPRHRtvQOFMIhRd= zyFL95H1qX^(eL zkuyr3Cnj_k5ko2po-<66-a<{1rhFKmU=mSHkF3Xj8MSbntX_tKpr0mQR+!7&`aEaE zC1o%1%-R&#!a1hTdli!9I}AZw=4VnkSV)?;R8_gM)S)FFb#$LooeuO%z!sM%szYWu zgWQYL|8n7T60VzHp|r2gekCPcf%etO?NehazUAdXW1*KAcE257%QqSXO@#4REY045 zmD(~I=gUhfN`lEtjU+r<*?WZ(cb;Xlds;31R~^Enb1j9UdhBCqQF>p`YpQ`RNn~$> z>a|ifre>(|NXQur?ALwlFi%6eIG#C?zb@)Y$HU$A9g;qes&OmhW*rm0*fgg^>&^Wl z{;YM*Q4jX=xX?peCYW6=*b-+RXWNeW z(keB8TE<>TYPdO&vhDgd1cw&EF4FLQrcW2uwRv{mz*aFqaIt?2s+)KEkan=hdZEM) z^>C@LE4#;Ao|y2HmjfM-T9DWKB<+=4Q3_8P1UJb|7v#P))9A z;qa`55c?Y+HO)yiQfJX0Z-(zE$;IxyR{PzK?bCTK_YNXTAyy#?)j5@(*G-8SO=9*G z1}MF=^=?UhPtwt!#bnA5&GmRO78y<&pcL;C8hrX?X*)NYYw+Sz3!aV+)OoiQwwX;f zlkQ&H5WBn-nAhF7GZDktAlO@Q_I%lX*}OA=u*UX55djw4)DUFPbT#Y&Z&5O|ebZfM zp8d^5b3^G?vTIZM&dVM)Uy9VtR*sZR9rB~xAQSAzX^tQXdpgiuDrIaDr95qKD>88- ztE}TE?RH_7+X*qC3~fYq?Mxp@}Y+;J?y$>R&8tkjUFW& zY6Ia>_lN>l8eL zMY20oiYU-p%?=zuNSes}n7?d^rjwRKkS#0l(Fxl1I-K+|OPNjoDy0TLPIM>bX`s38 z8PGP_5%eS;6?PM%kBnh)nAW=AU>HAtK_Yq~5w6qMXi}!ls!{QR^Xr&ESNXTBSG4pW z?Et12^_qq_3DcslJ~+HTMWfjqS^l6`6L|>ElAJtfSK;YvGiw+L=lEsJcl?f1kS?5& zb!>lsaByE`rsarr>#q5%c5Bi4s7}7#{kU=Uszi15&Q_OLR4+_|)`m&}Rv5sS#o<*Y zkYLC(^r973a`Vu>qeVlJqDLT6%?)XXQRKw2-~Gn481v4NqSMeD9sv$-)%-DXZEEP780PMEsl5#MSx@zM0fG zm+_8V3Fx~m#mXAo3r5AIHd@RKrHeayj|&I?()NdN0k~dk`ngg6`UXq5gN!$;$rJso zn`&c*r|Dth87?v&Zqvg{Q=N8c4>X|>db%q|zM|4Qx}B75Hvof$z>AN!stdVAK8BQw zrO({L6TeY+F>jFH92Tc;DvGT-EWBRo7^tY0R=ZS=(m&#>SDwY4gtyXB?{>t#8aQ<% zIE?setF*WUVX=6riRTg5^SWPP>s+K{5vQck1l+foZV{fRQm2^$o!t&m?; zDo8)TJnfLW&)z8;?jb2Dp4$D{Qs@b4QA-f%p#}%B+u6Gq=}+QaGYq@PLwpnJtM|7b zw@Ek5-(hPQ3%b7gdf$GlpUss8fnt4eRkcH`^iCpo)rm2B)8CPa76T$ft+R=Gkvn$D z7SR-a>s1+^cA5OVbzx_7(&V`*MMw*U#}aX={qz>fkPV;WNg{72`}0uU!yU!V7mA>V zdD+>TFGYQ5K-sCRZLt@;on^f*5mFzrYbo44X*O#yR#Z1hT&iN59NDs;sJ=3PB7R+- zAJCGUl*Kc8-EwA64*gJKMl~*Q!%EJf{E9#4v?d>JYl`U6x*kTxs(qF3-3zA(e5jlg z+Q$kRTU$aln1e*(Dm5u=CQ{tnCcyKhElz2%;rF8&wFTl7;VHn2-d>SaPpGkg@%{4F z2_Z)J$VG4%_ek}x)qPU=pP4;zkXT`1p*+24M-AehaNck4ue@%R^X@~G!SU8y&9jFc;c+uXP|CTj}lHJh=HLQ zCt*mo1=ZHLAnmCrTwA)~KCpc{h3~OKgu3$#j9`bnV~20JHO_j%kCaU+#O$xv|@KsfW} zkhT`kYd?C9=0R+WnD2#I@704uvL=3NHZuxs^Q@kP{S8^DEj0#0t;{ns;byo|nk0d#{8@Ex9V!4#7rFMd|+6yWP+B5kC}gjnAS)XM8{(Kd3@)Obo(k*j7xOt+?+CDPI! z#rdc!iae>XyVzbSFkUSLf0V@u0W*l1tiWJ5`alRHvzU(&YrJ)1wEIPhNf1W{@+OVN zK#C&c{Sh*P1bayXwj7o&lk}KkF+&gfK2GTV8lIK_FAFy{(=!v6{V4U zxYa^Dp%ePnmnDR*aI@Y?;Fj>6%o?_>yB^VmKDqdno{Pa#E^`1$fzBmFe41B_xC+)~ z(Nl!x{-_E8NqEexR(5=Us@HYo{=1Vm~L@)%*ImLYsd z6?)lnipAN@m^6$rP#9Fy?pTBd3l>^0-s;XHkSm>=#s1P!bas#Kq+_&%TP$j&JebM8 zs80yan~P!_;%$BZ)M{gc+&DtMrAK>!7#Y=Pi7w)W|0+UmhOE{Gy|23CkdsiszD%u%0SDmP!RHt z1Py1(3n_1Dr%hKPb+>IR-K`KRb%d&XiN>)?19-7oQ`IALiKLt!c<+bxz@g0Doo>!$ z{)rUtY-(TBUG?D+SD~Nn)0)AB;%T2; zOZwfC?*-Pv6aBdQ&ZAl0L%qC>MInAtF~8N!+xM;fCZvvNBayQrL4A_e!1HpO6{7`e zVSaw_%p&2dexHOlPL2_dd1{QgJNR`GKI;*({e)rrHeim~gPQO&j;xbeJj%91YU5fQ z2d>I@VapI-R?cayw}*_UsI~4U3GdLA(+Q^BY{Xz=OH?nz=#CT*QrR#nTPX2$jcrW( zIgNbQKD*0k>Q^GcS|NXdVJ%EPH6Imo%DVE0Qrq86*NC^9t`NJ6!EjWa+iM}K=VqT? zWw5BZjy0%iTbq?SBJ*O!<^rZi~xVI3N&o8dLc8*^xnJOeS7sxe%)= z7)U~JK0#7^;XcaNKCNC&7%TIr6(uPRfS1&ql@ISPG~y5pjy}3m@*HlsbS=*InIP$A z$5}~wX-gTMV_wb|#Z>ow z1x?+LbcADTYeG{bu?+Q-z*K2F&<^Z2bGCe5%%=7J$KKFgNKJk9!0LJU4m@t^b~3Uk z=#LS~-Vuq}ItsNbjCc`Z)b&1eZcWra*Qc=@kJ>om zfX0qAhXl{diwGrC0cq_n^}h363m47Ds+N%nMZ7ba8pi_dG|HRkrty)%}h6NP7^ugVn&4@vZ_XRb6bA{{&BQfJ6 zZ3PKKl^5p+)di58x#irFiqQsp1_N8Q#@=^%289BZvc$72*c|WX3Jmjf7%m2gt)jOEC}3H1G{ZeC59pM@{M;82_kqRz*VU_%70pP(?SQ+}|RAbjo6* zb-$%KV22S-GQ|)RBM(I&Dy=JXuy=G0u zx_-3Hvbx22y+ej0S^^q`#0vO01cfL@R=Hq_LgLdP&_73Mg1phTz;l6?aY8=D zI#vxwMpx_VD>$p+`-)k4-5F1x3MGYh296NQQ)4c&*JW#{+Qd@q6~V<(iJuUQXQ`1t zxXreX&?eqi+G_3@0Oi0X#}S_5MfpDl>RP*{^Xj4JeSi3kXW^S`^Cpsys)Slw?`($3 z=(nuUccn?2QKm=%fZ!bEft-)W6rGCXx?fC9z&GxafL^JP@~oUNCAaiKL|Mr-gqw1x z!Qm`MHy;WT;~<=}5+&X0O6TQiYqH5|$fjPk>E+qca+cOFM@~VojT}pMbn%}H;Z|r;$B3Fzy5V3BXJX3Wc9GtSN1YZ%f1?}x##*(H#|qPCa_>I4Xu;=TGM?;a1>Hkui_(SGUv$$6y>Sco0LICU6iauIROcT1&@2_ii~GbWGMRm{BVPiJz1$J%=~ zg-MIlD%38r+XUvyTDMtGW2G!W1p)!Nep}|JCut9`XP^De+<&>K|I>2i?-2oXv6B z;0ZaWiJY+k=LIdzfKf`?$7Sy*F77dp4(^_{#DF6`o^bbmsM%5^ZgbbFLMT6Ldi0rL ze+i0gS!)WR!>9YMde}=N1-5E+v5=lQRyBu>f(E1p8vU@jox}*OHk8E{6yEnnZ#qk# zfj_ftHJbdTbADR({Qq*!PrmuiPZ(~cfe`>B`p!oX*#<-UK0YJE???qqz+Y^ggO+{&y<3^Gl3Whf4=^|SFD+tshEFWY^G&o_$f{QYRxaf z3BbRso&!LN{U;0g07fP%&A7#0W_HJH;^ndJq1)-2cD>eAh1nE!{tI4B$I1fsT+7MD+(5 z^Br=*^uw~h83WSxZ-VrHP%w1g)eDLX%^!}TXQE5VXls!L-2FL*TN22*oApw0W9f0c zVkyx6HJv~>kYB5YmgZN8i=WK_F;VD2+=_ovXa4jJ13mCN+u~2(Ffe>~(O;4qbaB74 z=2yD;FL5#d!jRB`WJ5^z2U&t10O}5Zbq&LJvd*7yqra$zmgbk~4g5jk`2iwf{GO-( zOvC@e#W2u(ufhMq#W2!R0h#{ln%@WNm-+qQK{x2>X+fp#4`=+VInmRD3g2IC`3dgz zH_!YMqyzj9yXKF=_s=qlj+y?uYU%%Q4FEt#1ybDq^b80h^Se0xDx>~O(E#W`+65)l z_bU8H%lw{RAY#m48ACv(-%I#c#Rk;SK-T}e*nq$*|0tpUR1F;yXqfpoWQdL##P#`0 zv03Ztf8R?^#hQm>HjujF@f^!H^QO)WvKqUl=>Gb3pC<= zPw+p>4G2yJ_?vHjn^d&Fnuh;N8Pn5(d;`j7TYK0EjIFs==U60P@WbWY+f-WByz5`wP$UuLXzhmoomzn(tkT`JeSn06j=B zOrXjQpaK=|@1H>3k)DR(?~(dZ=zrN5=zdlH=znyzKU4hs9LeyHQvCba2U79(dHBcJ z2LMgOpyK?OO3d`5di>gy|ASrOPYM4yXVHPiEzq#}Kj$pwAA|odtpWT>H23-RwfPX#dGJ>-4pNv_5O6EHP4WwR>Ss<3zU){p=TSEZ9GKBuGiS)mD;%4}x zSJHx7HmGGXfDTiCx8}D&0{kjS^gqtTekr;Ge<5%YVwpRjvHGYCPdjB@(JFO6O zZ{?pzhMtD;d$9vm8A5t`P>1|cWa$4gdNKolcbkS5@T=AoX zfuNZEUAw;>WdQ#d`T%Ig1>NNN-j@C_?5D~Lx-IsTTK+}k1JVHKLHO0*l9=ge=m5+= z-O8>^gwl}Vf9!TIHA8;>0+s<0XoAdLa4sg~CxHyz?W>0j%^nAyMGmIiYJtQJ&!6p} z4+d|`&Cj>-?4tG4{0!m=(<>=d6I6)y=BF#5`C_@^Cky+hAeSWI#X#a|;*z;u4C?E^ zET1gN(dBg0InGP%8VB!2sMGGjgq_2R1?SH~hWt8I`08}&*8%2{K%_b~5||dv_VKzk z{pQnURX+FYs65Gs0Dg$IK}IJ%W^!8szQ;inB#E<$w=;Z_&MRT53T)PcGt4-`D}wQB zDJf2K)6Z>1Mwv5m-MrnUE!&blcNU8VhPS-fS@_Bic*XH#9ofcLQ@VUBLP18Qv_3cD z6XoA6=@%xPgAtu1?xYX7I)pg!^qIb?TyDbs?&Nk!ftfPJPa< z`tZP{SYQiywl6p~)A+$#V8jyLH}T%Y5(cA;MAV?Q%47k`5;*>~WaIEnqWXJ#j7R6B zM;_`CyIKAt5J^udAkC#d>`_>KtmYh`DkEe`ei8Ln_|>iZ-Pw{hsnJ_=a61Z1|m16h1F&Ne)A$T-O(OxJPAjE#^(}b9&P>QHv)L@lok>*kV6- zVkLJJc0U@{&P&dqaNF}2JgEX?xvOPcC#hkKW9UwvYJ90PZrwE@cB67mSceqb1M)iz zPRVv@1oj#F_CYl8miO}FhEGp$PLYclEhG4|AI-IO8iSat!WMHMo+SH~JrOS-k{`JV zG>TmL2*yZlJm5!(SVW#OsJAJC>m%hm-2+imi3T`z3#cP@aacT~a>GZ(^mA`iI`J{y zxOg|J4$?TH+-fqb-vzCmiH;N|6YYjbZIb*%TmFUtDJ-TaY= zHkR=Ay$}VzBwEOQY$}x>jLlaGk)BGLH!1-VucH%$DYL7QeZmW98J^SHmiPx0y`@s| z9+x$f$RMJ|A%lzV5{6yZlYS#tCmA4}0P&Rprn44lO>#B*1(v+}x(RBJGqDsswD25{ zVQzodlkz*$sA`91{+&D%71ouv(u`=MGW8m`@=LroBjN87pQQ6m zO|qOS2X7~YFQ0Zsjc4WF$U7oXN(H??AE$p4Fo~sY5GbjYES;dpiG?-!;o+05RNYq= zUZhzTQ?|{v*xP6I0P=@P+DyR^+=wl_7*-o0vCCy{a44ONquBU}l$eCi^T2%ZBQFU-!FwM{F~Urize|@Hgq;-hYCr5;b0$$jGsw( zNO}GO^##QG-8{5#X+qc5AjY?OS?RY#8`t4cAMARwxP;&IE<`$2n{9}l)w}Ru|`u^lu2N`6V^o`g$x=EFm%*If|df_f}P&p7J-dP`XmGN{zWtvXi|x7Fvb~91`+Bt?e5^es{!dTW%!e2}_HTF^J50N(!0q@?;Q@ zZk9*XOidNKSFWRsjMl!U9Jf~WVD>I}hUbH+^5)IBOX$o_=QvIm?W^QCu1gnjTzgri z=6j&A7VlC!kNuvUf$Ir1*c0N>rD4F0k2Yz!vV_dx2hplI%Bj7N!e4o%Jnttf2M%Um zyK-}IXdwf6L#L)DrB{P4N#h*1oQS5frn zI$AZBNRxBgu2unm1g zF`T^+WZk4J!!PX4?fVjHjC)kJK&tQlmv+q^-Dc8;^UV& zRAfy}u{a*>ntVHjD3qMnA~hYK`7oc-BeBK!Pbfnj=Hr21*f_&TF(w4%S5sG| z*_yuPIysrk$pA(%R>*}fCyq(19SGo$wEYrs58GQ?m4dr8<7HBgJd@fzPNjmi5cora zY|JZ)RLtj_efr@4pf zPx9chLPn$#l8!GVr)yfHF|Jg>>daM)aM!*X0My80ky-kB^PDBs2 zQKG!s)RvaB7=2CdMOa#jzdgl>SYxS3`CJs)bQmh zZei`5eI{UQp}oI|>&zV@?NPberN7Uh^?UKeV3a;pzb}1>vIC|0N}W_saF17@CGy>Q z3Vkh0Ft!Ylfp8Vp0j8`62e+9x4I(UfP2Vw$Dat8*hMPQMfW=z~J4Zl)M4}?`^4oS% zh=J7^9wQfZUgrOc_b>mUl}h@1>r^tqoZTg-~sM zF9EAZ$Oap=i!D0RFh*bHYbzvGgvXWmkqOSAbP&;upz0;RF@%iK;=oZsyTXcEnH-Eg z;q97Ia2c(=f3tP(H!03+DV#n1+Kwvaywnigwm048E;^a9!_TYWN1sBl^SZ$rQYC_s zd>Gbm_BwMXtJsc>#%QLCbOST?p@MblI_OdcT!cb(OK zy^lmgMPl-XOzPc=`?L2@6#UnyG?IOuEsSK4LHySbX$lQUkFYeN-t1tTh9k#7u#?v& z>bB$M6wq^Z|SkNdN2Dg*a)^D0} z%ksR$Hk;UFYcw-%$mc9=yL8$i=qU-PUb`4i;;;BJcm>G06Nj4QS9`U`GdPt|+o}XP zgRM|AI6~vR@aYg)7Y=Dp^8JM6B;ElLHon3~{F*5QQ+zn&J?`**Mm2ss!l@8(!-U5- zqjYmb((xm4xx5Dcmn^#>F=LEedf*qz!tE|pXu+R2%A;(mI0qoIE^9Wua(GH`xo5%& zW|cAbF3PAnrHr`V-UrM(nPcaMW!V%{Z#uH&-zp@K$Acx{gh|i|eZ7VOhK{_~hO*&G zTco~~ni}+PJ}l}QyMLBUW1c}4i}b*pjF0%>*q}pon-~82lT8=cCgg4jUdEyTM(2kt z4kmwFcEKgOcCvO`0KdPRKuf(6#EvDB9cM+#?zWl}n9s{*PZfvmL*wlPG~ZV{_-URc zlVsZE!}`PnU!W-69RQWcF%U9X*Kt;lj!&@LY5xR3~S-Njd^@k8?J-bDHH< z-DAMIpqf{amMi-@TB$v^aqS7*dBeAH)zpA5z)0cgXyfJgYE!kikSrpVGx59F)H7?- zxI|M0ZiK2uoYvqhP^Ew`sDs9;T#TuLsiJ8*F$=fPvj{wD*Tx5fxfN%Qhq~hCwOT$Z zdpxQMJ&2+VMGr>?7N0dT?z!fNUXr>bzRo`Ohr z&#THXRVqXFUC^@mb9d_PXY828qbz;3PGjtCg!^pG*8CcS(HZ#JGHE3l6B0o4=!qSdGz9q&$e8 zQW-{=%Hfx!@`~Mwa#o8{*DKv)PZ@fY3v#iohjW7m*X9mb# zWu72Qm+R`rM93Z=sEr&|%^fC<9!IhPt4qVee3xqN5bSwE2nW0N1#T9bRD;+qQ*SdU z&JUah60N|8c|+gT>*ARjU_htG>m^|bi}oNTR8Xs2mrJ}}JT--8D2^o*B@o51nIk2E zB9(gz%ppd>uXUyGagSe-pj=Q}#TbFKY{?4t!L6SKUJdH0GTo*qzqoaEY4(gpd!-}~ zvW{AlF)!tvGZNU{s1p?1yM-DZOqD&;vZ5IX}8Bmk~aMS7uK- zS%leuU3_&Ke(@f9R(%@|`$G+dAJ)f$?im!C-a=A^*haQPhkjLEm4l3vvbKHKvcX|X zwNE0h;H|pJ0j4Z!4bx_D)!)1h%nl%p^7w~wi#axDP!lqnVPiVnNjIloBxGJM1M}*f zAgS(eGyT`DNz(wSUsQ|VuA=iUUK8SM4bxLeyFYha^mVNY*v-o%hh))v<5h=f=w(Y_ z&@enGq9Z%)t8uL+O`~u|DX`$rFtUjx;7G6o99@uAcs8d6COWxVd^E0W;M|C@GO`S* zX-+$Gnrx!~<$+{U``80dVEu{IgVAm0Q%H-?K=u~q&7wh+JHot^$3qsokr#a|$x7Rc z(G}Hs_ULUPbPn_eqoM%>?u?|RQ_c~cGvD>E%6L-`|TZ~aJUZC<2 zoPlpYBanIdQRWmMvfhxN26jI}X(d9j>`SDIbsuch7Q??bFD5+h)R4Kx|U%qfFTo;+&zM z;$KPzhR;nL5I`O5;ZW{B*lm9R;4+8VOH3=<&EReY3d9SV);+_yk7`O$xO1Q;u)BQQ zXpDM#vD|p8I;nnwXMLPvc28AOS~kGmGY4mU`eApuNHBdbNP!q$i(RCK!>dGAFs$tH z`9is0GjjDYX2F16qusnxPBS<5eo5P{k$002)d{-`;|ih+KGKUtW^pUvHXJ(SAVJa@ zWTMmlYC{>7Qud%C&#)s8X*fbxMbnPOS4E!ft8dny(g2?V!vUW-o(6B8rGmdmh46oH zcZ5W&+*;yxMr7GTDtOh<6j~O20(a}|aHM$7FFtLOsG*(AHEm@?bopdfMP;PCp=Jhi zG@#UyFt*>sy~rwY^oia21q;;u@Kk3rk;#J1c97PR_}0URMZg`VDO)7+h**<~wPXy; z!j8RAkS!jgrl&$_;asUTH|MKauStC+zpp8KVB2d}$y1CP3q_iuD^?q7?|ZB4W?8&0 zs)}*0ug*9q&OMHp5p4S7cXJKA-uJsMqRevvI|kbB2S&~L;uj?K1-GDQNOkeJT#@%= z-!!{(ZVnpt(oL-{_)NyO3v3)E`_;K4Ihk=_!Pcar`!-)=xz+}=e4#3R!22-zMulyje8?+DCjci`z-3nxaGgp#JG$Fn1F zQ+)lL+d)QA(dp)mMRT%k%#k>{u4qgYfMHT8d%m0Xr~%8jy;D=)DvDwED3uAS7FLyMD%YsTKkAXlMq-w4KVs0iOKqez08^CKh4h^gXp0y1h*$qBYrQU_WFkcyG zFX%lW+iYT-CF;8w#J;g08oCY*|y=Dp-yUbYdQw+=G=(MGowMr#k z@apmU5^9H)B}ixjb`HBKy2Ikc##vfPtNz*LwW?st))vD48T0uX!;rNKQ1uQL%G$m; z+!R~`{L6kv(gga)8+k$wi1^|Khz6&rmn zM1UNLD37bwDK5Fi7phGZ3u(JTXBb3ho5I)gW?|vxDBPUW{tc~ws9xuVaBEQrC?Dg# z7F#lFJe`r6JRo>{u8aAB+*t9EPs5}W{AnqL&^VB{#xcfs+to0K_{baAIl?HNqfsvB z#3_p%D(%ceWJQaTMoHV{tl1Ewr%a`Cz@YK&!=7W$>02dntp}36Z`#fNwmZnWbplc` zBd`w|QsCN@lZ8CzGgt|^M_jk?n6aG07OwQ`)0h@0I=v=)fTAj9Oje}X!Z>puObQ=^ zA(vpHO6JMnZ$`9>S7@7k5LQ7=%8Og7;vEqjveezz^{J3p1LXbl+`N_YRH>u}e%=q$ zNKu9zG+lj!yym>Kr4gH&J@Xd9h4`3TV>EJtlJ=F_>ODvGWxTyE z^Agiua1!Fx0Q2@n`~Ch{+NV-oeK{fJGd4KH%eQk0ql2i7Z&MFU+h?uNwTq(Jwj%Xi zb{UN_7d;=7;P7*OT?V`LU1SHZq;~W!$mTOI71ftrju4w|3tR_tIlRhJPgDXeZE+N6K4ZYuT>;cpdd$+c$|CbH?=Wqo-&leITe+R3pNtCN4gNFiAp0r;vh5?Vlcf_ z@SOCyLE(9>^*WT!mrTT|2SCIyqJ zW=*LEO-3GjnWxhtmiZe=yEwvR7rh5lKb=@Qy1SQaqZAen+Z7rFSLFI%8V%(!`>&lT zaddA0CKJ~IezsnRQv-|od3L3m#FJk`&Jpv5^m|qtu1(^WQ1~f$NBra=G|4SW1?D8e zQx?1>5En5I(FobF%xt|U*Nk>PyJzB0_>i_YeR`_KZ;>p4Iz+n{cqm_%>%IVe`2ZK; z3dSuH-)mV;Fxp8&1&yvzA7aL#lqqsn=;}jfb69;$*O|kFT^st{>>?|&zA6s*9j{iY zhuE@O{GOaxo#l?3$$VV4`Ciyf|r#!vx2^vP$6cFK7_Mlju5Nu zNG9jo+Aog~*!3VLJJH5b$}39TJdg)!${6i)U7BhxGMUbF@Otd#FvT@QmEq%u_O|h( z&e+#ameK(_t(WUy^!bqs|LnsyuDB9KKk0+o zFg)mg*mgMc@y^VrS}ulONPDH^E;~NQH08UkNvwJRaDVyI{p9BV#C}csN%Hj24+V&3 zdB<~q6yKn!e{gAT-?WkXGN*p??#kzSNjmYS#`xu9e44^TuDd##I{=9jEZFWa89Vac zZ(z_tP~W}WB?V0C;6CF)E)4|hp z++k_dV?-Ckli;yAze+3SO3LLAFGq(_t#456pH5}DmC;i}*=vZrLixr-F{7K(|d zKfdC5NBqQ_)Idf^xy{VA?ABX_^<|nqC9@8sqC4HvcKx1 zy7vF#?K|M9;J^Q)kgT#PA}h(d%eAseW$#&5vSnnC2C_A@$j+WAr0gxC2$dpx%ij5a zE_y=u{$8HG-|zow64b82;DG1BOQM#I%e-DT|c!mQ)`o4xwkvZCnCMAqchcQCW@M5AWl>MBW z@43cr)0xy?qJ%~F-`BYgt3-Zi(^F-uCh+M6F{`JQi29AzW%I-J^5$J{Ik(gW2d zaE~WR789^dJepcq92d6umJ4xHe879tTDmZz@fx`mv5Z!{(mRhu-@4|xq*&|0Dq$f~ z2-CagJo5Dom#6g^CSB$!o%YFgiSjnj)dyMM_S$E#9wwmQEUc97;$MAfC{$|k!Tcri z4_>m?zLONw9ui;`1m9h7fkw%N`v+r=2=!txp79LBtObH;@}#*TNyn?Cp-wSl;hB@h zciuRioS@H35_9jmGf7p>;*n5f<8L!&u6n~~F%!47YwYY3trN3~S^B;R zhiXpaeaS^-ku~i4H~5oMH16vSLGdBg#{|sN2Ns$YABt!ByV*(H-@knLb1a!9ld^vP z)u;^nOaax9tM6qZHQH{hz^b#TmULAE7ntU(siB-1=d8#bKYU|TX(|)=OlQ=XljY3K z$agDP{Ybo**l;HFQQ$?bk^=gi;%l5qU5`C@xCI&0`Cod!x%#@z=*(&m+>NqvKrq{2 zGN$Wdtflt#RDQQpjjgRdP#J{u)` zt@(NEXU3B!G79W&&FeGGHh0w3D+OGY)*n4cJKiEp7?oej`Td85nCfG*(Ae`tk?;FD zGY)q6PpPeqOx|d_-adW}@jRT*fFQ*2gB@-9@tl(rOtzXuRQAkg5*pGDFH2jrBC5S@mqYtCKD8i|RrdVNDtPr=To znC1Pv7Mm4=-;Ftch9azSAreAdCQGhq8%myV?l>=Q&&w;^>bS2S$g!yP_pn5qUyZnl zKs-EQd1~;ca;2A*$}8FDM=Zl8O$E*goP{vwGMlY_xp^2VtX=f{*aU2;IGUN{rrNyV z0haEj=blzeeB_9SzMqGs_>-0vj@+GEeNFg7LH6j1U;$TLI_c8UVD{;y1%|KIE%hqh zkJ9a*ta4VnzV1A4ft7HjUuxy+4P#le=9S0KE?qhJwtw<0J^rB;j%iBSLo6~Z{C9Ki z`dkuITr`fTCqq2EcCMbKf`!TIRM$ZRfgyG!=9|oXXU%1ozv#N+Pl$}=B@5v>c~=gD z<)`5*%6#p^xIR<=k21- z`z9pHnH;*wuY2${gE;Bi!4-{8y0C@WOd08)+-2J3qk0m}M_O-Aw0u~+@wu134Svk^ zqv7a*F0<|~I_fFS_y<~pu`8DY?I*BD)8|7RA54B0&9X9XsC+WdJf|U2Mce55S@~3- zu=`cKJCn`sXX#o`^mKIv-?PqJY$WN@aUrnifHq%@xo5#ryMog<_oY=Ox_(r6u5S%; zCZFi_(ebt?kn+#vPvvMbZK@`5p$&S@>z_IFhH%_3x9c?3XnX8)92AtmtE724?nj>y zRZXjXRtdCBNUE7ft%{=OQc`-4dc`#SIGTg|`bS1xv%>Rqo1i6ff(Z7u3wWy_00#3e zw}gA!e7fmrCI0C%Ve5)ba}Gl3)a-p<_u`>*aq2xmQ-|kT29&9iglax=#ppZvzkKtu z`(pFL15rM@Lo~##hUQn^oYlI`;ph=M?@D79QU28T+NWFBR-{*bM-Hr4tK2NA7>sqV zCXWd-pPHmxI+N18VnXXd`Rod}is=NN)jl|Mk+{|4;Bm|GHcD;37gRsS1;cDkeYVG+ zEs$$t6QU2oTC{dgx+>TyUJz1pXD(_!(~)po2XFkCb%FtBJ?TQEd0Mo%*XQ$AOG59F z^1+ppw=bW#=wa?V+gMqYTIXDIcIcCnBKOqMdveWFcT-40dGlz>BaG6m^NDjCW3*Hg zUZ+=BTHNNXUvRUIxiWWu?#=RPhqbF>3zy9mxywXP^>nEV*mec{%pma?Bo9xaD3@mc zh)}-q6aTivYfsTnJq!oeM!Z(PT_xYw*l55VtRq)JB?8_O)gg+JBbqPOJbt$ z8CkK5U$NS63F25M%;lW<{Q2nndnd{%V?zTBL@2~eFI+$NXuJlaSf<)_49yCteJ`7Eu}JbHa2p^(RlO z*QpAajH7%ZXTHiaO?)$K%#Rx`{3-dg?IKHOO-b4JWsVYM8W`+ncz=dDTj72K4wjcw z#ox_Y8Yu*HkBp_!W#8jcj->SEUq)6BovIxkhw0@ct3EyNxOC=5@guuA$NO&$nny>j z*xXoa71z1VyPQhB|JgDkD9xkwd|z+Z`$dLaTot(vm&eNvJXrG|CHg+*#WWmD&1kA{ zn@AegjmmjgSSmjn7abE3e6k~DmEM2m3o{p$qe!EHZe~sp?ugr+h4l_TveJ{OudkQz zU*mI|Wc>uKv%dS)GDPm0y&p5P7Q?N`183E_DrktYh?sA!OpEuop3;6sX^~OYbDhBa zuu!V%s9M?y?G(xkbt@?|3oGI0D{Eb=rUv`Pjw}{ub+t3D&Myp%M?7|Fj99qrV8&aH6PD|mg%YoyxQ_P>EbT2=`R|_`g!Kda(q@xh5Q%Zw(z|K@Xi6Y{t0`}!05efX_OyAHOS!DlDiZ~M>O z`An9$K(PGurA2q44f9)*7Fo8Ed#CS>`%O9G*9F|1Qjhhq7k@kLa_i*KB=+0e%Eg44 z&q%tp%~*{3>B&D|j_nbKXuX%5KQ-hrN`XCd@x#*it+f{l zAG!*8qsjCUH?IuYEXH)Iz39AZlAx_5b&C8|f>b8cQkYHfX_9F;)(47GXNeNO5$~z+ z5G;#2@d<^V`De|Pg5QgCaB5tZBV?B(pR>9i4;+X-s-W83W@M%x^Aln3BpSVP-l=`>fPAZzs2g<;o{vmZ2375BPwC)~_gsho5- z?Orgin(Ux#nIvwRtUuILYiUe8R%`_nFnnv&B)Q@Ii|p#Tx}TzNI&phc)UYe?8zz~eXVi?5Qdm$EY9@_S zW5z7AODSXF*~M0DCINbtH8wZqm@RYLYUL-aZhJ-PiVsL#o5sD&)m(nG);fl=0Iz^R zlf_!a+SfhP*za!am};%czKQpvwO>;s3>tnCEwPJ)>vGU1(SMl0Hus(?wd|&h@^sqw z>Tx5Z&ZjwJnta*;h5WlZ9R|i^KDp7?A$BA_b9X3Cw>}m}MLJCajRB*9H#QO>~AQh@rr_A6OMFM_(arM;yv2AoF>kYm~YFf1)mc18B$ zc3kp(`-d7;Wid`j2!GnK=yoKmU*HM=0 zrRzC{h1xNZzDr40y2zs0M5*(Ki%z>!BM%)bwG~NMsHnjzu#xI4MaGE1ijs-~7pBhM zb&h_y|5NFKeQniuq>pGqrRuSkPnRET?z-sgt;V~e#j8g>fd9R*wCmCrT-ANU*&auo zd2J~aaT`c`yM?|V_qX?*I#E>WFcs+#5_UqHdw`E<(Z_kB=lXY^^!0MQ_eV5eOTRE& zxPu<~)8Y~} z(UWzKU5^K*2|~-yo#KYAP7&N}p#S6|HuQ$)$8qsuu6>=_q(T~%U9e~}T|UOaD!Di> zmnb1Wbq5JA{`1Ck&A6uLhsKmd-6A=!zQvW%CtX^Kxo|wPK+vE>EG(4iaZasftrTMqC&bag6C`YkmA^WssC!`Cp0c(f$v$r| zTupRYWr_X8dxnz&-R^_?7UcT|2P@x0ZTC%kV%@n&IL>!4;Od}sCvS4v;0eLr#aj7i zB+HYuLo)4^*#pcCRLzJ6*`aIXZH|{05081_UgCt??XyyRr%6wDP~<_-u~I|iJ11N9 zg`c?v3Kg{J)33uE#n<;2cu)@v|Qn-&D!(%A85)f`q@S3fKqfF;Oz>+i3TJt}hjMP1ZQi`V-?@Y86QbTw_F z>$1heiU=*@M=u2h;m>?wRPg3$^5qxkI=Te4z3(@r)V-e+&$ymi^3wsM@~;UH^N(kh zk7!5?lxcgt!B%IlpX0gcd>PUHZk79Z1p@9{W@?-0I^0J@V8*l}Z{Orp*7=y@q z=C~tp254HQo#KSTJU=L3+p|Z^)E+?$=Ui)Tg51^$tJ6#?y1(BAG7P zFynj4?Jq|qm9Oh~bKXo)pr}YOWkBeSuz&A>DOvB&JaDRVeEfu7DdJKu!|>}$TMfco zqw<_#T+?o(?NM76MMi5Is@UN?tfP3c$AzVT{b~)iS+Pj_(_YVYkD#A?#@`n%h-6&t1g^jVu!5Wuv>oQ=Be8~ zS8iss{^_}$EtkVuU9^u|FTZW(DBaTe{ohRq4-7y_urw+nQ=-nq3-x>E$~l-`&^2wC z&wDf;%vL_Q5@g%`vS`47Pk%BJ`6O)D+9F5kLNpP9^}?Q>aoG<5&qBs8fI=<=<^ENpOXA+TvB>2~uZdBfpQ zx|KIw4C46MimANRFXWm?j zIo;zXxm(wU8Hn@9PBJ|mQ%eZP9wr?c^zJ@CJcv~)l=E)LiQ+_$6YK58$M|cf4Vj|O zC%5DnKJt9PtJTo;)bOk5@((;ioz~lPQH*)_@Hk&AU0pqWLWsm)=+IE1YiiKg6`a^(&3 zvROxQCMcpRy5T>>g4&Nrb>fQme7pIQs!w@|K$9EmYQCg?kRZK_*ek~Axam8!1k*8if%`yhYET7 zPk-kZ89qR{-)~>k+g`ic!##a=Qf{vzn-3?g;CH+s=peAY!^Zd_Tq|GUAahb1QA8aP zi$uXW>LiZR{%*!@h%I};0bN2a?AN8k-7woz_@vxa-p3k~vUDkm@pQru4-OnUD_D9c z*6`}6y*Hb`E^7+TH#}smBWpt0qpDK1Qn^ybZhHpe=TXEmr+uN2Y@1UF@;{sO7Lu7B^>KDC z(~Zcr9!;)DZddYC%!<{QD!Q@EIbzak!$Za$t1nsP?Mkn(ax8HbKBCnMO}-dQ92X@m z?6b@=BHK#l+)$$wYS4$(>e(8f?2&w?l_}Xzi6nMDZazjl8Be0lC+2H1o_L*9%!<-N z?1a>~_}Yp4y88<8UXm@W@Q+xxHYqyAdIl7dIj!T55VsPyhAQR9d*1T<7E(y$R6;Q) z(aM<|q4dSJBtnrd&eOXP%Td^z!<>NL6*^Ij|MJL7EJwN#?auGCt*)&flhYJ6;xZ4i zP~7B_$&kp9$`H$t&JfR#%n;?2;gsN%;uM>Uql|Y|{F*G4?30`sR&>CDW<=qD3w;thc+NpQZ1%lqRp=P zuqsU|x!R3W(iE|WlyH*W#g36ZU%vD<^v)So`cqW>QvET{m9&yC+{X()@?7;s=ShuP zaX|;yT4k(r6}77O$@)c~#oSerJt?Az(Kj#Dr3 zk4z?)#QqEr2w5haV;`X&A#1&;=q2qDw0v-mF=|qQF_&N#Yh*2qMu2)o2CS8zIo$j@ zIi7LmVDoYD0qthNhsBqVDG>6Z;!C6bGr0g>MJgydD&Zd-lP2MRPfX*xn}%UM2Txu9b-dD=Ii{!4!y`{+;PFotuu2X@ z9f(rA*hh#(g8lkBp7+Z26>qoedZpanZr)Ezo36jU9*yOMC4w_?z!SR@Yiyrq`jgQ& zL|osVqztcYE7 zto>GxS-W=72tJKj`-n8BIH#mzESH2{fVTIO&?kOCCkbhiPbu~%GbTe+*;5aw1)UP7 z@SS}TO&K$-Gm&)1iQHQ=ho>`;~z<-yxnBo+@;aiLu2{Z z_r2NwhG>-@iTws=mH0`&TsKko)o$MIec8vI$qVS7^vH-F&n32Sm8w1adetsH(j@s= zGE1_4>%msrbU%aSeW|!=!KvjZA{70T52Sh=tI3J=p2@7?r=)Z`&41ALSlOF&$(IIc zp%szAQo&*`A`lGbf4X$3}>!zgB&<>>vEv@ZQ?Daq@Dt zgTm-##*b0fPU>`WdLOLv+Gz@BUhn_f8MmC-U9P8B)|Q!>`BG1?Fly*-#`x#YRcH1w zBATDIw&|&Rnf)Mn2TV_2_Be5LlK3>+HIe#g_#?rPk zGp9_wYpDCmZJQ}LPJ|O2=cvbAnXlel8Sh-f$%}fn%o-!N1P@*(Iy&TULzI1QcXJ3*QbqQCL-W6R_ z-|ncF{xeP<#i=bPQKQxjQ4rwuQIe*W9BH@a9&I_6w=D2T~77=5^I1>t8neBY3l6& zqU7%2ru#=&jw(TJ87b5F^57n(!n4YK$jd)kPy-ICDo9fgxPF+h8c~s~hL49Fg}U(B zk52?4mT9R4&GJ`2e`Q9RP2a+!x%{>gkLH@>%0y*i&OO_ek;Vww`X7m3*{#ULJL#Mf z2%|e*xX}^eVT&WhD-PVfFe^ViJU*;7Ha=BO!1D~xkaD5iON{W%4L7P5C(4DxXM2Pz zM4rU?rD~jQZ4rD)6@q0W>JWNZE5p250^CM9mA!ot; z+7|lJR`%JtS3zO6Bu^_J~-<#hr`L*c~tv#n2pTAv1^0Ni17(XC(!g+{DT$eU! zydYoqBDIvguKQ<_abea^))o)psI0Z+eJe^!x6Je1U#U0N-@lq~%70e+MSj(gCd9LO?9tn}$SS&K;~{>)FEYri;H9+K~n>AVv< zpE`FTH;sE*iNVJg0@Hq|cD^C#-I!9E?(nJd*VOTE?_oKucr(SY(y~UiT!E-`ay^uI z1}D=fR67z4;W~4YfYd@xPVUV!_uDzO2Pp&{&&k|b(_}Q7Q5eoHR@Hp5{NyGc&1e}r z9d!e@_Z<$iOZ4<{*JqF#p4Zz2c`Gcd5pVlGkPEyEpP0J;fVV@D(kJkI@A1~!Mu|fK z^>nnJ?j2`B+7s_PdsO+`5#_2`+p1BiwVBZNEAWQ$=s`RAS!t4BS7?eaK8zXYe{hVd zr2dMsedOoJ9~ahjY;`)0Ed_kSg-i<^A#^r2K-y9f>qYN>>O0opyeG%-y%&eY{v{%X90f%HTZr-&{8(NPfArL|JmN zKUaI)!*WpH>AH0MjZ&scJ%P4FC7lJR3$v*EonAL0uDn+<+;&1?=OifidwlFktBStZ zeNQmATHq$z$U}9WqQkM52?%1T{X!^c0|{rVH1+$rPeCZfa_9?V*k}~_xO@@EVa&ad zsB|7zI`Q)msTXoSh4;9Xlln{xX70f)7X{9IdmJ4VH5oKm&X;TMcf3cX^_k1UvhQG$ zIWX=Pc zrry0`Je3eIR;KQZRLPnip7WnwN~+K}`1$-XiE5J;*W2xG9Rp*hFBVpdO3=R4C3)*D z15wMH&B==i<-*6FzLre5!kZjCJ#ADyZO1)3V#nRa-&)XhJDg5nT|(M|nxn0^&f#%m zVoMrdS(#RVP}DuT`;$;+6Xw$n6fU`z-)ru1oIc%@cF*1VVN>R*x1Yz@-(6#KH=4if z(nAx{!o1Qsd?sSVFz`!in@KDAbGm0~9HaS&1qF4QR=ZcUsn_DWvK;Rl`$CJXtc;$I zcp~pUO@&-Bh7ir#t0x-}FS|hMSS_+cjyt?v$mJttk~Q$6Q6x3;RinC!_N=Z*BKkB7LJ$O+g}=U>nf9-mK(?{b}(&f{?S0@VR5f3D_d1TPcZ(P zc!)q}m_7yh+ppODTr4k*1s}L&m6(AQ)=+|{wHF?K!1^Rr9zH;k3FFo^_bkB@I67`O<#03P zC*JMJ@?-GNj4dAS4u#ieNTYQ-A4F8P#gI{`#7VrfGB57=`IyO-RrT}7H_BNbD1wL0 zYi+n>-`PCob&@{+v-TSunFm#9io9yArhKeg+RP{y*9`6(RxgXe&Uf#Z8q{)aB2+A| zC4M1re|PM)04GP|U6t{=4E7%b8aPy;;~kyfTeRr>1p?nj-8E@vPGWfs2~c^n=tR}r?dzYbY8S8GnKFQjrhHuo($wIw^y?1ZLvArLpWD6wTAH&0P{!nsL0zhP12vC@gO*YoWf>CWbBCxgiZj&&Lc$6r=gYf5fxMqWzbu)XqV;Q@U?T%P&I zVzo|x&yP*{r_CFFz#6jpTiL8DY@deQ@O*L0?I!u1efRuL4zY7qd5rZHF+=aE&gL-f z$7M1#_-So$+T)4gK!jo9qs+N*TFw?--Laz&T52@+%gVHX3Ag0|2SnHzRu64~Bn79$ zT-r0jPZfp(j}Z;Q6uZgOuA9j&)wiGJZuBNaq<$m0qU~%rM*z2N7_H)0l&NVYb~RZk zq6-XhJbj~HP?Pv+VwnCg*4n!DAWjxBd?#AV>u%g{~)9(OS{-<*x6_xd-ciVMk(BvN}L)j?Q+AZZ;ZdZ==73LD@<-h zq$V22HKjmLlH-0&uK!L$L@*+=0DE=b-rSD#UduhlYoS%c@>lsupWhti_T>1ccOSv5 zsC_}lk!V)sgw9#J?suN|I#N?#d{`TUJ^JSIDXlxtNICpL!qoHCp^p(=H87kPQPl|o z685i}McxkYfA5{n@>wMI+@%nvZ{Al_gxo{?ZVwMVPHb@#lD}$V=y)pVaxTxeyFD~I zY=gn{@DCYz{m95qh;ZD?=V_MMpUi&#yqx~{Xtk+N31T4VWht^m3)jYOAsN-9jAD7 zsq~c_Y&CDXk&=F}8)j?%W>_3LPMrLqp!1e4f_=d(YF;exff*x+M-eqYTAb&JJ^4sf z0*ysl-_Z-Vh|`_*f&E+%4sPSV{Vv8RI8xs1BM;{}4rWD9nzNLrQoBZl53Ef*>cA1C zlpFp*AMrtK(%Gg$bS#PL`n1<uu1WB{{tt&^9tF_ zCwp2fFDGebXb2U$4wGrL6HOKwof~=6Kaq+Mc~S4K8E^R@*jGMMTKZC&5SGy- z8BY;JtV{g}TkdqMcVjbyMWWMk#k|q`>rP$6HbjMB2N1U9%^g|xIz*r5L+m$ zsYPLV{7L4AG-HVKcXiQ+H@+ok@Gdn{NYFmvc>DcK4({|T>`W1|6iN*~*9?CpaJD4i zJ*jl#y))Nn^|U`4SlgPh5F+TZOG%CJE?#>2Acf3@|5GmhfLP`&dLiFVrgws)q{|&C zZpT8zDb~1ZAB|iPpeHA-sPk7jpPcfZt|;I7pUAA%A`fnqck6KhQd)fO zK?O76WtDz$mguG&T*`;~Yj!?UIwvX*@rFM*1ob$ExM25;m0zS{e;`YI8Z*;mk8(0A zUk0m@Yswd=tFkZJROc;C%|oOrO_n1rr_ADw{zU@)F=3QmJxb^GM z8r!tESbn2w%{LOzHyOXvZ-YOhBoR==M)3tzUN|6em`JRJRR;Oeh)qp&)v)Yk}4i!s}AmNx+ zzQXh#LstF)+!GGSDc3V^=?qQP47K9(DeobprdFFNJUAXs=nEy7S21xs9G{>eo#3O$ z`$%ZUEeD}`q46;P;pfMpuSs;jAl>Dw~oFZY@f_M9A4cpTqPn86;*qst!m1s#>ew62Zs@rOING^qlHMXqsyum5DQIY`8 zjOBNIyf~FB{ljt`y0muJM1m?(3nU|$zTLlvN92C4qA=BuP_|7#Im4W$$xhB%^Dsvb zx%5JF=TC_wJ}ZsyJfTr4C)H?Gi0^+TUApzPT;%MjS|ZJPnILH^6U5d2_c_&Zje#Kt zTs(s8L(|Sk9Sv^&pm2e64rlgWn>Y4R;?LtOu{nXu1SFKSQ;GSZeNQWRvlnK1?okW8 z=r!il=5vPLYk3*X?`m(9pWLhW^Lbz5+mV(*Hs%jK?^Key)uzZZyen@dd4&6?AmYU{ zAG}rXTPEvf?Kaaxgt)xB_~5RIk}v^N&IMeisoYcN)z3W^>3rWDqj-v(m9JIpR1iVL zE7RN2x^#L{3AsmahZlT`^!{M#BVwpzvD`6?_5BE|srril+h@K8E3w`o2UG?YR9SOLQ4ut6|pY8w}^4TW#{J9#syow+qY&nvS02pmB2%v-X!@`!Z!DZ`Aa*fis6^ z#3>;7^3xy{bF~XE2OwqRD zi}>&w_xl?bxN-z63ca?t7aw#_8AGCat~?GTy&}UCK}InaPH^8y`}uT6x?xHiGeJqh z%kpzEJX%T4;cm)NVLAhx3IPPiUp%J3O}jHyZzYh~5pEFB3qzb==ekz-m72=*UG{sM z5I?8vy62<*fe9jFV+|TS(=?B`{UdG1dW2b%md%xWO;^|aLg^BlCi)$^BulbP*2@X`Y~(g~$Nema4H9SP=G(a!f8t9Ucte9Cy-Y=e#;Azfd3EbBbe zDJ$e){itr8^K@M)73szw>m}KlY?YTEg#8cxSXA&Es$Kh0k97;)tihPhaQ;%@kvx2| znoO#SW3t_Ow+-maMUGV19h{`7IHX)Bd_J_CgOT;IX%)|Thc^<0_LUWb0pPdvR%Rb7 zf6LGy`Y3y9HMEMSPo_AcQ$ksmv5pNVfn1!kj}&sx;U3qA{SB;vjMfKk71oJ=cND19 z#g2V@o8%jfG-c_r(CV& zrI4Phg_U;`519`8IGG;m4{n=K?#S1dLt8i7}_gido_7 zRr()aNFO=yp7^Zh4MXC%N|ozjH+hXzTW{)j5*eJpuYc||KA!oMJ66IAvrcQ)8`g^x52_6N+lQNs-UxE+VB~-1g}s z@mC4Zq#RpImKgYQ`$kXwVFiP*)L2#grypavZm33qlCE*zY%b0!utGbk-K$kOQ%xM}*XEG*zT5l^M}PomFb=R%A^@qWaW zxxy?C&(8+EraVSvTxtuQd5n1PqgRnaTR)0)Z7*#6Q08vJcgw=K*GaW+ax7OS&q z@xn@qo%?L~Y>nI*g6D88(`PXA zNdEWK_i%&5)%hRg-xRsLu{v5Z#clKI_Smb+MVC7fQ&P4s#zlgcMZTK#$BF38Ce1A0 zOaAeB{Je*wk(t_D+(>5X>yekr4;3=K0<>S>S9Rroea&uEZ)s$t1-vYOXuR@c<_i-| z=tB|Xiz1$G1$Ma$kSB3pWZO#!c=q9TCYhl-;<9}OE#|d)P$+cUQa#nX!ZtT~4*NQJ zRt0AWT-skP8K6n3R^LnlH*+!!IgmpAHjHt-c%JUr$i6oFXitxa6T~Yw$4$?6tz8L0 zO0<7z>xF%LBZlmKmd^ma;s)iG^n${OKZLozQGgQTeF@I~$~r7#E1z3ZQ8COs!!~tr zIKt-r!aV5(sre;}(=`$HUC5ZHVxoEyfqHi*^l2A96CPwY{i&tk2kHXzdNkNM zLIo(V280T?v)nE0o3?~We#kb|lQPP@>d~=?`1m2{ZB0^0VD`Iroo`5to>|3ys!Exo zZ1=H?58NMcahj=gAK6fbGg^^s+XsnRByiX^i99LWcOZz6P>3+-93c@QwdnOb*YWF( z4K5iQ8FXJ#+;{a6O)a*u`B9wsOAJ_|;F1y}304Z)uim!(@&DgRAL+AaWjQ1aEX@q< z%s9nuER8qL(fmGe!vIC{ZLSmleLV(T^U;BT<-rIZgdPKoPX~hF(*qlkb&z~825=5W zj{(W20|$$-8Tfg?(H|)IJ4^@02mTK3@8}@l;2*%QXdM_o4EzHhFZiwCe}^CuI&dEF zOHdfU4g>~17>WR&yzviwP#q*MlwsrdL6NBc0SSJYAE5)bjRFFAd32yK@DVUL_!_V= z6~+fX7ztKvBKhGAP;e@NTS8V+)ka2EQqI7ZTh`db+QH1h<@cW9t)Fmfd9St2^Y)v*u;^7uIus1<{6t{%rpI`o4Nu;ceY>dsUP5)sy=UHx) zTA5fI8-VLg-~;S+0OwIkzw5-%<_U)F#9+L(!3!3W{<(1;wy`(*pB2L}p1p0+{k1X{ z4%W27z;4vdg~teRzF_-`VF(Wt<8c5Ox&_&Mzb;g1X#<5oT|D}C8*IV;-s>`G7&x;5eh$P8{Yg{zr0D#ul37+l7sn<0}TD9?7v&T3{EA0&G&o|aQy=ujRCCM zT=Tx;W(~4oLtZ?K&a` zh{3pc9*)lQ{jHE7C?^MYWP@A++|2@qTK?GF4dDd@ViFNLW8wuL`0GLoYRx;(zlsQ` zivS=#0jC3Y&hvpAAlnzcLwJGYFwlZPAD*^ynXT}IK<@1t6o}oxrTk;rKs=}$3V)gs z$ipy^gYGsJ-^S+gP3=WlHn?%JC$ERvdk?Jkm!m-fp#hKl2RRUMw*wP7Xf1o^NeLKo zZ`7cY2cVt^5EY;h)QtjgKo7ce-8%$=IXEHE=`RHGTTlo%1Dt=ab`1ko{M(wq{RR|c zc3Tq!^Im=gS}(J0FF&9Lu}5ln!F40PO=AKd@TVm~fqh|;54u1A**He@TV3|d72w-Y z3WB$d53cU&m|pNvsLeCQ1Nf9H0A2iiu;M&|}`*2XnJ-f(G?1+`@JcT91E3BU*u)=pQviYA4lDH#wb}+i?($2Bdk-0IyW&R{W zd7)4C66u9w)1}O}L+^w}srB9MU}In{JK03byXJqqrAqmlRyK3U1Es;hnVHvlX_p7D zkQ&s+s&pBd{#YitmR-zJ@+lu&M8>PUbqg)Xu{~yQD=T>={*S{F{5+6t9ClZ>x}al1 zUd-M94VO{lnMI4T)y1n?;*w_$5n2$AM)C|KliJoH8g*lx(iL4l6+OGpL-n8lM_b$R z(L33z4bSmU8&#bC*@&U#DGrXemsq}859%O0J2?tp z$sd`tdpRvm#of^vS#-e0N#JU=cqKNQ-3_*{tAosAhj|BWj}IKMyjO+r6XLeLHb!ZA zEwhEy;nJCm)j;~?Xtj>-<@RtIEh!}&lP`QnaRR5!%JQ}LIPqPrLvg&?7C`i^}hXL z)8S8AOL>Sm2+R5&(0(xPy>EAuKP)BIw>I*Qb!rQREHuxofypDkZ?ewsXTiv!S&JU_ zn?6dMVdYleU1J7^_f;U4j6MugW3#7(5t|$Kxr(~X;1Vg^MC9PQ;BW+|9niTp(}`7K zs_yD;cWv5Y?Q>Q8H5w182j5j>9w-_e*MSrfJ7916J zM)U`}eEe}lZh&q!P`|IqDUn zidYFsKbD<8$S1ngg?;K=s(Q>hCKnFGn{ywt+Lb?!5a$n#39-q`MF(D34pNCa`}#VI zKd*wh7fApM1+N_BWyuYLtJSzf=d(DZnX_p18EX7}7Kh;jTruzce=Oa>*Yn1IEnRr# z`{a>?HJ>{r;)fe-gSakVvz`$Az&88JVgH3LpZMM)su9oj=WC30M{j&+mPuk_^tFv$ zIewDJh|^}Ue{sfcQs_a0k5NQ|;7AD$^*-99%-d*9ds~?zamBz+#l+zxw<5P0w==g1 zx8*Mv%q`E({TpHfvCF~4N}U0T{0Clxq7X-vmjKag3tj_R*EV#G7aWk{gn+xAyZ~Nf z;D-Zz5A`8u!2z)?fXRVj&+wU?)LA(WITt%K17k%y8%|{tQ%6e!J8rZX+ID;l3fWf7 zOPkr*JD`4KaHQ@ZI0_Yyc(;RP7`_UHgzbb|H%r?;ku(%zPyr7dR<}{JHUsdQ3F<$g zf|N4%1%oXTP+?5N%-G?Iy$&1&nxg*X;rajeC+YzA#`l5L_rL$WygNP<+|T^y-ytAx z`ZwPPAgpb%9s=D+n9vs4d}!0(zpV=(C~Sy_mjQess;uM#$>*;83iEkQKD1>4VDzt4 z4^=QBKrOl#m}u+xC@KSn@NL$$peWc)3&y&Z5Alzt|338z*tmlhRCc}>TA)a9tPxy5 zg#%`wPJW{9nS-VR7kr0^FxUHhzs>G9F@KqOLcv`wa3Xf=Od<^2n?seAAS2&#v=MM` zo4ebISb_ZVPfU8(71V!ONWuXKg1R5MRZH>!KJ6@3Fat9n<^7Wy_{N>_-}M3D`kv&p zbFqD7utR)NpB-_s%L%=y*jMSjj;{POC!gx^E z%(vnt3|wA@?10B%m=Pm>bk624<+K$R;2=7HGt`?=0S<1&?ji<64oqVD@576{sHgz@ z6&1kwMc|INyFPF;6(cq1R2cN^cPI^XuL$FY(ty_cHl=~_0g!qZHJDWxZT$XElm=W* z{jD@mJ^-ujWDLgU7e880^OwlpW`75Dv>fQnrfI`qh+VW`4BZeO^g`OK0W|+*I~m5a zaqAPD_Cz(Cz>W7!`{vt`M*tKZgT_F3&<2EE2_F45~#5Qel+0*-Z^5 zF+q6HhVH)<6CVuKzJ-Bf!XPa|H4*?a3`b*4n6erOz!K5qSbxbI)B$Rc!^6RicTfuh zrl30Qs3z1dNnx^WFra{j8dRNp4kXfguT+2Qs!ZrG#C%fWEMe~~x825C@k z7d1$;Vcu{gWY_2l42~&_fbgO-sNKxj>+H%FIh)Mcq6QsvFuFcobOqgR#est6{hkyD zRQxDxWRp2yW{nH<^8Vxv#u5rNo6(5sKe8!aP-=r?^P7kS=-G3@cV<`{gD7a#h8LY1 zhC??YsoxQ5Z>|Okf)e;DRN)U81my<}T>t|BY=UoR@(DZ=CYwSRqy94Cg8)7NObG&7 zau5(4;J~K9zoEpni#eEZ00=KS=eL_VFpx3sO>Mvc7V;N!U~mAD0CN6h4nM}Qz>6-) zf^3r&D7_(wy@?72X5_#)5)=X~8~`@_fHt5%z`%EAeBdTM21x;s^3RC5ofrscDDRmX zl;)zi0W=2W=9^d&61e2;&JQ)QgozmRTD%29Z{=CgJq{v3P(va>VL-nh4yXZo0~iHd z9RQVfl7lf$LC{Br-xvuhenUZyvKPI9f)np3GTk1RNN~ z_GAJ93hBKNv*n4mcmn~0#b_7<=4LU;37vU^0(u86dkx?aP{RR(;sbyU$of#43@nik zRCLH4-Ubc^iZPLcE@pz-_#bjma}i*yVlSKlu?;1l{}YXcBQUEBdfVQH*6e*m1C`kT zj-VKWic=uN0yQRzF*~tgIB*ph7z0Ib+fxi(-vbu0|=Gu zZSe+GF6^8h1T(rAsX=Gje@V@j(rkYJFQoyqTR@X`ND3q12+SC5MEFm50|99{0_2|? zg)amS7`2lYjAaWHy`$Obff2|(VrpQ59E|n=r;Dl(HmnJT$}M)%f-x0_qV+O=r!yc& zMVa;nD}K=e##eUJf-w<>qDws?04DmSzZ(sxJ@VyOFaV(mkOToEpCC@5xU`)y2#kYI zATdLyFksT@7cn58L4fYoUfl1mD9OhK5NAo~Pkl_=zVM@&NfR2V!A+L`~qqyuHlz}xd<@K zj%pwPE`fF-Dx27;xtOaav;+2#ztmh1m;n{2?+1SaPoGf3p1?KjrUr9}g#Q=q2$lST zrVy%sfuaUfOJG_MCAM9>!5oy(&XL1+NeTuEi#?e&6p*tqTDc)9 zL7oi&U~^Uj^~i{47d04z0s^gt{~d2&AR+;=ZZP9lTmX)fi+_96<^#wmCOM(24G|qpH}I|CEA~VU06>7XY}Rmy zjj@qGCTjSA$zkFQI=JXB({U)sGg0O2Rt>iiJ9igwe3-)}0&UK@LHchYq1yF(Vhaof zD54&6Z0Ill#<@PoiwcWUpn1M7t zbP)l$yTbxtk-g{*m?8pT73z&CfN3_0ZV)s<>bFa6Fc%1DC!e-gE2#JcUQ6wX7y#!1 zhY7l%s1Ocb$898{sPUeiN{qStg#6!23KVxcLIQFF8bgbVpdEesTa(%d2?$hei7K8p zDqJ)cwGnU76NAp85CCu8=7j%c@w7Eh0|ldm;Cnao(H%;>fqSE;25p4e$UJ_BggxTq zzaP|W^ERl-r(ND=ql89J4Z6JMFL{G9q77tkBRl_9)cuj2BR9}p^wglsBoKecn=N;< zRV$#Ld7_>Z0&4ho)KUB!!+~h2;YX)8f2qV!P-22fSjYwp3kPV#R&I>kMGQtyjCR-v z0gC5eO1y#E1B={?yFp0`0G_C(6Dm;z-WY)b9k**RKLjIu#g9&d|B^4fC}4V{*afRJ zz=$KLG=W3jMF~bE7|ivfY4iMDtVF%}M?Ep!%xRzq9+W5k6PMIBmib_*BAsyo%W(JTjZcX$zOB1;F<6)L1B(g{OD*w z@a{zRU;zKx3oZX75@4jgRjoU3K%<+I99ju1j*2UdGvcOpOzY)|X zXEs+wpkoZinuQ;I!nadx_KZjX%SPd9o78MA^*}=o#>e(hv=ctaZQULebN(ec5Y*H% zX!ihQ0w6Qs`RmTMGC#(x9^ff68s~?A{7!2&so7h{eaoi6pw8w@&4x{Z$Nsyh!Pt9( zf(=D~x?azgWX;AR3UrYhEIdF%3}Rz=_TPKrt=%+Rf`Wmv z0l4(t)PS$V6c@l~FB)o)0BPRti2tkWR{%Abup=lBT35U)k=QF%z4?~r*H#2nW%uXc zJs<{?=Yyi13)`L5{7Z}4qGrpY{&)uf$id`qplBztc9R3$W0Lhx0=hLjw_#GK1at== z3s`_rad^=w&MqMTU&aL}YNi}DkFc>d0`<2Y=`f%MQ$7LZMQcCjf;viE&Ys3BC~ z4`9IS{Tj_hC88+P+LnX@YB2Q*p=hU{{xS*O8ooz?MgSmzf%ieEnd;q)!ITe!jRk1z z8v(fd%f0~+u-CK?2J{1TFSZziT7(5Y=TFA)VuWm<7{+^0I=>~Ry$=Cwy&i$0_9_94 z1SB}9$)N4;pMc3=<_kJc1KF4$`|X9{d)%J3m4L$Gs7d8tIVc>oJb1TPP=Fka)&xOU zTy7@^07pPC_mtUeQ3C}KJ_u=B88PZ5$&SG%Kn+Iy#W11vcVgPyAq3u6pag`Pl>j9j z=*sTwFN0BzZI17PiM6T274T3Ltos44qs=TGOzgO&Ee#w@Bu!9Hf4Mh*19%0EfNozv z(dlM(NmZ(CKBX{!a$J(3ky0Gn!7nVE`408h~EO zA*Z{qMtxW-p+$@^kW_|F46h7Fs+#2{2X@vW0fE!6ChbSQ5zFNULuWiiif^$c<*7KP zj|bu*Dyb05D*69-`xAJqy03p6H-wTXr9_6( zKoZw=nMs*77(x_DLgpc3W>ORig-Q|$4Tgj!87fmL(V$QWg-i`()^F`|&SkhidS3VQ zdA|RDulv62z0Wy&uf5h@?>(ts^cRRiAS`ksYURGIKmwsbXy2=(?#T@Y{?}a>;x;AI_+H2;qzL6M^&dfW&{wBk{ zG9Y47saWaQD>ub`Z<)R@z1EP;@KaT-qqL{3s$AZ`WS~o+skQ^;_6rWWfu>w5lQNOay!J>{Q63Xblr=#e%5|kLi#GVtm!Rw zaKw(f$7p!Ib~9SbWf!2>xqWo$o^Yd|%S9^kmx>IgDY~(JQcul0)5JcgQq&#T&D1?q zCuO1IXUlN0yvD5d0Jtpd&d+eHQ{+-IwplS+RUA9IctYlV)VIDm-R@U!qYkjw9tu7B zF19P)^Q&3+zHWw_3|?7JP7Wx_J?&iL9qaKX(rv$1PFjt-IE_g0>UicW8jnu~2y$0F zbGh?d!Q@m^6P?Y58W-k+YIkcryjPn4lDc^{TZKNFAM8Mv(jD1fcUGYNfJ4sRePi2J zjQ-;6U%R86^K|;Vyc3f=G)D0 zdIo&mR$(be9|o za(p{#y)e&k@V7VHgqB~Vf6XA%dwbXG`~18$2baF%zSW$6;7-$tzPE}KLNVb>{5Xwr z;yqrEU7s)?jbY81Oq$$$==Ob%%!s9wGNqi|k5)HvG$)_G=6|Vb^PLSwJi`5D(wgVA zD^FPaiTV#c3;wC+r81fEAn&UYQ?F-3uF$7V8%8=)c8QC-P!fTDQ@A zn)gQUz29Fk*~)2hdWqVpADJ>Qecw*Jr#Mvm8fd;txkdl(OO1(?xDC77p_Q4(o1Ds5 zJvy<(kBQx|R{O$u4BJm}mua>hCh6{4N-1qS6uozoLD-5K`T@oGs4aUA zSJAp$UnRsBOKIQ#U}bS*yW>c*Jb$F(Pc@^naW_p;2kRK^l=FOQLVq+^^zM%6p>w!= z*U-mwOl{0SE@YqGHK{inI?oNH4|WW^94*M=-tXDwnQE7Q>-At(cV2hi>eEM4PSw`k zf4bLh6?su^@I|L;@>VhJ`#V*Z)-s+Q6YNo!Ea$Rc|Na@{=&~a$3p7t?kvhFLel?>p zC|mF?YmfPXZ_Wx9c7oj9gBRa?@2348xuWq#;nCnUPTk;lZ*K_-Dn#!Ywfo7{AR4A> zJ|WY~``(xwUH6ph<$1YwkJ@0#sw|hN{a2%hcVwhyC-o1#Q96>&soq^is@*5Q_D<=t z(QW0n^rb0p-x&$HFIndu&NrXE=IQAChq0SS1Y~PBxZU@@c)&Pd@$VbQ5}!mkCcP^A z<)(W-BjvUuS4OSQ-itCdLtUi?{mV0Qh4x0CHdE{)|9*YgtmKK+%1;B^2k0lxu@>A_ zOz|!`>#w&(%``2*%yM7XUbDTHdCR*U#ZAIFesScrTb9arPz=1q?YFNta93EAwq#&& zMiZUzL6IEZ9NydIuNzyo2)!=domm2Q=Z%?f|Ml*lssjHh;8&7(JX6=Mf3pIrKE z&C>4A7woRu71>?3%kAcP&)c>!;&)0OCzs#+hE=C|S<*ebsOKSiO1gEJihBn&3jIVozG$!3u^ROluU|i zG{xye+>(5Ehphadbot;uAKQSkqxTl`ep?+MzBMSDQ#g-RB2_C(^!T;BZwdzLGHO06 zeIiZ_aC|6a@8tR+r?Dj1KOs5fs%U<8AKS{N74f`_`-OxO;{-BSHu$~stZf{S|5}=@ zE$+iUlDlyHQ-R5w{GAe7*&BG)d)^`4JxiYNemO59A@D~0kJF!%^JrP$BhlWE3L)5s_y6R);}Pc?yPpy@t8q_uwz7IN@^;_H|V+liO&yCjo-UI z-#yT9eaG_iU$1t_aF#xzRmfYPzJi0X)J?prEzvolhzySj(}fxBglNZS3!Wq(?c;?}tAo4CIlu zO?(bkeJhm?GRl8SFJSjmUxTAV=fI!%XpT+0b)5uNN~AtAZD&4LlYVC0%0hi3Pt%gJ z?|N%XI5>k=u3UQZxzOf8x36wr+3ug+vc2f_l?7Z;Zatk3gp@BY6R7`1ITAH^P3>J2 zA2XA#9=$`u?XU|n7xXGWXqGjmr)y?J@toZuudtYf?~A#8=yG?*@Xy-2+7~36d`>qz zIWO(Ea9*1NSKQ*Y0gZ3325$PA@LWghX;1=1B1Z4&frNRQO}l#DO0nG6vz;tEdZ>Gd z>yr%sA<^h9ud82iN5#B%a!$@Rw8^2|^EH?1RoWHUlzV55%^mrastS^zn7;>tv3)w`SWFv~{}-MiP9p z@~#;ku~rh!aL8Rs_rNu*=2Y!fO3WVJ$UAFExuzFQU#t?l?|h4C!=;N1ClrM&w2nkx zy|cVh_#?AnS+=gF=Ee%&RVnhcs%$&%J>T)++lKg?F7B~OtxtvL-4OQ_)U5f=Y?JP# z&*lI6R7X>f!KY9cdAFbK&$jc%vQO$2R63uv*ud0%UCHOtj)5ckY?Tt1bfdd9xi%Fg zUyAnJ5S1cPw$h${k;=$|lacg(N*;Hrlg9ZEu5*@f7Kox_p>=c-qp4(k<#>?i+x^qs zy9X9NeEL!OeUb=WB3%gGLX+Um?d=n_ohnbIc^qCU@@${K_4Gh$xvWv(_ciS+j_gyt z7_itRa$NlQ73W2FHo0!Ts3CCt75|pyy<4lv!D&eoM@FT)IiEP_csjMeqT!iu)KbZv z{=`%)@|*C`k>#Nzg>%tGG@WcO7()*FUf4?Sd!V#qjW0uc7+ogA+uBp{DZ31Z&(hYo zSg{;T|;%`R+% zCHM7Ri}#!ED;E2x!c>#p8@O=t+aAX|b&Az}SugYE|Japu>xne`C_C4!iQ_UKcAbkz z@7#Co+#}{;rgc>*%beEgEicbrJlH%hLgjL{kRRt`@?Let!coIzkq^UyKAeKHe*48M%)R zD7oi4=2RcQ{<=#pwyD3>|Blv)+7nqjzEzN~dn6mLe%{SGs8F)3V|iTHN{8ZaV{7W} zE&g)E%%p9w((Xy}h?$?JYn=wy@FgLW(9I#%)|+fQ4|p@Wvyzu@(~i{Po8TV|O8;0f zNYmNY*=%qvd8@3}Dx-~q?uNQ{FDy;JEAS|;AibDqWz8GBP{eDVb0zNPkx!zRy2nmb zF-sDMjNkm>sbn+hwpsS1`N41F<)go(vlsk2SxMu& zI&6SnjKjK3izED_XBe|9znAmc@dcc>#%|Jv_3 z0^%!v4Kn4fUS|_(#$6jXzIcqjWH7jiGflmtbN{u?C#*G01%$d;8clRoSes`QIFxv_ zBn21KhbBe7r+xpkspR**Ubfq{CHsv{GtDJNA6$wHtX|kG$}u9w_xkQY*N0NIUl==H zZlAnpay@#d@}K)GCGuIR?XKl*LK4ekZ6gx(Wg~K0WKXlj6f0)Y3l=Bvx~RXQ^u^uQ z-m3R#f$`ObjVYHt`b+dZY{(8M9hIiz;ntv-EXoMjIMIA?U&Ho{WP!b=EcZRKLf(FG zKfc^tU!I1t@8plE3QSU#I@unZH>&oci~QchoBK3 z!=KM`@#`C3vt+Uj^l|=XInCR8KT$0+&pal5;^yxwE3>Sh>wYc zln-7s40$%b)_YKFAn1##^!@{J`D$9~R<`8 z*JA&p>ok{Z?`ssxda&gFX8A1b<&+@bZSOa{A=kLo^aoCqupealzSY|&{>(#D29XX| zTIC|TMuxEQcO_LNR>_Z?o#j^SU<;kh&li#Jdwxxet#mBPrWd}rjUEX+W_9Pjr#=5ir{&?`ikubxy2NB;w zzAosZ?C~xgS2UFUenCA=;A8BPF!k#0FRj--cS$RGFFtm0yMM^!%IEaaM|zn2Lmtb< zdH6p{`xKrW*kG36GDuhH(m8(rbMjr5eaD8^vD6qz z*C=;KKAODgE2Z|~{_>yqZDU{D8h$r^d_dmCzhviV_ic64Csv!2hBdf~4hy_@urR8O z+kbsmX+!1xy_f%NrM2r2Y0+#E{gd9i?G=NfAuZeEbRWJ+HJYMt8xwMy(jKT-9cI7m z9osqJa9jC9u(p#*!?xpk+XCjbMoBU*E2zD(@%YZDA==wady_ZROq?;T=lpR%vj3ul zmfthUt-mfGzvp^0_+@}C<898*o;23=H{NesacnZ;C+&BRpeIbyTNdT$w` z=}CXP|4JXrDn|YeoBO2n#$y31#iA#o7bYnGDHvGO5g8EM;7Q{a{!?bPQ~SzR)3oG~ zM=Yo1?T&x_<09@BCmkuBsn4{Wh)lJp6~l?UZas?+N*~PDX_e;Ri}%8W$X5ZN%A6y3-V> z%LxABZU2041L=clK!}sfmCyU#nrxqXbNd*w*!OAWJRM!>#@4Etb6)kt@4P67Ew9QG zi_<5rlm~S4jyUz)@Z*U%wN213Cb)9^E^9nx%}{G|SXJ+*Kfe$7M{kk!y)voYmh7i+ z{JLYzGqH)N#(*MIt}dqioBd_B4&5skm^gF$=*l+sk&V1v=a08WON;*T{ggX#@o2`q z#cS$5IWg4Pu=!;S9;%8)}4A%8YCotnum3{d)4{_tb#hP8?|hj^BLr>y?;P&%f!=D75^ue7<(+hI>7NG{*nQ=)(#R{^`Lw#KV}I|?ZmYM0zsh_chwo1K)%WEWZ!76! zMal9FoR)7R`X%0u6tH)^7d^P5`5MWpe>j7p_F$uH?n7e*4ZnjO>YsPX8~l0FkW}=! z^z-#2PMvaL4~=~qM&izvcq)G#8t<)W==}I<5xMO)TVhg>nxuqY^Xi_0;U$7{3H@p9 z%_Em1A1a@5wU%wx{^4(#XW+Bz@@=2?>TS_YK@BvQ6Z-X)^UhuONo~EHS@bO7YG6?` z?{M(IG3q$i&LOZXEL{wc2A5mJ}T>2oTwcq|t zf|GM^AfuL1(9eZ;x)@&=nif%9UnWTx#*9|E*L6!+woq=!m3zii$K6S&_AHp!qLs-# z7-N^Cwl$6Mo7PYI!9`9FgP+yBk@$A0eu?{vy5_xX-wRVzCrAdSR~YIH7G-1)4qAsN z7me-9mfM>#q&Hgsq4wpx3B%`a-fz5oqr-P;MC}S1d5?89wXCe=Kki8l`f2Z$Fi;ok zOMPoN7~TK1PT7g1&_H(ID!o>}?$c%F7yD}7Yt~%0v6edjsC-S!hA>LQ)2*9Si+zGT zxn9O>)m^XrBA0bLkJB6izhxi8E?3su+c7{$}-Qa z(tSl#05D0Qhdlv2dx(x-ktO*+6T@lrG=*#By6=kpWAR6=M*s2w`q=eWt zv>zV#^@`r+-Q)x>w0&H|uHkfKfy}51U69h!aBhXMO8vlqnm~+e#&+(_`Z*8EXRG>Ub+Ffg^pFWosaC?8glwnhNV06J&a!% z7k+Xyer%KLeOjPx~& zjsB!wHuQ1d-o5_Idxlr`H3sG$tiN^S_r1h?zqD`6R+|hPdJ`YZ)Y`IKlN+JDYG7zM z;o91JJGAzZVZpj3dUx}me?61TXy)_IuUe{m>zzUh|LLaKc&_iCb=1Yj7TG_2=f&<} zdU?ZE-R5`df_WthKWSS7)Om!HLfsEa@ueDk3>n=_In$iGSGc~TMj^?c<%^yE%|h8r zL&2SP*DE_&F8W_R`u57fB4yVI;}O484ZpI))CK(I##txT4!>3bCu8Qk+ltg4e8_fD z>PpVdBz?NG-^NY#I^tQV^+ID5_jtBq7^GA-^a-Y7PrKKlKVV*2o9=~#3m(4FpcaQ$7 zqUj!H{S2>1#?JlVO?|+d9Fm+{aAa|tx762~WM3)vhiuLwsa69f*X@Kx-g=63C?ti1 zd~4}jCy1{^+f%<)cfxu>+k z4o{Kw8ApVfe&ulwDDbyr^ea}!kInzk^5M*+$3vq_8gjoP&iONa=NBul?vS?&<5Bok zXj1&#r)G0NhyzDJ@Ht=gww4G#r{YDX9qBRJ0Sb%!3QRkPGtZ~}Y31R{HuKkW z4{{ohjwxpITu_#y(470YC}B{mGV;u|>amy&3H_7mt441qHy<`JHx)grR%H6%gHK%e zSWdOpY|TGYQ2059ON{@QFL&MY7WWoPi=YmxzU>p)tjQIXbluXvgJNNY=0UPo_ILW3 z1egmon1p#SUfr_yh^til2@V}6uFbT=Jr;+!J=pa8kp8PyzW|^>iokiM@wwdc%mq#Crc{RGe}z| zB7fkN>*kSC|AJ82>R7MDvtxDxOT?V+Bsaaz$UP$`9du-Zd6>IVkSV~UX_H^o!&F5k zPU{NVhEs2Ym$D|@pO`F{HTQMk5zD@(@Ws8vDS)$Qr-`-ii|D$7n#bBN)3-I#zT){7 zQChSjAmEHg(+5^}d(%U2d3#J<-(8M~9P;+0d8m1NYxe8wu6_!3f#$&@Je!JzZd%3Y z1sP~ceSY+4TQK1AwFxn74m}IMwUO{vZJ^C z$Tbrt75YLR=Ziewe@JJCZago@a<6n8CjBFtludDmrIMh@2KHijvMK*jV+30Fe+RU^ZqH#hmiOJ!?f|V)9zJ27#@r>io`YV-?wi( zQ>h@N*-ogbJFuz5!iptZy|C&@(N+gDm7@CLfC)e0pJQ*!idLBPIh22CvQ1d==XA6F znr6rSeIgZKT08uLAG{bMPb}=-Gg!^-@z(yincdd!At7S)5A9d?^ScQx`NA8$_25hY zV-ug3d0m#Pop((taKbj}&&>g+5EH)fUl#5i&pm&wGyC((Ow#xL?w<3ujT!Ijt8aLo zywQ~3S~sp^ ztva=L(XYkT>i$lRS~-heJJP>$(2%of++<0qzxzY>c;V~ulFX9<9W;^}{tK9`vp=|0 zI*k}>4z@`ieRbnNwa>XbmtSWYeo|i3Gaj(4X>9n@s7_2(Q9^P1@cGqzzXmIZzltu5LtgCQ1DBD1dcWwwK$l%3V6@V0IV9dXV}@eerC&n$XeZFp;7;KW5ePwVb3 z$%kCex`XLuy!;*%Tcuq!^vtnoytQo6!(RJb#WX27UV8`H?If-1qTe}hullfnbFuXn zKi0j0X_@Z~7+njmn@Ma8d*+ywxt>3w5$38PA{r% zn>f(+ZCCWt?|m^+pPxtPmP_-r^+W_+if`o2&P4u=&$Dg~9vdxR)33GZPe{@O=M5JX z(zon|A&G9ACS#a;8&jPC1=@{aTAZZEF& zEX(Bh9b#bpF zYpdcevpn-DD}T4icHzjK2Sd*Cza6y9jNT$~Fyf1l*FL*rK`lWxHzgKI4lv#+Jej-3 zXM5$nb_L$GBSjxyy%8B&Q$64i+IOT=PBMSv7X_c)l|vT{ETy7NlkYUPr{}F&Ep)Hy zy&ydclO@B>)m!6?Z^(7hmZ}FjG8A&T8H*h`e^1FECV1QLvd0ZMANP$IiREW5{k~o< zNNs^u(gFL!9(TrbePiR3!XmdB2NrDZqDqT(u3R|@~EgxB%$Ml{4*O!c>M2<>@V1*;aYcD7{Miuy!xUZu zCrkUbd=Glc#CoL_`@<*g8wN4#4?QcJw0z5VLHtkP(-diWTmSVmFLpDW+32hvl(ORp z{4lTD({f!G{m`k$KFZ$Jcz%L$@9!W>zP-PN;`|QQGohb!B1Oi8FPl+W$zeUcUf>Oy zUk1nSu|JA!$<%)oyQ2^?=B81nSw-tSZyEllWQh)np+CYUIdtep=|~1m1+z2yDa%n3 z>YEoR<6odV`SnILTey8JURobH|h;28l`mh+r(czC%ChAOi*?A z+w{((KOd^rT;e##vv6t6iu;Q=7j9ybq?M!9JMo+D3Vob?zf)Gl1;3YHzOHvo?`p6x zxJWMVwA&;lxl(S?`}HZ$ql$Xw?K7_5ePOxxu8GUXiks;_sC>Uy!0dj$x5iQKL;dez zhYgJ*-Mqj2n$>z71U&98xzup7qTytZpjT#1Z0fJwamQP()|d*XUK*l_ihX^Dd()do zBI?{;!9qNbI1Yts8ja~GM$%c9a2@1|Vz8j=+D2~KW8;j-qByX&720-$aEG;K2SP!q1&&dd+6?f$IsrDAE{?N z3iox%9i8X=Q$I7^%(ro^;q5bQFK#Y&pKy4=_hRJh;@r^svR&j$mtMX|J52AnhQT-yFXo9j8kl{3l@5O4&c}_IwZ+$$q%~iNU&$XS33``H5(M zUDUbyCtd7QA*TzX-y>2s@eudbt&}DH8 zNZIpm(8}a0=_s0=xGintt(0_tmE09jFV*-V?WWk7tsQqw3Lf9RD{Ne`*SjFgbKA<4 zV23raMHv#uWy%k{jk|sSN#9e{2#fKcF^cigr|6X9O z;X4v|MSQn!_}CiJ^|vz>ItKd6q?NC(U;FiAL9@&&uj)Su9lt-i`uN;Q&`TFy9~kvh zFWsDU`#kGduYb2kRo#ZCRcp5xecLDBv-9PS!NIud>)tu!v^qTjZYr>`c2n@cb1M}(avhiE|xEHK4;_j(CieW70ca4R%=h)*uUnKF2|{d zDjsItd(~N)cG&XlQu^-6(_N{!S1jLgcWd3+MGMa|F5juXT;fbZ`CX3#=EmV%uZrc{ zqe3Hm-U#R|spsfAqH1wX-Ov4gb5_x9?PU}J8>7`XWp7wDPx{AKSW5JsefsUB@TS9$ z^s4l=Yi+ZI6M}AN7>ZLkqMn*J1xmGsg_^hdn~D#o@6Fy+8a5i&^+H`P{Po_+7Vv-aauX&OB z``^*aunEhP+*5_GEnky&Q*tl&wLQZLwKvzet+3kzn`PBux7r^WSgPGC<2W{w%&^gR zH+O@vzEww};N^|B{6*ticGd>(X&S*eIjlI&pHZyWGOo+h{W^X7(C%FX3oSF|d8FOH8_#`df=@czE$q|(#fRm$?x$AakgyRSS^`)GH{ zw)4!-hrc}^ZC4*=+#1v(t0gUKP!ad)N$rn~QYOWMZSIR6tU7;7oX@y7qhfxW=7*TC zefxQDzrA{-&hPGT`#0Bp@;vf{*b4ivD5tlr@{2w5?(&sQ(A?On9b$gXtbn~dFA z=l0vI-Y0+ixalj|Plor^$brwkrtQrq+9v>=E>($LPR?no*i!UAcs_q+ehZRsm%H`s zojK>xi*W2Q&e#yX{fGDM_{?Lm5A7w@7YL0!GZDD__(OO2y3n;6dyhOYa$D-FeeZPk zSS!2#S-DR|U431SxvzYk9>@14rnPMNbum3hzV`}ac)tBD&!t^`A&&R-1-36b>|EEK zdCUG-ZJ}3eZgS3zoE)?8_M7Ym^*gF|RMq5P{HU|$r@zZF`5%;e@7{)G^$*NF)=qxV z%e+^zZ3!G`@3e z`Kw;OJP|dqlF#y7mFrkTpMG|hUiEMObkx$Te&77br{cFUkA+bF>Dz2ORT@Lz(Oj{) z%ev{f^<7>E@Y|N$``TXM=(FVw;R<|P_Ndg^(}q>7nb^L<_+P``5PA4@62D2c1nP`J9~vhD2eZwa_3H^=B*Fn79N^d zpKaLl?R;5Xd-OFId!6N%&M5~!OTK?rgSTFgk%_VNOlgq+x^*6vLSEK;`#ybGW!uPM zJ2)?t{YTKE`Ntma*bdBQo)s30BedVq%V_VJtH&fz=z#139`*ptum zr(HGbitz7G60w&)qzqPj7VU^PZC+_p5D;*tQq!L z{(gL$OqQDA+fF|!$FY>3CB!rFN!uQ&sG6I)se(^acZL+)iqDf{TCZwLBV$q7xZ-Mi z11o2Jalo*BQ(ksv?vi0{uXkqi%rCD`7~jSGIV9L!hq=a}OvE^a%aSJX#V4}rDq|~o zeU7&N?Fnl{oUOIqS9SUJj8&Nbpc&u6_3R-n>%_U?;a#zgte?5n-Ig$4x2v({gtMLd z+fR1&Zk;sEG^IPX_m_*n53Y26jyu*~(P?vTN& zBKgle>MvDJxtTm=KD#92=~&t^wvl_jA0ojgpuL4-{alQid4ukMU=+CDas}`8W)95O zP4Ojxw45u|9w*JCp=G2QV4yiWXT~$`C94>Mn@6|82{>?AJ_7ekz# z?JXUU!*nrGaQ|fkWq1iHS~wlD6cW{Tv9NRjANGRVE#L(kH!mRtg{i9~kQ4IVh5U4p zXZZP2($-Sa6jlU}V)mPqoa`+y&tcFG$u*N7)wb?o0uT_^qthV zt7w`zi&9IS_DKphS7u!Qnf9ZGRSkEgMgoI@h%VryCER?#?-amw4#Jlj_9l9+q~vte zSVR&`Hi%H*!Uh?bgPdYYV<}@fUMx25i%4A*PAIIt62tPfttTEhcf4tsQ=T&b22z)L1f?;49S>9 z(3w~I$bWSKLivAb*Oz*8O&rWp!IeJZ>KZcYFsmJdbL77@9LikR9mz77UWy{y^Kh$A z0<8c2n~U(obunbRi)uaLpQgJ&Z~m%MlsOfA#@%XU3HrbAkd6CSLoSDA-MS>hmA1cV z)0~!T;qLcz^982BUE=>|Ou;l`&O6?JF&6uOxj+Y2eW0quCyyq`(}>|DxT3HvzElLDgq;+kBRF zEI_lr>a+O&f9-vM=Z(KAwD?@zBiP`XYP$c6yUWPI23U5(d|4pIVCE9Vk!7Koc3FU$ zzcXeoMf$HBFXk~3-R++0jwoPJZT9VZ7~=i4&CF?B=)Xfbdk^8nOy-)%Ks(OU4NXT%V9_z?pt)!7Axrhj$Wl4aNVDtU+e(k-bFR763BtKD*h>oIuX*nIz`YCrM%w zNDQbb|2xBXQ;sCDk&MJY9Z6DPF#I<`NzU0%{+HT~+~t57bKH3&^b26x;y;`>0x^Gu znmO(HO5*cEY^;g28+k|lH~kZs(ZU?5fhi&6C$ zh+)$8ugD?BzEkcSF;V@8`$h`*FZ?SxbK=c^Cn^#sGnnNB^Bw?wU_AmXS^d*xB1Igt zp)}{9Q*zD@>c1of#xJP51P@Ti0w3;u1bI%J4K~Os+TY|fmqz`U`iYzpZ!b8$C!(=l^F>&ldW8fnJX9~B)P zo!mr~oX`@pF{-PW39LVxyBSju>_CSAt`wlPfi)lGe-3P{{sVTTXZ2R@huqL|@{BcU zF=eWS7;sxMwRBEPGmnmjmX?-HSxrSlSp#3E*3?Hyn})fESB10i4)d^Z4D+%qpAZ?V zU&T~2dW>N>i)GCaBij(?lA$Fm>xTPS*3!(QrDbI0UW2WZQ`b&K)$~x!_@((REDvKV z#gH-lTS&t~vy5gr-AWWe{}_P;nw2zrX)e%|(7Mr<4?Q1YSU$oqesY3=>C*5Z$FTMI zmvL)GR{o*FvBMl2hs2DANJC;=m1mz_tmKU=xb*PK{R`Jq3+}v{zl%{z=75Z&%zPIW zf5k0a;t7%&GQ62GdCCP^^WpRGD2|Lz2`#N$_5SZ%Uh_JBI`B#B6MU4bo@#W#G4a5q z{c%R~FKVCF(&8EuGa4gp924Vx&R9^H_a^hxeAeOlBjy~sjK20q><-%=wms-%#Bh(}aDMU8=iD`A$#);{-M@FW4*ylyvb zuN;ich)=naaBY6VwR6{RaAh33>7CB&b=~EvZNmHnTkCV?+1ujh7I$WPphq%avPawzTP`>b?cm9K)WIg`Fn4H3c*F_5V+sssQ5M(aGA+jN95FF4>jOHQ75msE`uC8LgdSoM$MuBZqLlUg;`pJ?Ndzef}kA~ ze4akN;Q(7bB!09e49e;QGgwRji3k;CV25Uknt!{0 zgIb2}Y_`rAC1Kf3?F6aV96oFbxNUCfYAh}z>f~rC>gMSr>Spa?X*oNK8AAywvtWPG z+RO!Z&jj%g(MRZWA<=2s!Mp~O92kU0qM4E$zHmKNxBuWYzMF<`+mT>aCPD_=^&~MF zY}*bx50n`p2i5I6w1oA%91c0zi_R$qoCXbknVHg%hODLrvj2l}l4hD4(~sJD>nZ=@ z6GI~$x%OR8uAL}g4tlLyd6mtvq2QkE0wv1x(oH}6Z~b-`mOd))`rWlOt*OpJ{^U~Q zcS)gjQHNLcR5E`KyS!R3F6s17?bw^&Qt6jGc`1Ih$IezH#&W%Xu*}iKgLk#;a>n+x zyDnC*s|=C7a5=on^uD!PhvNqUugc_`+srSWdU@bsaL~9Pm&x`Zot=^~c=n?0cKR#{Oqh+GfRPbqV~0{%4#zNf^d?5n45NZ#k}EFEX&6< z#vY8;jfOA`NwGyN9+F#-aewZKM*mmXL?r84h;_T!Cw&E-{^DElh^JCe#^1E2NTDo{ZBHlJ=pc`hVLwz~D zT*P;N*6_>2HBI53>_axqOK%Sqj!1BLF)pnclO!{EF_k7Uq{qhjdvc9CGO5Ug`SW@W zUA@fYFBkEek2U=L@Mg~;zDC-imM`?fPD4B#{!t9OERLSE;2sNN9NIgyzjugnkZWj> z;;S_j5#upo2i~L*hR4Y_YQJ(1F(s4sOX;r_65t!#JSM{sFwDcm>X$wAI)3PC1^dve zPnPeOc2*2u;z*Crum8%u^!vLEgT12Lj5i(O^UY#f+Bxt}dy~{5`OSPfR%HjckGdUm zGHeY=f$_rP)}akU%O&$oF7Yk>{_=j>-N#&c&Rt_18f5#i73RFGM-xXR;>S!bFm^Dv zEF3<$3>xqpA_s>=Gj+nn)eR{)xQ&<^o+E`N&Dd?8DQiq8rxh9DGrrI?rR7ryhMwVz zh5Al=9Bq(=KS;tfQde}B8Bs2*G8)=gxLLazOTeBE@&8Oz!rd<+a5Wa)?r33o)Y1aR zV}O4AFdu^a@SW@_0LBxD?u9TYC@wM8g8%&aQ(F4ez|c@gNGLx)zqhBy+uN(@<40)a zVW+}uY^*O_xS*!4o}PZarlw{N?n%#d9;Iw=<_dOloYCl6XEUsrNsEDzUPKf=1BFBw z6G%+YK|aL|cuRF-)wM;2#)9{7?iW67|tRm7GePst@w1 z21_6qMMQn3-o*06I}#uhO2&)KUz(+(owiSVqiSbAp zx@bt85)np{loXaHAtGfECaO9t4Z@p*gmi#$k`kynLfVvo(7c=s8+BOfRE1VsjIRLfvX2pE&_ED@F1nhTbOw;9+%heUis4`cz00Z<#n4Z?2nRK>w-0wm6s z4_X6(#HkjC*Adha5@%NjELua_lzcFHVC5UqrYeKl3keosAnhNOK`mGYS}f77@fw1A zVOTMqYHb)N#bHJai9~IPMueQyK_b!I2%aP3tdKY*9bBuh<_2quL<@#pI8ZfED0p+K zI(X@zPmnlOA41izc7QGDV;m+jMLZ&={J>%Ky*OAVMT!4taL`!5dHYnAVE#&i^C9R> z!V)`t4%UUm#4(+gA?$2VNe%mYwtx^X;OnUxLE%!;l30xhSA6g_E;U&Bhc~Ah9PuXh zIU%B{H?hPi8DX-NMC`;IP|wf+a9#jw`&fP8_!pedf@8il?}fO&*&%yN0kW8erI`i%QKlv-x!c=YxGoE$~bUn&_CQ4I>-iDB&9W$9sQPnzZ=RwcXuEhjfi#Bq$nc*zh$ zFYIv?AX6wa7=g(HjmEL!reB%nH(3~eol5JBkJ0O*`q;0(nv;_otl%K=pf}ar9kCkV z98tEkw?{94B;mQLiwjVJKOs)ELH(7T91km*IXZ$_UyuuY>|wb}Tg~*SgFQhzd`uSA zfd8OW)FebTV4UG(;e}U_kgh*baS@pyoKm9C4gE7{$UWHm>G~LR=hprDnE#^avDzY=#6vkgn_QxE)c4 zKY)P$E=wyo*GJ?+o)#*h7yeZGrf49li?eT&o<6*YcmaRhp!q;fShY}+@(h6i7vK?U z9@IDB1Jr(zfC%EKPtdob`q=MusngAZL^KOE8!M{lF)c?bIwcDiv%^!gptnTzv0p_O zHyd+%OH)Nr2tjjkal=lQ;>?2#aWbiGK%Zy>s99~-R@UD|!e5-Cij3EAI!?%EmkrF= zT~SqM5=pHedVLrErLv-q{&sCGcruj-(s0FT{2}96PCcfg1Flc#U97Rp%t0I?m=LJ! zf%p_8!BQw%?4&kcq6SzSqF~aWsY5uCI6JV11fUULP4N$VhT0S+2t+GV8Ju!&q+Zmv zijg&?5qQS%8o_7O7BI2T;s6EDhj=jEx}a7-4vyJ~D^n4~K}->jxSL_%f?(Og3$F|= zWYBNoCH(s-SjAqP^$2A>lP3_x>Bif_6g|4|fT%e^u|JAppfdf^OpfBPKN$PT~1utwS8kM%VK2)HgU25yx;KoW&_ZRi>XJ7W+dp1W0*e*dPW4uz?E-V1pGDz(!mUz}#=6 zuVCyAqdgSB$U*^(mYH)yoMfU{9W?VH29x;kVJA?59dX36p;Cc)vBpxURKV#1q9`gA zFdM*Aq^MM22Ncm)_`z8ezy@!#$w3s(<^q1;3%yRQJbr=(rBI8-yvm@w@skNCfE7C{ z@0kmWTJ8?6#%QpIZ;J@}og9zH-Zt2IBax zvm2X8U`P0129JuxXREOG1IL5#Nh+-7qA*@AOo1SbmkU!L2;&un84rXp8Nk*X3gZHX z`3;2ea$#NrVZ2t=TmZs&xiAF)*9~~NF!+ZsUM?U3 zgz<7=`VV2eTu^BUAs%UM}c4 zgz<9GxoI(c_5?o2Q5Y{5?SqTqlO+@AksNH`5(9~U_7P%S!3gEU6a@AL;47R$PgmDVd!6KNpVcHH;B?#jb0sx4M;WH%g$cMr>g#ZK7VMHMgn*0C;5XPwmO@%Pd zCD8imFe;Y-L(qK)~PlW*iAdJ%nAOK;UHc)g3C*wNclnEA&k=r3J+nNSD^3^#%TkEhcHeLC_IF5dO+bJjMIZ1VI~k0oh}h0 zKob-m!Z;0}@DRrN0}2meyj-}vgCcmra9IaM@RCXB^o1A!mdND`MDVhaixY_8g(L4P z;$j4FA|Ev~BB*>ENdd_a0R&va76Xdl)Q~{mAwrM?3J(#29ME`(5afW$Lj>mp2_3}{ zBcPK6N)Hi&9MF0==7cwGv}ghmTp}dU`xy~L!4#Sz3aD_3;T;uEF~Fk&Du#GeM8zPF z3aJ?8Q85(*Ju0Xo)I&T*y%`C?9^x@Xrql>z4(YRd8dS-dibMjqfDds=k^nCtLNE`Z zB<^ws@<*PGaBny$0{p^#2B8S>i$nl1tSHWm0KbrMFaiNKAVP2wfh7_S{sd7#pfL%- zC5eDz62ePZs>5GHfQf{IeS;7a2?viL3J6F>7n>kL$P$4j5)S?vqJThU5`s+<0m~$W zn8Xf7_b*%C}KGF4FXZba6E!2AW)iwU=$WLi6@8x0;j><9f}YX zAbcbdNKL{{UJ_7ELI{Z%j%AG?l0<+t31K8G;u22~1vp&u!?i9HA*6s{l0?8T3E?D( zz+n;sO2lwHYeWHo#3Tfjh~fAXL;-=tXv+{HgcJ~3B8FqHA-F^g$0LXW0(aqF8Hx}* zK!`~q(3XTClSIHR+(JVULJFYhaQc*>0HG#gIF>bnO%egKaLx}!2nrBzB8J0jQ@V!4 z3Tyncl?_#Sma-w3#c!8^WD(9H>ENXyatSadA*4mp!Ji;<2{?ugHHZ*+3_EHlf|CoI zMqo=KFqw?N7D)$xf+!#`864K42(CEbIUGfB3do?`5WyLSmPW;q zbnpxi1q2L}5!jNbqgDjABm#!X2yBsbux}99k_Z?kBd|r%!Ji-s2pA?Kuq6>N3`?>o zLQsIfmPEiX_>@Euf(K}92|~bgLtslHke7_W7BL(v2Z1e#Kwj{nj3NXD2y96N@{$qQ zB8KDNAPNZNg*^d&IEh8@00AgsIQAL>(CG+JfVhu80SXZJ@d!`=OUgt9D431_1xOV5 zYd`@K1s(wkrXv^ygs{+X6A1}>4FM<;5+1=QAozv=6bT7`f+!$B7hR&85kV9X2n^@m zP=ufw4Lgy<@C*FXWH^j6bC!y=&zZ_bMrez~i)Vw#CEyy)@1Y1mE<#&$gdTehp)C?G z9zo!w1q1__!ES-WCFY4 z{0fQ?KWQ4Y;ePFL4w1o{dB7!I&@E#o^fe67j1fb9ih}RGW1m2SofRYKkC!?_{5;>kV zqJY4Abo~+{xa82aLx|uMpxbs3!6^Voy(oe=B=Gl%A~*%;QWivT3MdFbk;qXwa8C$D z@P<%Wi&*6k7s~UK!^kZD3U++1OX^qIw2y60s?g5wiSvH6d(YF z!{WqihyomEr<4sb6|Nc0RyLIFEM-GzOCdm+g3uPuCK7ofatY{#Gdd_jkc-fkLJYOx z)CP(WuyRH_KvgcK@O1YSZ4mE**? z7*dFwVbK-O5LP~^0fLjTHb_k&I0dvN80nlmvxg%oPe zIL^(iJt`cpJgKk=3B#SDegIC0< zAh>D)`$w>QYy~|yMjLFu%&-ANe1vkRW`b20WkNkshVFA?n{jY@Lr@2nW8kclxPX`d zEbqVxX=(Ti`b#GMO2`O736L#Ti|GL|q@avv7KE{LWA%+!)7fcjK z6oXZHRxv2s8O7jT1Y|t(pae!CR?(TCVy{kp3T+E(FYxK~4m9>5M)>rH#EUaN#K8+b zoZ7+F7gSLaQPC68)f7?HGlg>+?k->uNd%5Sz^=0?EFm9)Q_~ik4?76KaYZnhWa%if zM-Q(eUV-W2fRm{COcf^%Qy7Tr;&LYrP(j(#a>uLj54mF>&CCRUOA%y;cbAX_+6bh| z0Th%Z0OJfvaTzh#tCCZxcVf6S_`ZRLVugY}6M1z|u7~;6HVIWH!%bclh)J98f z#MEX@G-7DXQW7w*!Br0*bj&L4AN|6AlqLlSu&0%q$nBr1LX;wf{RIke)tMUEOf_&~ z9tq76>u_fa88y-Wsorx@f=a}cm0C|K1@IU4kR=c$poO^9XVv>3JluuriPhONyVzX+%iMjUW67y_4cpL^&)>DiAxXaz;x|cCF>E4W6 zd^-2|yvF|@{)zB_Gp0+Vac6!M1wk#KiP9pEp~kvWMPZ|P3tDFm*a6(Z?4`^#0^v-JcvpoEiX+=mPa|uY>l=iLT7s4j@om z4YZ+j&_6=y1Up4;6~!y>*rNzgP%&}iCf0i5&_D5`|2$C$8S1 z2L$stPQuSC)YSfuNSa5_lh1=FETB242GTVC`Z(b|mfP-ME)~Vt6JpX~ZS`ytQzB*n zBCUa_0S*M$ir}U5s{zAlv;a|P4$#mE`ySrhHIUPyBC(flsOme`9I=hepyCt0!9Nj< z{qy=G)GiRcm;zk;>=oJlOOG_b2Hpymi?GBe)0-=2M1{9w&WW`9;DEQrOJEUJ&cE!m z61~2rUDo_3-pCj{`CP~!7$pV+5mLiz?X zCmFMHUz|A?ZK7Y3I~?zcuQa(sk0QNMWYgsCs>vM>AR+_f$w_|@WKHg_oZMBeUpn5R z_RiHElVjuV7F^z*{Qunt5B0AatXgR=>O||bqM$%A%DN{8NH`w$(HljEx<$FSyrqw?`plVemR?5i@2PRB0SD;Ymdls;STkfH zrIi#~9jgIyL>?ZAkbsGx%iew9*9f-e?vBE;_eyhs#-P~y6H_Q#5$P3w>~@_UcQz$L zV%sRTE%vba|1$!M;qu(wq_M_jZ`Pb`YY^#fwjb7c3fM_jr6(O>pa5v$Hu+4hqU9R0%Xfn4`ejfxCWGo@vLnQssdv z)+>tny44>eK!_4gLL8s0yWr@n@J8^!p$NSR7li`|dJ|y@Q1vG02R?gK$u`hO$;OaK ze)tp{*zFx;N7;nax~vH92#;cex;Yl8pMOFz{1a;DpEemrT~~9*eu1a5d(bBlK_C(# zbRAE#J-8AyItv0~C=gXC`2V0~q#{7WF)u)5lxV>2(;e(2!|;G!oF@T44{S7t`rGHZ z7A$;bmryWfZ7(pmP9aA=-7=vrgB>VXwS+TUmMIz(-088@XM85M0|k3)$50*xO6Tf& zjy7p2s}ep3e1jHiA+~Vj6UZGXTeO@{1&XD`J5aXda!yTau<+2?{;XWiXw;H8>ZWyT zC{}P@kMud6>jEvEVfIiqIYP^gg%-P|N2khB zKhhowCOFC?%>a^V@oCj-C|N9AlHUQcC6+7|2Cf|-TT;nF;Z}ehAX}7V55<7F17wSm z?4Yo!)EQzY*ey!3e`271gOco?Fq?ail2dU{o*}$J$|<`iCQKO$y*rXIHig*|Z6<}u z)((&@(H2U)X1xPsi;`?TS6^fcAXBT^nS`sS!-)jW96wv4&8e@vBbIKyM(Y=BB7NYZ zlT)6#@h=w=e*gVH<5yqVviEQB6Qt(o@Lzu534g}Q`GaGnj)qT8SkW`M!mJkz;oud@cMf5Krtqthh`G?LjX&>9SN)wWFVbccWT3evE@K1 z7_q`I1QH#7tmCqud*^-^o57 zUFe$RPjH1t_%RuBc}hR~f&(jN$N3Xn;Sql75thP&KcC|k{ZaV1@!mwi~q+s#`;n0 zsV(^4@h=Zsr1$X>pMl?sj`sc}ed+y+ZOzX9B}&j+u~}{W5YtNj?t3{FEr^Qt1e7wB zaOr0#yFoh9lJl~1=>#kw>g^M>4gdc&5@oJP7JOae zGlx%b7x@WKd`4j5^flw_x8h9V`v|_8{DdbyW0j0Pu;A+wpGkZ%ZsHT0S189=@xDgl z!)4rpuLcP0Rg|IZjURR%#yE9+#du!1hc6Br6?|RdGl@@d#i#Ix-p1LCIMY<{b&1a; zKEV|p;g9jWg*|ZXyWr~*pGkbu6X6N`x3Gs>*aHj41z$~kCdW^3ui{gDh2GpkKe>`$ z@O2ZbIsR_aN8u4)A>Ugh%B%h5)^#~2Fthv#uJDMjwj+cSoz)KWtM5fQ>_6L16X6m5 z;P)OAxz$QFx4az3CpijF@THwEv5_$j>80`Gn+0ENx!H_qH*QTrP^+18SAnD0HggUf zF=QqHFeU5A#YanW7i}8WQWKbxchqAO+F~@rRLMMC+mmc~9%Tkh$vxaiGnn0^F3Be6 z4Lp^Zq3mXL2};SAyLs)Er*9@$DhYG9{2%+hCZLpzxvL>uxqWN`N=cbLWM;YSXLgIq zl$_ZEeS*TxZ4*1HK>it={5g_w8FSY*~+?q6Iuij!EI zv~V*(pEvv7#{hy(p@07N4|ekD$N%ep|EK@?6F<($^Ifl@W(K*D@(t3mtnFfe7Eb;m z(y|_0`y(u~{AHEoZqWY-sbzDy_J=@bNz0bYZK?keq-C$dJ+5yIXm)Vi-kX8!PQ!29 zY-Hgq1x4;i&OmlO_5!4uPM_KCJ8YgYSeZ^r$EQ}~ibVSzCETfNcD739xkzIB&p%LH zx6d<*iT-~S+x!znM*n2)d0j8c`0`Q~TXV%Lbg@WM;0jOR|3J~*zvo=+x?Ys&H>vEf zm+=X%@Q5$*8;3r4RxGPUznx_gpWq6Q@cXV$$eVMq>v~bfk6j#>r{D^Y@S}+8@?>s( z<;l0gUs5(JvQP3SxWXg+DAqbY&i$_IMHxRta-2WG6&~UDonMeAYav&j<1762bH6$L z6kOpEeiWyTze^mN;Bmo%ub=zP;S0END9QQ`1fKYeou}(XnZ8TH-1uE&cj8lgg?(J& zn8tch#t--$9>0t1PIvqVK`m0{NY+Lu#^OxksAR1D z>I@GuPS8@4=YDyH=OQPdl*GPYpW!jf2`EK<`vscVUi4ZnMSJ@dn%E)q8cI>#eu*Zw z2)%|@)Mr;jO3K<1yXQi z>wx3UlX|c)096rIt zLLK=OUm;{q~99TB>+Cqq64gTq=&jpqPnji4}!L!><>@oQKHk0K>b+2G;uNP5E* zgDX7ZFf=%p?5=DvQSf!swn@nfuJDMj7};2|!@ZK`whF$kurY~GaD_+sV`Oz2oDDMy zzHZt!iBFm-Jb^!2!eCFBFZtYfOf2E!n`Qi>E60}izwy8v;!sHyx*h7#1rMH3Qk*HiUid9{+ni>DKq@PF~BT>PhF}=mcK_DSG01 zoY`sl%4qRoVW;ScGns)fHF_!7q9^^X_9)W61X6RjP9|)nuqBgd9^B~(r$>n$wrbc0 zLi_yXr#ufTm)Cpz*)ChOL=Y`;JuiHD?H*W_>HRCjlGcyKC`3a_#{W+3H*_OqJwaR z1K0Y3uTNa2A z(}o3)_+ofRtL2dAYNFCrI%e?+uJ8yy!#lb;2R`?*g}**snHxXB6&~Ra`-m#ujnnRe zuTNLz@CmN)2!Gf|RPk;s78HD4m3hwItwWOQq3{TQ*vBRO3ridYU$?_(5})7-kMPS5 z!@saFQ}A^=j3)63uJ8zd_<@)h-B?a4_}=m_*cnNA3QypVbRIqWsGlr?6?|{`7r~8* z5t@w?|I!OE@cGhZ!guR?>PG&$5nUh5|E@Ttd~zf$!Q1eGjp_?MJ*iVy*_j*8OUxHwE)R!$E{zD<$B6% zesZ>b>sOGu2=p3APLvsQGCR#9jxx)IojjpppiDrx-1eH1qP6`ob*>S<22zx^KQYgR z&DTJR&i04*xf=T#NKsi&{<2JV_bDub%^G{@O2F`9$`?nY6IX7)A7nkbbQNH<+L!~9 z^@M$H6Fcmgwk4B=lB_3}ZYLscf0?5s3zipBWJ(Cc4zS`dvbC6J0~YjRL6b1Q`uA7A z_-|i*{?*_A6RsT5F5pjS9qm8N<)}-4M0%FMtb^=8ye4qN{WfYp6>I3$i{l!O*YeJa zeCf7qM#u5jK(Y?<`kIBETdaO9@{WsVhVqWf5r6hGleg=gJ-8O*fvbag1b9Er``CO) z9UB)g4T;Z+?7=;C#iso18+`52!2ZrH)gBz0J$RyQ4`M-m*>B8v@Rb?_#wJF4Lwv0% z2yggFyhX8Wkz~LhOOoCBoVP8cl{e*P;7vUPZ|WI%Q_sMg8p7YyGw{ZxW-y?hfj9LG zys07lO+5o|>KS-bL-?B-!r!<-c)3D?_ZTJq*-Oxmp3`z4v5R+PxpB21`Paa7TvYyR z?uHu!+}SMB-p3ZXnTf$e;#wXBn=eurZ;-b7-rsl<7Qa+$KimOeMA#c?oBH10)Y|W+ z)_ym&_PeRI-;HNN@N>2HyQ#I`O|AWIJa=G!<18fkcS~ot)|pP8GwyjB>R()u=JMa- zt4hS|E{*m2HXxi!k($F_{* z7K;^I@>@)4AqpnQ_89(s4(RrqgQ z$4WxR4l@YmtFgIg3#O5w(eH?_Y>xJN{9!Zl<0U2kOn%5Cln;b?TOzmc$%+uRj_?~&Wo`^I?rW0D~g zpJeF|dh)RhRl_u%L~kdFBExQ9`TZca=9V|bRIznlESe84`mxVji?o~Kt=Qznpw~HRaexp#?aK<>b7K4rD~&)^z$G#=fJUTiK$}i zJYiIfXL(yZ;g)zSw&V$wN})4XNMETGdS~g4Jb`iy+_d^+u4H&3wX@N`kCp;}I2`R@30!w~Mwv zV&C|pf47*MjPfqFy!pyRgZ97TLS!&kETVDf?_+D;7~PA+v~-Q4s@UWoW7rS!*1R#g z;|rU{jFPR`jJggIDhf-WK#9~tiPS@h)I*8XLx~iwlnY!c5~E7mnP8RSb4d-IC7O8|N*}&0u~dO}&hj8OcR7x2OTZPI zoMqVPL2T{@h)n|RhN@t5dwN@vbe=!^*+_)vQH zPzv)$p`u}N7v;Z9Lx3^Yz+h!h!U9K*G*LMyJCf8p*liZG6P;%_uTxN82z!+sd2 zI8K;Jlc9mMNMX7;nFq}fma>Al|0}~;l=?sTx-L-7d}qN%=aUWA)z6kofBn-3+F_&J zd%3dqd^&&JZjT?~6Q#}zKhIz6^8+RS__WP^-&}CrDFyn`GBCN~kCvy%CJ#AYT%bzG zD>s{V7Ck&c%e*n-XWr}vi@*8q+y8+Jh0ng(^#nCOozQ=Z57%cr+;FiqzdGw7n3QVZ zj@h6|Q}c(c?ICM>$l4yVwuh|kA#00r2Qn#In>`4!EbF0ab?l+AzX^4Yu7#iA5JH`C zMLY?6s#^V&vwCU<@|3fBs#^V&vwCXY@RYN{edGa9Q>>?^SWh{tr>0m>x#6d#SWiu{ zo|M8F#qqjpVE>?x{5SsWkbidgD`R@>99jQ)%*3X)-i1AS+FN zDouVWO@1m(ekzB0s^0iinhX;TII1^3Rd0OCM4w6~p30w|%AcMx+NWadQ!)0b(E5}a z$CcnvKe=ZdA`I+u&p1@1H@kWrt2JuFa6$fL_)+gK=OcdA=L^#;p z5*{FSgCNJ2XN$@m-pKG{RTbrV+0IEueUee16j)zID>p%YX&;Rn>_dk68&5!^3 z>%aT@H~;_OQS(_3%boz|Hu?_Iw0B5MuNly1fr&|SV0zFQ~MYxun zQE#q#umk2sMmTuf@#zDOBs4q{lxzB^8Qqi~T}qgfB$ z$_1pl@s)8iGLGZC3vfez)0>AL>|h22rnq%d+&VSmQQX3mB&4FabyD0qDQ;mJqrV9c za#GwnDQ=w!i4aTigS(;?_xV>%_QKN6FA1msk@^6I7F-rY_#x z6%td7JSj%v0@k3AF|yKQ3VE0wGwK&qOay&~D*)sDJliN!38=>j^KsyYVDi*Wi;Yt<7S0U#ITU1<6Or(ord&9YBzzvnC zKx1^0bBnRnytz$5PE6h_>u>mUkRe=6294vWieZ<(A6G`RG0$ES>nukd^aut|QWo+B zm4v~j^T6pQU2xi)!#GjB44Kk?K?U{m1N*Pyt9xW0DnWc{&PTO~Hsd>KLUdA5;)~{h zC(exW*sw^qLo+UVejvMU7pfbnmosiavIkrb+8#(M?)nVkQLKR}A-m=*tjOsNZ;cW% zG2nqX@xVbs>rk369{hMIaJoAwIN$KL32;NzsJL;o@Hckk8s1jZsxO*gpE${^;(o*1 z8e1;zB!h)xiW`69Eu(P3^I9$6@Rr6_;9O9NitzCGn@GetcP`?7!`m4=;cUq1K)Dh-@e8o*WC79Q%Lzv2IYw8=nHS!B?p zq5)XxyU*u#?7ClIF>^+b)h1<`)?HTjU<|mCIts3$Ll|=BMa-UgK zDLCKAR&fO+0b^$|Ws5iT{CJ>j@xY|{to4j9DvF&|e>t=MQpVaR%LiouToiY~?n;P_ zxgzXAKXXYr2?E(2s}_Kcpy$Z(6?geDT{(< zm5I(O6P;BiI%|33tR==)Qa`I+bXL9Sta{N|^`f)tMQ7EEuxuW7qI%I;^`f)tMQ5#Z zoK?0st8frU6hEOoe$0Z-oVu4$@-f|&*jjg!SQbG^h8OA)Bo+aIg_SZ&Zv+Iuqhm3^ zp|Tf725buzPM>VRqP2W;)-?aD!hT!SIBOl}%xP?u%FFb3AQcQ83BxGsA@m5t6mQtQ z33G5S?-Z%Lk^VlwMFXq{;lv&cvmorYjIg97;`owt>>IoM8V8Eluz>*%)I^0 zrLFm#Icuqsdn2A;hC^e=#sm_N2aYzDzV*g$AHdjZrMfLNot4d>RZWRAolt!(t$fj9 z)R`$lrTL8rgGFO%A08-YJWw(55X586+9w`oRa4F_2CABJ)*2l)&6s#AVJ`zq-GXDo zy6vAkg7bK&aCpXy zJ-GH1RBnm(CY%r>R>tWrdDro+WNYwTlJ>A*2r5{Zz*oFW{CehsYQcHD`T%$hFpDdh zil=Lw{Y{v7t8;0E^{hqQGiy&pzdQ&XmI3a>rrdFfdpt-OPuDM#0jnWrEf}AhgVBQV zSqsKzR!k~kFa7UmaBxX?(9czHNvyPDE_T6P?#051%S3a%VL z7ANVgXXRP8o&g@l$wdkivXBcE79~QqzDNNbVv(%i96Pd~+aLSnuB%64>+=Ft3e-qA zNz~^^?AOIAPil`ciF!LZxiYOVDgqUXaPigo%TEet?|7aowz<0JNf;aaFdXdsrFE>U zVxFHLX6_tjw;*R01umI?;NgR70B7x!Ijb0UW-+WdU7sBsCPP60`=3JwTzCTZr&xcA zbuWGtalhk?u-ROw77Tn7wHQMlj)B{M3eI<&5gH;1LyR>#DCtdbj#3PU7%8fD`$bEP zPZ)x^DcWTC19mhqar|h7 zE^WK}(5AKzZOZ8Yh*$5M<3<^A3TQWAEy&fDB0Os)HfjIF% z)5cD#_CQ1aXcaH*IQ(G6tFrz^5(iu~n1VFMBvMIi__D+is-fLVAKI<-p)%Bm%1|FF zLw%?Wg@)YWVN`wkVD+gI|Aqqw%~W1INSI;=G?NQ#pCj>6>B7NRlaqNomb@w%Z}?>F zgNyM3u0R@^j_p|bDnej87XrbHj7E>=t43J+ijE01%R{l?R%w32IiGNxjHKc;T0C%d z8J+n;<*^5d>l$cT9i*zbhi2S*Qb+?jTEMhhLM2X81kOx!t?xS`qHBJ@y& zMD(y085G^6?R>?xR`yjP%qunr?R3Gu&=aH@JwdA1$BnQUueR?NU50}~mHAMR&>PAS zG%EzNFji?EXSn%DB3!Y z<;Nh5^{Xn?H);piT23;s>zvwxK8SP^Xu4m8IyXHGTSk3Mq=xpQ zn4>qLz0mY{6B8Bp-?AHK%#3o_-cBk2}ty3uUs6DHR#F6^d4OrIm@s&?$Yr< zcVrLl$;~@TnBj9ST64cNz8X7PbB8MpzGBTCEX%k!DX3f=k4HwKo3@i`c3}^}1y(uZ zO;nIBvCt1PWUX59kGsw*J;y@~`dTtswFg&PdvG^f-O`f9Qs|RP+mzWg5 zOGxK+m=hflg7`?(uNSS2UzC=kRfPWye%}6A);(Ao_cgFxce7g6LXT0dsM>@3IC}`b zV(}kaoGy%X=p!O}q|fV94Lmk|m<GIkMWH@cWUqZSd`66^2~ixfb|8<6X+M%h!q zqx8fAEO_VYuRTzE@jyev1Lx7mt79lNxj>GpHySUl!x#1Qg~{WDg*0NV*a-8OgcG2R z)L5=n+nFnlkOn=2$wfWGId#&}aD~pJfel9omKF~Z6cn0qdA&OR#_3An-m7X_3$_J7P3NyBz+%X>tO~8(m_{z6Od~@a1)##TK zhsB@(I^WG3qD>_G7(q!tJ~s zOj-1-h3WI(qx3-kNCpg54UD zu|qx*izXAOLfcnWJ|@=V^w5(4sR-i=721tA3Ljjlgm*Wjc}ndimV-E9_e2E@%%bo> z8CbIp7Y`mn#o8Q6dlyk9b*b3#6g|joDAC8nH@=Eg8#7{!q_XELrw~86j}H2-c=6*c|M$Cdg1q+on(2t%#at2y?ZR0>bRO zqlCqFSd&KRVQiVjJpnOKz$!#6r6}LLwxty1o7d)^SNoYk*c(9x>34_)vjWNoZE^U3 z-i+~v%@R<;QtXWh72ZfQn_uKoN>VKl_C~nC5X8VmsrP=3QZF8&q=JXAo$yGYN2(r9 z25;n}nwfL1^$GeYb~Gtbn@D^`IQY4gq$%xcQUV4|3P)7}E58(PRML&FP};lPvi8oj z-jq7a3e%An<)owv{9ydS`KyyP4#1%;XsGpa#Qz^pej>T&_mPq{U2NJwC8!jb{0>MY zFa#!}#3&NxkN7{=mDF+*GSFzZL2kpPNshXh!o|p@HQ$IXrj_o4VTW{zKGADkFO7cd zhH0OiZ%4D#C1^u&OuG(?=^9{#R%mYVK8!-EFKWLE5y%!ac~bjT*jA{MI@;n*1QcxR z29cPA!4UNj(MKI^@l`-pM_as!Oh6rNq1`b6ybjj@DwkJBTf7lu7SQY19E?zuy@Vnm zNqF}V78kEtb1+@d2vWoVQ|m&CPl^tYTNoCyd6Fg)+|Y1>*4#g&!o)qRiA(MnIs+#T z<5Or{68JtiZ}oo}$oh^*Or#IS7BFZS46`V~XJCfo^Y_sWrCmCCl4Zv2lU3vggNS25 zu=P)z=i~p~LUFt^D2Rh&?UKurDi7_p%XW^uU3=LMl*2iPJ#cPP$vqenE@+hy=QMHm z4+7lf17#E})_`uz?wwwIveK%1HjyC6NHrZ%Pdj`?Q@X&Di_CDBaqnfN_h`t#CJGe# ze}p-lpM*wMg&rKhnKE6H;vvI~{4M8s5 zDV7N3dsQ4&t3tHO5hq&AXy?!4rp-$3!AN7{id@;Gh(|XYB-N0zzrV((MH+Z4PC1Il zI_Rqf*3d0z&O#HPT<&PshPLDNH%@E{!|t^Y_)Jo84@|-=MxkcLxHs1^hFu>r!|t?? zqC*3ZidJYs&NtIuL+9#H&>i&0jt098$OX*Qx#652y`!0lqT5!)1fBV8eGB6D)hgk! zN5-y!xT3W?4TAQTKqSnf$mV2**mGeVTII>TxZAa`Joc!Y=x|6j>e3JNDJFQdC$@(N z&a^wrc5dsNfvCqpiWj z7F{6qp+lVU!2}6@N*`aLAA!??8y&1wREY=YDeVE9le|ER2N)z>0;kyp;la8DYT4LN ze)$pZ)xjQQi{$oya}D-sj?X^1g(t4{E$9w=pbS=MkSGuhKcjgWwhnoeM*LI4z}b88 zKc4ceC7d=9)phlP35*v;-4%nv=vx+-6&Hrb{%0SZz%KF(M$Hu)y72J*QY_Yt4tk#e zCpmU#VmQ6?Ck(Pbqa4k(j|vkDzeL-(;r~%n^7nUc&WZaxKV4c27~S&>N|@>3!L!sl zzy}=@aRzRr_@qs&2aUcEZ6a{ZDg!+BN&5qgenmtZ8jU(S|Nn^3Fd&v|3c9gJdV$U| z1n5me8)&fk&fj~NL~zHVP)6q&_kjVnObl~3fW4dlaqt#s_?`(Nj>RxvjhYY!Sox}o zL$^V3$dmEIq;rQmp4hnS|BnH)HBWv|Ez-T^pdiLa_m<;L z3|+goymf@a#!Swyb%8m0yGDsZ7ntKsOtj+y^G|pq6k1K!72o(ObU{~q zY*3`-;X3Rg4Jm8WE%t2s8|>zl2((}x7nc`Vc>0itW9Sg~X(NzPhj+ zOF!)^>N5UVO1(PshiTQpWcQ3P6w4QIcuqKU9P&d{!sTZ_LVFe**JpJM;WZd&Bc?TT zU09BL%;W{4NQLtuvI17C>hKy)eVVd5Mi}(!mR#e1k3douI6q^#D(ehl{XMJbgtE$max9XfZYTQ7K5ND_@U-S3= ze{dd_sQm~iHn>S*8Cc;=I|5Mbwyz3J{zpd#-(31a8)y(MZie_jC;D++Odbs<Z?Gm^*G5v97adECh$aqdNI+5<#UHkL3hy6I5@2E@-w(XA5#85xQ*R)nE6SpN>;Sl z3t>OL()NCAztI~Xj5-Dfb1PDVbtM~%My;qrUB%yrP*^M}LOJN42u@&yM(C+@IN89^ ztBcv-!Njn{q*_VbNmfO0Ft-X8gH_QIG~$4feUI+Bpb2Kau9di^996>ri$f)nu+Z@T zQE9$w)5D!5lFH{%cV@L8>@&3-(w1VpE@J1maU=~(_lQyW;t?%{@2wQ0Me(~fAKY7w zM7wD3s{_=wQ5R!&Kr9OtP9~guA{SJV>~lOz&IdP4 zf${kxdvC1+{ri&zj&E==4`-sFKvL1E4Qz4_fvGP1Q0?cT+9a}Z{~P=~d=GXq11hhw18s;?#yQfd9LWV`C!{8~guL3`9u4c8nl5PE+9CZ|AMb@}Ng{Gs8&-?25rP zr-m9QUo1a1^TSRV`#%l>QkE)dVW)^lq0U`e<7%uZuf=oFBWK(TEl#7+LK^)(4~(zQ zhD66~Xuawxm?4WwNiJ#_AU6#8u{y6|M+@+?Lk3|taEm!q`s(Ks^8cw?zxA9==V4vnT z?bDn9KHV#0D0GGbS7rsd&FWWYC?Mv85h73pYLO%of+QYQhcGnsZMT#r&5y8pYJg@mH}npK=2Q_Ljx-Pv+M|$uQx6lFl?ic<%&V37jP(Zu=0L2I6R3OWjTDA@ zQfNAQ)D5_?xb8II(^V9oE<@;%ML&G+t+Bs>R2l`;Fuak1MlA$yqYYIx8K^=vzK;=yC3CkCEIs&u zV{O@q!h07kKD|Z`fk`SltPri%;lJXr0(w_S9pjJjMnj=PPUtaW3{<)gMjFKv|PwuMUb3o0Lrd(AUk!7e`WDl=!shg!g_I2;{_5 zMmY)e%=8#KEHpWXPt=JGJpS)!aO}>};xmGu4qae%+xRpB=;kmia?d)n^U(C|q3K)P zgN$Ek`o?RJan?ARpxal{MoxX|s2-0>pxw0&pKj9lbnV2aixyk|BTb7L7D$m=YFQdh zW7ea3Jcu-u3kf6*Bwz-D%|+M*=2NT(m%q!f8VQGn73rFFS2nmfuB``S+T59EImqR? z{*CT4#$iEt*u|SqH1NoC-2>SFiN<=WI@-9x2FKA|eX!3?=B@Sbhk8^!G}pobb^Wm{ zU+d9W3$d?~j$C+5;DDfR$TqG0h|!1jQ+|SOtTf}YsvGiCoDcB^>WJ=b5~R%&5A~_y zrHQZztOYu`cnETznw>tG-5=Qxib)L^MUtzUuWK-B(}g|5{EM6GMrXM;6Gi>l{Z(`Du>F{vQL~vIseq*hYx4ix`dv zjjy^jMo)J8o3-TJP3Mv~DGrz&kQa*$Ug^Psrq?>ABq777# zSwvwholzy#>HMONMR-^l6*qMppdAOzAY>dzH3E4=0u8#b7RxU#{`ukim@(`Uv3jiI z%}RWCuOu8M!A3h{{vynzgzbfJa5k($&E4@P#y0lOBo_mJV5W0`6et#^F`;0%>5Bh% z-N$=ZL`)&PkPF1&+q6XoZ(^w07M<9cQ)xP!e}g6u?)K?MfKQ8V_RsAT|EFeY0}#py zj8u3C1Jwo~yy*=TaMwKx9-`@DO7dR|McI)iz?&OB&1z zqU0N#cnSp$u&17syTT#2@u7NG4 zzQG4CsQmcCQfvDIFV}(5&?j9VfHzWqMM!N)!B;T^u_XnB>$sFI9l#q6!MZI1*TWC0 zBU-Qr#WYvU{rvydC)zn-?|&c;Vd~lg^ume&yWVDiCMl*42T8#Z6GMrUWg>y3-5Ba| z-@DIGfLH_Ej8tdpW8oE&i;F(WoQ;*BJyfEH^#karx{2HoKZv^H^xwTUc3ma31@jWsFSJ0_1tFaGa#k9#KF zk>ZmXpjJN6OEVaVb&gMX6Ds?VpGRWMp;xgV!Ccy2>X~=8>SQUEpO)wDdG!PMBMel@T_7! zWEF$03OY#9&}swcLlyKWoPUDt%Hb(iM725z4d>>LyMMS`4_L@j{S!kOpLAIGo{25I zM;NnWQ>#Sl-ib@A`sxA-+&?K@k;_%76<$l&3{`K!Z;eQ4cq5n%B?0&ERG?Yt$(#^Q0p*%8_ekblUl+(F@ z=|+DZ%trxZnzk=r>x{gX@2QB!M|U60c$iMtLQUrf225>Gb1Ps>v)-cSp24^}z60i5 zM#?k51cn=tcU0JoA1Zvx#JC;41EmPqozFW^ihSL3?L(=n0YLGE>}1_zswJW{UH&x4;ypd{&#mcpf{! zRCb-*===_pqQKqeT~6n-8^=;m%B>aw7X|M2{x+jO(h*?ZR#yBWu$zI$U9)p#VET;T%41cV5&l?lel>(#k9Tbm|3C#x2C1B3Ju>` zM%!2Q_Muc2)-aX9?v^s=IVz^zO-n~m#y7l;^f+uUS0= zcPLFLOqtuV5}v5x7Qjs~(fgv$iM)FL4wR~QHO=D^Kn^K27%a3Dz3XyLE{W_x@gkN* z{xoOe%0}XdTP%0vA}ECxFOaDK^l})JM`C z(^71po2ieWjA^Oit(({$08{j?>%4OSOc`u1Yc~PM(%+2Y6&GHcV*&~b16$5F){9Mj zi_X+J=>SYk&k~rTG<8xs08>pefhk&3XQcx$o75Dwsk71nm@R5*to7yPJW%`d^~6+h z5x#b~2WFG0lGN841eltpro;n3II%|!Zf;5Wcw(y9LTv?mgqmUt-M%dDT-dgSg_;Vb zR!rxi6s_@XV@)zx#`ZTlLyaOeV68j-7P|&^($-tg? zRh}ud_|}+rpj29FA~H`)9%^pN*{3DPl@IP#f!oVok2`wyq2#!-K*>&zyMW$-Qf5uu zL-ZDy>>sckToOHQ`Pv@q*vtgUl(Fun$n#LjSa(z8c_?M9eRVwvhAZjClJZzD9F?)| zrpWWOl(F_rYWuWgjag`^W8JN$L<7abQU$}x4Wf1#C7;SBYPhq1222^Iu1<7-nlet^ ztSQ=1PEb>L@+HyC)4tz~oqN#V!csSDny005WZP%np(O_tZh%ctxG85-F0qu{TVyw9 zicZKAP>Ni9qwPDiWr?Jme9_++al5m{z*awp}Zvm4hZ~$Y4wS6#SYVxWK zz|`r*ylnCo2!N@z`U7B6K7#=_$v38OtDJB3EVezCP13$NBeaI{_2<9+{rCTDn~0iB zzxk`b`uv-J|JUz6|LPb2?W@nf`ul(SWH%mwhOd71Gdr2%VcH(Js}m2Nv!o47pxKHO zYiwH<({Sh54k%?f4{)A*0HBo796}i@MID7Qm_sPzQAk#YQ5ef*b`*Rz$5G&ZU$lOA zUxeXcdxAO&Wd?SD=A6SqfMpr@2$&RIpeEIEQ5G{Er#PHkhxqw#fB5safB4OJ|MtU= z|JVQiPyh2LpMPyfjrQwei>eg|RI6(TpyU)KjHBs&SltYdDVm361(Y$5`=y|T$2tIY z!WhQ}CmczQKP7NL8#rUxGVM6LR+C&DP%X*;DEqaSGdC1l!cuIzc31$IS91tPZbY#( z`f*sb4O;_~%`$#CaE|D|(VS!K4Td$k!!)yWn=NMPo3Yo}wj`K%F9T8c$v2`Wz@$VA z1QwU1gS$IgAraQln+w82osG>Q6XX?Js1@QP_qeb7-VVS>BJj zRxkjAPAX|BF%HjI1tZ(vqb?Q22!3oV8A}o<_TS^izlr83qUlnZDmCb_ot*4Q1m{s9i!RFBF*lij8xC!?ZJb4 zrMZt4vot5=0nNqhHqK||?1Vbaac~OP+WIUAH1ptCrFlH6ujQPBHi$M>v-uqpv7E8+e+yJJSQmE++nC#N1ib2en$^9AoLS1%oRP4;-ER>SLKD)YpGzgm*$(rvZ zlN$5L@cLTMN`}!D`sax&%34eG;nin`G0pG7bMFl!JD*HQuFPS()tPA7HUT9Y61&hf zWq<>Hp$t6C+NT13xNr|j7sDHr%n>@nXX6{t(uME_rE+9WagUZRf;TAH5wP>#K9rJ` zG$>iSaf{=dv?OJQ+VygwNi0E5ivYh|ClhGhn1Y?J<((JhsL6!4xysfxJ6?I)Da2dM3x?wQ zz3BoIHQ8f4^A><%44Q|VeF){(xI98$b|SbeHY*Ci_&`l?S(1sobz{urEZCAvxMF7> zXQ9+&qT8MlEnFvftdcS{3S!1Q?oV_V1xfxFYGyGp^EeBoBop0%=IC2H!D2}!a<7ds zi~EW1!eS1+Pmcqc#aSrXkl3@LK|v2?cZr)UJ~&&k^_Ofnk9zWD2&Tqf>KwpiZD7BQ zx2VaZ&u$z0U^b}9!;dS9vJwrM%4MY_n-ZhEU2&$yBP9wOT5)eb9OVLx`*ipIL*xR{ z4^x%sE*Y37x@bqAhaV%F8J25DG}i*HQj=9@ z%4kEr*2UM7E*oPW_0V0D^aPmfe4aI*!T`RS$;fMzIwBbkrp69-8g4QI2(lQ#0rGNT z5nzfN2{oOu$XcMtN&cA2{fsPGO?H-4?WPh($ji?1StCGrkSQ)pu*^444!NXKPXwr( zb7K(76!QpqMPs|oo^dO{1T{rg*?h)KGDN(#r{qe&WAT5wvS5O^oOQAS)${?j?Gw)l zC}|vlyZ4TSG8^>V-MU+2S1h<-ItP33NK$=q8QlXkm+Ye^#s{Djd#X_=Br#z8u}TPPe-amWquBaS@~=SNj~c-&I?{ePN{qO=LLJeZJvt#n zM?6v#9jRsP14QRc7ep6t-oVTfofO+2O`m-v?ZK_S5)5>6SCNLd1*ki zTOq>%X6*Br|4ne2{W|6M7J1dNo6%%2W}7oymN_oXeV5B=xRtzO4&kV#$jd5$POcr7 z?prs*|1y>}>CMID@mNm7&DKiCo(EwXOtRLvyyf*CuqJUPNh zm@L;!&5ndC5l6xm!A3&inTs)HBx={iBShzLimT@{(ZR%w#g@uEDGlDorsj$^VZyak zU$+JxAukyT1X+eAjAn+*Dk~eJT_*SE_=}&)sR+%i>CFM+N-dlXmKzu-#HM0G#c$TlEM&PTYvJJ{CUolx3@#WS|z7$F0 z@`39!?$yDA|5fEH`FB_BS-z?xuBSvAV_S}-4d17lBh?MXLonGBCon~o{ZPkReI}?$ zx*Qh;uffcYmiyhI7N*sMxR~quj2j#9;65CVn_E-sXqg7ADVkuc1oe9OD;V8&*h$RA zwK~Z`&Cp|WSHxxll;9>S2?;bVkC0cwQauBE1Wd`J>Y)dK*{|U&Oci4CLAkN4X2DkC zib5P9uIfXHZU>;0oUZF48rO8sjDAX^=#6)kYJbYO$UnOT`u40-vrv*pfCIJs@*ODI zZg`b?g90zNpF+ni(pJVzU@%I(>n51RJ+&W>f+P<}dc=qe?O=GGKAY=t=~UO#C#KUx z7geiA%7ck**V9<|ROep#O!?TH`s}6O%E*}lWLnJB8E->#guHB)kJ{V;BfOuwE?j0m z$Xj?CF#{+yU0k4KmUxBF9+WM~RE~OO>p9w@C67L@_j!kw>}Vdfufsqtw@1zr7P-`% zrRz30KS(ua>ADS$z-&;H9Te|5dPg*+u7fK}vxmBV;p}hI2`{oqGh(Q{Wr2y9fHLb^ zJcp!fQ8g#-n1X9r<)FBTZHR3vt66AH?ht@WZXG;Kd6pc0a<7H4W7$uT7vl2hlIteW z1H|R!~wptM+~G*zk<CsS7(_oXY0a&x1#NsrR>T3!Vs8l~c!KTr4NR)+3 z_chro-|Hl70Hz;%>IPg^GCADDokV42idQF%xk1xBUty}wT1-eMS~;cCG7-xCi1480JEQ{FM5@n!S!C(NC0I& zDlbq{2m_QPD%Ywtl$^RPP?8w~N}s;0q13{^l&Z?5*YGuzF)dZWd#hbT8PigXHn++c ztI#aiV#IyxjOjs3;RWJO*5`xf$-=hpjV7Sw5)44GAez;XgR!noF}hnRllQ-=NdM(Y8itB1u1bC z=L1TbBx&ph)5AOc1ZP5S>^1@NX{tT=pqJ@kf2wtw=Hh4i&C}CRQhEsTN`MMU?98Gh zhdfmcZeuV9N^$^fa$h04rXvTS6j$1B#^o892^A^&+V4Z=VV?;o#hUspNIW|<0i`;g zWRvCuc@${}3Tq}^R?)BT^32r)ltN3r<_ol}Q{<8g#xffwJE@X&uSX_^P)aJ;pp-H4 zu-^1#00kF1mfVDL@!aIQ?=3e;Ug3K0x3>&f8xvKcXJ3Hf8MhrVGhe7TFW~<4&RsI8 z%^oU#H}X&v7d?~;SkVji_GR4*mUm`lLB2?#W0|pZ+rQrX!t6BX$q4{Tmv9cCR0Wg# ziWBIMZ498)u@sc#bK!t`!#g$#9twOEqV*?)@8*Iyv2Oi&?@wt?)12qRfLtPNFtO+2 z#d--8FI#r9!P10Yr|c)#Zkl$0xSZ@DQj}>e!0aba)Rroq}^SH4clv)lU`r%{VACF(JIk3w*~M> zzZPJ1eiC4I0iebhUrX>cPkB2x24V~*2cf_}3PPNsj@A|i*eZV;7tpX9RW<48o9Bon4G;HgA}2`V{+lv;N5-43~@EsnE{B zPoGRfNuvl2Dpu2IS)5*DYT)#E3*1-qY%W1W9}bv33?gRH--hkAK$CG{aEm`X0HxYe zZv`faZCh$`$g`;6cGn7K!6y9;*<}-*g_2w%R3w?G#n&irY>Qh~@R4-ndha*+#Pswv znB;W;r6*?X0dugw`ut#3Pwcn&Ow*DxY7mob(j_xXOwBxMVh#bX!Q^?@!c&)dblxh! zWFw4`85torm(vK1t*^}Xlmc?9P_l|V57^*+gXm&~HSZttJ|54UoLuj9{x$@&K~2%F zJb~L_YJ-}R*!G*}rw6ng{k->^=jXu`7vFE5AAlJ%RW4uRWvX`7kL$y@(z;lal4^+8g7ZbwHSGXyb^r#mLm5^ z`|0o!8%pw+cMy%$d=_l+EWW2LyM$RNDKUU#`b3OSX5*F*tH&)?*ATbZzT6&sT3q5* zz0nSqIZJb~?|Px#0Vv75L0;iJBr&7HWv-KFDTBd10JbV{sVN_TlJ&Q{g&TsR6=n}s z{WMclROGS(cUOV~%&SaRU=ozec@=y3S6S2IccTo6Thyp&{%59O&W=5}8f8tp)&0u{ zXimd$z2*JO?f^*PYXPQV0OzTakf6+R?#z*M_xZ-Kl0@!Cteh9ue1P*5Zh*m1HSZEU z>{&;QUB0M1=-l(~taEOb2CkuMWd{qu7J(ihE(K;lF_JTjF4PHHk{bpTQ^H*qXTc`F z46rup?+}-~6ZE(EIOu}A6luVD8HEOAmUA~(a_&qR!*X8q#g+4-kPX|Gw`o!@?iwfx z>%qjf=`LVOcyvN{_1gW?gf11Mt4oFlh%4TyE?I|$&ahZ)EVox4#%@>q8L-LdLZ`Fo z?nm84{x$UUb+-LXc!83F?iEM3vKxU)nM2s)h{pEI?8X75H-j~lJon&P%6{MBt-mO8 znwF$Mpe2R3!Jr*rF=-UQmXLA)N==pWUSD^WuZq@^cN#UQbFp9$Lnztp#P5a<*Z-Ps z$8FTyjxq<<4~yIBHxdW7ZMP$ui@x?d(Wjv#w+%XrriCPC^fd*n;C9z{k%iYRR)3LH z&z5euHDbpDN>Ir?K-0RI{OUl-jqBI8PM(wma5@LUoN$|(1x``?e!o3Cbht;MMmyE*>(5{R>$l&3{;z-iB;tv;ZHpK zSoXEWkHS=b#a`}TfBwpT{a^6w(;Z6w_(}OeO;SkES0sRe?(5J0<@dk)^Pj%+YuGhh z6`(0|8h4P9;uOgE_TT^b=N~@*&3C{5-9P^D>ErVG|NZ^{_~9QveLT;ffANby{@XVv z)OT*Mn=8srxBKP)`~2%aeEZw){^h&xfB5{1Km75x|D5TqTkb-`c07s*F2?{sV6wJB z*f|0>c>};L3V#Gl^1c95lI9~|lKX{r)xnJ!_KX!5*VJE$=rgTtnO`{zfKpUdFFZlj z_nr0vrP^H@IE%#aY^@?wi$8>CM~UGqVN=6rth z-M{_t^FRFlci(^h`iF1-^n*Fo-+uRt@BZPBfBLSfX@3wVcJ{eP_CTWzz2T8RaIWbL z*IK=5N$!g%VBtxggGHTCxi$4ap}R^@#iR$L0#^O{t018db`_qT0&4s9~t zw>=NLcXXQ=;IDf7Ba|f|wBHQ^!j+ABM|tWsZ3W=59n*3*GkZ|x%C6caXyjvBF3nlK zavvn1D2xT8Gvdj!lOTC-3dWtfo6)F=uS*oG$3H?|iE8!A;Ui#D6uaJXaCDiQM$ZVV z%$;hWhDQ1XenK=QUFuH}y(q0G0Waw?1d+_iI0`7UdhHfG)@yeuo&&KHJk*&-mhHiR zXAk~YRj-p?pXzhc1C* zK$oBh$KF^NAU1ik2WPE}RGsY};4AOhgAVj_5N18w#w#Hw?+qv^bstZVX2GVqT=3s4 zC`Mt=4n*&iQkP}7SEWwMMNKED1viihOmx}{Q<^lnybq)4DWDWTvlE%bT_e< zUv*iMUvXJ=eel32RF^d#%gIsT1bXPQILqY#`NYV^#Tm5+cZ~Mn-mJK+y0CG8uj;bu zdLHbMR=;hB5^`}_c|C7zhqGX-%j)fL7E0%`dbd0crZ}6r6bDgJ6t6{9p(NWFi)t2Z zaanb>>;Q4aW%Z}#UaeQhQd7T@RJYSWH(j$!vQ{j20h2@p2WpbYZe$JSL)D94{|;C0 zvN{H8l4!2Cx-SpZya=BSOi4iVzC8CvYbYB$Ewdrel16_6%9y3fk>SpQnWd!;b_u}; z?<2XjMYp$y`dvzPlit6mOTWKy4 z3JOVVo1sPW`jpffV{91Om^Uc1a`rL@Y4&u(Xbzh^G1gU@i~2WguW9bQbJG8$91~!Y zGXteXf+34NWAxMtD}=?QbK}slF|Kpa>aPX1WFQn@8<_*t)kW>D{rQQyRpRQHn(A?q znv9uz6=%g{^*F_;g2!1X$>U%gQ$fs~>N>QISxlJ&prkN6W^op5in{=7zskcn3ni&4 zoN9pr!(n}KTjME6w3BLCH89!QoR1I&1Qr)8S8kBq-XUUI}3;eKddOgMP%0M6)=QceiRe%7dg z%n4?8gj)Fx+mlb21Hj5nDc zA+Or7VQ*kCG%qG?ZG=CPIb3gEg-u{yY<)N{V3I2Um=gT1>(D-RtSro2l{v5tHo@h=lrIf;$4 zFmIA~PA=+-UBL^OzmUA-q5$_@#V<#*v10xoMqaiAlE?E@9l4YJvB+n;5m%C-92$$#a^#jzjm-*igZmQ8`!iYdLdOv|gVJ}r*5@>b0hFGY zHIxmWQrY}kS2ti=Ybaxua$v+n@(3WX1pko5j0kfE z77T9(oFi~kN*3~KZtn<~q9}DwAcSQ~Ji}#DeIQQ>n7bB2nbi}wTGta!E}ZctMcxj$+)Pff}upcN@se?ybqyHP7#sZAN= z`nVggYQwD~Tau6? zV3K3N&k}jA#%?gvw&x;o+w+Ec=+}8t)7;a#X)d;~WS0p2BevHAob(1X7q8QxEZJTg ziqT9)XTOb94_@{l$Fc4)iv3(~(ws~NmREv7qxm)GC8~Gr1}TXd!>jg@6nm_av)-lH zOV@00p19ikg?xD#ar$S%2wjhVhP_ zlicRiYw*N_(NN@duR#>%JN)9!DUCadV?_HbibT6NHd_6iJ$Nvz@ve9` zn9DTLNz-9|o9bnF;)cs&4)v_=5u%eGgZE;?N5B*pUH74eET%+Q)ix!gV?}Y%OqfHi8A6bi zOAgf(dBu0sV{j0bCkqqQq(B7O*hnw7DJYYALRD}*VIL{z3EPF)gGcG2C-u7yZv2rM;UCL6SnQlNX|8R2hd#820@vWGi6GeJs26yGR$?W zuxmX~c!1`*Xjaef9RZUZH_UphV_AZ^>b8WDTZFir>zIx`>) zP-+e$T9QYC%G&&V(X|njnt}*QQVBrmt#uvd#kRwbN4s)Wx! z%ws4o;`!{N5?$0fKy;b`K!Pc3xxZUWa6Aq<6$GVKwJ3`z6E6`aPg}>X<5gKlYLe4$ zG`OrobAY@QW58vdde8~Xa9QGA&OnDuatF?YN2???$nh3-@kN>|p?dV)HzE@St1_s;fE)+m?@Q zxIb0-_4YK&dGgdCulhAgVq4J`T8cI0n&?>3_Va`VY|-Dkv=hTLLtIi<^hoT($gA9u zmXCZbNealTNj`b-HtEPUx>F7-?A)eD9r1xO)lfj@H4arrsvi-yEgfmN%LYA|M;+dI zFgfi5rB&C0@*Tg?M3@44FdW7@R+CpgputTc9&l@-b%4AQ*`rtXn9L;Kky9?I{cBX_zsLLOEh}#6GYD6E) z)|}ToOQTQ^i*?Jw^Q`9rASgBHOMIp*wq1DgW67w3;a$(+Qku~Fytxo?O*n6nR|8d* zc?A6#YL=N^*!eD#vyrP3SL5G2aV3i8BPZ_Gd}6uHBDX)(L<=?U-k{b{idi-&Dct~+ zD()w{qfF3}bMZ9YPDx?Q{=Tx_h%T-=ZCM} zy^XG7;nOMkY7khX4`s3AX%;YPB!b~xBkak$m7@}gyc8Tme%aGZgDKImo`}3W{RGAg zm&u)8`bo5)%<75H+^Hx2XzHP4g)8wzPyF!C!n&|61H2`{G%#4w>x_Py^E3>A(i!~# zN;P`vY#oKp=m${7nx7OOqcDatI|?pwj)DQQRV_94JgpmhUb{`d^P`X>CcI`hqnKvB z)=BEtpOD3j9v7R>I}L{u3f8qI{AfzpAjZmLRL3Tuq6Rx!-3*T@*o9^7IV4_k zF+p95egInyVV<}W{qnhV^W6*~JG8jcTHLCZP$eZW*%IQ1gE`X&vthRcb@q&nwPq3? zz^Xs0kwVzE{gH^yix_Yv206Pmx{3)fWgzO#Q&@t#)EN<0Q%FpWO<@{twS~&%JbAf- zaJ|%Hp->dc^tG^R+^WO~%A}rf`b<4h=|v4jdEBeaq}UiJ5AZC_Ic{C*8Poyi&yReV z{~9-N{4)2(gZ##vzm{K)TbJ5MWI#(FiKY3;T0u*1t!uf|7%C`9VFAV7z9ZeFo`6!_ zm^32GWlT$U)d16n;x$V(po^s(9AUd%)giQeQa?Gpr+&IGG54j=&KW`VV_mjCZBx0h z2|re&-AIHRyeHiPrFA4Ii@j*qL|ENn8i}zVbJLyik10~2p-&ao0rHYHgUdR?vD7|8 zO`hrJ^RQzk&D>{%S7ldCYM!_xsq3X1$mfgGVb{34r>~cHYy1L}ZGjPKI2YwkIkyGQ z?qLh8ZQ6s+PO~js+)lDLc@^yfcdeISIxP{=*_~8d5SSe8Kwvc~?swQ5PJzi=M1tX9 zYOE$NYJ1DjBnKYyTZDChyyC&T9RA6hcXn(+T-KOg4O38N^~Aja^~7z))WhG|gCneZ zGRF3LfRh)1iPZ5G&99;4x#*?K{6P+Gyn z_S6ZR%K1z%yz4nk##0`tOh&sr=>T~pP<7dC?8cqpGDp#z&5qrp8T$;AmAI-9^Td@X z+HZL0Jam)Ab32EVOaa^N%nY+~_IW{_JSetxumZCfX2U<4rt>h0 z8D`uUU=OZ__OM#W!i9e?c(0R{hP$lWgZG-3is&t0oxmhF8ze6y!7ahtx|P6W`u`GfV<364^#bG>x?6rL+6TO1`H3@E)_?7Q+s6O$qWN^cfDlr36{m+LpDt~lDV z(V}&E`;ezF`+ob}HgP3=x%5W}o-Br^4La?2L+|AL(>uA+n^TI6^32^kC2i>TCJEcN zTVbkRx~yXq`m}47^X%V2Xf<1kV$V5=m}Dx!?yhh0)M`iT1vc3Zz}6TxPh9aS{nF-( z1F3DS>qftR?|wkZ5iEEZ>WGgHb;LzsI%3v{wU;wHmsK4xom!mobDHL49ROT|_lf7- zCPpE7ji7UPaMu}s&ea!zCN~mXFD%XzSKLUS@xM6Ed*&hay14)jz=m$2*D}<)&Eps z#R29W=h(PRGfCR{u5)Bmcx?)WxNfa{p19&1`=ck^YLQs1`(w`9hFl|Gf0!nqWK&@7 zF?5d1glGyLC(HsA0@=Z?n8L~xdz74@xj4s_`OZQq&appuvXWn)qlO$l73a9h9C})A zU7Kn_Nx2Ztz|>g&T+y;Mmc=>tr|{NL&ecPRmgF2kOYg3Fj<#sY4gx;bfaj=7Ftdu~ z+C;@NdMuOTz1VnQ%eAUl)!yd?n4Keh?3n1qzBhSKss&1`2q}x~3pc@3UznI0`&bU( z1bM~(bQ{_ake3_~xU6|WG!vOH=E`JJ{UMWVOfdnr@YwAIohL4_c)j!o7QJAW2eR`K zZ5L-&tIMfBJsDtBX7rCvFSuF=!^>fyW+vQd(?b<J_S{CCLjE%J&3?;4UlUvvUEYrMN)TrEmaX7$8X zfqLRusHumdGMD_Sp45>Mw#A!lNw5Ut{-oVB=V^FhXnjWRbQhg1GnFR4G?;+W&v({P zN&sw7>R66PANgonTb!?qhL<`Ib-Ab`8G-21Tc_5zv zn}T2H)iQAN#HHW|C^hSgcApcp6c?M%7mvN#e&J-%sx*usu2ypAi7Q^MKWz4*7tx*P zY;AM;;<(ZHqgU|tpjFNExCC}UcZ&x4WFp?^-xC22Q2 z=NL-%_92Opw`XmX-rl3TIZTtZ6#%$XpnA?ehh1|%mS6ID0Nh7Q<6(P_vW!ACz@!ml zrJn^`EwZWz1w2Ds2@YLd^~n>$n|96h@vrJq3e>evjbE9uls>O_pYt}zUw!`DZ~y&| zfBxa~Z@&AtAAbIa-~aCW&tL!W?VoCDR)*F8rKtRIpzyD|a>Z{NA$#3i@=O@Z`=?CZ* z)N{T+mh53{@~f4ARdgko#X8y#N7k|Iem%4GC3sek&a>B6>q&)fiSWstuFaYRWO4OMmWdAe#+pssryG&@?s4gJ1e? z-;&L&UbvaL*W|K`>4ox5t_v5h*{uNyTb|)&ubD=oc&4rnA6@t-)a#t$PEfj+55>`! z3Gz}T0^Dk{2goaKy(?tLr2`XO=HnD$r@LJ4&t{iFD4W4)_KQ0_KwcV4^i=C?Jf?E5 zWu81c)VQ0-1e94d_L&jYSizqz&-B@KJgf0Xf5>N==A?e$yz?j1P?EC2E1BId!|)tH z8M~9DYk<;ulL3^mVdk;C^rtTeP{y>BjG@~+G~#GXOLF?qh-!p_GOH1;2Gj_T?N9`@ z=smAn^j`Y2mjlknG}n1v-&>be)ESdc))18Ln!GFg4BRyJ0Nko2l<{^(m^?=cU9hMw z>*ZZQGt}fvDla+?v)*a2mAINL#6?9D#HFwb+wOA3n96N=HST`wv)+$xTRL*XZhG*z zXM0+pq)`Jcy(RQeo?mi5iv>!O3!v0NThmgD_6w9Em;P9k?;YLNpgTK}SvH#;%}0MM zY6YeWCV|PG<|EGsEWccVsgg-xlB|LVl*SV@_c81k&E`+OBF*lR%*#3id+^zJiM#!A zo@tts@*pzw5%U<$KJz5aZb(L|Ld3dT=|?_VnMU=soO3-AL|51Q4Az%$)&^*(9A2Gp zqSX=dtd5Z9bw|jL{_xPWpmXYpuDjKjis%X6Q*v@U_dOxG7`^F|n*GGY889gwgc4O@#r=L0V3JDrf z?qkONS4o+{?(xVCI}@TynKX#2Gm&}Xin4awaN|1F30i6fmvm~VtK8!@0XC%qV6je< z=7}q*fY(a%lw&`eywKCEf_Q&im+eOrOErimFiGR#WU6XDS72%oPhgUU15EE=XPL@D z9AJ`@ad*E4Q&Tn%Cy-4k5`FZCXje?tlwx7(oJI=axu?c!GbyELKO993E+H~%sHj6i z5c3!kN_g%MYx!Z4ZR>8hyOg5p=rf=!k*@u2NV5}4nmvIqQWd8;E2}h*v2BH@hCA;u zhn%ZlJ4&rDO^Tk818(VT!~LlyF#VcafSDya1y)VbStkMYJQ`eyHYOKc zK0tKRLSzGdMtV%rOJL;*bE8!emcvkq?sJcQGhQTJ@4oHM28s7sNxLezdT`gc5iLDo zAFxWaefN%}Ka$&qz1Jz5h<>eQAH_{~b)^$eR~EOC16mScafP|mGoG~V7Z?)U%&+8_LA68;prn-RbjCFfOB z2;b^jhb?KgN@H(4$k#aD=ca4@Dc=b*%RJ6PsrgRdRN}=&6S}p% zoS1`C>Qdzalns+w93-F^qYF#V0hF2)NHEE~5gluo6O>tla+owIFI|}(xx2Rq|FRfV z-c4p+V4CKtuzeo$DjgE%DKrF~A-}Pv&4NuHD!5*Tg#w<@*OWJ4aJ!87#cdyK;#*}yCn%gb=u-ZG zvRFa;-5|g}xSU8U@YL6oKO+4HD;UKJ`a5u^X-zjt{6bczNpEe zw8{DC8)*!nWM8yENf892&`nAM%Iqk(x%w!mf!ha5j2I4f@~WedB8~f^{aMRGb564W zxmqfj*tYitOb&Y_bjKOZgDqjN+nF#AC5JsIb2Sf|%M6csqCk__<#Bcdk{8LOmP)fee*+|D0JtQpD*qZ zYPiewfk06mF`3vN-2^5T0E5!q;oYAHCb&gyx?LX!$V+O18^bG?&xm!e2RJbaC^hw1 zL#Yup@suNKe`;cymda5d0DAdu(NYw@KgmB$O9@8(LH+@h97Yzolwj1K;~zlDVPt`l z(nAEs>XFxDRC~59P*N}gls+-;rCWE>EKrhPhraYs+?+Sl6I@{Cc>{K;CAEWJ>6MpY z7QeFmaO7CHF`bUZJ(4*VWh%VKzJ$iUF$jM@Lv>OLpr{H;F18)Z!b3h09F*=l7I&62 zxwuWm1>iB3T{Y>`3-u57Qudh&R|Hd75u(l;ndPYma|Nm2B>k)E&v z3-!dJD$3Cx?8avgo?jI`>6;`>)13Erf%Q5N^Q`9*6L{}!?HKRQ-l%T>VB>KYiyE^r zoVa`xpm~a{%BQ9#2N+9(3dz^pgpBUkk%qV&P6wFeL`DMyWhhVA?e-5DJ1bKmy z+!CO4wl;t=rX_nJfJyFStU|N$u5PLCqB2ij*6?#*2l7hyj4#L@h3n{%Ho6YcCbuSh zi^Q`+_iN@)_T4Btv?1{{;o~ZQriI>Xq2+PD7k|y~|MuJOfA`~m_|s49;7S44TUigT zcij9Q`*;hKUYYFwGh$9tlPh<;WY#>7vVJ`uaS!3w*6indYreyNJvW@)tNnWTmjPtl zujl%a`}J^3P{z6+bS{yZb`t2%Nw0blS2-?F%4l@U=+BtKErYuSB?pIo`{9#qu{ITL zp{0yQ-W+L4??vLhG3A(dC%@T~IEj}{4L6B7MK3Xc$qu;RZ1`l8#2GNflKW#?JTWx` zrkHZKUGB-WegaH(!DZ*;(05LQEk60&AF!JTQ`P8Ib}$dODtQ;b=D`%7<5QEYSo9WK zwz4Qzf`U#{o&SrAVe%|D^m;VQWl5FOITbuqkFZ`rzDyI?L#{UlI zDUE$d3#N};9S%Rhip^H4!4a_J8e4WQJl zNKncc<#R+MHGEEkP2S;p?#~rXLrFG*>#e)V+RG+E^a^Dr2@^2J^Pn*a&7LZLH}F(< z9!POdMJqs3Mekz|F4J6Z>RUKGr)jR7k7?dkG;yAs0pw?1aLsv!QWZ^5iZkdA<;`=H zd@n{}Ov~&jc=pUuaMy0a_jmT-16oJHUhQ*UjYFL0$rK=0qRGUbs~4COF!KJ0k&VrR zO<7Q|z3?~>B_}-qQ;m$~GQ(r?Mu1|R2QgzF>3*{AjYlB4CxESXFi%|ZM*SYbm;JIh zlR+xI%+=7MGevC6>jO&G;P~ChkFcj2HP})ot6Qt>F86Mfh2_41g|KbQPc$bF0Gew$ zASkmmyE>C*17tL-E9wWkq86+3wZcUsh3z%XNw2T$i}VhZtk(cj)3gyW)%i()*#!X1 zc+_9>l-F0_{tWt>so8;W;~6cC+}Zic$B6A|ZH(k1)uEKz9XkaZtHuOl$*%)fZ=n?9 z1ej!^LGrHun1-7IDd1X=SmYkf!*;UArUl840H(&117K1pg~3;u(>(Wlt*9wb^2WrW zujNUu2`1}ECKql~hiND^*wuL zqi0jZWHsAdoR5EvK4vWM2s9ZN2De&)Xx{Q?je~qz1>{xEDT!@$U7!?o%_=gs0+-8# z&LsT}*)4*aCoZ`}s7Ph;`Jz|d2al4XjQ){y<>#)hv0w#GKKZbqYMrMO$3&Py+O@yU@hz>#1p0b8@k7$>ugS^;i}#^}@i3XSb~ zZ`rI$T~rt*cs1vxuLOCW^Eb^WKc}VGaX=~F#_e{Kv56Hr)0<5<4(o|)ttJIi^*8#i zN49~Ah&W(V;Cba=E*oA0ra*yTR{EH%HTv>Z(}ez(U&aX)YFZWy1xt3~B?~r9o7Wkx zrnyuD48Y`OuZB{p0i4e*^)=3uOLA_Hl)HAoInQ(HoKFtQYMM(Y2a(R*O7O6z&Pz)L zd8N*8w~n=7ThpBmU7>*25Les*u-Ge?3c3bL>wjz5^)`#w&PsQk+~|s3mP#*8cRg{% zIzfMn{IkuaNxo~0Hatc*mPRf;&HinkzvZ^Da@O!DcdO-c(?{wrH?W1;I$+C_X}kW; ztz|9ErOko!$z>GH_pJNipsrR1=TSFHEnHsYJZqMmClkTBjTcYo=$!W+$=IILT+KC5 zOnpZ(abK?&)_WT(t>dO%uLj#1-K0yD@EYQpbxK8A>V+D*21;vVb-MUAi;w%uMRKLl zLH@-hK%-kvT#Ey?^4J^Ms8ai){q4NQHkaRbTIz^rfl@RI zv=ohciI$>3fKs}*Ta|XXL0q-B3vorG0CsNaYXw!?9>C1TE6h-r)NNu)twCdY3%+nC zc{8}`G;SGO9Z7 zC2nONZt2K+u+3gf9_)H3#XLYo=05qc3$!`1v+SMnVN(yS$=3AP%_v}sssT(F_=QJD z-1QK4JOeg}DUKe%beo5Z86N8W0;V)V=>61b3rZa#&>8s64ZS~d6mVvl+BP=x=K9rq)#K|Rp2_sB2UA)Hz!cjTbuKUw1=0SyDGGAN?dES- z_m28PmUKN9$4-URPI^G(*SNA4x^X(~%My5j82zpY`YIRR^T=q}s9%5o`@jDA^CxTp zb1h>Pet{RdQ~Ct}EnI;L-rf86pTGb8AAb6<+kg6>-~Y=`fB1l_xIX>zmp}f;H*r~U zbVvw*e882p|NQC8KjK#3KYjk;r%%89M=GuX@E@c)rsLD9tF% zTP@NVT2=jv62U0Vw9pY-Yn0N3q~%GQ7!A>#F;8>t250IWR?}Pz1u%50P9D~9-W99F z0B-C3q;-GO0o)fIAQu*uhpKvqly?%H&j`6s zJBjMa&)tmr=I^&^Mh}~Lg)R>Uyi5CrV?`Lw)?3!dL-q!&jYTm>xm&#@6)P2!XT%1} z>Ga0Sbx%02XI)KmooB$E=Up=|0<)Ir?EXn~z6Pz?t;I_=wbq)ZlgEwizH6HxrXC9Q zHTmP~c`vpRyqEU$jtW!veSOI9v3UI1EW)etN!GkkGrsV^=q{hxFL~UcheCbW_7>eM zm5kmuHw&0LUo4Uzx$V!6?i=l-P9THvZd2EjS0|7qzv29-I@fSnCy>EqS0=WIHDIbs z2{5JVZW?F0!z+wRKk;+2h%b;=`d*M%d?x7n8)Nlrs44atV9KcSHkWL%D_V4Oy(Yu( z0(qr}gkhL{gJ**<+m~V&0Hy2anFsZ*kX7@Urau3iBfC|4RlS`lC~hcg?Q=T9H-}*C zpVM^vz&wo}62@n5a_UAn&O zcf-Ru&>x`2Wwy|-aAJg4}(j%^>r6@gU z>0Qk&C_Nf!D8;bAbl;|BZ7rmrZ<&MxT@+T3hD3XwP5$Ayr;imcX;XSl1)Wt#N%!-b zs(Tcm1Kl4ZqmL}6#Z#;|=quLyb{Cb#ZH4X^?_T^bJgz6M2BamucY$gB@rpmh7r9Rw#xKjiqQqHh<=-jYZ{d znJh-}qDAc4U&f32M!*hB+#14kMc;awo5`ByR^6XlbN9nsYil9f`Aw6>C?_i@b43NIPr;_AsG#_g)~wakGgt z-$PtCS+mFTtfygmk8i{B%`VF|nBH;+m?A2GiO&m9XWlpTz<>@gMKp)aw5jsUTdh`r zDRvl8dPBJdLBX(_fIoyl!ls%2EU zTm2IQENT9H-Z?JCl-1+BakF}L{ntzKE9MG{6&R{wt*wP-ou;)=l*A)%&+;q1+>zXh zmYeqA+39m!@dUS_bbA2XTk?A1O1B4fot=+#ogXwErcW-+w7&h!;d)zrpmMiVgnPWH zB3V!94}GBcp6jQYicAZ{jqQGiZ<@PWhw-i|!dz9YAJLp0H)(dS?z4*bTwUC04p`UN zp3_{^8clIFQLoXQJ_c#dSIC(g8sa6}WT$yH^2GL>=IVez#iCWbhxIxQ0F9XX_-DuM z-8%YS{61`qS(_^wK=(kVrS+_-N2Wr$^`UNP1Czai-*U&t8Zh1Bls@RT#i8T!ye7NF z=^ep(;)=xqi(RYUxB-8Sz?W`T_TgbY(iT5wvGltD+l|6{;#$9Z)nh($N9hp$=EJ^J zBU<}xGYF6YARoSw$wR7KeG;*6)9?a2N`?M?Q%(YnVHlSi{+%qlVoX z@${}BAPh8g6UC(+*|Ic$EV7Hr%;9Yj5`R8s3%W z07aQa1G|N_qu~PNt?Sp;4dA9=+STm_v26{ao;a|!F7Ci>Eo8brZ#|c7=lG@`L9mj%$8}M6 zl%uLkL%Acw+wH9#bh4>O6Rf22UVqj5^3qUVBd@iKX>Tmn^{wq8TI-*->72DbeuZbT z41f-sDK>Qr%5DAXEX_?l{m2zvaBJx`6m`4a)`D#SdYNi{@1dnMt^Il04RY3k+QH=pCSxt~d?gHI!OM3oTXe0A?OOT!X2NpnxeOKY*Es57%JsP}3@VUaGRXn(FWY z)XdJGZ7i#I<%^$0%8kVanFf(%SX2^J#g5#u~7#T~E=*^-x;7o<`QZ^6(KY zv_WXsjmJ8&zFm!v-PR*F8O7F`#$+98XAP_d)3}@>VZ0x54VR6W8VI}1v4FJ~@ zSC!#3ohrcFb|2_!dZKaGbM+-ob$#|t7P&OzzT^hj*6r2>+fj?Fp|oE1H0@E=<<={R zsnvL@`%-T6_@ql3Jl1MF)r~62<60=K8td+>g!0%pdr|A;6Q1g3tJ^%ThFdH0H0`A0 z-Lm(!Y$31tgr|D`Kyvxm$S+`8Pj;%KsoPwxhTAG(8q)F}-Zfm-8L|Coii7Y9-BnCvEFSa>FM6HI*ag{y4Jy8R>4{*O;Yn1fh!a5wn(p_gt}HMf2w1I+dMut`vq>b z`=@C!Brnx{R0AF~v=P>+o?@D^dTi$lH6_xw=RF$o?r(Fs8g4Ua(*iMGK)i~}rV;bt zUy}H$!N&69v}BZg}lyXAun3wlQTOOFpZeHWZ`Cm zTn)Ap7w&xJ@o_J-5LeyuQ|`vAP#zBwb~+)Ut#aO<>Pcg_SzHUY8P@5BYTkQ&zacHe zRd4e+ZE%$3CcVw$V`sX+ZA?yc9xssBxSZw{cz64w zie$s;J;(9cw8DC@wddfGfz?<%?td0hwVq?z!m@p`*m{A|bhY~Rx9jn7&#{1Q)i|A@ zu%5V9i#5a@t@_CV+Ozg^wCjZTSdqW@A~#uF4Ym&0u=;ob`x0?Y7bbUnd%X^}El*ll zY_<5z9bXT&)#BuiuZPlVv92*pK|Qw3M_oNVwZ*!k@OCY(1>5C6*Wy|ztu0nBI$3<| z?z%akpKg+Rw(xiRWO+@2Tm9(cwD9)@Fg3n?oPzCJ%`e{bRTpYnOz2qGY~QZQXI%$P z61t>D-n5AO1@daUUam^}=5XT8(;x7XBz-u(aW7%Kq@u)2200^7R(gEN&Lm!9-WOZ* z-?T*zd9vq^%q)(VQQW0cnX**&3sKzIIfoO)6Y@X*U!Q;Ym*`0L+cD+^)xJFTjS+$% zBwgxwNznm78pW^Tg-Gy1BzPHo7(WlUj^a#0p5&w8A1ieOzj@O=g7`w-@Ir|kPP*L; zFG*2_6m$erfW%vNKqXK9h!<19dtN{j&-#oPN)j)|#^aWvl!eUW$>NI%;Wh_+NyjK8 z!d-urqF`1O@mS=@WkPZxM3yt#+^-yYOo$G`KAdzA_EK-d{)1Z`5{wtui4F`XOV^%HK4Ny!56igvk1W#HS)7m6JMl%XRGE}O%u3O z{9;}&;`MN5tF7dRI4HieTk^&AcyT>mT#pym7Qlv@WrBXrC(g>7gzd)mHq*l6LKYgsr|ETByy=Co^2z~w12f+WD(oihj=*4CgMd@ zUaadE>-xpIe$FX&FnQMAw!_6u?BXVdGpi|xGq=4(W~srMD`M~^U6~M;o8g7cFuu5o z(@_ar?mRBG85i4(i*3eP{mS8@8#?gf`mpght6u>?>yqi@VaZdF7(AuPU*jIcg@Zv$N}r z>zYGDtc_=D6g(O ztn!zk@>XZAi4H!7I=d-zZE+^0@)U-~s$=Lin{G{v+>+a9&Ng|MVe;(f;dpu)3f%w) z{%yl_DPs_4(_vf0#l1nC?e*FA`aJBlJU}ek=_e))$Y0OLFSdk354Fm69?c!cdHv$0qu>J1UbR174$$06mhoGC?Jzi{>+!O2g z$0$WP?m71O>H}VA;P%JSU$~RLxRcg_%Ru2y`r=OdqD~sci4-psA70!Ov;SVifLknv zh8(1|N1hJ@H+>^(h!+7kSdS0V;~)O|$3IqZOFww9f*)jFjy#Dd;L70PMGAMYn*_c& z9Atuz8xKdgkvTZC2|UO;NA7wHnk90)5YFLXOMDn|m1#pB3vXzGM2;lWqngJTb!-RQ>ccz& zSx)@@=D3j^#*5`)^Lvm2BFR-QI}9YQa$Eg_e30YDqg3vK4qk1C4)RLy#SD2Uy0P1J zu!TR+j2CSfD`lV!vfscaCx4?>k1vD`nU5rEgA5t+xQ1-?54QRk3)389aOszk*%I<# zo!g#*Mf;x^BDs{6NhbTqZAp>YN9=9vwZo%VDO&Tw^q8jt)3)$%-JIPt+Ki(=c9IFP zBM$4QBs??J+3*r2hb7l z9}Y*4YmSx>geHKt?oc9sku=aI{Y5mxH2I5Yw&6$PkTizjw+TmcA!OhrK)etjUJTII z{V2sn5?RK}C~m^o#%irb3Vnp5+ufUbJMheMcrin2lK3J*-c%d-V$R%1-_*$=$tG%4 zi~Xo0+i#^Pz=$HdR7bm1N824-8zF((+`LJvfKAQq&02*kten|2x}(9dwMwEjuY^R3 z2vO$)b&yEkr1|(_LTvnx?tG5!e2(sX-qbo!iWK366yZfWd}Md95VFl`G$GEeff_W` zklf&p?mdnkBOEmuJ<&s=f^&yVE|}`#z33vip3r!97asD4q&c@rTX{|Ij}> z4R3ZDbjTf8vQa(`)wEGQ+9)6GKp%(NT{+Nc#Dvy{n#&4;NjpC}LngkkX`n|OFRp)k zK{_)kGTSiHvQ%zM`yWQe&c)kk?W0M%H{e>4AWhyRUaUZ)kvjl(q(v%dN01#6G+QaI z(}8kwsZGL`ZGE#R^k$26a=&tNzjAWFa|r(S+PvAdIl0R@xyw1Z z%Q<;ybaIz-vPW@pmviy}?_}TLQOmp=-kK1E$o}vuv%Qx+fh;vi^9H&DNaz2aHYB zANbB|e4(n~Mg0LUTSndMQs@9kOOF@zeOf9KN$OX29y4uimbYs1$E}CXZ7Q$n ziW;+V<=t5EWysZ@t1f4%ERG)Jxb+xu>oMZiV?^EM1kyZDv-NOx>*1^}>WVxvKwFQb zwjQC5dzQ8u96_#DQxCUw1yhjkIu3&W1;LXJ#q5&kaX$HRZkS=Qvz<>ket_Vfoe_63>opavX|*7(|j* zg%vm`EFH%Rx@~#)w!Av^G?Gk{EH8pHmaMz2t$kCUJ31!SmeYs6K@`O5BGU;->YKLq zmdBZfTXj;%&W9&;Uy z3LW}qXMb;Je?K%yZ3ZzY%h92ut!L7=j!|sg6YuS`@7XYlt|eWf(LUzZ{sS+k4_Vtu z*xUWw+gIP)itX)(@7)vb?HTi`_k`o7YS&`#PI5oH-^;0YP)tXJctdqS@&q=og^pTt zLMotAQLuQ0*q?fb8DA(VpFXt-fL^-1GCMU&x48@;b<1O(B0+We%vTk7)rI0^zCf%a=!pz zUt}||d0en}Z?t!Bw0Cc`w>Q7HN42-hz8^sZ^@cz}V+!F(R0n(R2lqUO5d*O!weiS( zW8od_xgV%|mBo-0Sv zx!bs19XyPP>x8opKiKvj+|L|5j5v4{u(2n|d#;knQQS1T3p#i-!3z>1v;9y`XM{vN znmEj(367S@kS8Q`y8+6L2?olo;kr6kw0cmMC_tjZ)xU5 zh#45(kzF9%eIna4c(e3@M73t=BeU$&-8)o3EM;8?$zDQ)*b|piczwfH05EShlp7mL zYoxA%Leh}eV&5EusTIo>7G6_j#H*eAVa_~|xw_gI4B680 zPUm3JR+TqS=NDNBkLPsdb};SnlrCqF;ufAQFYmC=%&AV5>JXWzwzI#b$*s8pJ#&rz zac5Jplc@kHvULn^f^NG2cp(FL5pi}U@I{ItSE8Z~YK@jv0dqto2mo7sJeA}THu9*J zhUYT|)*d~hxY07+Qqcw~p_QX_bbJ9XEQc30)~(I(@F^W}0FpuMplG1Y;stHCLZdae z_92H0yz}^VL{1zYfq_;#-r7RjTSt<5h^+?z_Pdc}CHMGk=keRl=^I&i1!JZ=wnBf>AwmuAQ?Y2AS0Q}Yv zhZ=U02qs8u;5^3N4T;SDJ7fN$dZ?ktb2|?#bru%nZ~xsv3w$9qyx979%&CLWV0}hi zv%@P=OB-zb*1pl`+|!L9(smdR_E;5C)Vd(Kt8y6W;4l(is2rGBatrm)Qs+B?Nk{D+ z!owH0V)rzT+#N>b&H+1ou|(X#Y#oN*(l@SD7p<|s>>wu~xq;6vI46z7t-Wf;Dd8!( z1=zNaIHh*CfJxhNZLvcb$F8$Be#RGZqOG{`MUZ!Pc(tRsvJ^ytOHe`TlPG4a7bikWg*G7UzQGEtfTft4G^nfve6Yj zvVRpH4lNWytUmUkg{=YzWCmbK+8fpZQXtnx*BXg0(zcE0j-Ud{o|!VY0xVEq8c{oI~zG0Fsw03 zmX>mxbTuSNhg*hGTg3b;w2rJfe1;@r^HjIPXGk(OJ9>_h zAxZi);$aSYVS?Wb*%?)23pF=}f?lEIC>D~eGIpUI#X9aiZgUh1Ure(nP8`KTl4dk~FF`k`?fmNHmW zj@mfng(O$GBTbHLA<3fhm`uSdu;@V5-UCWUqmU%a>1Y(bSX7<|aWo1^s$Peju*QPM z0WYH2aV2~a;udqdj*h+>@SQ)-xNN(x)lCBVRYe#z7%9M=WCH= z+X-ZMh0u|8bEUA?T4X6^B9jKp((K406V=LLH7tX16}j6~>z zm>42sJn9!$zMTyRzL3Y2@9FOm_<}>};!@ZV-Es))2tP)7yyC#CaFJxla;))13hNcM z_+rQ&R$yK%09HkdLZ$9$cuRG#x4Wrl^oX-I?s490BRGC9u9P})na@dr9apffns*=K zmBCoHWZ}8%@bX_IWwlfZ1!hCCKs^E;3wga-7pt7CUXu@icvuKukldYM#VtODI=MR; z%XGaK7fDt*56`@+7E7s&jorzh8`wg3inKX4D>bYAhc~O1#xKQvkH8{sAhW&t1|*py zcVk*jTWPb!iR_lCySA}p_Ta9~>teBBOkJDT#o|k1tFUcg0NdT27sTR=u{jd&Rj*is z=ElZ;r-CeI#C;L=38~`T7kSkyAh~JV{Cd?Z)~?xTxG%!K2*Gi1)2m*Q*^+c$m| z5m~Cxtt-jj=r55D8yWZhv&1_=i;eIS_iJMT zF;?jan}<0VUd5NnWWW=X4m4pDsdDb?yx#Zd^}cv9Z|>_5N_HtV2t?lX#e)f+l>rmW z(=GDFQgcTDm))f-HIE^@q!z^u+2e(=q!t~$ihH~;md1M7>(R?z@nV`Cx6_hVP-p{> z>JUI1crfoTmc0!viaE)UZB&mos$)H@7rh?6=oK%9?D2xm#{)e!pjy0I>o5wb4sBGA zHmY9PdK@cTb!as}+JJh6D!!!bE9~GPrtRSK7_FvY0Z{;KQM@!2A~C;q z`j0lWJh`kv3eg&prEBA>m82gaT(wZI8pW5?h{{xy4oDnMX~}4@keYG0X^*-3=c_PH zUSf(D>8zHRHj9+*D(SvkAYdg+mCDd@my@8!I#n-5g$_wQy%-f=Bvda(#TS#u$X!8_ z7Dh;HoV-94<eImTc`#wst;^1C1~RSQ%Ja*f@s6XKf(6+Pu%&z!z7SE#Npa!v|yFL_*mq zAB=%77N9MlPr5*o^xY?2;EVaN1-v@x;w>vgg0NNeNf)py#%8bbWVhGHSs;)3u-nVy zUSYR=oCRLYhpnQIu0WF2&Q_5p?PhU9wpG;86@YA4)5lcc%T;~YsHg$cS~i7B@!VD@ za+M9(vm`UAU7;4kb8-kp=VJu9t{R%&sx>w<-yoN(%d1U%KE~1KW8lT@*8VKdf(~)n z^q%Yi`g{x|8M6IZpO1kqz*S>2>jN*4xayqFHab4V0ZFqYGm9v4 zh{0zxAiH_9+vPJFkTgp&-8ezAE2hI4z?%(`Pg}qj^JYWja}!#;8_Q&ZUfXn@HGRB9-@%(r%r_wKP61GE zyXr$8@WsNjUG>onNU~zsuIi8lz_GXK0~PSa3U0gV0~L_u=0v+%wb-WWeKJZuQ$}{4 zXLfpx*ucFD8(Ai{JA&!hY+2riElpQqyC>O>Eo6~xW>WfWK$)QH31h8~%o$#2VDLhn z(Jh#f$5X6hL-IzB8j`3pcwx=rh0Pl;6b)VsSxy;(r!*#bp;^WYbp|i&O7LRHo?`X3 zZX_A9CpdZOZ;&c8rF(Ysiy_lYMIJ-eNDyC4v!^A!sU1m{hRhG*yrx;^2VYFH%n!a; z8e=xe#@37DF^)7Ba*2yf$jU{kN@(Y zpZ?!p{p?H3JR|tBy?lgLVd=qn@0a68B%v>VyW|U&1#NIs@i(w=tiWNz@Wp+KJVAVM z!<_9Ck|gFUYqVHVSgI&rnaDzwiMVO#7e9RWhadl(Y>4`#H8xkAgXnk>W0>hCW0+?S zHY6wbNN0PJI>M#2W~5ERq>l;Izy16lKYjiAyPv-Q@dq4hEP^q(^E52Rx}m6p1uV%- zRSv5E{L}Z}{qgg+zhJ@SY$>RWr6AP-1%LJ9cYpoU=O2Fh^xL2P>g#WR^~-O+{_TI? zZU6rC?U&#G@Z(>9`t}!p{OMo+`a{z6moNVHPe1>Z*Gqr?;lKTluj7tsk<^Gxq6RLr zQRUo}1sfl**65PL#m$>2%;vF7#d4EcTi@4x%u)0e;hpbcgfLdv*6pe?u$L5=D+AIdqIXb`u1 z(L9Lq799ghIr&tv2PYN6rhWx+^+^N7j zSgxjlpo%%TU5#tOmZO;gwwVX8xE4w|i5VhKnwY%h7V5 zdWIyUa2Ur&n;}Uke0~{X^n&d3%kV{zeSR6f(D%kviR$X&Z7n{R4S6IwpSgx(Da5kR zT*DVR;aZ3E0bdNzQE8unhorP*k;jl7f%egNm^v`=cJEQiu-5PZeU>0zM7)n3!eoxg z@NOp`Du~R+<9t65YjT6tF&t1oPjC5%T6{4IH&`FOizK6PgY~Jv zNFoZ%WRZBhQ1W=8w%~=3@j}Vtg>{M-LH41}_`)i{3o92dMxh3a$ugr*gT)u4P=m!6 zqtKuiUkq6d7GDfmV_nR?8L}EIz8JEi6Zm4tYM%IF$ZDSWV#sQqsANOdJT<-;vYIEp z7_yotz8JEaC%zc6q7#^=Gh{VSd@*G8UHD?iYM%IF$ZnoKOBP8+q2K~$42^>AwD*Bg zsiO}>-b9XGZyv6)nJgn9WgSH^3e74<(LP*dvswx&V<~uZxcbX)F=F*_^;e(&^4*`m z|MwU>fBS0xw|AWn_werP5AN$f#;M!T{~TZHNP>na zTE?r0wmZ2LLIc-AXoU78bSdbDF4W-#bPep{!59snyKt%yiK5OnbV0xi=;$Dw1b)}K z+HK*(;Rv_$B^{`T%fZ<)u+&?f!2MO=sQt{-l|7oq3v`RFSu7v1?-jEts-?r8bdOk` zyT$*%){{4uve$WmrAGQ9da`&xC@*~3!+|Wh_uYG9;J-JOg$S^C+LKK49*9oCi~VSDjk9SJ6ZZ7!8Erbg zQ##NQsvq6@S_x@HU#N3}4Q&tb6gt*@>33**oBSGb0sdfsbXkK8lm|N7JT8z0gQ8;fDI$rN3{x0tN)m$Jskai z{PCyHii(9quOv*?x28!d=1>RXc~X0aI_CW?ou+6Tk7;Uw!xa10)nFR@l)SdGc^tBp zYT5=1cKltgE+MkDlT3n8Li+B}HdmEgDy&e986bcUZ9`I{7k)deOqj%m&O zy;G~(#gr`6p5xEP@XJG%U`Xn{fd7cag*ambepx>0Nn%=6Rqfc5cH?x0Uo^JHLWp2@1v|3N9qmTi$*ie^#uX$K!=_xxdeKg zT7%;?_{0WfRc9(xLB|m6BmJG?>2igJ?qzQ>sAr!CE-1`1XAdxS7yUFkA{hgYKY&$$tt&YbAsZt%G=x@?LK-7`Zos|vOaV|UgJUTE}PuDPzSDCijG ze?>C`owek^y=eq zBn+!_j!$Ds@Kt*l+zIT$CD8*7<$P98&H3S0o$qkGaCl6Dg)5*Zs}*O-=r>~r+6>#! zz362{Q^d(M0&BEJBQDdig|X#CSwr^@LxYKap)(qxmz#;xa8!2zypE=Lf!T|y5-a_% z4+}>YVlg1L++lW(=w;t_du!%ZQ_Ruh%jjk_;!frj2jh@C&L~!4=IHTNbh6GkW{g}7 zH0-Hy>Wr?uFDo?@jyIodXE=#Zza`XzItk9f zHgqp~Swv&7Mq~66?$LFZF|3B}9aaTL1&SM^5qgXRaKa+yUDZi&H)TP`^$0K2oxlm* z;3oSh96E*7nmGIBEH6v5*6^|%UtplSb`o3&@d5?m#RjI7^TMDplakaPEGhP`o~wQH zNJ^uCO{WZGR+@O@;|nw;E$8D$9M*)5Tc`xwVG8>|8qcbfGi|LlftO0)%8yskVa%6k zFKRd!i9}&VoPL_^Ra?y)y7$;xMI~{}s?e$-DKfabM4g8;Lw}pC%?Q$q+@X4eMndG0 zF1VKD78eHyU20b4F5^@$qq7jH(MAt6Ne0f{6}qbd^w<=oWvtKVigEDE081_xt|(NT z?kjXx9oN`7^%6RER0~?8p>@Ksz(9v>{`U?QXl)~-aIeaDBRRrZ4yDCm$$C_@aQVL#@#y%4Ys6+JEJuksZ6e*grVU|pN8%oqepCf z5jvw0dU?#)p(9~lHN~;->Rwa4F?s|zqBGioM#9aAd~kQ=L*@#gSL-e|r$l?(sm^v1 zs+>Hmq9Auy(;Hj{_%b>Pai9@;`H~1x3f+|tIkr396XuPf;vTWAbK30XUG z?w(Q7>{>1sd6nV4F&VQdlDrR=?^RRGK=UJXx3mW_2QqB5Mk6IYs8{5owc0i^(EJG9 zE%_bR%Q0%xMbv`MoQ}EnEUQN|w zHQI#P(ohr2P%Ij?XD(oxB_6K?g!=f4<)kA5S_6D)PtPZfG?W-v7V);H%2YyvK5t>Cyz};An85maPd+9IX<odbd!Y1NZSA7C$aNgE?xtMb@hR-Gpfwt*3)Zc%AK;3| z#@&0YumckcyI>JwatAbe%H_R|W6m33*Qg4P4mMalDNL-2({Bqi4OQdr9d;2*>jc(l zjYh)USeV(&8@hLxJS=e)I-?PKg$=M2PIZ^Yq@jCm->%l-U=4CUQgH_SZo84EbiotFMH$<r25kh00 zx#4dqHg0vlYCJE8{~p-smc0dP5KK6SDn=9bA9#d_J(3}LHk zBEkk$J{7~jQX%nqW&P4Icom(&8jaECUYWRYhY@`pm)W>`hxLnvlOfK*rO_*htMjN^pEnLb~ z(Gygg&>Fq9h@OGYF>UDH;bviv^MuA+Nr`h41WsM#vipYa9c~sjPz#;W8V$Q}Y+O>^ zT{$9ozV554qE)HboK3U}nP5k@ep^+po8mhx+yYh_InYa}N7r2dZ=>$8)a&aM5 z8C*%IiaY7V+ERDir~Rrh)hjJ%jYecBQsHxPG3TdXk59oZ6f9S(ybyKwvKMSuC*xW# zi#_W4t?J~4>4xq-rfz^$b*dY_s3XHhcq;34R5(4aSLJ(Fn;2*%*R$k&;fP1a_BQU` zW7iCJ;f6xIsENAwzm5v$X_c>Whbyeq!gWFlHuMKNd`}+-PXdF{KFZPKir@Yz}xhfF19jZalnZ>1t`kkAQAQT1b z;VNWc0)D1L<|l^CJLm7Q%tmPvOd4H5ki^-tlaI`t4`1&TyvJA@)4*&YNOO)F)$?xH zMfPYCuREc^5Eamj8$G>4q^m1pB(I`-x+&p$rXJv==L3z=OS6vBta({Eq6+UZvD|zB zw6by7q!4bzhRzcfK^k2~o*H-WFtNDPT;RzSE<5LM&LrTDR&})VvT|_u4(o}V(*@pW zgq|@!pp*5Sr(=Nb9o7@n3!TvzJ*_HsNz%ccr(=Nb9i}o4S!Ay#PIVr=%?__vhiw%a~VS4~h3{zPbG?Y7Tl^4V5rp4U` zHiL}&cZT0#dvJlh7#j@gH)|Nj-HL)7ZwCcA5{{P~qvC~O?0E5T&H?6=1I*9wQs|sK zGi%oikZ=hyTj0WxIiUU7+QXhBzc?)GnKOA4oxvK7(Nkw}XaWVN1Iln`gO)e|SPbqP z!%ufb+@!#mjM)@fXBkY;{S(#F<>6wFn#N6Z25U4%FWuFAn{K&i=-y%Ju-jCMXtYKn zMcuF^>fVfo?j4p6d;f*bXoOw^CamkvhOgT>8oGB_x~&EPu`@3$9(LyGNrQlWkwSOX zOXd2xM|F3j58i4VwxAKW8joOKq|jw6Z`{4dtZ^kNxEkDuJ87y`lIlK_hVDH!Oujw# z=LwBeMjkizl8U=2s-|P|UKJ*{rh+@w-9RI5cd9!c7g)J_$NGQlz7tq3RK;)A9aos* zx9W~(u{3n=@Sm_AmqHw9j9zwb&n{ng$~1KE@Si}v&>4-PG2_-?2bbcC^DaQAb~i7F*RhhXwmX9zT&n$XDKOBv-KB@pxO7EmBPEv36}l@&D39EIq3&X*E0%93R|R~c!CCe~ z$3T)UM62Arx@{oPEnp3v$Hia~EzzCQGr<{nS3dKr+XfJqM4XX_-kcDXBYOH>cyHIe z0N*?Oo`7Pg#-__&0PxBS&o85TgfgzF_Vq5h1FOlnwGWiZj^ooW;*`KN$}Wx@k-o;o z1qh98FPkqma(3ly;*Nl8n6Hq!+f^u6{Yr(cl zbNViL@VFLAyCbJZ(c}fM(T6Um;uGFvkz0n=R6uPwJ>vBOaZRW4EdEFGUrk)ov2pis zE_Hc9QuDOSjiil&Z84 z*L^6|%HMq0cJW5B3g(&e4lq@|ybQd8d8WMsOlK;J3CzvDkg=c#IyB&Rk;mtEkyq=V zcb^w941}$qW>bwiZ)vY!o;5EsRgF5QB_Bb-fhuj>VJMxJHt~o_-L$$9rkaNY4xV%@Do7ySjh}Ev;>#3@zdDz%W%`*?kYTiVXs|X${z*?|PRJkVMJ;XIh^(`N0gU`A+ zv)GiV-zuZ^D33-XhSC~b-EVWdAwTOm4Ypa%+;Ce3wH8WiZn@~DLV4EznwHwhochKv z2#7rIqkM>&wrcu-Htj5B>Ck=E^)2nf#CerOCJ6)%+!*(pOOK(bB}*uV%ghu82@kE3SS`}_+ydeX9Qa}u>d&R}NI3~E|i>N9VzP}3Vz zbaL;arnRPi^c$#o(gQEDX=>A_+5zTC7d*hU=F|_~y9woTv!Ysa>WAmugwh60gO)y~ z%lO_9urHonqkJU}ed^Odx1b#3IH55;Hi&5&+^3{&LD}9GOjF=KJ$4hyZNW7C?NfEP zpxoi8sqeHHMc4W^>E7n3Yj0@ld+Ml}nLa%Rj-CVoMjbW#J1tMstyPVqE?s(beIoQ! zXUA~NkZ!|T0i{W|Pt^g+-0RP#%Cz*FZYBm$p7jNW(zLEmHUY}BzQ9mg3#c<;DVJx) z*HD_7>a%j7<(aiJlvdNz;%(h={h*HM0RHAflWv{90Y~ehG?UpU;lR-|!)sbv9rf87 z-{ZZ4qgF>5a4D^kI|o)kX?4_RYd{O@;O=Nn?)7gzv^wguHNLd`0WEAHzxmLlJ8f#w z9q22dH2czLYe37hc4S(bt?RQjfby&z8A`JZeTD>3p0y)G=`~&K?u}vP3t+n%1~FBE z))LnmR=UR(%Ckmp7MmKTk*`pmH7rAEYS^c5j+oa1hxemWxXL5br(nyp7x=>6R}a*X z3f3p25Etpv<(9D(P`Y%dPJ#Q}RzRsW4Ldc_@@$N3j;b0$%_bpGO#A zyPyJDX%efU^hyiH|4WGL8WnZ_9^$HYAE#4SF5H3pK)Y$7de?r;opP0yXBNm%8b{Ol zHn@E&>-xT48cGv)cAte7?rL7aQLFkM=|_`!<|3PxrrmWKBAhsG?Y>J3_kv@QU+qn? z;;>h=Oy)hY3?Q{L#cJjW$YaYffYiPeYuzUxkDL4e(loBlIHXJ-xA+01tIA}QxoQ8o zV4J4&=>^ozW33&OG)3vN3jp$1X$O#|C#e@jXLeRiyDyQU~pIQMb|rH6eaGjzSx z1?2g>@1do}B+&79Rar~RzR9IW-H+EC;S{zKOc)&PdlgM;dqqnh94=70woEPP3d$Yn z?wjIHXHBl>sI{R!0d!L?b?Cit)S7djjJgTswsf0i=@Vl&q1>jW>+a+SXaVh5f{@6^$*)pWMeM^NtQ%dXXQ9=`nu$~{_IO;6_(FhEd z(Q=QYR?~et12z44pgOc}+w4oWn@YlR?~e7 z0kq6e?s3#=x=(-IfO4mfwymc7^w$k2_h{)gJ^A)m9NnX(wdp>809u~-9B$K_rZ0W^ z;0BaC9JMuA$7w&#YFf5kUuG*cb99H6He-J5(_c3@x+mRcrRK0SFGYCnGqh$jN2RZT z++nEA92}?Hk#Iojm65vH1++3MdCS8_a8x<<-8xpM{N4nv)iDHL)A zYjRH z%@LcnuXEw(~^FlRa`8zF{)FLzxtXH|gB39u5Ngz6@TnRczCfEpmgDrfq$r z367+ndylDlOi9!GX%^-3WP{wGq_x1lSqPLo8H1aWrfpC53ay9Q)UR(9S`VZ($!Wrh z_vv-7xphe9uQkbers>JXxFPA*5ax-dCp*sukfy@(Jkyg+SPDT*Dm+g!J=vf%fHW1J zXPLN*{C;C%Fk}j7&67+|wkHirnhMWzOiy+v4IoWV<|(Epo0A5Rrm=lL(DCwQZ_)tL z8q++7^JHt%0Mhkj3c6eY=_{fJkgl=O7>cR80y0@CS8;1Q^JEeakGQKRilt054AWfF z<;fPQ0i@MV-wA}7g2z440MctGEOn8|lMPY>NS8@?q7}$I@a=*fKw9nOL`4NMk2UWA zsd~cuxECP21^m8wRLo)8*Nod&8b9lybg6WmT@R)9iias&&zsd(a8zsgFwKRm2GS|% zQo;EFkkSfDs!$Gd$Ui&96+o)0;2M=yKe$_E9VJaw=8%7S4i6}yPWLrFhdJcWgMIE> z0|TkH`q1|~pdr)n-1AEnoaz%*hdJc`WJlKk()45w`9IlrGk~-zp2Ma*Lh89pS{2U` z+$TFp1|_YE=U{Sn&kw4Y7N_^=xJ0KbTXxSYfYd(ykn^jHUAjEksiCM;?==qd%)^t7 z8UskLov9PN0@8cZ0i^56H1BW)WU|#3Z0)}eeP0CT%jv7$ReJ+zdNR)pJ=ria*lBt) zPf}@B_FYP7_^@51EDt@*k!UR~d}v)N*}oQLd$Mam$EHswupH8d zdIY79+ZQNJ@zd>p1f^@r0;P35b@t=tDu~rAR-gYcPlv3AQX`FrjKeJ~9(XtDU3<5% z*mQ83f>;lwwW&Gmf3+{8i(4VY$W4daV#Ex`k#QrkL>g$DEDY-&1?$gUqiV^OKb5{boKBg<~QnS)1mam zp6j7>ed!HrJ(Sk4rcmhl$qs;pqh^z*Sm^o5s`~+@kut?X&pcoMx#~8lOcBWQlQs8) zlGcc(Sm-s7J1We8Ol4|6tvf$I+0aqI)YiM7Vp6#M{9$x#0aIJvzJ|wcIUMW3*0#8xLU-$- z)W*24QN+KExT>7{X;Jff;!68G?rX&MZzHZ+_WiW_dp&VYIi_6+>!Gx%XWT2@H2wuJ zO&g}GG_S$*7{j8fZCCg{UFtFdU#;Ol)B_i2qs(^Gs5#`lmXfAXbMXBN$Q??$M$Pj8tDzK) zLL6XrbEl{`2?0|HDro zcBfDO^ZS4K=?@={$4|ff<&XdIO}Kss1fLIBwS;;4fBy94AAk4V=RbY^;ipf({Ns<` z{o6vUR9mL4bN7$I9Tr_|&xJcF^I{9xY~tRIfBf;M&vA=JDHj`C-#G8raJCu}$n0a*~|9^4>z<|=a);c$lZT!hRkeg@G=Hq^zCgGLBjh|*^4Wzmo=h?_USrM(9S5SK$ zN~<>PQhvOgZGlp|`SWaVRzs=X`ng;D{qy7DZ>^FzSCfCk<#+*Xt@v{{?k_;8PSvRo zk$heF-J0KMbUz;r_hgLp3fxX!NOahNSHM(tIQ50;07F-I>1un=vC&$DKKTm^ybyD< zB3Fa0e&4AtO@D#7>iFUEk%hP~KkRv}^8MlbazaXNedtCOC^uPrvYu=KTkQ)BM0r!sMUu%^q}K<(wYx<=;2sEq2ayPo(F@i#_G8sw;5aswbfuhvt&JK ztpe*PHQLLQRWr+~rrPQbbyRwr!N+EB0o$5kAE&-RT(#_nzH4ds?3lkXL)p%%Kh%Th zZm{?Q*e3seO5O`ls!2ZdUI$Q~=#$qmwEe4xC%w)KU|TKrUgrfUtrly4@#P0ViC*Y_ zud_tgTU`85`-j`rxEgM2jD4%eE95nG=qG*w3uk zg}kZ}`)UufE~$^txvWaRulDaYlWW1&-e})9QGmy_P-^e9?`zTlg#(#;ERJYWI}Bl8 zm&e~`aV^-YW&6H#{{`Zj{QGh+cwSsDoh%-{*uG&kUcJu+$NI`kDyphd!|%rLVY;O)|TZpT|j(E?hL(4qMH z({Dfj$4_5>{_dymfBb=i`{bb&jjW^S&L?1f{plBf{^|Sg{`mRZU$9`3y%bc&Qc(TM z*Pnj%<9C0};Kgr$_N%Wq+s)?f+pmB7-*?-;Kkaw_@elv>>o5NGPd`UUq%2-A4gaiLT&ha^sKIu`AQ^wW>7Mf+X|2$kA#CHk+zilu3B7JR1=@AGP8bm@c!%O<|i`^Hy&1QFq z7Sx50`&^H^Q%Zk##^CGnF&^i*$o-q0PAdH%0>3IjT7j?VXxZj^Ff$9gC>mKuQHYmq zeXa*GuoP6rQgB^8{Pv&z?sh#S#S46p8X^{>y)+P21p_@a++|P;Ylzga+|E?M`v`_7+PX zaThV4$EaqpjVtE&+}s*$W{t#4Hj!TOO<9p;jHgV>IrR*d>Fb|<_tRf#WH)acEDwrH zzBd@xZ9d|}Z>;NypZJWsz_*7DK#?BqCj~v+of?FtwGVo-QICq~gf-)eyv6!ZM(1rb zfM0$7%XfeN{@>F~hy52Raosv;E3^i2w3(FnqAh}7>O^Y@<`#gAles;;_1$|=Y*y!0 z3%IsKP55^#(J#OK)z|I?zWuu&|JUa~-_(E7yKn?~PxgD!vcH3tg$X& zt%eISTfs(x0L8S-?6Gl4Xe&B7M$2l?FTdDqzSv?NXZke1_~E-h{P^dzy}Qlv01Zc{ z3ah)>oX;QQ%XYKX^Gt)nCAbY&7~4@FAd~$feBgmAo#0{Rx97v=@U{uK_&X*1!&|zt z!f|bRCL^hZ!0Bg$pUrlQwZWxv?sy5|R|}oclOoQ4{N0~E|K*!HJqL5mc|v?VhuUp+ z=bwK#YNn4LajN@ikB>X{FLhcBO@>p#Kf|on29w2L4|l?0B&Fvzyp^ASz}#9IpUk$V zYl%)H4N;aj9Cmd1afUL+7U+TAc9)pW17Pl8j%-ZIo*@e;6Ehz!nK?xqnZfqvkggQp z(d*{4+zyPMsjJSG%Ao?v^bxzU&xi7`G*-uY}gl*n}{m4Q>FfFCu!9Kok4pD?b3>Q(-$SM$MP%M(XaJP<%IB8%r zbYa^@5p5H4kt;$79W&>NWt;3XMx!FxUV>(4@l)8gS(S{exrmdTRlH;s1Jo|6QoH6{ zBx)Z?#4I_AlR_S50Y%P&b`n7t?IqT1Kasu#mJ1^* zBFsr6t3bpMA_zW56T2|Z?;_Nx9Az*|sAt$xJwswJwdm``7SB0ms0z?gOUa|fjGjwf_H*wL9$?7j_Ep+DQ9F;!sxw*DY@^Zx+IJrvPh|YOx>x72)d9rs6&3o zQiLp0YTrqSPAdyRAgg|7y)eu`AumbJYUm_z|CYS)C@&WwFH2EpWj*Z?;DC-X%Az6| z6+|uXVOjVTmh?K}I|(aoAD@)fMJc(xI>h}ZI=%}JZ!;DVwm=mK5teSxg~3)Aagwna z1Zy8XO=8Km|IeTP;rl;*|5G0atcO#gI8cXBK@Ea5xJY+rzcFGHVggmAw8cDvPq?=h zs*5_Sgp9R`A*rfcTg%^B1wtlpPs7S12aQD%a?z1hgr*~M;ZcVw0{fMlB8P$ga|#PVdW{C zDuTW-c^O5WRag(%8hN^>i;Xl8ntDRA0yBg^M7Ch?9hEfRGqY zDdcR_R!ezA`;pZkB#u+|D?D|{Se)dnhOS0Hr-}!9xrmdT)gUB6I#pa^<049uQ?-}N zhH)yzfN2rbK2IW45&6e^xoS&RNs#_Am&m(_lLk~lmjutL$x93b3ZZTl@daj8w~9TC z`kfNXwb&N2I_ssVvyw-Qw}Y2lIX#Mq{RL`5M^-(P{Uw@k*d8l+sBcXBt!~>DnP`n zV}Lr6{h}~SlGr~Qga|y6m(s)lMbMnp&_y6Sz8Xt{TAKhpomHT+f6;xb;zb&aOEp>K zECQvb2v|dS z$;%FlE|ru}@jJ8PnPvpfbQO3mm5U_XE?Tmt81OsW3)catR4DYZDjG&IuA0VJH!bMAxvl;|lW2Cc!tFk(2WHog0cqIFabqgpW zz*)r;4IveA{1F1h>|4e20=#x>8UV0k(SB|C`rJ;HlUL?M5YrIBWq&Ej=U^V6b7F}7$vr3CBc{$&myJvx3X$WR)RoQVIeQ2 z_P2~(gXRHMS;^Zr3?g_bwZG+L2Z{`+Dnc<}N+*hxkR-A?iHfp-uo%#TqDZNIK$!{A zZ;2xuZWJlCk6^vBxTv#A$eR>$2}$lSK{7+-Zy9&YS0t8F`&*gY2&RYTZe`rJGVX}! zXOU9-OOgmQ2pRV+je7}60;+_&KovGgFrqVHzt+A?-d5HUp>r0IUBk3Q7OAz*nF?SN zFC(iIXGdOY?K2vK@Vj_ns2IPcK>Jk75|S)Q67~Qg9`~u1B_xR=P7)yly4c?*`z0ia zB2F5Fko~^}+?aG)fp&im*Wle;*{lh%^C9t$imMn?b0*!8}nGskP6+Ll7Y7lAQXR?SVqB zwLg-aRrSOX0zjpZOVALXB&mT-!sZA8>1i%X&=4~!B1oOZR-MI`VI!m``z2_IPg0bT zRUqh9BR$zKK|@UWh!A?u_$^-OBI7rCskJ|noYf#ywc$NuZ(yx`b#T~IP9f7J26QP* zc+V(OEx-B~j73;P{mWMU3;fC~QfuE`&&W!}LDrn{JH|i=SW4|tIl z798L=o*9=oXkjF@euMcm>}J4PAj~L*>Cy|N6iK@XJ?Bfbe2l}*JiLSR8)^<1ap^6z zk{|T4iJ%?7pfYg+pGjQSl|Ec72bpo{CMaCFG&PFY#vr~1W}*_&1#CWI67_&xUYImK zVR;!S%O?ms>IiIL$s$)-a-JEL==tUt)(!76iYTCp)f2?Veho;4or9F&!ya~+o{V@Q zHd12M2DF$j@dZg(9HN()D@1tA+KI#ya%Of&n*i_vtdW!*UA{ywfO6J1e8WrvXdwXb z$Cp5iq;%=x1vB$m&L-!8Dbbvji$u(+F?&vjMG{tg#}_~jHw9t6TyG00;#w0deTc+( z31^sJU{?7Oq+}lOhpjkP_$hH@H>7aZnFsu#oYhI+oVY;umy~eO1not+G!T7&wQ968 zScCnUXdRRlRDF~cO=cct5y&VqfDHK1Qs#kxLHJOF6kgVUa5Vso?5QJ>gf0~2+*}E3 zB#!I@lB?pm0d(dX z0GvG{l`NNX86lSVmYyMBga!tW*>^EK&7|cAUY|0L7%M`gkch&d$dfH^pJ~m;)V5x z9WexnPMvfXeL;UqDWIcewc!i-!;A32nkT-ZFEw#wH>8Le22JM(4ni(PUr^481Mxr; zfkT!fokd>&i{dB%mh7e==EtzOv*-)_g^@_90}=%Ui)xNz$_M2<(g6+o939~W7LH;J zQbSGu-;KF+R@Udv7FhG7z4V`wXVDj|$Q`*X{+L%w7Q(mWOV+~(iRhaX4PeP`I?qYx zh>M^ccEm981feAWe4)nTg&gB0=^VikmLqvB7Xch&M4EYGITa@CihVg9BU%`V#~82j zMHJx7Qhvc^xGYyX#M4MT#sVys6C`BUmv9tf0rH|RC-5bpJK;M;d@TT+{c?)wd6X;m z1&Jz$wZ6_yJv-rS8GVTbLnv4D1&Kmab7gicoil(KaiLt%my#GhUXnK;$>Lz|66>Mp z3(Aq3SeR!tqyS&2IM~^lav4DsKre}sOMw*Q<;)ZAFUqeTlVK zC|B$Y5=Cb&Xbk9EBhJx(eu?!PG5xmG-#JIGN+k3Q4&;{@1jY-tRA#xNFEDNtBdjCE zffuR?Ce!>S;`CXM zFYzsnNR&&dCv+mt1#m2v?RJD>1k2L9Xuq(A_CA^^#$JsF?wB&U$E%Zi3TvTv%Ld$%w(b5Vqfsh>U@aEPa>uV97)C(lL{!e z*q2CDb=O=3pnfy*WWA3tPC!Rw#JNPLI?zZG1?XE7U$rmlZySJ-U6MMoza0S`;v0!0 zyYvj%O-i;~E(NOtP#EPpj-$E77g|)jB&5i5`g!`1LEbuieH0oRemx2eXoJK-^nfq5 zz}~Wu)>xHBu9aBG5hP?6*Sq9;EKQ4Yr&ut<7uC)wf+hJyiW&P@5?|F?>_>DtXBVW% zZUEUz#v(NUMI!Oxh2`)<{lF=2<0Z9WtW?W#GNvt8qQMvPhtm_%I*#RPET=?lsBw16 zGqUpwqIbz(v2q*i4Ihbmk@Z0M%}H!sW8qrh%Y}RSaui}L2uuKo=20%OSt9WjD~HaM zi3s|#A~R$d^?=Tl0I=7e^V;7uG077skiY z-B`?)xiZ!i0O)ncivg%cu_8UK$5_$Ea+Ep}$tYe}Av>(w7X`FBV_6%@?Xfd56S2IK zX!b{W3rHeJyrf*l+O{kwsqe8KNdP!H0LTc2Gf(zzJivgh`LO2@6-Y!CUPu8pBM}l_ zvbW&@6fP&JV;oE9cwvPcuna%(;U(MA2-<>%!%?jUFUoaPuYoV*4=?1e=*#gaI`Kj@ zc%fh+7JrFV-nfgP=nF(eG|0v75ijKLF+^*t==BZ18vD zS}ne$zQmX04=-$g@xppodc_k~5F|J8LNs_G0Bmt01$aq2I70S7!{f#w!nTtV{0N`>QFJQ1u#X(Xm>BgSc#fw5B zoxI2;ZgQb(fRrN`FWGL#>b^{*oj@Wx(0Ea`sfFMR?L>((pRkqLxo9@=h2yNqa5u- z0HB)S3pE`J$0=&)8_O+THY4*ru<@K4CGSu1?4FInq82Pos`2S$Fx?7G6R;{JAhKK zcoBJfx;DrYb_wN{QQGOSXLo`ZMiKDB`GylNQ5GrK2ax3!fA@qwSX8OM#TRxkktD?E zu(Mpzm&1``vdE=+KyOaAV(K|874ne3Vqef&w;!RAC!CecC%bDz>BJpkM`-O({{j#8Fej78i9^6^))eT2d(j z?=FkLJo0&oCu~Q8z*6cIH>cwIP;>ogPcm46s*f_GrA?zu&=#AegGM})T|ARLoN5z` z9PmBDb}$bLi||vjhooc+&y)z;DEl`NY&-Vv){AR_JaP*`xXMH$jBAz~5;EY>e><$J8Y>EIvq{@H?}@ zqG!=KL@=>P)3XRB8~98KAxrXxXJWmi#)ADt{YKJ~l_0qD071pvO^q@`|FHTn`Hg2T z<-jw_V09vzb3AuB4+2ly`iCU+{#dzohF&vEN2%3bF5Gh9%{7!=KOc2nSsD2#zQi{OxWmXshKBJ=IKY2ots7nmO zmm*v85Sf&f*tWvPbrCp>U?~FDVLDD&#R2Obz_C!4PmIIzcdRPJ>6xvJvck1t78Z#y zasJLY2~Vkqg^W+wrXEQ+^vqca0^8WL$T3~g(_$D1$xX_4Yc8! zrW^{QY%aaXXT~+oj^4(nScr)yE(FOZR*dSIRxi>+WiVyDpb_73Nk|mMa4Pixvvo-s zo=KU#e`JA!NL4|HA4L$pPEp}|g1|EzD>&o>3u4d-4M`MT98?iSxA5W&Lofc<#NZ zvnE!gCl*i7B5Qn#A})%jvZhtQ_lUd$%V_=hl)Vt1X_n%5N)9b3g%7)wX4#XnfDXM* z!f*j{GaUmijHB5mRV2m?ISD96Q9}m@jG00U>RLZd`gMoNvO#35e)&efC9y;bZkAK zV&7asMGvMYLBvRe>FHQ{Uo}4|iGI`qf{g$^a0=NG^(;blj3h!0(-MTigGTx>kG%pf za_i$$99EN05(bYe3Bz|5+0b`&1Us`*`H>}g!Ba)jt|LrIAv<`DB3NFDtO)tQqYP~L zozU@2p+}4)gzWe;voLANn%E*MK}2tXBE!POQq6>lXR?oHY6F5#ex@dSqa?5(DWTRZ z0Uf^+3wi*0o=X#3k|@*#MA|VFjSdESz1T7MLWhrtWx=0F!tgt*7UnFK|AKgW78$(- zB9alcK>ONYZpSY{D$HfJOXP@|CO9R-#vmy@D@1j#46`r4BxpgD`U!V*em zImfhBW(f}@zvpjYA^VnpCW+lp>XtQ|7>Z<5@)QEIIB7stQS*|74VNIHI?31!!UU$h z;>1B2E5YAfHD*Bvw-QSmCM8$%Few3L|>Ip=|(i){4Kf0LNo-X zY+qWEvl@it%3+dcDG1F;&T8l)G?v={i+%pYBHF1FAe32SS8sykm}iVEFewuwst7^E z>@Ms*j?coWgE*fie7|^ZV#5l$a5o7Ywi~l22-?6FN6ti@~%7P4b04oJeOk8W}g z&c4Lb@iB~#rSly5zlEm{)X|x8DSf)25fPvnG2sEC3&m!vF4>>4+3lW2a z02Q4*9_bXLh{aTrmaM2TX3ggz4t+!s**|Y$h?CA@#GTIwX`>&Eh!e~hTyk|IaV#3< zc`{Qu7A-Pk|5O&j6E+E3|6L?{{M;!8?+$wv(2wxt7zQ7sFsMMhU^sz#!BuK9OwZ3% zje1v&SaYmNTj`cG2^tjvj?PMM z#}Qi)Rfued&=gb#^@KXa+?u(EZ+^g75T}e{l?_JT`5R}$=5w!Ss=B?dp5&}MXN@OD zF)oqs?3lAj>ZNCHp%ozRihzhlIO4pF=$6el^WKp@5=C(QomVO9&5c^vr6ujZGk+Ynh(&X9&U- z!kjd)8M=7OcmrbBwTRhvRznw0xdsyf@LI%4&T8m5jW~GCZ3~Cdg!7Y=oYf$NHFqo^ zz*w=EAZHZ_7@owEi)BD zA=e~CsFfAanKhqSNt{L0#3CuirujsV$KT*Bzx#K#7k4i`$yo&g1I|oO_7C>OSwu<3 zhM}Oc>X}B#UItDb3LhQhQYXEk*36dgXWSYfh= zlblszkkHX#W)Uk)7GZsWW)q?(#e#FDvxpTYi&&V(Mi9uFMR5m77AXqjB<#VPphJ@Z z66>X-h&_IOVp4=!`PXo3vFycB+cnqw7ZB{pJDY+1x@)fEfc zVZW00m_dU$N=2tm*nt2R7;)4+?0zi2;BQzre2-Pzd}e&=M(OK7O~l7RYeh1 zX|2M*ir=wE8}(vSl|_`a)V8z66T6}li&y>gQxJqC9O=N{!AoRK#fgp7fyGO?dXlpW zL<}mjXm+|@#l=rfa#lkZ)?DzwJJv9fBq%c>{Ea!dOwXMpnB|s148%@a2ys?DQ(_K4 z3JR0L3929vy5sMxeb4amlamG^&Z=h$*#SsFQBvqy5NZ%vU-+HM?{!+t;v{E9d&!~_ zdT!i7+`h8!Bxf}U2|ZV%U?5tHILTQJLiMxH+V^Oa1USiA4MJ6%bE25xs#u)ltOg;W z#~~OP(+zVG5^{Ywt3Y6tT~d+zWw7$8U~v+LTO`!b#T$pgo<<}T5rmmH{uWQ@9^yBm zG(nhmyD*ChaaMwefKC=+N9Bz!`XuNBs`WgcPI}nk@NzQ5zQco--3oPC|oKT%Kva&vqRoId+FCt(OCpjxM zI!-iTdb016+W5&y&T0^1pE~A61cD-H&T0@63w6wE2v|f(3n2s{MS|%~_KS{TxtM9z z)6hjIyH`i}6ANuIgzc;Xk?}rMLTWNbH;X2t+nx#0Z}G+`_JS2z!~!2CLDEO}F5viN-{pjEom(+kMO; z(%>A#8HC&+x<6UO7A8N1vbQYqkbo`HbL6~tbcRlpk``ymS@mQSbN8B1z(ZmiQ1dsI zF7bOVCq`^n5<}LAd`1zh+T#-w_xT%9Gd^>K=E?1zrddyeV7=tRI$*K2%pyt((^o-+NsQm=U0~X~5auMtPEoanE}`e<5p0U9 zMO+`wYUrw;jAmkzy%uqjvl_aDo~{BGOxGe#a#n+o1k+VOXtx$|lCv6wgq^MeRwhP~ zGIm-!kbw)ALVS*;kDWpf=CM-(GFc|mfK_{p*Lv*^aVrUv()=wD2$SY-8h3|9`AN{1 zEMZjYm=u}^k9)`wBU(WBh_{Y#W5^P}6a_j^hFg`EBIs6gItFXt z@);M_1SqU4))Qs~%t*tX6f-$XR;~HP=$7e$Wt=+5Pfl`HLr?y(95JVQ~Mh2t3{ z#xuFG*V&VtH5NN(RzAs%z0Q^dYz;(miK1Lu;ne_{#Yqbxf*xE(krcq9Gx^B`Oj*TC zM7iNZ$c_?_vx8Vf}7cbGpEF=5DpbpV+Zmy$)62$1th zEQqy75w90v5(&aoqOw9_Q4}se(^F#Jw8kVAi6)#`T|`);8vzz8e`Z0u%%_VwD}md9kZ$Vj4dqjI~H$YZrf_fn-7tMF%>L$K4TMP{LVu-W2^;*fp)k^K>t6q zz1enMxpJlbDrb!UCVf%M$!?RpRI16Ot2PbHh%j0Vg>?;z}}>! zQ>UtIi#azIfIt(N2m}I{LM=DDb)nMCyFfTCsDHhb5Z@qg6cB4$3!jI7mr< zjHLdlZo1*6+=?;jH@#WU5qMaf35t(Lm#rnX12FFZ{$f(x z-Kt)Yvu35|)x0b(@uFP&*P0bYo<5;1{L@IfvRfJGhJD8sleq_#GM6YGnwxv zXxgBa;%^|@CoDAPlVF#`^)@1|9{yG$!D#H0FtBh2Pa!+40zU9?IkC5_@HX~;bx#gi zdMWl!omC9+@k_8{d^fPKCm^Q z9@wH#w+Bkh{o#Gp9Zuz1&|$8kHMm*59LA=7s7m4@)$4BS@S)dz)s6=&H86C}8=xHl zG#Ccg;G79w1Ce^v1WzM^ptjV}=9V`PDATRhxXlC2^QNi2-i*dnK|IZPXjrMED-IW8 z>2V#_U~|D~sU1F04*_f3kFM}mXl+Jwr_`mn#lTy0^U(ImUsrdxynuFj_RHD%$4|F^ z+xjuTmMq=+K?W@K^sdH;{Tk+urJV@2{HEhoMr<0qr3p(@o)A@(nzx|Kx+_U*>jNluJs$cKs zkEdbr^{@ZO_Of?>2HK&|mA`isYNPo;>LQtx#RG|}B-&ifscSoYMG~9La|ZWHqI2s9 zQrCfL%I1N@RT3??-<(=&;6tg)^pi?`Aa$PEPDtj&YfZXcMn}%TeJ$qoX(o8w zxjFq>fxJHdKpyfzcsP#-=7Ub&=dVj?xxO;-&#BkyTiR#p>aQr;IvMuRdbyey|A8yaC|F*sRuMg$5v+@Ft zE>F)cZ&?zTJi@U_Z5ZJX(`uRK3Mgqm#3vsx9S3AYx?~a z=i}4|9=CN)o%`V6D}9hNlcDpUm6>k*{j)NYpXHp{H}{8pLBH$khejq>gf{e0Zu9?} zaqp2Uzo)XhxeIl!^p&qmdZUVS`Yg-Z@L>S98N0Q+Y?pz&SDMX!&PB=7XD;fYKCBa3 z_anaN^E{#1sy!^{{+gU>gr@e;WYUG3Rh0+T{D-TiW18>!`r*oKB8m?+a~VIfb$+EX zxlcA7|N5k+WM8 z4T+rnl*o|C*-vyp{G7)Ah@3qFj3H5HzY}9f)Y+r27!q}M2RjgVo3lTnHT=BAkf^ht zjTjPj_HztFqR#GxU`W*2JqAGhIMV)zI=e%pAyH>{Xf-72?6xpNqRxIq2jT`S`y=Y? zUbktZ-$qiKI6k;I1!4G6qXOCBBNYvTQ)`mo#-I`@c)Y*OfK-_cB{)jremz^O| zXZMIRB}otmW#J1*iyj!~hQ*F2lneu{a`<1vARs4=ez+!a5Cs4=fOb&a1w)R@-<@@hZD zye58mAR%hZYfRelQ`9-;H74TrQ_O45tpkZV$Gqk$X#5m)j(JV=u=pwJ9P^rBgYBo7 z*PJv45_OKLi`6)OiaN*CC3;o-6m^cN%lUBoDW)y~t^$cV$J8Z4QT!Bjj;YIq*!ELQ zU5v_sM4e;ma&QwrMV({nZpYN!j;YIeZAv^&nPch`&oX|B){LposfhoCH9h`l zITMv8kd`yX>p)taF*yg)a^_-dAdz!SeGZM=Pq8*Lj{}LEV{PWvYWx&A$J)&CTl*>I zFy~T%M4e-8MoYv`QRi5jIhc>1qRz24bM(`GiaE^5Qy@|2SeuEM89zmxV{OLUJ${Nh z#~jAXru`IaGsl~OsLu2Fvmrz_FgAodj7g8gaySq;nh!w)=ijGBcGAcRZ&6g3MS zz{T(OQ|JILTn7@Z89IRbCh=3WX6OKJ_O_ow2XJf`NVI0?0AgIkPf_R40R$|HpQ6s8 z1Gw(dehMAH{pUcU&Y=T{=@makokIt3zb<}?I>+*jb5#2&=I~A|-#amfxxF4~BIlUH z9NxuGk#o#pjxE|xF^4&A2qan~<}ksK++mDAoW^iAtoqN2DC3VKu$Fb`036W+iJFBDAWA^|6g3MSfJ|I!^ezK%&mEj`Ln)`zhuyo*jWG z=Xw0uklWMv<4m0BmfO?tv%>4}3mUK+DxlpvqVhol+8rT7VgLjUAR1|_PpAOGUIh}} z6e@rRW#Xq0IaENqRYDQL;CX1OB@PwP?op5?Q~-g3B2DBRDuAQb_$lffDxmrO|BZ_U ztugWY@WjpY-hOI16LKSdYIVkiDUg;k;e#3yQ@A-~lTR$;gk+91k#j8L&3)MtN6xW~ zHwR*ViYbiuNXsXvIG!GXM9x9QafgbZBIlUG_|LbWVhZCnA4s%DP;tW7#!t~2K84Hh z^AWeJ(T8^oucsbPqt8ArqpyW~K)xUWEi?m2D1a75!H}p|D1a8oz1~}u_y~H65*ICut=m^XXIHWvbZmR zRU!-Yha0?fV6VL1AjfAsHyz8gQkFhqr50EvvLJQjStT;(N5CqP-B|^!650JXz$%el zRRydP+09bGDv@2)Am&e%$b8WNt3-Bp0acf0Ff7X3;8nr!R(*DuqnqN7EK{zvFxfult{6qCTwBE08BNLYcRMYs}+ z?laI=Ux_4;s~;A5tcxU2&E%`{NNHY>JSkOD+B8r?u&^+!t8(;2`3aSJyaVy-+=3oH^Lpui##?`H`dqt@za zuNIJJmB`}r0#=E3yr9uX_PK>jC1sV!0`C$Kd5l_1DIK)(%|n++I*1UFMIz}SVl-Az zGq%OPXx+gpKPsq7BppN;%JR8%(5gAi#^=&OWKvUlK~*BVz_P#cjY_Y)bkNE<%K$Y# zTUd`M$aPTS9M&MNgA(U3(3MEy90FCP=8_tD`k-yGg93CV;v1n}C08Peb6B3PL=xu^ zsDcvbFwjEo?BkJKTFC-M1J*vWcOL=ksIw?HfVGe8jx1p5BW|t=ETaz3TY;sIIIR&_ z`bg1H_KBmN>&X$8rSwf&Gze!z0*gePvj{8_agZUfNJNxUfkmQypIq%~K z%zbO6EPbq?LZmEx%oPNsEPbp9U!*Lf7T0^FEPZ_79q-1jXwL)h_{3R|=s+F6pE!#^ zE1mg}hceIj3ak>@bz@RiiR^YTV3o+OApus2EQSDJmB?a509J{l!kBoaN>X8*l^0No ziP=~{DJD*+D`=CGDOy1rA)E_W&?YDIwt_Y}IdCnY*bS4pf?iOSNc$dUcunaARf)9k zVcO@EJY#O9SI)uvNdk*Rx_3j$A`!24D`k<0n=%56M28kkgU^dZdV~qENQ4)bQWlAL z?pa`wh&P!Hteis+odK3U;&o%CtP*M8LzuYIAlmmtxc#Os@YdJoRU++s2;WgY*S?2< z9Tk*BZi|R{#FC^ut3=xOaPy*kF3u6*{G&=zYgkijN)_asqv~H%JFuKyItsArU#rJP z{d=f=6PKlGNooiH`nPZvK&i#TS+H|>m9j(xVO1k1JBdZn@$u~j(k8eQFGxrgo+Oyr5ij;O`meO+{5o^p#uM&wV?-$NO zN;OEblUS8}C>G8Es45lC0jMe!&cU&cs#G`!psG|j2cSwXoC8pUp>PgBFQ`i7A1bhqItNwI;2Z*7eGfZ{gX+z}IUH2!!%ia5_3z*u0$l?R zJBfo{r9O`Y`Un}E!$E5$g3`q75UAdSAyv>*_IczfP0V;#hOs129mhr0^0_*W%b^uC zF^T?ILC;ftw5ESek=m5;f~pbQ{qxrJuac^d>8etaY&YCWt)TS>_e?8j9gn@Dg4W6h zgR`h0u^TvJNvUlYks&MSdDa$@3L^l2O{o^~6NoOn_F`PDFDbPbBQ8Y+)yjjjsGi!3 z!B}fb6*TN5meMTlh&ecmgEkKduAM(8x`x0a5wEBUED{mxTVS7u%2Rd{9#ANGO8>%_ zE2umNXYtR~9fPw7)CP^KzvXjHB%XMwp!(fUQ=*X%MP#7cHKi9+C6b~ieq%`~MbFbu z74(9tM4H9~>#QkN(6Ez8A`uy0)hj7QWH`~Tpb8qC!#~%k8=S*IFQ`f+A`%yi+mt&L z5sBFB9rS{#MAH6*#V=Ko_Qw&VfFdG9^Xba1IAm(BK>nN}|Cz98@0-&f%aJRQpI< zoP%*Tqm(jNatpT9Q(K&PIwd+z+$dg2>7WX7&QbKQWlrL*f9;K^%zxc2dV~JuR99Oh zu8og68K@Q+oCP8Ps1_M#I}WNv24`{5E1Ft_&$Y%zzzB(Cpcj-{#6T}7^|65}XmA!4 zq%9IJrj*LNW*MACDP6M+&f=g78l1&JFDQ*#O4k-CLK#HmU9${3i9UDDGB}HaUQm_D zHB06!6eP0A)4)X{*DRT{kkU0v<}3!P@|m+3D5ab^i-A(~nX>?jY(^-F5L09`f<<&t zD@x`p_PG_rrn^*L6q2>7fFd32#4Bj6(U1$fb==4m4Y+d=_F1^jwyuO~MllrnJ+ z^3*K$Yhfv+mp&xI8D8(HQqYh{py?1y6TNhSUZo)spyJ>Ygn5iQ1Ep99sFFV?E|le& zIESUw)b`u>UQYvMvhxd(1GI>Ce6CS9>0d?#id5{xJ||_>zt)KB`d3NS$HUHIQQGuu zJ0+DC!Fy80mlnZ+QebTnZ4>-H{HVQ8&cs=)AfKFxvlyst!eQbp0##7rECSUciL(fl zM8i%ZiL}2v9Ci|cTFiNIrgW0e!(k`!lo}0*vsgh|%nuW15vU2DIEz3{_{3QRYBVIy zBG3yOn#DjZ=7)*12=sz#A89e?k+LF@7IPeHE2x4JXCY7b(>P3=MWCk~^Uy%8riY2M z2vn64XAvmb6K4@9*@vCP8rdB!2)dH%xvSOmFmV=3se=?AqOK{`@54@_JY`QO>Pbl{ zdpb}0R8RvfaSkg;_H=@^)RbOO=_4Y_*hCn15|u84OvJS^O>KEoIo1yxYu9G0hSFFeIvQ%YMU&S5EiawX0oP_hp@iRvli38An`o-&?5_A2NFRf#M* z9f7>6L_WC^=djXs7{CjAB~P6_5}B%iK9UpXu+L?(!>p83;tFV`C(c1i-1!6+i3mz1 zutjzagzi}qN;z%95K57wUkx=+6uf;|0?1XW|q>&3aScNS_J2~fB73=X%U{q z5ja+OlhgDsDQk;Z#{-s8%fnLwYl~RNld`smbv$5g5!0%GrH=_4XJFziRwa9y7qCiX zrZm7R(Y^{woW(x3hhs=tC9>@dV3o);AYhRQhZ})KBEp3VEE3^HBd|z>$BcoAvrr|R zV+0n7R<=tZWs!)7M+KHX!aGG^k%%WV1r~|$IT2VTGAcnqRU)GjfK?*fB>+~5Y?pv_ zu8ca|CIwcBY?naFDv|9H0INi{O8~4AnOX#_5}8^AtP+`81gsL7S_G^TnOX#_5}Ex0 z(_)p#)FNP&$m|b*RU)%L09J|2{s34dvV#!7Dv=$809J`?>i}3KvaJJPmB_XZfK?(h zLSh%K5*cN&NP1b>txT%|R*6ij0#=EPvH(_z%m@isB{KaBSS2zCGQcX)ffrOIGRi{A zDv?jv$~ibxx0IE0aDFbZN@R+jl#*yo|C%BV{!668N&lL_8}%=z>dLgV2rr8ZEG;76 z5sEJ@BHt0f(jxK*0W2-TL8|gBEyCu*z{**;4j{1fvD_y}Sz1Ja_W?^Eb4sSqt3*D+ zlAQz<#@Vn^R*8Jvv~YTjv*_V23aS$M5UHGn_q;66%2{}bT40sPA|8{nN@P1$z$%d$ zuK}w>K5i;!Ay}+ZR*B4_&F8g`Y#R$$Bw{HOSo#RvX<+3nOjdzKBD!2)k%(3kSR^7l zfkh&Y76g`2r&ps$UL=x}31E?kvjKgcB+{!v0+U1r+PWLDrq#s;T9sM=1D{BMs!|JP zFhDD)1vcoQhIb2cFhFa%7Vw~hS|?iYg8^E27n&uxO6mORaFML}iM^4|t#&O()JKLS`J zB5<(4q**MbB+8rx&~`3-UL`VDU%)DnZK46IMCOzRSVpa!(g3SOwuuI;64@3B3CcW_ zQyO5E$ShodRU&gr15COSiR^_5z$%gXKLA#V%s>WMCDOL3#o*|Ddhy@m1$>SjgR?c!L&C+7Y^gN|m zcsRc1se&qJ!E~!Ui>|~IJ5@~nYg4guVwY%J|oCUL>KCkmoyMz|tW_<1i zWr?td8ztxA`6MQwvDy{{r+p5nMlPHKP^A~n0jRN*I0ug`(B{f>^ie$dMM0yF{FO_k z91;QQ<$6+%J`(784v7GrbMPWTmx$;v^oQk{IEO$Duw*9zr1nZVR%n45b%}EbR4XOU zAyBQ9IEO&BQsNu})k=wT2-FBkoI{|*Oq@fYBuboP8wMw})&c;j;|!D`j;CctgBU1@ z66esPp_0fs$C~~%Me2F_v#wSDT5qlEUw^#R8Z>bhf1Xre>f`o|DJfGQ8>mi7oW(xZ z!n>U~i$FDK;w%RG^hlfqP!8$`Er?NwBnNfCF%Oke{gKXg1ghy1XE9J4Ngn> zTfnK75|0&AH@tq3@&vSgZ-J;rN|T)kNj0U(-U3vOl-9^Q$xcE@EDm4{Oq@fY$=-rk z_0M%^fg3|9NRz7tx9TZ%Xu<18HKk-vXERoi4lP;$tns-TIr)=VN=+^v+b(&E?X@6T zRsYgiwo&VOlCtVw%V?ASMXjktlAXnh*Gy=^w|b_cX1s`0>LkL&12P2^IcfpA`sXsX z@@830Dd7`mvC@5nrL!G@rowO*DW7ZI#(^b+*x)Q>;w*fQxlLdmwd_2UXW}fD(mOtJ z76ZNGGiNc-KQ9ttT~a}L9+J{aFQb;gp-NdK!n`A}N+g3g-U&ranGsvCv1nwh8TNVR zEYwqnNG%9iPpLyB4&zIn-o=@-@VS&72j?ZF)?Lng3n&%V0+98Bq{29*t|>K*GiRY7 zZMR#Hvhlg5ak7(GcWAraf|vD_TJ?7dXCb8*RQpKV?H1r{eC`ERiL~A3__b7C+wB$v zt$(iVHuv0XN-3toIoMmOpu#!qK_Kh6WG6vPwrc`QAF(qNSR`T-Cb0AoF**eniP%~R zED{j}M_}orZEd$(AhrR)Tw zjrw<6+wB%`ZhY?*t$nQRHrB5qybO65r3xr^(*o4>JYA<3&Vr#r1r^Q$s1_-l1yC(g zI185m)FR1FVm+trHg<$kC6S|@!ddV*l(I`_JNCJ+6NR$?N>>)nf^VYNvyNI>&Df@u z(lt4`ot8m32;JEN=|wBanGvw8XL4o)EE2Ig>hsb^EQA6}AF)0OEPaH(jKDGv@dp%G zB*Guiz{*+VAPHC{(mFx7pCYD)a=c(=hg~$X1&Fddt3(>#yOp!BmMCSFNP`w9sZx-< z8gOE(pd?Cm5|u8AD(5i&A*z(@BuZ)h-U9#iKGK+PK>$0b^=vnt?bzq?e`vu0M@m(x zat;;)6;vhCNpcGy7@rgRP$^3v$sLeeCS}yoJC-t?%}^yd`2m(bqS{JXMjhb=1(rS{ zjG(|W>UQP((E=3qddhi&M}Tv85ZX_lmp&r8pTH`SoHyc$@-}RC<-E~?8}@=Ui{nMP zNT~_if*$siGKKLla;cK`C_H^!K~<@8j$Q3hcAayq>0eW%qo7sQznVN7^)E5}O!#bP zp_9ZwTkyr6sVkAnS%}zYpJzLZeXb!=ISU@yN?H1t(0u|+ix9m}U}+Ho_z5iYkQjaf zOCJ-)Phja|x&Bel(#LZBJ7@tQLrmiN+2@tBxaFt?hU_U_16IyL^gexFB@)%$Ep`%i z+tNYBP6DU_TI?i%8V$ux0_X)*iG0o!JISG5b?3TP(Uk<>vwBv}LTo*OwU4wMwn&p* zb}ff3>SPDC$h8QR9TX{U(I^L~elKu=3g?MHHt3;xuEs|vvMEEYH zED{l^OJM0E_xx+oF8k+Vc1*lHITcNbDwR=3bR^|jM%~K0qjC-&pR|;fbF9Qpc~M0li-JK zeZ&=nl2V$XMeB?_6{&}mMf{}F`bYtE z0EbWQ)7BJEWoW#PZ#DI4`K(I`|>Z4r@<7Jam5s&TVd zISb(+^m);h#A6j$TSTm(MJw%jiZ$$2&f;EWEsE(#X*0G(G##J~PC}#tRjKx|R^t{uwSTVF7%f~1(rVnI zs*aQrvvL-9bi>oTey*0Ub`q|CS(TCxEIf#-MFMLd$sFI}tM<}$TGe8$4$up#qgLx~ zi@_Qx-KVX^V;!I=`WBm2AXZLX8wC!D1ZpNE&LU8yC(dG^G|OJ%ECM~xF%J#&Moyf= zKo>LFNd&5(#5n+UTMQSBp(_p4Zau|ug^U8K^66|xpe-{gj;m5?El+V=9n_dlXEXM> zcI$h|2UegLG)A33FKG0Uf!eL_B|C{g6_hxKKxx%vClRQE66X-8g3{THfvzqR=Mbpw zNSs5U8aZ(e16?yD&LL2PHl57~)a*!{L!b&uoI{`rN}R($@pSG7^m!yu1tmL)Krcv| zVNL&1;=!s+7)l40O$sd|(A?+$7E-P~#?X7J(W!$sYyKEpaIhtjz?s#HBc} z4yvHUS(H)*B|C|MGBl?+u=-pVC{i3)2PJ#rEc#rsC(dG^OwuV1tUi}XdM|MnrBov) z&LYrcPjO)Nxh7|d1M8qo&J+jML6bejfpySiPjO%!^n%8EW~Iy2kmA59rPlHk2i8H8 zox3V|dTeW7pW?tOrPOzd1M8qZPj(V3T?=Q51FMvxdqf{C1&Mv9IIv17qi~A@8&i&B zE~{tqC!rutv;>xU#6gq5BGHaC^(xs(>~pd26vx#{=LE$*Pj(Umz2g$+5U47pvl)T@ z`QRJ^)p3b)2vo-+EmAp)`?#zsXK{Cy6bIJw zRA6$dR7Ne~onf zQXE*xu5DL}1M8p{RCFaaIOSPZXkE9Z^eT}k4(KLhg%U>m2+TuP@biaFbD`N66p#r z{!L?lMuV^=x0IE0@D_)_GV0jW3M_rZUQ=L^h<%~J(noHcOL1InFt9IF$};NQWXF}W z+{n5sOg*bang}V5tLJG8Qi|j1ph?6vw3?@_QZ0__n*KFK8jCSI$Vva2z#H{1`$}b6 zTSS(Q6bDvy(mYIYU>&p;NpWBuwC+rCU>&qYGR1*)P{JoWi?y<5Y>ETxDJ6V5+p(0g zSEe|yN~sx};=nqn($m=v9z5bFT640xZ`6+G@XW7Rpi670v>v$|pOC{JZ&cICw~$H zWx!2wU{!f}HKaJO4yvGJC(-9BsBjkYR6&Ka;CQ03R5%NuBuYN8mZxZGiUX_CWfbP} zWN8rDE3w=aPy{x`fz{_SAE!954oafrPhzFZvdODgHBU)YI0s%clBjSFKuJ{WB!FH} z8FlW}fHf}{^qMtFs7hC6@kh%~CiE*9%op z;VghEsBjiQ6;wD2pd>1G5_Ga8Dx3w-3#t;iW+|M7lwMGk$Tdqk+p&4*nx$|SKowLt z3!o$_b`n4pl>AAQrzA>eJAnL;wS2LY0D3`1BHx2^B{H|XJ+Wz@7m4r{66f~rK)EL`ZWDZQX7ku(c8 zh)YUomK?{`8d>frIgYD9NmT44q*OuaY{pVbvv41=6eP`(LTn(t87gYO5njyz= zwJJ$7^=`GXVEh@ZWoIo?X)C zwU1n1RL-$4LkCMrkw}J)9LLofME68cfhDE*0s5kX8lRPOur^zjD(CR{B=lVQ+y_|Y z93FLq?{i9zI>N233feZ5TU!-Wl`7}($OK%GDk)`-=Q>jb{qv$3xTLIt)JJRj*A!{! zUrSl}uZ_Qr`j=HpnU)q|i4a&utw$lqabW2rJ&l9#wMC=_avWGosiBx@cv2qT- z4$iAmC8Y=#7lA9Ney^N^V3;ORN*SD^f!YN3IIa!!1_?V!1ATIZoy0-K zKIZbIOLVlg@c+#lMw>D2^Q%Q0OzclB$PvrcVYa7SN;VN1^BAz!wTS0BV6n~?(RED| zn@atmq^-KxI=<@Sk=UndV=x`&B|xiP<1hpEYBUxzVAl+d$8^}aF5QwE1j+rtip!%D ztn(q>5~{HKvPO*9o;O2474$LPVNUx5`=|~Wvzn$_V>KOit4qX+?eL*%s>W;r=C+SU zVzZ|NY;O-+k&WGS7)JxaRZ1*bs&`{Jk(xU;o{6s(9k!R@E$=ENI#>#_@tmI8V{1h8 z*a2$?$umi%VaZiBX>2D_vs|jF8s8bPc5*~0e#(M_r zGo&$}0lV;x`*hf?sa%?@HF4VzH{}}qqh=*xIlR{z1v=UizRg*KOg!9}Na=U5yKm{Z z3YYc?caN5cFYEbOdV)%rOwCm#;jZ$V6(-@XW||=;;jKO~a;=`^Z*If?@;8`Gh#R;( z;q4xAttT#i^dGn@=AJ+M9)=Qll@~7n)5PFaUe1_prwJb8*URPf*F1Z`W1715L;u;r z1s)@~;hfYl%)ny^cieWz;303|vWqhcL*9vLSV@D-nV?nB!g&(t- z&a%|x>uFW9=HoKbsOgEBLz^8a^4&JK3((g2J*`S>mYkl}4vg(S zxet^`>%+G3s*%=9tFjRzM>*84CmxQ_NjBTfP}(G$5h2h?Ham-ELs7e)_%%W&*-WjF zwoT)Z!e}VyB%5vILG!R?H)&_jpp$I2%7RX^8J_~3WHUYnI>}~g8FZ4(&NgB5lWcad z3p&YWz8IjBY_32W)#^QDG>Np6Y-T(Fon$kA4A4n7pWZ>Vq9u(zlXjBLm1H}Z>UA(; z$@XrN&73koC)r$W1Vf6_%q@eoQ@`294|I~v_yp)An;9%XC)r#bHK&+fUsp%%glV8& z+SG5Zj)ECQea-QUh-Q;)=D7tr^_#1sphb~`>ylta9hGeDpsCl)bxCldp4LmNV-g<# z)uHwqaX5rl*>vC4C`!=;y6_5GWh0h`S}$$tdDlikoFc7jqh=23Z6<9L@ooodvPHn#fm&%1 z@wTJVMiKIMpe9?yyd9{?7C~ZMIRFKrZIZ+lv4qlkMuP%mv7)zU^0`F5mLX+e*o zb)=0V_H9oqZNwWobzVptMfBT|R7bKr z(j^hrwzq?rO~kbwsQRrLjs~ihoR|&H9wx=aY~)=ES~acQOF<{uq)Q^YZ7)sCCc@ix zRJtVM+YVGMIWZeHT{dx}h?F}}^~J=ExF0Qvr=Ax#+M2kL{8h0>Oru)d2#2*Yhjf{qw3BSE zjV5lyJ!PxI#Es;%3Oeoo1}K5r=#=UvVRRr#kSu&q$(Q@MLaS_YwIywpO}@4S3#zhl zr`B=^ZnR^YX(A??NeHy{TcFx?a314z5V~`SQDA)>S(@~Wc%%-C%EtpM5Ra!8cK+PsfzLRWb zI0kL~*3)`vt=~H8rL}(RsFya$X8uMTqD-=xz0E=@)%LXmNzmMH^pIJ6L8pE*nsN20M*sA*+W66 z)-jg~qD0l6C+wThsdZ%3i)g#O4lLM zR*>iK$UlWgWTz}em;o7wn5C)v!`5p?Q#b7=yddS2QHOGvGmv=OnfCe%xtWV7=a z@||QeYdGlCZ|2wpI>{z&6!CX^tDE)R0&LYfm~Rs3B%7VJfljj7nFi=2o3s&*t2JL~ zqwTQzL|>R|1365xnIRfEtpM5Ra!8cj;gd^HVwtX zYIO*!Pe;{~VfE>#msVv{kalvYvMEZt#rrC4rZDXs7}qfgA+|aMvx(AN8wIoJsALOf z(^1J5%qCFRM!{@4>ZR2&NmW&cNjBFdVfE=bcxjVtu1kX1L|WG+!E8FJ(t_D^RI&xL z>8MHzW)rCEl3+F+m2AOmI_jlOvYF4c#YC$;q@xb}e+^9uh(kxART~|;Hk!E6p=+Z! zFpK)SHVUgxN4>OZR7)FSA*`cB+6aG(2{qXwes5@HqI3wIWD_@v2)>?{oxikEgzz1x zjp~TuJ5Vnzk7~=oOUwOcsL2*#e0vV!MiIw%pen6!BXW>7ib%dAtx7B0$kM8`!i_+s zjW~WxZDy#KmdB)_k}a%0>LEkDv`IEGn-UmhZ_h!@hWDCl9mH&Q3bU~sytGL+X`=|u+w&E(iP*dYRZA9T zL%z}_yboRLAYH-((Gx1!3bP>x=@PuyYg+BIh^jcD>bJsdECs?rLxu^d#IGn;h{Zi;w}64F)emx z##!M;hI(mL8!5;RK4w)mo?Wv!RJaj2xHc->$WWD5xRIeMt#BhlC0pS}hN`r}jX+%+ z6>emxmsUqL)?BM^Sbdr%;F6HgNjBF;VfBf$u8j&eqBPe=g&P^F(h4^+RHYSeWT<2- z+z8aQQQ=00O18p{4E55cesgV9xRIsx(&~)GUTN)6mb6)w&=<#X(!oS8^w86^pI<#!fcSjwNYUkCvoTb% z6=q|o`mHb1TDAH8>Tf@wx`Lv{i#!37a*z zZo*PpZ5>^O1+Al6cVY2Qt*xUF-=MX16yqDTj%rPxZGq}gj*CK73Yoz< z_?b}27SyQcpwfaGbyTGVHEO8h-|?td>$Z+cwxC8G_0mee;X&!8RoS>|Xe}AkC~}aC z0N0R;6!`aA+Hm#hX;oSneLAYrf*N&HrG?QaP#y3`K;h^&p3qWhwcohPCv=ic?nW)9 z@DC#gFRk_)*YT9YB%2KX#7?Oa%iSpA0{47%D91t7lvbq$u?g99C`Y7~npOw=yFqMv zTFDl~rlV@fIL_**mo~|!BejSR-0LfMqX-Z@P?Z+MCi2yhT7(E5X;oSfn@Fo8H4e+F zY&uelD8W4k9q<$LWlAgAg4pzYy|hU-*Cj!0BCYF^AT}M9Y))*}6}ai)F-mYvstVld zI4SU+uj(7rsH3WHP@_Oy8wEA$s77^AqmFugr`FM-T*MsiEh&ek-JnL1gKMKW5bLN) z3u@F+l@`>fqmnI*J{^^8L5&)Ui>u9{F#2@VORF;$uR5izvf=e5v}z;#kA&8q#|uSh zow4{L7#h?l>L7=uh(H`|#wn=M))~vmo6xpEMh>oxf*N(yOPgeKZ4^eIp4Lm7WRvq_ z1S0M=b8QsVC~}ZCicrKOt+iytA|9xf7Qu)IYO+N%;*LriML6Ptnrsn|c%WX|)NgWr zjEKY|t(P|So3s%=-DS?}-VdALs%g1+Vs!{&6YU^vv=_vtqbem_Oxg&) z?^*|GBiy(rR4rMV4NmZ0+9aE_QA8K+rAZq_c;SIcws7@{`s#pxZ(=rFi?DVGqfexj zE{PDsJzpL0M~vZtdTEnv(j^gPc%=2xCfTG*BF=D6D`pdch6k$BCT2sUnG_SVIn)7v z#2Qu(&>H@q(xz2JsK%r-o20>8gK(R+Y4Db|YH*u*8#K7mdVN#t2=)4=87ow+lev+h z(nfok8wpi?GdB{d(lR#^s?sty5~|WNH!@V(XfKRDCcaQFEsbiSUfLv^xY1r5i1i%2 zwAAyKgR~L1EylbMs?sty5~|X|=o9&h8$|%)-p6uS;(C+kyN49ITGtY{u6LOC8l=M` zit$m0Wy9DDdgL%syM5jVKkBegq_F%1%t5@3wlMv4Sjsu#8Mh*tn_lnWNIkVS4_rRU z;xK1rT-Xbi6sg&tT9HAMI;_=aFAP8(7VX^&qSRrTN%n#%by%L4dvQM2VVMo~f-42A zMm%g-HC>l^fcUmT#BxsZb-|4XY!S})z6foCu zt*OEVC}6*95iyIScd&MATn6V+YTh%@6=^OTCMkD_(6}$-952U?PUDsvs@5zEdqI0F zlRbOcSI*b`HBu8QmSZDH8N|m@uXs^Y_xZ@A%}2p@Q$iNHy*TeOc%O(Zx>`-@rfnLG zL0(N2cA){QO~NqLU^-E$gZ>OyslznnFjfO!_`^07@Jf66h-j>a-RCa)zO0)O(|Eu# zE$)SR$TK0e56vJ!9rjTb2BHqTlyUaeVPA8c2Tl6klnv7UFe*ags_;#XO$tA9RsVkgL`v_)ytexC&>Xfbm=x+!mq^YhXqw<(@ab%9c6^R!=Q6^ePxu zhjsR}3X0WWLZO)Hauo+_4K}X`!D(vKf?S2SdA17sQKa7S-ifod4oeHJ!fmL-ve-s& zag}U5n{P#q6jvmP72KGjm<%sq$O9dw08tr9+}7>9HEv6 zEU(T8w%lPoZbXrdQ$z*zG&OMTOW2_XTQH`cS{sZAnmqEBF+Rd34_FJvDo9ggqW5yR zqdiHfCvSkKz9i_hwMjS<^-O%u2XX4K_{J(+i8?Hs{3@tZhkbkpcj~atyCRTs7f0{C zaEUxExz;;`bF>O(`Xty#RoIpy?|q#wL_p<{xA$eHPs&86bgN9C40gLbxb>zrz#jdc)&Lt{o7Vu;ozzLD zU{)e-=BnQ`9(<8!Z7fQY+tX52nSv=3ADNkg3D#DS$BS|%oE>NljgZZ)0fBXf6+xQ^ ztX*b=Z62^rWFm0$fVJz2(9Iq8)6NLqJYZj6G6gg0 zS=HysY0~T1YZX+ir}smrRUFg}T>AuAw-2O&`|=xXY~a5B1|1u?kJI2|9fzfwTtUbh zj>X#L4a-!+VY;eMgntgXz}3tV0XpG2_KhIW30Hku9OxesE{#dY6?u92M{_u$Igj*u zYxyt&IwxF&BVsxyTnCpC)_LH5NjoAt2acAK)nY&FRjnNec&x+6i0>Tf_hIqIt@gua z)zfprC%i4lfivc8I7gu8o)0f4INp>~Pw$*P!aeu&>=-@&Jf1nhgN2%sBPv^IPY&ng z*svdGb1i?usfh>e$LU<)1PZZn649TdTziC6`3KAL^qi<}%lbzo121>qrZ2t_#Q9J* zt$Q8bp)xLR_+|&by(90y{UBNc*Lq8HTlVX#g;f3zMEPgh&^F(r-WyD4PQx@?+1lz z`5$p4MMY!xf8nA$>m4oT^^f=Ganpodz zeTY+Mt;RLF8Q18E(AhnI-ZJofCV5#ttk)xe_DJtnwLkHyi8~1A4arjAEvX7@(8Rw@+Ug7@L68MUJ0N2mj5cSawk(pheXtuUpI~}D zy`C*QjL6%g{(4^se3qA2f2}@+>M{Naf~@)%s%JRHAf=z>g}Vx$v>s=JUe8%xzjPVl zxJNy))LTAbSnG0OaS=Xgc@Mux`l)}(M)fQ#jrya6)nA3B8LkHo$Y&bQ_%x^;3QM!} zn7LG+NnT7LUe8J1L#Nv8=}SLi375t2ps+M@hy18L3rjP6M@$|%HRZFToiDGhPdKiO zR?ouHEFTZw5aG9@{n?<1o$!kHln-Zn%BRXpda(g!)0|%HBtmhg{CzwZmS**7`lPTl z;o4b8Ozx47UU+8doaJQ?X!UfKHm@RdoECz>Waf(~ z-wD_LIRbqT-2L7n*7v~ukSfA`CtPQU5%Ig@7L?Saowyz+588i5-0zfLXAMl^+FMwq zG-@ZVXQB9{4JWP#E@L9kl}-5&hgS8OMhP2AX}5{%akwFyS_A_h^>p+4!Nm1A^^mnP zLV~CCz6wrUkK+*6N)y-PJVg8IgNf^L^3n3IT7a?Xqj}~J#Iq)LRqR!=5EA5Yfwfuk(C@*MDj?UKa3t zCN2Q3^u;2F_CYPEJ`>jicX^9NPU*!#4kxZhdLM-o*8}&_KXE;tf0P!8z~)^pSdvE7 z#PzVQi+geuT-p7_k!{-1E@ zx%KbF^3X-{ymfy0UGVO?+apXBA1oced-dR$GBr!Y>dwLTadRiBCLVa?X6 ziAkZRCyIu&NwLWB8uvEm7$(=m^}t=56pNgt=Kw|Ns}{f_EE@nWO|@KZLVNy`y!bTP zstnnm((7>~+$tw|xfQGQlSPgltCu^mG~yzAyG<53aBrW9rLlE)xh9qdu2r)KHjng*`6F~SRFE^PqA19W^fw%Vh9P89}U<;vqCYFY!SR9{@n9@7@!8AYNoKjkS zCYFXjn2r@N(N5|8dOxPaisRhu^_lvYRa0_JEN!2yi{|^p(y$2oDmYo>zfo!3fD87Q$Oq7!u5oU^Rlc>`EydD^m&vh zy=(1akrS?S3#RFuk8^~=^(;MJM9M#p65+1>VQF?`D^t9oT+m9;M>sd+CM9b`Y`$)PWd;@S_B+_rrB z4^u~TKGMFJBsaySFAD9W491dIw^--&gJ}>7kAv9OxE!+^!LuK#Z^udh13aI{rNm13ILRmaNOwCX5z(|X}@*9V9W zBCOoG{dR0s=7&NLEi1*KwIAk@gI|$W8Mo3RTn(ZSEJYs2|$gFJw_a zw7Fl{qWyraRc%#_ditTw{bJSA4{h$3BV7H^=6+#}`oVT0NM0zTerTGta7O)L9MHa) z`<%P){=?ko>{I-QxzA;!wXEhjBW7Vg%yR~+=~>O%LZ=t@#oXr{6Z;o)pKH&<7lk~U zfQoc!Kg^?@_llHNp^y5)wzc-fEV(XK*blShn92Q%S@Kvk3;9zSww1T6X32vUWcmjq zB$l5Gp6Q?AT&Yn;nf`%eAoVI``e*n~o0ysYfpaa%^UtO}!`Xd!{z+!XUDsy%=eVo$ zO#d8j^+^Id-s+!v8XUKLZ=g*74A+Ap_)ul`C)`-4;i;R!Aqc7`jyZ!y8op;hFG`z^my6JPeM}+r$@Fuc7i`6F$Z1TZN z!R5-F4;*g<&2-}Rm|XL6V?)ed*$VZ33)IT#sOOFXu?UOBi!tK!B z(r0-sy;IO0j=tyb%#GuxBrj)9I{deMvJx=7wOd@9X!#J(%KIx*L+Zn1@cLwC=(x8- zCWgYzfIzvK7aGoVbUcq|!<~fn0QFIyoz^dz6k0xdk=pW~BDi;4Q`e`D?Q=fp4rmp>3u$C7H2rO20Z^ff5G*P zwB=vq-Q%>er7!a0&fJu9W^m-sZqMtV37p~VHC1lrZ-(PY?s!)6;QPK?=hQThH(ZQb z|7P-L`Ec;*`Q-6zVnzBaFP<6)&J^f}l)vG2OM-UD#0{e+{^dSCGj9XO|J&O?(>7b# zv5YI9%-X;S=HAk0c{w{|z;0~Z8QNz<15pG6w!_%BFoM#S&XTnGHNc{hNEM&fif!JK2 zJbh|2&ONb&wDhUXgtsx3$)4dl!lpji&L`Z=TZX4GVmOY0jwgA!cR^4=@7qlD3}=>l z`Xnz-ycpwM-c0m_oAI7wb%!kI)CwYZ8ossQ);QMm-w*1qaFua+_ zdLVq$g&3O6+UEHudAU}NhrG8#w)1hd*j@iE|0FNAQB;HE!UQqq7WO*&QTg|f_*Sl+ zIO!2%XkI3I7NSlLLxv}Lg}b~xFuvtOG#B&Xu=-;n8|4ajV%US@M|w^xJbjYa((6iq z<&(xUrgl`4##;}OuYB;^!_!syWTJ-=7zZ7V6S$_==YV?RM}cn8cxIN)xcV2SIdGi1 zG3vMUZB4U$w&MyA^$dMKc zEhgOg)^_l`mXBRN@%*d2cFDx?qyxB9ZEDQ%GDg7d;2gQaRM+#5`sX=e`8dz(q4*=c zUJ)Um;CX>7KEC0Typ1Q}TA$=)Wx=mT^$DJ*d<=Kq!#=L2Z~N8lFwwR2?$&4JW_eMP zZ6C57JTKA{gwM;(@{+!-jjZLRTy&<7=iqrQA8h6g&+@Xe$6SmP-ALc|d+b9!e_k~o zF=nWLSmqq(!kKd)f_LQf(C@a!21oPs;Lc5Yxc-hi-)#Z=e>e)98*6HZ;AjnJdii*3 z9PPP}nr4W5?wdFaSgO=>I}WApjfXvVZPwV=^Old-|GD>ev#CAzvD^67^Hvk@k>}n& zjY~auP1_jMbD!glH@$!rESya39W0Npe*fj{;JIFtJesXjB`sCx;%e&A1qmRlLTUPYi zk1pzq?M=V=@O-he={HwFFLpQm=8f`VkKf>3JFAdjXTjxSd42WA+4XXB_vOpQU(3z= zPiLRcte(sxb&!9?R69(c?d~j3KfgOWJv}>Jj^8|b;UD;tt`9Jy9PGsh+Y2med2;^o z{PyHx`EY)5OMxG*DD?c}=abX3i`$ds^VR9y#R)BVvpjir{G{dR6T@?aBJDFmR;SGCokVff$lp#yFRxjy*oX>8pYo%$B$a^ zNn5hvUG+9#-?TityVO)8gDbk=_w%zqRA$@dsNo13cCfo)%uB6pE$5%VTxjYIoz?b2 z{xx2OeTgxpva|elg@R;IU7vk)73oDL-N?;>t*)MJ6m7FK#o$R}=b>@rnfjuvn&>Wg zYP+rN<>lGUEgJuKG}P5Kf2jAa@4nokw@eXTTrebF{&wVwt8L9ZGeUaqL}u(vmnUa8 z@2}6lbiLj7Yn~XUygT*!R-fhDn`e33*m(xHvTa9c+Y7IeE^p5nZ#N5rclqPw_Wh^R ztB*eB+s04dnK@@xF9*F~S1-)K&nLIn=YM*GrNM^h<=G2w+P2H|N^{n=HR&b>&66km&!gr2$>nl- zef0&E#6-Eh!nm;g+w$(OA>NZG65yF`D*%#sz2A0_z@M8*l^TzhfcL57g`Y%O_J~G|8PW_idZp^2ab9 zy>1L)Z+ZOY@$&w!_ZR2ynLVd>m!~I}?`hCaXDov6PcGiue6qC`RqJZBZLgIdPA#iG zW=(nQhw?}@84B$9^^yKVvx3Fv*40rvJZU)|KoT~tnr)x%$;=X-1=-on^Vt>HI|d?? z?$g=FD=ncnU(T43uipOr%drMo+u(bK%!i(#4YI@K5j}qO@%HMpu`(tw3XPF)vpjn8 z*r!Ib1t^P&sB%W&i|WcqCDDX_oaXDkuZjDs<;ywCmbY%(=)bU!Fm!Kqa)NDfd40}E z9a_Pw&`bdS1#_h>!h@O|uo_(7E{~QIW0jY*`tqSE>6Sy&lU61dQdk%(eON8OKKtqC zN4z1sygRwL=v8f+P@i$nZ=J=olK=hwk{jW(EO4TwK7dF7&|p~%*Gzwy#uL$)}7{`FWYgg>mE zZ%jZI0|?*kA6L+aFDEu%Dd5zW2<6(0G^#9KyO84AU?_JZeJoUIfHw<{e+2iLTN!p2 zOmWPLX5MKZLA$1A+45$&wQi0<^2Z5(U$ggw5ngDC_#ifX3RmnBq#?|mn{9f^+OoIH z;qvF7YH({$GPYK~yV0@^5C6&fP?@@lG|l1-lZG|UX8ZGk$)*+=F^rdnX`2`uMHc+D zO{ZQLh60)$VoL3o({tMc(Hpm)mUm~jf1EKW*1FK^(#WP|J8P(RO8H`+-#8e7zPvrZ zWhia42|iekUM;U*vDJ8e^m2Lp%cD1s9>0D1=IHqC(PJO0&BoQf#sk+IZzvvOuPiT^ zsGsjXFE=NUI$KU{`CER!S>8N4dg&ExW78|aam89TSRP)9h%di4p7;I}qmj9DjYZ-7 z{f&0YrWj!9EY|POpj)pWTVx|P>Fo%$RTBr)Ie(}R8wy_>`btkPv)|N4X)4S!Z5t+4 zn$y)bPlxQ#oX2-;R4*ZZwX*(wQ@Q%yBKWLTYPCE+K3cAB-k)D+)4w^JHhw*u9cWUW zf!l|5zis5<`s@S4$hKvtXOIN-ir1-)TF;Ddb6%%D0JoS7Ki|>tY)F^_5G2;3^N+3z znjz6!8S|L40^7PjO6(e9`TH4Wjn6g%QGDm084|t-HH)KXU@p~D={paWE)6_P8d{H0 z)Zc1+_~HADE%pzs+LQf5%}B)EHr{$O?k$fPsn6cR-I!r*v_5-#aR+wiD)@05xs5ru zoUp4+t0PSKmv0y(d4h53I`=CK^}b$hW?F9@nsZ(^Sm5t2F7Cdl+F$rn6dJ>zEPZq` z`pO3h1LlwO+fN#3&B*Jyvg=t>k6T8tmXr7I@0jIAM$2sXJn{tM67$-25+3yAugjCq zrXHpYmZzxm>&vs-<;A1NW?_Tqxej@8rMBg3MaX1J+!&{T z>Ol*zHRofdA2tH>=PqJf5ZcG^#JV_bug!>CHlJ{7mc3%|YR2s*4dJ=wTI~I}(9}{^ z=a>I~Gp^m--IyXhe|LUiW+&xM&)!|!`h;z!anF_G*v_7JmOZnlJbl5&VpGrMQH?Lb zY`kEQJ$drRtew6)Xl@U!96asTnnCO?1Yk+Nx%;eL^YZzQ_7K&Q$q*KB%D}unf2a0s zHu=aHD@twOo#j6nvCFe#h{1pT^56bV*8AM!YTpdK57~M7=J>71!X*9TIpl$h6Xq;F z9$d}D=h~4f%T8zg`T{#S%TjNec0k|~V27Ef=g$2zyB#L9Ph;<6`uf4Ouo<(M%^$8Y zapk@7&DGs?voE!?3D2BJ9BTuP_3r75qj`8}8UOIh@zZ5FSYG_{-_j}{9>4x|;k^7u zL%anWqtU#;@px@=;sj)>_2w2@_I@tJvuKRnv%p(&S~s__4PtYhIDyjvmvcdL)DH5D z^WY{*#oD^giv09~8Fqcn=32H?_A$TnzkZm)1?{9U2nx|{RyL~``;Rvn1*0a^_xo=;ad(IVU%tpd=@!GD5UQh?6IE53ZNVWVG5|9=*G{y0~L6^7-UXaZ5Yf2pSQG zQU2*JdqFd8+PHrE*B7<<21Vf#WnFD?xMqoL8+Ug7*=#Et)LQcn@&>`mGHa8)<>|{; zKP|^cFCRTwj$gjf;C}nd6RnZ0<7`^dGO|i}o$^q(d%t1w;K6}Bj;0FHpuaEw({o58 zomc_bYO9i4Cv_vQ|MqQ7R{G6%Nm7#C!P?y8?B%yNPoMqt>$AC)WTZR4ygNJfilu?h zxs_QDwD;gyNSo5HblzZN=ke9$srLFeVi2aEy1n(qNSk zn?+*dSsuQm^OwygzO$I?4MxFt?7EGYj0V!?!^=%t9mk`+S*siAit*?BezU2CYX8Ib z^lojmjiwb6WGSAt^cKhSdTslH(d1sD^W^N}oISqK6pLW)AW<7cQReA|4 z%ngV0&pdB97I}KiIDcT;#?zZkN}Rr?e%s$&j?)3p<<;e9h{!qT1b;GVUfTid^2hZ# zN+Inj+S8p*?m5R|ZSMP9yvEq_{&e(Kt-WD;8}D;^m2ildXU_eLYdqrFJ|&3pH0d^f zDtnI6EF@YZaq$Fb%aia^X}+KopFMwc{LAwC`pVAqmKQRMtC!yOg7Z_5x5je0$Cm{?o;%{+I!aT3J=ovO;sgEi%XC7g;*^KTK= zrld3sck%z6ham=z<@m|wfzqyFv`X_-!Td2q&zGt=a9CdfI5$l-z(qU}VhjYdVLC*$ z-LH=3KVM9KHN`lUas;^+M* zS(=$_!!r0VUyhery^)B3;ihR@T3fT;x3`biT-%rUlFZF(CYZPPsMhB0*god5_*pe@ zWFMB6%>BLQ|&7PX0=G5?R|}# z#a;+&OLXrz{`>87ZEYUEcy+vRR4D%$vje`r`pj{KPVJ4{F8}uQ$y2Ovx88Gc?&*?o zRb{QgZA5&qp(G>rIW9WX3q|cVMh*l~*Krhb_#>%Q;W!;_`R=c29eggj)+wgyHG=u_ z)f?xSo^j$xoEEQUB~MHI8#7_CgB5usz)8l!%om6AF)JrN!!UUige*1*UT7NM45M8? z%eN)CIT>*5@l&(7E+6mCaU7&wWC_@fQ&P1Amjz~u4ZkpURgD_Ua&y75E|ZgY>+4@0 zEsLCT322%G!acPOs6H6>uqpg`d~x-Msd5fGF|x{e@8%0i*-kyJH*L6T>GG2s7c;Fj zJA}Jf>oh9Q6;m@2nYHNQLz3M%Q`O7%Q~IcfY_nly|e#mhbSlu zKM;Ub+PYdwg!9BjPsCuRM#0uL z^rTrK+9uWOn)N;5?bnRk92w_+n5;ApbVb(p#Gr1PY$%&8d}N=#*laauS5Lejxll8= zAP#T;ad&dMX>H5rOkAN(N}haXZx%H4raU&TkA7ogHy@wcJ99IO#K-dl$NrBSj$a>M zJn{)D`we&?ZDmG(yiss@XRev2HYWJ!=|_nxhuV4?UXAYYe%#M*c3UVMH7VK0!an-L z{QSP(9G6d3xd8biiaJcb=565TB3EoEK5{n8$<2|}RC89~yhwvEAE{z{3^$okH@mO# z{Q27M@$=c~IesX=J$}W}l{-WKWuDr24Vx0`hH1jgjB$Wna$R^XXgi_K=c=S!txYwy z>KHzk7o3DX-e54wC#dEnw07bEV&r6Kp0N{Xm&98a@hIW6_%m2_u5Io@Kkl9W^z7KJ z=g(p!isS2tw@~Wtc0tPJ+~St=l#AI? zzPo-wa@u{X$b8LxhTZPN%9lM22E6b>o|mnBJd5>=@i8reToJl$o(OMnJ(#$+%b(T< zE>5$tNyie9*KgiB<}+DLN;m<;!PwWVckMpEw-RowYi!&+>tp}Xt{T~yU$?wW6Rh1% zYx!}fbZQpU_0cTHoD$a^iML9!CE0b%^{s3=)$|K9n%jWbM4u}Ech@W!tksgZ~|g`C^ubqyT<_MN2C0)vt#a;A}2wJ z`+_?N=KXPU@yCg79P-Ay>AA^V_Z`+Sx6ffk`F~o|YQwXh-C)DpuO_ ziLr6~75CAiS8?>LD!6T2Up{2rZVyU%zi~Bd?zc75*nQ(I&ta;zw)zodYx$222R=UN z^Qy%is74u+WIE+=8)UcV;&0d-u2C?Z`UW4cjJf!mmP3LuG=t~h7fi~Bl$~diHY^qu zXia5uit%-0)4XM&Ho0zu_LvsKb@S%%(A;a`KfK?#$Um?1^H>-Pvz>c9q`C0APgR2o ztx@;~>;?C340ydF=gO-ilfdgPa9Q9y_zASQK4W09SiDZ%>tz$oB7$$K0GIpcB^+g& ziSRdAK?uE`v&4UClIh`2%)@@4aP!m0d&|F_-^xd99DliqlubL^MOd(SAcooI-F$PU z5!-^U_~;-M%gnRZTYB@f=5@#<9uX!PW^36cCPj9+v_)Xu*WM|p|D)# zmg8RNRAp4ry*k5e(`)J?pK3GwZB9m45L;mQQE`GJKdLwGN0l9mj&A>CXwFYImef;Xh>y6VhpLMecjEmp$ zmSeN`==GNUpY3sluQL{v9=B3$n4lp;ZIxx@jH3pvBR-L?-raI_he^Xd=d zWj4h;<1`J(I7s4XC^&Q6S{<6U@9%gyU^Fz!H4a+R_f_*uww8N5VsMl$*~XT$%R6($ zQMGkvu)TcFjjCR|ceTB|*|z*xP-dHm~}H&0)_ z-Qy_(_&i(9T(?Z&~amr z&S1^h#ci<&WkImTTqnXRPlNlrR6yK+mHylz$la9LKdb`c@@&g^XC^u`TTQ$#A0-p^ zaNQx%T)XVwnX1!Hj>|>XPl=2?|o`JfG~J?ZrKik6!hZ;GT7! z4!obi`0LJTJ81ByZyvpsSK6zWPmbOmy?S}IW(m(lu8%*rJI3)z>>>ZM1yA>r8`IY6 zHweOeuXJ7;i0C~ZSw6T2$fwZ+cMN4W+S4}9_qqiC+uKJ!ZyY%JK$?gP*b3_4gT0rF zIeYp1nQP}5j_U`^i{e!zzm0n?9}K~SOp2uWxwZ%etKR?F7*1Q{$&NTRrAptuK;qq$@CsJ?0P3 zKhG87EUa;Dj^tmU1D0bseY7RXZhn8p(f(Q|mV5bFn%6FaGN<9kGWULj>uo>So-BahWJ`0~-#@WB)y!uqdxM#8k7sn&)ENWQb~F*ws~FnV z$@%D=Fx%ng6~hRdpK+n#+SOdBK8GWME_T_NYDvz1Kl7bhi$y3C0Uib6XE8b+m2saJ zFK>gT`OS+aJ+6gZ;E?j@g&F!8-J2#x3v1YlUTyH=vg7-2-*z8goSiW&yuH$@#;XBg zQW;`#a@B_OWPM)(Zumjmwuo*pjs9DBnM<*%R_fJMoml4QhPLE@$(BRJ}{;6-nC|!klnaI?khx zO$UjHom`(TpRTau50@4FxM`5&({}Ts+0gJd^1pk!WmyKo&dg&7&pTxsDiEmkrB5UqwV~%dH9p$5UUdLWzz-%0!zv8B(59nq!B3_#u`)$aV z*g21E(_&$Ci07qN%SZ1kLXNL*mA_vVc*c3PAdpULg)vh0bh-&K%H43V!G6ciEN|vK z_e9XR&K*l^8A{dkl=i*(T%DH_*0wA=;cTcoF<-7wsm*)(>Jo4I+ePMr3)Xuab${IX zjmcvw=Er^eYv(sMQ3NyPJ`CsjUfHyXS>cGYHnA;;%-3#B5nMkt)QWBPtRA?UcyEjZ z7*hV4|C|OTpT2rI1`)e7t{Z>(#OeHUN^l9YVJ&}rIw$7M+gHz?MZ!;4gow(iByT>P zU#&Jd9Y6=}@z>$)&s5QUi;2|zI93*Nk!g0pjwLQKRc|3Yq2xx-2O?-haCL;+f`= zjeGxErgop~TTEf}5+iW0h2hwhwa2JLca zG<4peTE{Vri!cAR1`N=AZgKlbo zL+pENqu`;F1|CT*pKlmM%xo_xjbUEKjw=sC_t`Dybji^p#aa}>^LK5o`99TiOM{p9 zUpDxMZPIkhL%s=^e}*iU=WMlUal+pH_2uM7!5wX%6Kh}(9;zL6c)Dn_^?tay*mV;- z33nNimgkypaQ)FD-#V&adUk1&@GcAYhP#qb@CQ-fwkuzc^#GmE((eUh8@0iO{A)%H z9UN`6Z16Nw&Ym|2HaeYd*@ZB-N7&sj3-i^C(fsw}muD_$Qu_8ve52~4rg*j~VLq1T zwh`BxD(S$UVt4&oIAEf?+??AbBec3j|3IsIcu%yZMfTD$21-gZaf~lS<{xLiT=Tk$uopA-$$+;LkEcWoCRZcPAx&Cl(ii#P8Q#`BCB&EM6;dP5S-YyUM=#< z!`An23Ms@xhq0>o*<`V;f0zBGBZlP&EmYx_|hyYTs7M3%~pc>^uW$CGi>@`)z?Zo`CXn$P@+ zOTBgk%I%qNb7>&(lM@=5C$SHe3deqKw1AyL-RBgF2`GO}NZPqOS&BA97I2C3xZk%B zztd0vdBb@rF2!t$-6PpHB7}5r4=QY0ZL$M^9R|(5?p$sC?dbV$N5AMK$838kgy~PO zUcJ>#TXu*^FoHM@zwmEdsa(W7+V|FHhAhJH{fyk7@wr8h?GFw%Q5@~rMGt`*of*GsdILa z=a-&w8t-Hr@vjr%B8tLSJ2DMyoUXY>TpR7d%QVJ8Y;1ky77a0x?5?C&I!y-D?tEDY zPX$x1u2fIYxO`94csAwMB28P&M=4#+_($0NIqb6ZUS66i2irWIJIQyyI~blUX@v5y z`&y^N@zhukGW58|Y06YJ%E#<15I@e7@2k#uPI&U6I@v_*pP`Ys^S9Bcwqc0oGj^hJ ztS?%7-*gjtl~3C_nC0X-^pcGfqTI95p1aT6Bq@JhfX44L*{|44_Lz<=;}O$wqi4AF zeK*H>)_Iof+HvtTb%WblFMey_QO`L~9bOKfC-&EzjqPlTScI)R^Sr<#dX0ga@1df4 z5U?=2>1Ms2&)IRXStr3nyS{frai0UD%bMo`V|HyW;8L)DE#hHUIlM8_1mhs6HQ*S+ zi2Qi-!G_mt zR{5CxfPKSSlQN*DNPipWPCh2*#>=|n4|^c4dE>$lF5=HzCN z1bHYSyz@%#K|Dr}H)UvZv8?`+YrA>T$vWk|k##(=wY&9uYr#@50`v?%>n_q3>{by| zc)T>%YqjP-i{DVGP9`JW@GZBOPP$aHe5THwpRhs?d6|$8@5?=#%$4wiZR4}A^f=yp z+nxPYK0W8kj77hfQvhLVx!JQ7p%jk&9PY_EZ&sdM6X}3BtX`8m@Y$HP<#Y%XHx?gU z6W6S1IjH!-At7s~Rhs{1LH|D2GvX|o!JcDJJFvEef%Dq;dPrcE57`g6{X_oY8?nu* z71uO3(Ybu7-JbE=v1iD69*ULy2o5=dop+(oppC&mSNVEBcLCR3C|MD{ zt{i2{c=?}?h?|@lOTNobn47gr2jArzL=dFZHrw2|Ut7nCxMePa(@G%Kc9>Q^R`d-z zXu}zd=@;L3^wXGh2IKp*>}PPev*BVmeEVKjmQ`hWafARDUhTB_aie5SAK{q&{c$#N zQb{ejv$KIU8*RQns{MZUEx@A)8-AVXc=*_c`LjPv@hw&l90yXJh{rpxf?wm?)?Dzc zk_nDdwO)|WWX8Gw4jfJbIU}wi%wuf}(%8In!coBX%IjXF#W}+(nYKfRyY`mDyea?l z&#%U~__mF?mzU>_UHIKlo?bKiuG4Iu#q`|s#Ny)N8oS0Hui=Gny2*vuI= z8zCNyl*nUE5%0FAH2?Uc0}>Yb^?=lgA>uZy#p`0l1;q3I69h5)Nk#@xOZ20 zk#oDi%=0I>ZC&A6MIf~IpEfNbJa(URwy+PAwE;houRqVWpD*BZn`iA+2=cu@R`q`N zR5;{}ZS6D=w=usqyrm;jE@O6Vhaf#dbP!3_~ zYrbnOY(!>+hv<%x!`EFyJv$v7GJDnsCU3{%w;fx4LP_Lu3C%LegNxMGw!QT6?oOT> z7V7=7|BZL27n=vLoqtZbP>;f;?%Vfv_ZhvB&FM?79PR{@0{_0i@%#Az{&4>wOx9z5 z;ORo=`B~2PgRFR!pn_S*`LY{k{&{d|&+ zQ`m+x*dI5!vwD~0fy%q0YxT5+++(hGj@S4KPRA|g>V7^jCj!U1PYmAu8{Ane3h~2M zGLFb|``GLAwS5>vx?xZ`ltjyi;P1+!zjFUx}yvI<$Xz;ZW z+Xri6{`>J7w0Zpyg(<=@_GSB<*jzp(=NxPOSdN1!i(A_i_lI+P$atgT&Ijc*h&cgT zl(MisjcwIg_gOZQ!Iki=_ta)L$!4=j zHhaD^jjnS4;ja;}`rE(9hK#F>Ek9~I{_+?O%ZS0Jzr*XU__$xLg^Cehf=2(JgjoK&|A}+_uN{+y zfVdTu5K#Q+^=`~99E1P)U;hu#2kP1H+A*K5{ySXY{_DTzQ*9xkJsli`-n^Q%<&yIC9q$6}`hqH=zqqoVcfYESH=!8>;QNr#n`YjE{ilBi?SsJ4(r}Dt zTWRALQH8gUhf(T(-3=qHKy;wTZKrJoA~!Ien}II;?ce{Kf4^PE#U>d~&2P^vVqNhV z7)=gG%W}N)ekd9m(qm639xCqrk~uh_qyJSrN69kjx+V?R4_|4&=^azW1Ml+&1lH=t zdPuihs{2Lmfqri-$YZ5*mpGnMZ+{@I%{v|e0LfTOs6hO06WyM&jS*q5LVx}E!JlJc z{^@UU+=UQm3?YyI_|K@q{kMPpcYpKO{}{C!|A?MXNMmCyk2CR}z2z^W6)4<3-I+HF z2}-CPJ38t7pa1X=|M@?`j0}^wr)}d$aHVYV^ZB0Tw2XiHm;X1P(vF8!(qj+*_|Hhr zpwll@IwK`+viKBj19CJ8?Ca`xnC&fALpiL`kV%Shh1o z4s&*BOSdHURBH?e5v5&&M`C4P-T(gI;qB7@{HMRzud_L$7K7O{ukmwFIL*5)yZwo! zKwsj5d#W{%cphhDPJ^*v*?q^!rkm;)QI;&qI88#^`m9{7<{s?AJ|6|I)*9#Hie|NAJq6xzx-e) zN5ZZDoN?Lnp)omR>32;*yfbc&A~hKrhvKtP-{ILHHiE|Z@NaMnc!W3hQ1G)9ugWjI^c4 z`02iX8sg!n$Np*93x0a;pT>&kr`P^z%$uLy`=_zy`02BM8q?sXpYaKIXv2>2)1U90 zD{3gG=d(4_<)>{vpUWKlb(_y;yF|#p+UA3x z&!o7`2gQ@~(>5Qh*ZFCm&lZU0Z*BA00?GJt+vl?dgZXKn&lcF_r+q#nBAX6I)J^Q4 z|Nk63{=1#Do_{D;J^%3UcK&YBUVhs7yM=K1Y3J`2m*uCOzgvuzpLYIk;Zc6t`MZTW z`Dy3x7R=qp&fhJ}$4~owwul}-?ep0Jbo{i>XM2y4 zpZ57|K{S5a=d(q>_-UWd7S-aXeLh>1il0Wo_Gb$(;mc#6&lW7=r+q$K)Q6w;`D|Sd z`Dvfe7SZ9SeLh+{n-pREd?pZ57|mGu0y&u1%!=cjExTX8$S zJoou*VHSSc=d+cX^V2?`E%3ol`+T z&1dUPgD;q8e%d&(RRQ74&(4X%S@_xKb2tk> z`+T;F8v^(F9L~beKA)`uhJUrsXDegjr+q$KaSA`}^V!Nu_-UWd&)jc+_W5ju9Q>;> zpP$25_;bXhQU0~5F5WR2e!_K`+Vlse1mw#xaQUjrzT9x z&)k}CyXynjdjF~2_4%1wGv1Qhe`*eXcQsJgG=ckk=GKg~*$$k8-(3yVEluD)pSd;T zZo~$hTQe>n?4PFI+_w45tr<^=?te5nzq=Ygb8Eist_A{c`xtgt1NTSfr+q$iYer-0 z?dRv#jFia!X{yccY9Pupe`}x5*qX)Ug$0AjyM;fZCXZ~ZChxX8DQMs_e}Ch|+|*ID zxQ}bfYL_yCfm3F?lY(Z66S#9?ZtCd3zX9i_j`Q07Y07MOQcw;v ze`}ZQ+|=Q<4o#h#I-0fZKQ#xxJ1HnE*?($xQhw&9j!V!RaBk}8%DsP@GTWULbUL2D zwa;g6>S+401Lxp(Cj~_n6S&W3Zt7SEV?J|J$2Fb(r{>^yCk1K8{ik*( z!x;0g_W8_B9eKX(=jWz=+np3-2Pbf!&)n1z_}GC{Z+0i;XKw0P#A7~lQ@`y_3Ys+S zzrH&uKXYro?M}+i+?sE@lY%V!1n%>hTQjOBw_(k#8TCf{r^)%xvZHSlQ;eseYO zX{ycUYT(o4{N`%l(;WQfYT#3>*lhEL38T%6KN6c4HfFJTo0Ebco73K$6nvT*w>c>Y zuN?E&`v#nw`h9a!@K?v^w+YTo{k}OV_@g=a%}K$hIrz;< z!KXR+Ek1)!bMRYy2A}5OHzx(3=HNFc1p%u$c=-KvKk#YSHxvo%pXT5v#(QopZTQicb`yb80!%5k2bMSCdwoh~La8kBUbMT0Vj8AiGzQak` zKFz_yN!dQl!NW<}KFz_y|JXjw!Nb+qK26r&xB1Mi8E=a1e>4XVS7XD?!Nb+qKFz_y z)fk`V){MIF{nH#g;vw5#&B4Rf*gnm{!`0Y6&B4Rf*gnm{BOb!y7X(Nr>xZj>FLUs4 zHLk3m!M8bj_!|4SIea)9+qbzp-{EcS-(mt{^}>k3>TQ3eW{-bf&E6fJ%Kme6@^Dr5 zZ*%qtsO;b7^x>?;(5ao4qe#3?5g(ICNVd2Xu|d<`;egr+n0UF(1PvDK4j>?_GKS3#JGLghYTSyCxC$a zK4ge+`?3!i0*o)%;Wqif+1$SDLq7H4;jAX_GKS3qE_3NU~{%!n=OL*ia%20MH$|8e^= z$GlBq9GNpeI6W}q2mdee;=0XuW5!?pA9y*J>+rw-U;nrN{g1!Lt53)ODJcHgL?H%uT4^+OM=i0276zLVyP$9J6Y4OJsDg^sG zia$P4$fJbr{SM==PZ)~0&P|MemQd%^BlPb;jUDQ@5ndu5aG;QeKQqKjh$z8E?E80W z!p8f19Fu>C2^&wb;}X1{BOLDI?}TKDjfBqcAX#7|I`lh8*4Kz1{R#?SYR(DAt5dQH zhwDI{Qh2m7$~w5Y3cn9f78gnp&J9qtVmRKv(-NgXjl(%wvbNyApF@dW!0|nY62(A) z#5t5`2Hd|>sPI`2ArI&3S02XtOQ=(O!zE11M4c2@q@gAVwIAoEC8~j)^BhW5W4MUF zGecElWM+OxscMWk&+jNzjS-9f9VM#q9>MV6P~&VcIlmQS|BjM9`8^WlzoW!#yhqsm zca)lq5%T&SCA#rG$HQSK4sM*=7>1ols8b7N*olck&DSP50w3!GTLL=AJp(N-6=-Kx<6ne;{W>?)p+1?_c>x} zJ@7=pIhdLcgyzn{)PCRl7_D{^wMGB-4%oo+BjE`kXw{s@X@P?i?*y&|9ax-x(+i z8c(yHqb2M4Gb*%xrzOjI>zemFN>=k{u{G-Z6{Uv$ zbeqoi#TL&L&TL(~8;${)_5#0S`#>-{=0jsL#Rg=8;LUN`53YK(Iu z6vOcv8N%O3D0|Ae!!RC&$B2#r;yuW7BNV%F@?>=h?_v_$8vXq~FSQ#d_vKu+gRIBN z&|73V(g@FKR1_Fa)O~xZW!u@S7$I&9u*E4jztb?cZsMYM{a2xp}E-jO#?d(-PI#Ua2o8L^^8{lPNCG=%7LD9=TM>?pOf+m6O%@Gl82%jc!%lS2>IiQ);aABTai#FxgomoJjaa@ z+nluS)New{<9Zk*+z+Ksc3v+xS1N7P9;-cv;;Nb>e4R=hBmE=B15I_#(UOhj zbt;()H%JyV`qQ1E^$9nA&I>K<&Y{HE-%p;5;ZO#si)5@Y{3m*m3(;P~;$d2|;%M@!c7xl62&m*@uC7M`Oe zjsV^^IfYVFkA8^fP_mZMGVvTr)-sw9o>N3M^yrI!ju>Y$1-3nx_?w|0S-pvRoC=;@ zK5FP6=fb!n)0SwRWTGm^&pGS+QA__gRYH0h*`+x|^uavE`q=jq>ePNdGCmXaJ{ix_ z3b)6pLPpF>l;d%#SPdw#99wVuUsX!W9`IJjIUcIzI8{7?5sGp=PTlDRN-f991*T;W z@6p%wS8iz8!{els!Cwf79^=za*=SoNL%6UfN zt%*|_rBx5->WVPJq8sPdvQ|Bu>x^*uXw}2xq$j0S508_6idH?Kckd}4YSqKzq{pFE z4`>Q}j+W>KdZC_#iE^CuZnW&-anid90i{)Wj)z+IfVX!~aYM@<&<^<=N<0p{$#xDU z-slaiKL-=TaXlAR{evV0laSA*~ZTg})+5%P5s^1~~sHtMOoe&PudLq`lk#n7!a7%>PH!}o^` zrWgdO>?nNd`KGlCRiHn9hFKD=gVFro)_GkGISVlbiydHp=$8lw2YKvWGa=7^Iode~{H@h!=&3acaUwArGbOD?!-FX2P+}ACX39B~SOq+*at za6jkXzO@()@3owxB|3p8UCyCIE%55g8Ps?;YpxAE408@8;YGZrcn&2_174gthf*tX z(refH1U&P4j+W@g{iN3(5x&X8`>D&sc%MwH#r@P}VxWp{oKvas=vW%!Ie}?`0t9wS z6F*v^fHcji<@^bsYmV`GGBXjTY@$Hyyf)LJzD!82UB}g^Kc1dzvUGE`Fv&PS z`BjR}QiTv6J zyfk)vy!GZFV#jmHk^PEjTMQY|foG~e!b?DZun5{MY=YdnD%28SH5tKh9>JEwSII^JNyN~W0X zI6aP|1vC0Dq%90abRfs8sSnnwz13RhDs~=yQUBuTh!W%gTpUNNTdj6F2h#Ay6L{dH zQ>qJ6>==)$z!F#Z9J_COGS^ap zSIU0ieOqwA8G}+1b`B$2aFUK}3MVn51-StkHQE~kEf6?KM?3?i(`{H<98hCAFS}=# zjtJ?HfM>zF`G^|ihB;K7hh3#w7O=$jtP7$=0XgQz1?N2E(LG}BS4$`i)!vI%kE^W{ zlP?P5kx?jA+3l#nzZy^CB&!yXi{la4O9f_tJ8% z+>ZtYjmWGD;|L=Eu#G-W&{L>|~JK})uBA!VyNTCbIZ`)$a8 znavb8$~D`>_*hd^2u&8`OFhugmtEI2p?`!@BX{NUo^(pm&~m>ckfD>jGCHDb$H8pfqGe4dAvNppYqyg8`{ANXZ#`6Hk|B zA8m=};PPFa8yv6~P7#Jq*%bKCVRNns7~G*+1|xd#N*(B6v>L+;bCh%^U;pG21FRZd z=|BnzlY2q22+kX8Ec%4WhhI9cTwaEb=z&z&aWi$LEu;1BkPfd|Y?M|^{P9fCu%6&jH>&hOT7PPUnCD zxpv3@3`XsAnC-Fea2YsvifbduGjk0PhHVWMQ32Hb@+YQC%|&7`VhEO_i1QAgYNhE9 z?__S6yO}DmB{d?C#SL0Y(D9!3HiLa#j3VTG!6xm)A^=vgcw}0 zz!U6GW6NFWdM(h#U)7IzxJc7e3$1c1)Ej*%7e0MzN!La@?e~>KCO3ElPwP~Nt{ik?#~+LI28Cx?ouMOokUJ2>LEg>hrOjXRH3cT+Sx#W+ zh&9MtJk6m!UdCHLX*&Fc&85a+`2p27WW;C7A)v^7+jE81ROMV3#l!rPp(Dm1Up2gf zWp|gp98HereBR+%Z1cf>$zq+Aj%MZcNQ#01mmGSPw0t@y(K)n5BU(k3OAZ0Kdn&Re z+#!uA9sIpD)#HRSIgn$NH*Cwc{9z<%CMI7XWdhe9UP@BFMu40R9bIcDo!mIE*8)w- z=N>3mL>sNII~u}HI?Ex+WpGDh*NMs35P1d1^FS~9KjJ3iW(=TI*0>GznsjmoH+bMK zTMUnQVqU3^@rK}sP2@k+Sm%prjLuozd8n~oqKB?}=%L0s>nPOK=6P7)koF#lPl2O$ zXmsckChu{yZ7%=vtfu-1Ww5KcmCh4hb0YId_09}2G((eBTBCYM4=pC=iES^FiHP!0 z9NGdG5r3+s&ewmh$c!~36H9%IfbwqLVcA^y)Ke{WZe&M)iYEv&9kJB!IBIU|0Ftq5 z=c%2!a`z>$z@OiWq1Kr5+Z78u)lN&;4BBbE54F>o0mDd{E%B+vDWTJjO%1i&2u8ltrYoLWJ(XLmvD35l^q$&!>lI|Th0t0y3_9_x z92M^v-pV#Do?4EI&dV8kJok_q%dscY$OKJDZVJfjFn(EU2Nqdy*b!~`M_X;>4(m7W zqRu@Yv70%xeBqsMHFBM-T+=37xg|%WQk+*X+9ysr*-G88sO|PP_%tzj=WVNiMwRNs z6(z7ao{=}1)5(X5v00h(&dBpmOuoRtW3yjx5muR)+{TUlJ8j+JQ}glpoET>$ zw~oO+gYd<3nxeU$To zlB`+)>z(E!$6iDjyw#19$0457X`nq=JvAMlHI%%jvuMU9(f$0wB@dT(>rlder}>PN z^Tq=NkiEKL=_X9x6R&jL-Inms+Ns=rmFIEmOFT;LRPL+A(+hNA;Q>>+X>8f<(&)I1 zzyo$QL2^7CD}iw|L#23aM>w1(1Xs?(d-{n=4bR~{21iDOlN+quko>VJ?}t{o<}@GA zVYUwYp_NlLH0A2R9;Fvn4KO%M{m{ax{7TLh;i8AjUO&`Qmn$ZG`gIkK8tUA^n9Vk9 zp6`KkV3LlQk8oR^bg)k*Ol}X(yGcB%nX!o$EY((SyB<{w(|qLSh>Ymj>c-*OO-ybp z)0&)dujar+ZtXCKw)kUo{+k#{4lionlJ=eSQ zLPwBj&S$Bda@AwQPn#GiGQkk+mN3fiCnmqBKz(iIqt+nOze7IVnS3;5n`H7M5upYy zoHe2<2PQZ2C5X=bs@Iy1&#k){45B(3j5u!Dy7P$5?aN>!np5v;x?IZ8l{ue$u;`b9 zrsMOYO<$%X<{)39ua%BngMCOI%U z+y=Gw8>43A^UcF6cxWRN<5vHqBR6A_AGFsMS@4#&5~+Q_u&GOCK57o2V|)66&Vl!+ zu%;57as&72q8a0*={cQxPH+VV%u6LI5@jIMoc+*@d_MYO$oAF{6Pk&N+@r)g;8Akr znbu9o?NfS`?gYak&O|5Ivh?;tGxE7WdSL9>L zBON#~cOIwqJ%n0q8wHHC#suZG)eZL3UhNwlVJ1dx&J`y=w|dl8=Rg?LjpxEuK5DA- zMWfd2j=S2&(9%21!rrqvqs~ zjj<2XX-+=7yRl~@?zgb*q2*I~E4iY`!L9`s=4Kv*C%bJ6ILSBj5jDt;D0fNQcJ&c? zYrp1Tb6)nytXcU_#KyL| zyqfV{C(@FaPdAhpb+|io{m{I8?qZA`m=WWZ1Dcl4O--1R=KXJj5iJ;ZTQ=z+J7Jv= zo*QipQjUDckFaZT0TeV=q9_!#AsDLO=zmSYxQ$9Za5&6?k}n~dh;HTL(>KovvTfg zr?a;UeR{cw!DyX4sa(S@Y$M~v2kW_N@l<5;HgO`@c8C2~L^GPjCNjrt$eNcECsRgA z(`c4=rmG=F8FQQg-{8zQnYe=PIz>3P9~Q6tCP>ogu1yuI2NHsA&0jDi+6PbbMUj=P?YsKB+*xw&H-!>NhRg0W!_t(#gfF0OqK?V3Dz zXUC(}oY-V0CO^i*CiibwGsJ@FFY~d50(VWu*ZHtWel-PJH$}PcB5hyGVDFhPV`H|{ z&=EbzJZKkbtjdFJDTzY+`S8;bHF(7)w5c^pknH*J9&?^_@*P^9+idA6GmMD^(l`hX z@WZa`@B}BF96gRp-d08RZhX$g-=LmPXyeLiWI)r{q|OEbHrv-}Y&3Ihaz^Ia6&{eG zqiPWO)xqm{BYFUHVt2WbZ1EVJ#BD&6mGEgkwcX(z zOJmg?wbl#9t_8K$3&!;Ux~a9U82il1EspJp2Zw*e)=qTz%zR|muI(u86C=ElD}3WW zH@yZO`^FWo6Me(D-G^IJ!kp6`HP@{BnPcmmS7xS!&fy^S>qpHs9Hs;U6Kq`w)^M0izTSPwFenV-7{sZMX!;KJ6 zQonOT)+~K~!0PJ=#X`Ie$botX(K6|eTq znQ>X7gV-Y3QIRnrtP9>X1`M{hBS-3bLh|XECwu!e#W?y-Os@26J9k~Rj4ETWq1oF_ z?1Pq!VTHJ`7~3y=fw(LLAGt`7Tz`jw*?s{mEE34E`h#cgh{4Fx7mRIm#lo+IIaP|w zdhmoP7nN*&fC+#eV(&IxwSwwYUu@p3N@N6s6sBz|&pVR|bE+ldRW0+WJU+{P5}i}c zm#(FNDk7!B3bf4y+2bJ5IaS1c(+VoS#<4Hzwy2bskusfN<7RHLs_hk7b^#MiqJy2* zUKqG(1yzn!wQ)gqyiIg+^$@F4ZT#^T(VS^ zLJ(J2wl$W$<@u-Gqb*|RMKQq~hMngQ&oj--pNjLc{c56<&sXDc?&hQAQztQ^12x#- zy|i$drVeCG=iBf(gl0O_G*>ji%9so(8A+S@WKZgZIrW_OP4n{SLV}u?KlOAuUw24j zJM|1X8}th%?Qd;ZM>F&FnGyTSHG2pqItvC__;vMYYQAFZ%f93Nu&Fr<#uXfmE;Bs_ zd0I0z(Su6IKE*zo+_iMKJIqTv7HO4 zm-Ku(=rG!0Ut%9sW0Q`&-j0pTK1V-p`zOq?!?iDp5BFhWvejW5ST>O}ycRt;7ZKD1 zeWpXpYhPl&sTK*=>IKmy1*NSQC|F3GV^-4!` zjqIxSIzMpRzRJMPS;DtNcW~yj?R~GsT&L&-&#AU;!d1=nsV08xa417NLJMsd_N$uf zQ~iCZhlx%uv2Wj6y{frBRqJ`xQmTw;%UzMkm$9WQ9c>@3tCmuoN;WeBo_UbywD<3> zT1%Db&`GvWnqJjhFBn(H&I+qMwkY7)4kWM?_G-dai>Y$!Dp+3IVDGBd`c(5_HjkH~ z9jmA9kag8+s!Rtf$+l3rYB5!XxLQX_Kt`6r>8NJ1*Rd(-1_P$qE2UShrmDAXAf9Cx zxoR=h^Gxf%s}@t8y4`ivYN}I@Y+SXsiPUx=f@qV*G_8qig6Zl(*aIwKa&V@7{DRLH z3+C`%+j@{|=|Yvfe(0LLgvk~|LWU!qK|ouCchzF59BZRS!1l>B(JqNU<9Az}qib#xhbfhU=qaA{u^828mJCW3h; zeRNU#EDu{l=~zg%EP{&~=!#*Oc*UrNh7Qr?-Fzqz;v-`QBq0{*8_T%9mCr@3bngGj zR@b)R^`d5a!8p~qsGY7Dp0Uh?WT##*C`2(BS)vOD(=`~`sTYhZ@4Bd+UNAV?89K66 zFBk;NSBx6!im|bri(2XhW0glss1^(q(u_?O>Vk25)kRCFGUkqoEcSmkbXq+i#y&xR ziAxW2aaTGIROuKxtsVp&6ml7i`0WcF7vH~V1=WJVnQNt^6;unx#Q`sBt}BLzSVKp) z>;(hMXfUlFkZ=5-!N?(gq2sQsUbL;rg29>C&}r>KjLn^RE?PoW>DV0UMN6m_4F0nj zTWb$EHd~y3fdG<=oG*#9Yu4MYAGiQtFf21&$5uK}MUmXtfJn!lM=x4JRma8#Nl z=)p;h=)p;h=)os~RT~emM)@e>qB~d3%Ab15^Qu|-e22a5G&w4z=6-sr@BO&pnJ_8Z z#;XI)TOOBBC+1Y|5Z1IN`*x~5<+$QG>4;tx|2)21GXO48cLrlyCzf zZd*n))!2Y=Ynsv@;hiDLrcfLZ*{n09pS=iQAl#?y>_zwj@s;Hmm>UDQ5jzp&re)IR6-eP8H^0xYeKal9*9hMhyJrg+PJ_q~Dz zc5m0Jshkvxv4M4lj_5#ccF#@;wl(WT%ce4hbXZ|(=!h2NxGqaj`3jZ6h#E+O3;Zx@ zK)#6{G+C)zi-AS_5gX*L*Y0f+hp>h3Hb%YsKZK0Q}QbaDrrFCAub^HeKsKR z5mpd}Z$P393&=;qG%vq`Y&cmH^D78;&kRqT#RbIln*oVokY`7@A|9(oz`-tow_gvl zfQXRf=}=JN?aneZKtx3H#0_>&Q#%8Q2q;5nwN2+tKxDCINb`^mAi7p_R}lDcru9D{ zBAV>_^3TmN77!6khOj_}cVt$M$wJL9Lp5)gfr{Ac3}GJ8yt|CEl1N`5G}mid2@sLK z^!A9Iak0FBi1Y>G+kpTg(iaHAW9BvsAS(TcD1UWZ01@fu_j8)Z6#yyH=Qsxy`0l2g zDG(z36AvK+BEnxFt3d-qgfGp5;lP=|4^F)ze1TwJGg|>5BK+K#m5Y+S!xlx>nyCzF zdbxs%2tPw&xvU2`K#K4Wu@GV^1pq0+KOoKP?tqB!rAJNJBxCusTq;A@3BbG%2`VD} z4B=c%CmcXT`lBL$b3I|<%u)2`M|1Hfo_z&Gq<;&ia-#yL%Ttl1zr2#V-VFd1k^TZf z#(mK-&BC8}*&b9x`WZrJ__aE!fQaiBGSKwx!tHB zQf?!W+QTFVR+=kpKR=p(KM_{}M5P}VdJHU%Q806hTr~Thsj#JmdGG~PMEWOQeg;I= zeugx!WdkD8Kk=v=AR_$}4@6%~G(e)E10up7FA^u+UyqxBi10In>%g>12SlZxRv0g=cu|V<^YhSrozR?V z5g?Xr|HNxTfXLDp2!e)BXOcD1E)e{hd4ma5MEWP5kwFSZ3lTNQ6|r7m0S%G-cms2DED*&vFOLDDlCRIdI+av=ygaSx zR8sBn0&&Yr?ePLJ=a7%u4sZIh9x7wM0u zU-wmv;{kHHi>Zjf@XUyhmtXhkqo1>zizd;zDejtoLx#-HMWml0>@;HLi9Jit1AG5KsUFz13$o}Y^@d{LN2`kF+4$8NUCg#m~)0fqt!UHW-o zI2^j^|7Z$5za-0M44#Ut{S0XypT)pr?dNw_87A;liu4aN#x^_Vsa8-C>7RH^7!Z-Z zJO_e^>7(Q)jpHaSChpn|Wm5)rXf1nkX< zkTsbRY#ziH7*>c#B||VQ92N{hgi;af^_QPF9L-eIb>h)&Ktv`Pf?=5leQ+$61$pKP zLSSS!K8cYfDHo^Uyg1Q+)F9_acG?>le6ahj+f8vQUKt%Qn z!~_vPYK}96!O@rV5!D=L2qWn1KUUS4ja`$9Wk~ZrIf5c0{1fkx0#amu*j8xe6H9Cz zmssOWgi(HTNeDzl^y7Ka&9oxh`vM16DkA$wWFR&Of;(=<|7ZnMhLFd0g%xX@sX$## z!yC*+R=&QJjFZcH4+#)i`56*{1enof`kDmKkmfz+pHE4GM*?PW3@195Z~ABsJQs5k z;iRG|@QOgJa-E2Xz97s4-k3*`eA>F;{AvBzVPOol23sGR%4tn)rUCjt7iwJW)WuQW4pYo9w^MMHc-6afkab zB}rXq|8_~*cYG0=FRT85#QtDB*3n+vQ$e7@`Q9JBfL`aq7XAyzH1RFT447QrmsufV z?=xh~#YBTN@x4IYsX&df)RjS#P3uzR{$x>(F4&t~z%ldk+|OIBaW0K-o}~sv7NxYz zf&p`G%UjLyCk|EnzQCmizd%gn<*m8z z456{5EnBE)@_Y2w+7t#HGhL)njZ>tbHa^%ZYhhw@oYT)#c&2jJq9ngl-iSdrr<5i4 z9omy;Vs@93`%VxxD|f{>Z+uV7c=Q$9sNf9xfD^Z}SXTZ5F?A1b%(0__V=F^f3l(gK z0^v&dW%?Lw17YiTca`8>(%-Ro1{Dn1mAk!5_FEATNpPSl5$Wlyml2qzOweUGkf2ZLSt@mo{h74k6W>9?l7?;)a%3YLlWDmC?; zAwXMpY3BPLLj6vJPZ%X#Nm*|@`K?l zphv#~Lqu0&gRT1A`OyFloG3vBZ%P z7!V!~TwG)vQw~dTKnw1~ZPY~Y8WQ2R6QfzU=_YZ|}j@zr);tD}neWfD% zaDK#{P12mz8x7ItTrz}JfiIIY8jZat}HB zQIwuzDt(yjor-^D1LG3m^YFMMn&+_rk)=QU{%wVUVeb6-Qgb{GB>SSY&j|l;r%k#q zkfxu-ct*f7tZTIK(yVvpan}LlrR_ZOllOe=$K9V9?}N;N2?TRtwti9MIBy@2u$>#n zS}G!Z7H3aUwp5Dr$@4)aUh#BVSu)?@m2D8H8v}lIF5@A)ITv9vmbmGb`SaGy_lZ~N z0gjlNYr->?^y^c`EJFzrzf8h#3BCEqW><{dZdWJo9)eMf!)r z@X2@&(Y2o}-<_p6Mq%EX`o1SWlxFO1?r6PB>N~EjY|7tK5$WSafg_HHEz#w{-Vp>m z)UraHC#t#cN`)q4QU2H)TPkd7zCuL$3l%VZg^2VQh&z6l+;^EipS)YCi1f1^gH&BT zDc5#dF8Og&D5yt>-B)#?&tvS1roJ-~-h(k+Z;(Kcz?(woz&I+h@^iGN*<0V5{C>qh zH#O!4j>W%lSd-sqW=T~T7_Jsxb6PPX`z3#3=JL{Fq}0W`dAS%D`a~=l(e1`+kH^LC zZT8&`ruH~PcvtO>(?Fd(uSF&W%Mi@l=rHe+H2^MOyBBLA(mf7wzs*Etk|8{@+L?$o z&QzW_>)@ol&b=(hBfQa0MU8RFvGZi`LReuTqP938cvOFxlD!dLpB676c#w28)T1l@ zfjGR7aCDuYuZB3OBTMmKW|fRyl8(j$X+CyHT4ye1IMLv!xc*m!NIfHPaQ6ridO|!A zZSn{a`Y1si%E9r_GAAub2zneYB1=2w5m5%|*1D#;g$6@r=17NFxTi6KYz`r7 zJ<*7AX)MHryPD_D2yAY5i|Ay|xCHx@tTa^Sl+Mtr@K8*#&P$WrBkMUJcbs$ICN=od zBzJ~{E}F#fOOxCg!WL5I5k6e)7qJV3(SGa<>+EH%X9x>*Jd0KE$2QHWn1**4mkRzs zM8!Y0)MZ$)%^qg%K*XbX*cn!Y3SJ#em*jed(K%=+f`)dbp^N=MP$H(aBswSPVm}Z} zRl6(tEGWy%isISS5emWbfOS;-)ngt70Xi!}gr0(TL*S_f#`O&h}Ag&B0qL3jMMrA1bpKZ_0R=6Bi~>V*l_5gES%DucA~)>WDi7(Dq<80 zOd6vYZcf~^m`4W}kw!%rcX2fIY-t#?I9hXd2zS|ZG}&wsW`iE}4lM$SjD=UFz$p2P zP!e9fToJMY3$IvKzanG>R)lf*$D?!YJfK@E4OxMe297%`;+xDLI8d$#vATtZOBX-Y z>Q)3|+Y1e~x)p)^;EE8dTM@9ZD?+y0iZBP4XKeeOJY1rQS^aDgImWRBo6yFy7~Las zIJPv$DiL|o+#Vq!&%R9KDblRwX{cwyG|kFf-Dnlzirm<1Hq%HOZL^s_)$9i64zo)J zFQZbk8(l~Sg0Gw0kr`PLvdqf{cqp&s%us#}uRDs#I8qfB8cV({UZxgV9t zydco0(#%|BUJ~T4=8!ip^30FZ8fX46F{5XsQsz9ys}9FR_yqAHkea!sd^Q;(<@Pj5 z)o0CIt1{jYxLT=**mLfZ$!=Egt`L#?c+ugQiz}UeB9fLEcEz^8&xIUw_33<4IYT1s zG^p@FZ64{?fr;p^2|_BYAzUFM`|&bEnv2^(OBGSXkq(H}NzGhmkDu9ITH9q{nz@e3 z$b-lWDe@H|R(>gtFx5@G_?vm0sy4{5tH~-DA|m?H)No@lLc)Aad4H~z#gc|`XD$5WHm;T(;5ARFf%;9#3w zPom4dKlQG5awEFuH`ucz(?}x3Hu;2hRz(>Nbf>w0r&J=mZ1eEf&v+QCMHtWV5kJ}@ zjOX}JD_loqJV%zVq?uQQ@f_K);0wak%09G-QKbRJT)8Xqs0b|H1yKyJ%HwJ597|67 zc|6U2&8H2&AWV|_q2{;D<7xU>Jk!{4x_)%(x zR37eZOsA?J75TEryjb2s!|d#jVt5O}oQ)sQoG+s?XXA(3-NLJfakn07b}It=^g8oz zGB;0ZpgZq3nLEe)p?0^7%AJk*Y+ui-yR&d=cPkBJ;TV|zLc>_Nr)H%q4P)VW-Qf!j zW8t_%d_fos_tczp9hI?gPfbb}1TRojEqQ8Ax+08)dunftiZB+AnZADJH)G)#5&VKM z7VfDD={hQ7;dt-x3k_r8m_F|j<|%1(qW&iHtFc#4O-Pq{@ICKEf?60<5yoDzg7wQh zjJ;x$^@J#~BkR6uRZvA(8Y1(GFgB6h{l1LK*hDrn{(^AvLahm^qjK>=O-2`l^ZK3` zX+J6!Z!a>}il9ov*+fl7SA?^P+6|*3oK4hZbVWFusLAMxa5hns(G}rrqTaqP2

U zCdkvLt_Wun%iZgAoPekQbT(0w(Upd?iJFYA2xAi;YIjGDeVn5hLttq7yLyfl(V#Tf4%&1!<(Y%iD)qnvp= zpW}>cDzhGHcQc|pZS&2MOeDFlJwz;TrUE^Ao5Bdj5;Bo+xTa>Y9J*R8mb3Q=cOCAb zmbZ+GH%C?)y4&igc%NxSh~=#`5Mo~uBK3+e7f0De;mgcTX~07*Z>51!pmkI#^fGgJ zY%AiM%o(y-5NdhLs9g6z7R2>4cb)b5FwGZ)2@*ck^43wA@HqQ-eW79QsPTEoF9_`S zE3dxE+;zNoXfC?U!}U*lXcEt|2;|(kglkQvv8#bND(gC_JDTw+;z9(NBg?znG{~J#(kanw{?DZ z7EW`~m4>r$;qJ7fauyDI_LrGE3#Ym0Ix1)3G#6bE=HWcNwDfJAyBijxJ$pBEJ~^_; zL%UnlQ8^2z$>@r37EUXGD#BSfO-5G)Jho*Xnv5=pD`GY);+xFf<8rhwy_UGL-UqzL zF9`hJI;w9nzdC!R$>?(4c4y(yXZg^QhKp^pzv~x-vv8VuuA_1mPBYIH;VhhHo=fD% z?dRi`=ahLxI2zE-H1mpZR#Y?372&L?W}Yj;Sy9bASA?^ont3h=7uy~k?K@t%*!Ji& z-y)n9)y#7pm9wInd9Da&MK$wW5hgx(*UWQ8I4i1|=ZbJvR5Q;7;bPl&%{*6xv!a@L zt_WvEwcw{BOl+ICclz~V{Y1??R~pWWYUa5joE6o~b43^{%9wgT59cTH;^Y^E@e}zx z`WJ+;qKsC2K^QB_XOO-ijD>q>=DG07Ry1hlxgv~(duZmlB8-J&AJa6CQOGoXA7|Tn zS?vqL%>1Tyx6&}SosonuG|bF-E%OV)&Rq7|Ix1t^`NUdJ!`XKBaQuQWxgWk!@daUQ z`%Ud`nFnu@)l(7s^namYZ2M@IJ9nH)!`SxG#J5El+s?A%FQYQHeRMGHXgJ%>m{w22 zRjb|9?v|Omyd~=?ztAwYo$d3#AdH86Q@dM71tb+f7Ft+`!cDIhoSh%~|-HI?4j<;98jLKNJyJns%4P)W%nt84W zW8rumz8{s10f6oogt2hwn(_@{?A2W}&t)F2Hy?Y~^rPaQS8XDH*v5T<70rgpc^!{i`uYIh65-_VQl+N z?QTUF+kR8KTM*8+k5?+@$*UraZ6B`ywFqO|Z)$fd4P)E+gl5m(m$B_PwY!yuvF$gt zyA@$%{hO=vS?VIME3k`RoziIP{iZI?QdklOT zm9ub~cdj&?g~LkzLc>`&%{x~bCI&G23wKuZ<}94%oeK>Y1Gr(2@8{ui+kDgJ3&L4A z%{$joIUi1YPE>@ka96dvw=j6){K4+&d^D~)qgOS&3k2Tn3XxT~KoHtnA#${>5O&~S zAtI9nVqEd78s7y1OS@8$9e074mK&oF{Zz;WVs?e`5Lgc}<*cJmbPIVR>#@v5EpQ!} zd&hgc9@SGZR${!l)I&@G_f?JXGBBJS*SUO^J}dy2eOHK_ zt1^-30t7dIfv6!~AUMyj5ZQez@Ay6@%*p}IAM!F)9n^_U9>_LMs z_O1vKecmU!D`0#hFo`~gIeLhQK0`1rcWdmbT_`HT+^M)~PP!o6tufY-Hxt3A+^w;z z=A@`eVV?^+}x?SYEHV+aGQ|U0u_Y2HFnjU zbVWezR$hsvuLu(X<%G?^`Lycb$ z=3eYgbJBHG#=_k+CtVQk*4RyR(iLIu#ojb0T@l8@-83g%5yoEKG$&mV#`;{;?p`A) zahy$__|H|bE?NvE5V#KRM(IT@?*cJlh>MzDfxwnL5rJL?rnYy17*)Bb@vRWIb}wpu z7YGW`)`7`VTp%WHbJ3ch1!9hw7d5~O#OziVwZIDmD!a}_mSctRLFEd$stsNs&Iwi{ zyg+cKTB*p+yFd^qULoRdEfCW?e6(_$=d1-{wgf$N@ocHM$4IYgiWe#- zl5tgAyg*E7X><$i2WIT|X#LqkTwFrUaUB>vrbU!$j~9qZ7x9JhFLJrMxP)5dg^D|9 zsYxEb^kHCKmhh_i>IGs9|5X##3&h2XG-JI$tXy>M$GPB`i;Wj)&bm^08OP)jGnzmjb*vS?h!?&z3Y5Lf`*)DTM=+aLJ#wFN_cZG=KUB~4*5#oKgZd{Ct zvHATadVwHnvqIGHXC`C%4`ifPh%WsMfn3-I zVuiHAj}ViK7ir~Coy#4Y?@HyX^!bkU3i&F1Uh!KYU#0Kf2)=3-dzp)IF0Pu!ULdfg z%fK{`T_G%#ULjwl@3J;m7|>=NbG_Wm(ddf3@EZhq>vdrL+9ws~Txc?TnZC(WUiB7r zgpqC5qj7 zL(n3do#LXUL=}Opr?Tr(400KjIe=cYlql1n2{UohOEJevgN+E5Q59>P5VU#j3|5SB zLAWEBmJ-!@xbuXT5*36yPF%EJ z%mt%O_NpS>9;Ky36#=`r$U{qsD#E0|E}F5BqE{EqU{{0*#ay(Ms3J_! z&PDUr1;J~fiv%@&T@mK|cF`6V6=Bj27p$^g9xP{GMnd^jr z`nVoe7tLGeXc7?aCCQ8Ctt$c!-ZF8$Nu3cqwz_v?FM5?)AT*$$}%oYg^yIMklp}5T}+MJ=SR)5P+=tnRc0mg-ynT8%t9wLW_1lQwq5~xoB2frsKD8KxEY`nHHl85E;m?o&w0osy|6a zHGo{&^^A_9D+s-4Vmoty&wIa3xcX8`jLwmfb9a*<8)v*&4q&Em5M-vq>y`IuP{; z&eYN1ERf?~7GX(ZAF}|!2Cnqhb(#eMxOK5mC<*L?0+$85U#$ocb|Mi72x>*h8ZQXG z^|&HBaYx2?jY56k2xr#5!mMl$M`}75RpcP@FE)DxL6^w_7;fo>adf19oSk? z^TCSkAn~N~npXxygi;5_rkHguKU&tAA>`N{e%cBVv1CXL42yS#h~ZrzYi1F1k*zmF zVqj~;1dvwxF|ak53dmRKv;ECFmsa{Qu(hfHR9fkCU~F5pP*Llfryr0tT>be``(c^eSmzGKJ%IDTw3YJz}yiq%)_*iqn*-N$YEdBC>{nT(iaG74_|90 z9uSd!hH(0CSjBZNBK-xjR+_@8>^d;MgSv1`)7cpk z16yk`Kt-gVEk6zH+a2pQp*`Bq4Vw(Na=jM_8b$WB9h*0H{lh%N0Ynk~fuL`^wtE1g zNd7=XhuTyRaZ+7ggs*3}s>O=}B;=bZJz0p=pI=MotD z*qqe>5YZoiHe#e%?E`@Pp9|!Cw3g@<0jF(DI1;_qI>_4 zr6>@%g&v}7F+vn$E}<#L&Hbnq&Qu67Cr?aYL{cFSvu%IW3NMf~hV%KT70!@5Tn+Q4 zH6Z2mMF!^SueRt@t?&UM6?RasYwM#{I1enCyxsx8z*^}C6$@#lA5@Gx^-)Wl2NqOJ z=I*1WI75QUT5pTFw9*eM79xA_Ld6^mK5C3JB&b-(SLrkOyNFVaai!uRVvI9{9J9)= z#<)UmFqP}Tiu7qU4^4iXv-d}h@#0UcB`Tkf8e@ULcE2s8NdFiZ-6;<#(mx>djVwen z-FaXSoW4AyNdKThxxCDd_t8{$rouiKFK3iA)14tPeHW|3Po>>^fw)+eCb}~uIA&s1 zAI)6>ddfP9ty%Y?T+n&e)nnDEv|liUl$gttDL z!DW~vo%-1#~evm?LN zCXa6X+xZ2)y?MtB)v zoae%BRqV~O%B7WlFxf%WCTA+aWD9B6elXcWTImOqEu@uxFxf&{>66Lq54CViZE~JI znd~90^vProX{Apldq}(X$z%^{rB5b1$Vao_dHQ6shqTfUCcEubv*4LZFxf&{=?9Z7 zq?LX!*+Sa2A56B8R{FtY3u&bvOtz3#`oZM&5(_-*R{FtY2Z2^3=PJu3m~0`f^n=M3 z(yskrvW2wL4<=hkEB#=yg|yNSCSx&IbMYxz@Zw!uEu@uxFxf&{=?9Z7q?LX!*+EJc zJk2GTY$2`mgUJ>m(%1Od6N&S67yD=yTp+N?9wO4u5Hi_AMEU}OP4*C3`x!zedx%Is zL&#(g5$OvAHrYc&`WZq^_Spnk`vM`8-C0bt;29E3HjsB|IroT&$r0-`w<8fC62YL8 z1ZA5rrf}k|wMi=m;r_ao-&&lsVoW3sSunAfb!_G-ILt?cv(Vw8bQxQ*%nP0MmM@}Y zx;Ph%$+@$>Htzrn24i2xR*W;nb%^I}k{53+6dh099gv3!lC$k-9$6?wf>68!hqTfslRc!BKAG$x?b;`kJ*1UBnd~90^vProX{8@bUT?G` zc;D~)WU_^{(hnwENGttdvW2v3KbUMGt@MM*7Sc*Tm~0`f^n=OfPUl;5<9UgNCL0JJ zWlIK@<=(ra$TnG~+27}-HaSDW>oe8wuUfBkP+>>4$J%=tR75VB3ZJs`5Rr>Oa2odz zkxPc$VHP|@QC$_xo6TSzPYV6ug@(hp6xkXHI+^4-)8ziPdbYVzGQS$N?X+$=FN*+W|Cvm>&H zh)u3cwh*<+8A2v|NGp9Z*+W|C2a`<-`c>!x*+N?B z2a_$Nm3}aJz3z%)wRY_XlP#o`elXcWTImOq9Yk~ES^B|b3u&bvOtz3#`oUxiX{8@b zHl=4TZOW175=^#`R{Al03;8O2cNz1gO*!hoJfxLA2j(HI^vSWCsZ|^!nYAR#z$~Pd zehkb)TIt8YP)xNLC2h~K49r5>wI2hskXHH}n7hRE(i`GStlI^LM;X}U@`^DRzg{SM zOwPiJF)`JbUJ_q0<`F8y?zyM-V|$t6{x@8xuNYIY_%0dp8i#+ml9JM*W5Jkn|FhPT z9xem5!1eNa_O_Y!%tJ&vSv_gbJml-@^N}o*jkXHI+vWK+NrzSs< zm#=C`?QYbPn(QI1^ke#tie|?}lpL5zsK3CLZv(TCR{Ak83u&bv977aw;h3hzWiI5H zg|yO-fmui^{TP^OlfZ5Z-#BI=t@LAH7Sc*T24-${y)-wzaLhtl>BqnXBM?kT_Dpg-aserf(|nUQnb45;|rft@K03ETolw=$M7H z(hnUokf+uw@fzcvhat!ACUwI$ntA#aVp^U)i%qT&lT~_@>u&#J?K+gd1M5MxO&iM>8VtRm^K5?TCGHLGlxY3y(|`O z+T=tfnCzslz3${7MkZTGEB#=ygA|*b1{O@VkXHJ^ zWD9AfA56B8R{FtY3u&bvOg0%V_5*1|8JcV%t@MM*7Sc*Tm~0_xlapM6$rjQ|KbY(w z#U>{z!DI_*r5{W-87>CQzA@QCTIt91E#$lOO;+epnk%L0hx9F^m3|D&LR#sQV=j}z z&Ng2-<{_>0IWP+;3GqCA4$Ndy9!#W-=+fNcnAG7SgW$7?_2$ z(vN|eOvhvw7m3|D&LVD?AU@n!wMp$1s<{_>0IWQ0Ty7pZv;i08USuQk69@0ub24>PC&yo<& zav{e|_TgC);u*q$S;*J5?;)-9xx^kO$MMul;|s?uq?LYf%tBh}$G}V{z9%ZGzUi2S zv}->GW+AQgIWSjt_bdtVEJ{G`rg`5J2jOlmgqWrzEc8zhJjiw&#cyye9wskx*N%6J zLHyBUL^6V5l-FZKG=g~`1LQFx8^yqr@)!}0U??5Vx5nb`YMB*-zxNmsk6_4akCD}> z81UL-WO)jPy!M!056Ekekp(Jr=$d*=uLr?v+`C(O-Rr@XytbHL4}u34^NSvs0P90- zwD2ItW-+}U#MmsR*Ms02QXG|Uk6KKc)^?1|VtPG@u~|&72QfDD#>WF5LT7hkY!=h& zL5$5}L=Q$;`jIQVnTDPZ?d^f*A~Dhf;>w+Q_c6_8ftZ*%<7wX@rr4P$#4iw6>&&J5 z4dTKenkcVxd71p$Llfl-#AF#BnkZi&rq21HiSi0@ZD;rt*_Y|F40>5KnkZkWm_+$Q z6Xgp8l3u82qP#*}%bABZ_E;e9D5S+p3&e#Eu-|>**u(Ujd1#`1p<}UvW2k*)@>l6!5ms9vx&?#lY&Q zrk9z(<|K$X+#HwNV``eb7(Ta*YnnVkaNcm4zeg!uN`5W9XAcqC%Unpsa!h2OA&dZe zh{#?bh-F(yO_LwfkGZ&k)in75p?&vMMEZGPbhA9;#EEulj7xwtN$oPhJT4aiWXX-H`8o|Wa zEFH1Rg5lWQ%VT#n%ZkC+JVvA=7>>3TCkX24@ig2_gB94dO*GAb7m{1*Mo>$IgIAfMGvUgmJU3nZ!-0m zUJoc!kNKhpj9l6I^m-7yHqCYJhyi!=30|8>GF}T3`9k#U_ zTkzV^(Tuw20oRYEgM)8JCwOgQ>vyel5<0p}3^VtRWJytbGx_JB6T zVtPFYURzAB2f=I8qUjD_tK(bfwZ-&$5WKdSUJruT7SroN@Y-QC%Pwm!^x9&2JqTV~ zOs@yQYnyH9^&og{Fno6<%LjjwLzu3$*$wfd27;i3>(j*tKocT|R-+VqeTeabf!X;@emP9hLFnD9At0k$I%Ro3&2BUab_yi ze-9DaX9(xwAtL(>q4s-7D|{-yhseUrR4DzcDKfdKeHI9rY$2`mgUJ>mt1?pwCR<1= z{a~_%w9*eITSzPYV6ug@(hnw^DDkZ{1BqgoE#E;VTSzPYV6ug@(hnv(h+5?=mteAm zw9*eITSzPYV6ug@(hnw^5~G{u+4Ee&Tv$je{a~_%w9*eITS&Y1gUJ@sNMzD-OCZ|$Mu!hCL=>7>@dM=gSmgnu=Dra*zQ^eCG!bhTROcS1g|Zo*8}Rc zA6u^n!E2LX9gi!_IzX9E=(WZ4dJw#}m|hQp*A~<3LGapQdOZkUJB;Sog$KcFi}_*? zsMpAOEN4PwVLBZRURzAB2f=HL>GdFZZ85z)2wq!EuLr?vi|O?scx^Gg9)w=IoD0rK zUwLgX*HWz-CVz8RaB1?2jkb!E-e@AjLW%u2#CF;qBGM5EIy-rY2q#0>PTNC7HW|W_ z5)Tp42n01v9wL&-5Vq64;)j8gP967*=@HsxJexZn(QG(`p3Y6$;J`5X$4dsSTNZ_%Gy7u z1d}bKto;KLOtz3#`oUxiDbhczCGGgbG*`K4zCBMrOuq~4Xu7>ZSR+%75+8@{M9G1f zYKxmzKxHbUy~f&t@`j)KLgnrPJJ7ubGTLjHP?%Og<$;l746v@#Z>2xlYdAC6|+gwa0`=(j;WiC#Xnq<$An2Uvcmp%ruaIDloC2I+z&x6H^XoU~N%{11zX$90h zg<64lnT9{SeVjR58)cYcQJS3u$wVl}r%A)!v`;1sUUW}QjvAPP{A**5EXu%xDS)96*Jp0e*s@8xcin%8QL)41Th zSV*vWK*5pG@|UR{CVJhqTfslRc!BelXc|En`9~?G+1?Eu@uxFxf&{=?9Y? zq~ywz9SbH~NGttdvW2wL4<=hkEB##=Y$2`mgUJq3a^;z0G#5ChEKJ6Q;BT62A+7X-$rjQ|KbUMG?b;6}TSzPYV6ug@ z(hnwENGtu&WaC=$X3{q%TSzPYV6uahTzQgyFxf&{=?9Z7q?LX!*+N?B2a_$Nm3}Z8 z$-PCBk=*^pWD9AfA56B8R{FtY3u&bvOtz3#`oUxe(Oh}nl7h(=(n_C9b|)k~8E~R%9+=B_hM&ThvE(at|`{sSB3jzls{RF}4yIV++%K>3?JP#>yIUpRE zd!Oo3ZE}KOU>;KBazHpR4=JnifW*LXMXs)wOYNtZARL&5@NZJ={eZ;4ETpW;0}=x> z?^9j0vhBqneji`wJ`Vy=^3a6tJsFcX8jXz!*<#oY?O z#Ff*j$qU59;4a#`X@Qtq;TN^Z3&h+Czr?QH4$R#OzeG&ChnVWMi)O>?z#fRUE(#ON zu%}|u^cU^jv`{g@!HYgLS0OI*a>1R^FAC#o7cW}3RH-mdu})vs{;0;8AxPJ7{i@0E zjG%$JV_&F5uGpm0RPr7^Y|=WeYs4InU}u$?ybW(Au=2x@tR+JeOdy zg~-wu2$^glt@MM*79vYOQwb(J2m+?fLJlTdNGp9RKd-qjI#!e6g~=AuN{TDCQCLa(E%ymY*)B>dh!N5GE$mM`A@9iOFRVE0W2oEW%@_=yq z7E*2U0pUjBA!Su22oA3v@>TloUg@P4C>>OI;_(pvP1;`$NKD_{c)e7cd_Y3_=JM*L z+T;Y`z$~Pdeo!$j8!tH0G;@jRo22MPt48xe4jprlYLkz-bdO(MYJt)L2_{=At@K03 zETmog@xYpea3ogx!DN%KyI>RPAQYv!s(7gdO2_nr$rjQ|KbUMGt@MM*7SgW$V6ubM zl=v~1V6ug@Yd@ImjxXAzDXV2@vI)XnYJt)*uwb%yIWsVVVeE@ZNYw9+S&J)~XxWU`0!YoGB{JC|1a zWU_~}(hnx%`I41mt@NqMcMEByA56B8R{FtY3u)JWFxf$BO8k&MHQCNZJAUMa989)U zTImOq%}M+Mt@vV-?-tTZKbUMGt@MM*7Sc*Tm~0`f^n=M3(n>#=Y$2`mgUL4kP|jk9 z^h1*^q+k0q7Z%b=KbUMGt@MM*E9CuC%ao3}Q2M3~93ZV+I4}=s<-&n^NGlgg-$Htu zOzC?_D;G-Nw1Ip7XyIsH$dtZ^v~nSnJ)~ciV6um_tCCFikaks)$sW>5pG@|UR{Fu@ zH8TJvx6%(LJ4kPngUJ@sN}rl+-t&3?Aa2$e*kH1y(n>#=Y$2`mgUJ@sN#=>>#yF>9AwLWD9AfA56B8R{Fu@HOuk-(auYYxv-E{ z`oUxiX{8@bwvbl(!DI_*r5{YTkXHJ^WD9AfA56xvv-p#=Y$2`mgURdV4=}lvewYgjX{8@bwvbl(!DI)~lz3iZ!DI_* zr5{YTkXHJ^WD9AfPbQnEnchE|63=rXlRc!BKAG$xt@O!c4{4=OCVNOLeKOfYTIrL? z7NRL};TV~`_Op5aXi8ilWU_^{(vRs|$am?lS#%7nUHc(@3u&bv1GA7;`k|KV?H3HJ zm3|D&LR#s^z$~Pdehh4Vnd<#PSX%rsPHih6!=~^w)Bn^mr32DU{{!(hRM^Jayyb;~ z6}cQ#*v8sJid+%|{P&O|mjlB5o`)2<91vc1^^hW$1R;}+C_mLEACOj*TBh`h!i_Dz zPbNF*Ynf7p1d}bKtjc3xe3H>qX{8@bwp3c_2a_#?BS{hXV_@u#;Rn`AKbX8G?A{+O zQ_36*O}3C$`oUxesW$nTOEB3&%8qwf%(n_Cl@sM`yQ!XCTN}qCZkWu~QH5qd8kXHJXi-)w*54o&KuJ=#P zi61%^a#>THfb`ObQ8I~CKw9aCTr3ri#Bwa;Vj->cLoOE5N}oo_&!v@q$i-2qIdNsO zDT96gz#B}{uNQbt87v?o{R(;F)LmEQkLJV|2<~045Rra{u%p1!LPYu*LLGaqMV*+7 zNIye@iiL>uGbE^>ylkC|NIye@iiL>uGbGjvs$>@`niJ0uQsJwhE99&6A8V=g`$y}P z7Ah7ZmOn#cF6-@eQ28qThlPBXzEexE<%{z38LX9KD*Y%~oTa1YK_N^>$H8myg3*-xEUiK^DH8-3VC=zyT4_3k1hK^EeP7 zBKi#B;l)El^clhR1Et#JPqoR1T88qQi2bM94)-LNGttNehX=(A9AsfR{9|q3u&bvOg0hwPc2d^Om+|iW;#u#<+qS_?FW-B zq+R>LWD9AfA56B8R{FtY3u&bvOg0hwkG@SL>xG(ZA+7X7lP#o`elXcW+O;1{c95D7 zKNKdIY$2`mgUJ@sN}o)25&I83s75U#2DM%;e1G6VG!Qb`Q)#77CVNP`_Q_-qX{Apl zdq^vNGTB2~=?9Zd_Tf_#;)nEu$rjQ|KbUMGt@MM*7Sc*Tm~0`f^n=M3(n>#=Y$2`m zgUK$HQ16HrCR<1={a~_%w9*eII|vl1Sueq43u&bvOg50WcDJMVy&vDmWL~qW-o;zH z+hquuY_2`N-_<4`RMf zCVNO(l?No4jNHs3muiy}giN-OvMLWqFxf)Ns!R|v*+R;yJRrej3u&bvOtz3#`qX4U zuvYrPWHhN*m|Si0F_+L}3u&bv)3=cC(uWGJ1LH{2k*5sILR#s^z$~PdesFAUjq`q^ zEc)BPETolw49r4W>Bqp<%P$yMFMX~T2dOssU~&x1LR#r_U@n&aMo2z!?EZ?gS&pf# zk@2@?#8=GPRN@V@{GHC)RRS1MfQ1e(!Y-Y1a9C*8|gE0%QBG2M_0K zXcv!VY^K8m=zQ0M$J$~7nD2V?u2MnKs_3)sqj_qJ#Z zZtLX+KvW4Lc>Fa{fXH{A$~_^%;;gM4Ktwg5LQGB_rN`f>+?_suNsxyrG^&YXURr8$ zm?y-oXzk-65G+C$_eX8iH!2St9P66-)Jx;Xv4P&Xqw>K`w{9*R7|xn26%l@(3r`vz zBGMNKnCT%R{S1k@*nx@kGlVA%PepbCfnZzpkgw7=J)Yk$waxi(!X5f$mi|jkbB4q_ zI2Kama`*xCH9eIgmjl98`NRsW(tp)L(gcC|^^hW$1H$QhNRi6{iGg8*TnARu2+J}r!=(n>#qUzW;u>7xv)O8?arD`r7I8!Yg!|v8_j5_8at@Np59@0vmI_4p*^r>SW(n>#Ke|Gw< z^n=NG`g!G8FMW#A9TcG5-t@MM*7Sc*Tm~0{a+NZg&kXHJ^WD9AfA53=Hg_i( zBR?R)WD9AfA56B8cI^j~Eu@uxXtII4v~H=swe*H;>Oy{L-O@dFs4YT(rX0q=ytHg- zc?icuq+e>13lUyYTZW|;`5vyu*TBU~J1b=bwZ&C9zDgBoA!1IhFRfXsL|lm@-^6Mb zag589II=F|8^o-YS8tDLUEU_>{X+1op+c4PkbXsy3e;~EWNVMPyey=*$6Q_>(yqvu ziyK&PkGZ@&m3~D=5W-Bq){!38h0^CaW#JfP)yRdS^pMsblgS>^N}o*jkXHI+vWK+N zCzCCtx5wNlJfxL=FnR6x^kzxgHzr$1EB#=yg|urwm~0`f^n=M3(n>#=Y$2`mgUJ@s zN*+N?B2a_$Nm3}Z8KIEdwwTVYE#= zY$2`mgUJ@sN2%=FIBBM0XG6mMuJ@BSo>Mx$h-t8(IU z@J4sHwSlX=!3OKZ{{D51Zj6W?0s3F?B>fO1L4uejNJ8W6bCB`z`x<1p_g|LWij1?* zL2gCH+2~oM?k#Y7p$gRjY`yAx%@WGG9>&Bhzq7@lupM%_rjI+-{ zZbY7*SgGz2;;7~UdVe*Tr{7sJ5uEV%A~r83f`#0R*t}GccwyX&*t}GcNQe%pZ&hqj zR*Bff^&&PcCIVaOMQmD31f;taahGf&xR=+9*rGHMq$%%3Y*CsB&K-LZTa;B~!@jN; znI}I?teY3?W{XdH(uk#X|3FtJu-oPC;DD>BYLf0Xb$l==kU7*q)pyEo7Cp6-#W z_79j?FEUR)Osp4~Cm$x(i;R;WDf)Ynaq=H9v0h}Je3)1-GEY8CtQQ$4KYs7G7a1o% zOsp3fXFp7=74g&XI{P%S?_ko?55ud3Oso@`C!Z$PiOiEv6YE6g$)|~RBID%C#5$32 z@?~P3$UONpu});3e41D%GETlstP%0U@H&+;u})-M`ZBRjWSsplG5mdfC@=SuO1zRL z){4xNA12m{%#$A`){4xNA12m{jFV3jYemM%r-`*9^W=w#H6pv8h1=wZiM1l*3jzm(Uof zh2FDlj734SwNQ7V5A~NprGjQ3!eke^Wm(s4%hp`CO+DjoqST;1facVCpIO#*+tnH) zF{_4MJ;Oz-TEnhBki4H|AGgPyqr)c!1>J;J-B5NHT6KHewm(9vZa`Hp$?~dqQ@x<0 zt7j;ydU;8|ls#B4ui-EBsn&SWzM$D!oj3flXx1BksFclmUAH~!b=~%?*LB;a1*zbw zcUNnqdj(Z%ym-FQm+Ix!@`7f)b>1GgSJvygy|P}{?T{9{;=SrUvNhN3$ktr9L-msB ztQy{`mzS*zs(N{!x}d68@7{8CKW=Ynp2|C9BaZudV~ zujzKbv0hYP?csi-7I)qy{%CQ(v9&vY_phLA?aq{03%zH(HgETP*6X_6vtHNjp7pwJ zTANVD`m0rVN4Th1_5DGOp`xK$n|I#I`p3Wi(|`N-|M>U+p%bVfBLuo z^*`g17}85ct9f6{{5N*F*;e&MkNZ>l;s~qu>nVM2J~mpJcm7n;8b__GI}hv&nyvZR zyfo%KcVA_-uI@ZqFQ`VB$L9rAy*wgcs8$dr&$Q55x$~sEl+AiwH?5#M&#PBit)M%P zqzjrgm~Ic*|DEZHK4n3(!XMt5fvBJwU$CPx>Y!&V%d8cv>`krd@zXi+kDJDU_i9$z zv}qpI7BtJ6P2-0e)SB7!gc-O_q#U8~--Q^d@x7W#(3G96XDxPfG4)iWMpu6p&g zxZ~kSEt{6ghq|R~*6X^ZrGiRY4cVIOrf&$Ahm}pM=DFW$ZRc11ZoK9J`pJpdkiy!t7kR=RM?8kAzxW}sil(g_uX*6NfYb-q?U3!@I-=E(_4>S}tsAd- zJoE*)8?Sk6Y3sY`wxz8buR&S$%y`WMuOrxB=QUf}y7QVXZJjUFKXb9Atz+v`blcJ= zGeTI(YJ8p7Y%TYg ztveqPF3obS@GPXD8eKjFEU0FJPyH4uwsd!1w22qR51rT1-8Ev)i*TmHxpZE%i2>bl z0AFiJOGj+a(5%z0=8ya=!G)SAzGS~{PvE#1wP#cddj9_ z&tGehO+TC$J!R7mW(!??kWJ?gHGi~_O~ye$tyeagN%KBs<-Z@!i=JW{`8e-WRyLWL z4HwFB$ZL8FmBtF6eNfpXc0bm$7i|Z77e}*Rvq`)}jZuF%ufdxhp+0X1eLX(PR_{Sy z4;JmH>UCc8e51!{UI%!*X$3q=DcQqWWBDNcI^*b#jNA7 zWotHX`%|Oiyk>u9AE?`ve?P(zpJok+>zDTT_#Qec&72qQVjuCK zwrVCufo?gfnP85RHJ54A&WrZ6X(R!y^`=cbFWS?lofqwg`s=(1&w4rU&WmvEO{n>z zSK74mqF36q^CCP~Q4KcluUoce^ZrVk#_ivt+beC_c@Z8Ds9v+_SK74mqF36q^P*SU zwDTfderLVTi*PGdsLk6eZQ6MeQq8EW>y|d{ya<^_Ro2!kc7{S-x3o#;MX$6;=S8nw zbL_kb@6%YX^CDzn5bC<6rHU7wO9;=@Rn~P=^dp{6*Ll;o|KYsmrEmYkc@5rjvtH*l z_*D|2w%+iHS*Y3Mp>KcuXk_Wbp?F06_@<%Rn%N|>Z>nC?4cRhqUBiglrzhEPfWx^kUIa8-bKTM=jTfP8w&uE}O&YJkCR(i-uK}vo zjMo5_ZpLeXsx{*^K&6}Unhlv|sGIQ`pjof!_Dn5|*Pv|HTXh4i;WuwHUIUchyv=wG z9?bB&yIH&kD8Gc8@fx7~o<~BBWl=!0wQ7?n4V|881RvwPw5ss9Ljl4-&siH;eZGRcpqJfJ!&xML@GPpLa3W zjfs#>4~6YQwq`c{7IWQ<7olvn=JTfW*3Ebk%1SrmMaa9N){GYcm2MXA0jk!F7Xg)S z#*2VvYd&v^5pNdn+27*HoADx`*_!JnUxYA~*`$2Y(|FPTmM=o^%F4H~90)aD^Sa4rJdM`? z&9YTDYzY&syau^+ILA-pH9)1C@fx66gXwnDYI*W)>1P~nS}jkD_q@Qh!f!#&3MfVhiL4B#e0w*idG$o(n`;8*rl>IZ}%J> z<29%u>owi(@_s;x$+QO-G#m`-SXH7ylje*ZJ=kj)T}+<3&KFgYhDu8b{+r zK&6B6B5e9Kj>e0CW?3H_c?X@ceyrgx@9?y9FoX@%2jfLRRj=_P1QXN;<3&KTtl2a| z@*D@_MX!6VZR15iH9E$NfM(BJw_KUVixAMr)_mS`y&5mVyJXFf@gkt=gYhDu>Vxqj zpwifQ(F*}zYHa6VK(hw3X#`tU)^x+m2BG!)h%^S-U(*etQkFGdgtBVQco9&wX1oaD z9<^q?=!Gqv>NP*RWgjfw^THNMWlcA1K!loZpyfhMx9#kM>9*y{G+u+$#~KIYH9*w| z<269l2jewB)d%A>2wG_zjMo6ovaVaMEaNpumz;fY-Ew6auR&SWYrN*Q<;pT%12oIp zH)P9|WxVFK<;pT%15`b;b1a}59pg1Xvo)W$Tv^6zUR$m#i}xTos%FS|4N&#LcnwhX z!FUZ&^}%=zLWP%Z}_q@7y4=#?j4Pvb>Exu3(P ztbSLXx`l&75l6XC)J5NqPk!ob7e^&lR?)QGco9OQYz+q}UY5JZ-FZ=-j_G18TYKYI z@@}%}eeoiqic;+Q@u(Owq1oS$ZbY@~kBoWwg%+wlEM6p(BZ;FOFUuL;SGa>hkUewV#1CuLNybM7YUVSix&yih%H`3 zG*`un7YWU>J~oO4?CX9I%jT+B=U_s!4?Z?&|0~|ZvSJ_m;zdF=x{DVHRlSQB2~~d= zFCv;NXz?PU8neZVh>B-K67aIx9^x7M;zcT(eQ@2xGjN=1H7L%fgs{t=a#bu|6!qeG zg{xx4dxWaLix&yi=qz4DRPi(%6W2cAP)GHeZpnxdPqngX|BDx~Ua?%nC#`JS~)tc-#@*>ySl>J5?u!15C7Iub+iKCngL`)o2&(=9s zjKfp@8`lP`tgQOA;yo%$+rec5D=YhbIj_NQp0j7pYo6jCk-ocXAzMdqBvA9;(QIrl zPyhY=^50>Ft^a;%t$@B;TUsm1oA?>?r+hM^6;@U|Lc}E;)hMiZ5ywVr1@QwbEB}ps zzN4}n=S5Gg6(t*7JOM%+YmT^wjP#(tp*_6@PiHcn|xV_V2tXZ+pF*7vXKN zT65iWLiZ}X=)m4FExqs}{1)#yz9%m_{(k#|n4(zj>*Pg15q`uXVQVKZ0*XD!Tc>&Y z4I+#VQSeyq4D>TYL~)vkliEac-j2T$O^r`pl<3*t)a^vM55X={BPsN*{aF08Eywpn zWe+DWN>sNBr# z`>WUmGQ6K7Ni=QpTfC$BkC>2~s(6V29K zxAf{KuQ|(RYo^=j)lXidvKbS3o$;O%Rcj}&IZ^3$@|qJ>YbUQsG*{utYfe5Fxoyyz^Ot+{UUMfwfw z)nEA{Trso$>N|Fv@t&+#obNb!(TS?HlNTi_Uv!+j=tMbh$H|LMRJxtK=tMP=CoekD zY|V9(FFHCRNsMUgzjy5^kHw4BmU_E*5z+KTix&yi*eqToG<)mwp1#O=5kf+o zOXo#-GyQO0w5Km}UbLq#a$dBjFLGX#_tg*QMSJ=p=S90%`Qf~1*G-7yjQ8ZMiMbwU zyyrxvoAV<4s0}rCUbLq#a$b~o+YjeOdAI#=UW7md>vdj)kb_WPujz}N7a@$GvOaI= zi<}qbo%!Ru_biQ%T(8cHj$E(Ki{J>^n)4#KJfZe|gmV*W-$(qIx=_>Y(Ebq@+Ny_w zYf@R$4Ngd?@maVVq2`O=ScHD|Az|xqAw-?m9Qo~cUIVwFvaVZx`<>Sux!#=D;K!X+ zgX^Yf<8j7&axQYcIj_NzQVp(Ke*2x*V3|bO_j$i3>(zS05tEIt)*Fst9A#^W5E!cU zhTxu~*_!L7_4Yo`v9b?ZZ@9weHDqh9o7NjH_E}l2x3}|}yakDEub1V#y`9(OEl6DA zv$9%mZ|6063ldlRqU@Ld4o7_Q?K886Z}<}J#% z^P;>(`F38Ew2xzwE^DaK{KJN!{z2eqLw&wGmzUVv$JIiKkKJV#^j2EF^ z>1MnLw^7uZ#e0BCH{(S>)td1lpwi9aJwUTHpZD}d#*6kp>5I;Du+%Mmk?|tjh>4!z zjZ@uQ1C5>mt-c6o^bBbIh5(J80j<7hN5oxd{XPQC)@blVn0CnBy2Y|Y6^aDU$H~Imft{eRT zP}hxq0I2ImKY&zBt{eRTP}hxq0I2ImKLFHq<2nZFx^W!?b=|m*fx2#7$3R^-u4ACC z8`m*V*Ny8Kx1C%!u4ACC8`m*V*Nwa$sO!dc4AgbwItJ>xaUBD7-MEf{x^7&@KwUSk zW1y}Z*D>;Txo%v?KwUSkW1y}Z*D+A)cJ<$M#Has8qIT!s}zLArlRv#O35}-ad zu3@}U8>dDqDwP@8w8$zp5H zi;xgYsO!c%m#D#Y;|pe>t{cw|fx2$YNCMP#V@@idt{dON-v2l+y7Qb7sO!d;(Lh}{ zzKjNH>lKNhsGIX5&CaRKz-i$78a=M#<#FQT{ph(25P$L4Jc64O>aPfnr?anillj_o8Eu| zHQkUbhI8S(Mmg0`)^tMx7?m~Mkm^OK>84C;sKIo5!vkyvv?2Es!%r&8LyXX^X9w;DN14-KyRY#m;Vk& zeERPwTKG3y7~OL^@c-*yEaNb~mJe9SB}s9}M5lTgc<@0crlXg^dLCp#pD!s@AZ(|X zne71j_cCNEy*^<(Z9AxJ7;D;2FEiUg*iJ7q+d;UhUS_ld+D1{np-b3x&xY9FEiRfm>a?l-{wY!+fQkjTPs8U+slNx^)j;=gt_%H zvl)cB^)jOw(A;{N(F|yAz07O|VQ#(5YzAR&z07C^G`C)6Gy|GjFEhFWnp-EMy+UgT zG`EI`X(Q2!kcc4;_hq8mX7n;Nw^n901DabaGn)a;t(6(gK<3uUjAkHnYh`9Lpt*g^ z+}=ODH9YSIZg(AP$jr+WANoONT&D4%A7sY)iQgm~WXAc4r7*~h^Ak&9kQwJEmck%2 z&JUJCBvxvMdR(Qk6b6}bl?JcuWqx>TEQLX4HUlh$L1r|ASPFy8Xa=zq2ASCm!ra=i z=Gjo|LTGNi%xDHQw_av61DabeGui>ot(O_?Aj}OB^sBky04Rc1?GQX-N_&~v3}Q-q znb{0tN_&~n4Cq06nb{1&gY+`9HwX{X%gkmF9;BBU&45$d%Zz3aQ;OByOzCI^oYGc? zXM4RF#FX|jvl+yc_A;Xxa7ufb*$iSzdzsk`VoH0N*$iSzdzsM;IHkSJXa+H*h-ZFJ zDUUMy>4}d*FEg6~y4B0@7_@IEJ_>C+JS6R9!h`fOqZ#m{(94Wwz>h*NGn+wt6ndH2 z48q)cnb8dRQRrnxGvG&|ml?eQ&8?Ri?SSU?O%ZV>IDZsqZmrDh4QOty%xnfUw^n90 z1DabaGn#?St(6(gK<3uU%w|AyYh`9Lpt-d&qZ!EDTA9%dWNw`dIxvs}nOiF}+5yb1 zkKFTMzV{#zZ0JufW;1}fbuu?yiXGdDUlqK1UdW8o6V!T;8K(zFWRuJ|J@L`*+xg+G z@zEY+#_0*O9%RO48XxUJW}Kg()`QHrOv9`PnQ@thSr0PfG7YmHWJWuHStAovV>-N} zGn-p4Gn+w}TPyR!TZg&zGP4)V;lAk3|onav=~t(O_ifaccA zjAlS{>t$v$z|;(Vo6R81t#4;E1DabeGnxU-t(BS2=xJ`f%xDL}5ph}N`@_f^W21K) zWM(sfxeYS28Nl2Inb{12Blh}?W=`Zk*Z%&nLCaYi3I;I?o0 z$H4c<5qp`@9grjTGNT=Yx#6hu+uZnIVK%p3W;TN`w_av8gD|&VW;TN`w_av61Dabe zGnxU-t(BRN=oxV7Wo9!7bL(YBGvJn_ml@4~=GM!M?ttdj%Zzpq=GGo~@c!d$ZoSNG z24QZ!%xnf>ZoSNG24QZUjNWs%`o#3$YVY@w!^6^F-e8dVIX#2Suj#=Xm9A^^Dh1aW zWPVQ1AoFv22AQAJ)5~Da2FDxTV2~MCX?TM{W?rTE_zW_$8NeG1GNT#L+}b(iCF|Zq zv6CCx8SNmv!5}l*K~QTPi+z234*X-9o}kvf%xnfhty`JdSu=3Z%gkmF)Vh}$&4ARp zml@4~)Vi0M%^;|CFEe@r1`c|e(F{nfdzsM;NUeLB(GEzhdzsM=!rX9E|J&StoY054 z^)j;=gt_%Hvl+xru9un3Ak3|o8O?y^*2|1$Ky&M5W-|zL>t$v$h@D(7GnxU-t(O_i zfacc9z@7%TLv!n8Mmq>|!{d~1a|1;mnqlCemzm8V%&nK1%^=LJmzm8V%&nIh&4A|C z%Zz3~bL(YhGYE6*Wo9!7bL(W3Yoq@#Mp(3$8O?y^*2|1`Ky&M5Mmq>|LyX|d+?3*@ zRfp!*%gkmF=GM#1W)SAq%gkmF=GM!MW1{np-b3x&xY9FEiRfm|OGm0zdpbGWg&+gUoCOVQzgpvl)cB^)j;= zgt_%HqZ!cLdYRD-Xl}jCYzAR&z07O|VQ#(5Xa+R5US>1{np-P_Nf@?RG`C)6w1Y6W z=Ht$v$2y^RYW-|zL>t#kWpt%3>jLnb9gg&i| zd+REL7zlpY%+`bAG3bklnsk( zE^G(MYZ@7|10*AfIsS)ao`1t_>`un)pe8VhzTI(awQ0xfAQ-`?nHSV)&RO-tEk&LG zKO$xY*Pg|X^)x+mD^Mz)bX0#sCYMgcji88NoL@)>)U@I@;37zS^DW_yI)4J}oXq$Q zhDO93!DW#9Mtu6qw7{5prWowtr=(Q%_TN$+X$uA;YGRXhe zjF?S+H5d?&_=YtiHu+y7-NIxVv89ai6KX2a3Of4T%8x^Nlpkb#`b#r$U3`#n1E@0i z5)Crr`j1$_Amb)b+d-^gkg@MT9qFJqgNz$NX(#B-ATt_4ocazjqZI_39%Rf1RDFU? z_cHUTe6Z<3X0(G~(+GNgn;TQPwHC_FTQ4)40nBY^XEuXi(|tR$83dc|Wkxd~o9<;s zGa#GpWo9#o&08-sn*q$NmzhuHxp`~bncvNG^VZ9Z?tq)OUS_m|Ft_%+f*JE>bL(Yh zGYE6*Wo9!7bL(YhGYE6*Wb})#AAO9ifq_4fYVm8y;U`7vN3qHT1Aij1VIyPHQ)Pm& z4l*`9RVEntAY;qaWWc}&8JnLfLw%rbqmRu`m7zYpjLlD#p+3EgEmM;TeR>&Nrd0+! z2S2#hsN>GMio`~2koj!}pkd#`=H9xta|f|}A~e#-jAp>0?qy~(2-E3hMl;xAsC${w z45$yX5I6daWF<_F?*6nZ% zdYRDqt0js;o@5v{XTU&r8FJ9K>lh+zh2$SaM*g8-)7Lu{5FGLW;BBh`?6sS zMl;|TAoAOc!Dt2?gI;DfgK!7E%xDJT;s=@04q!TuHr4_+Ie2)QPIoU|KlI(20f!AY z3ciPpd)`)t!`92pW)Q>H%gkmF!`91;W)PNMHu1lWkzqnVe1cIMl;~Bbuv%v35!`jZu(7*GlKlY zb+)f5ePXUBnMkd-BY%4%WbhiYk+}TTg%a_L zMWfIIwlE0UBw6=1_=`cvX32$cnm-8HYIPy(TLvLpt|k;Lww+x3s7@V-YOG=3{eYW2 zTtx2O3daxR{AeY9aQ({`@GCdJMB2~&Z=W3}V&Bbh0kr-X`ro@pg8S^UW33L&m=)A9 zi?uq)m=RQ&AXbBn*?`G}S@%OQnn1YuLFShY;3rYLksfUz+~OcJ8UcqIllMKNv&RnJ z`@(MsH8SQ7>acNodKvQuRff~k%Zz3aU!b9Hvl(D|o=wi1+diMo#~AF8YsO$SgBXKB zW;BBsgF$ArgBXKB=BFL>wlkm2a}2QU{~m++aGqn(%lx#1y_flE2ZPLL2h<0@Qq$-& zx&!Ld%ZzrwIqhX;JBT^$Wkx%QwcXyr+mZONP?5UkI0gv$eviR?IL|TYWk!F%G3aGx zJBTspWkx%oKDd(7=rh^@_333sJD@(j%xnjtPcJjt0lud3;cV0y&48bUUS>9fFr8NB zazc-C=bZ))TQBq540@U0X3)!wW`JRPBKPd~u=y!{T?sL4gUo0JvEl}q(F$VN2AR9h-$g$d;)I#b#j;vKewA zY!(I~n<5v&W?>MrIWnQxpFQydBwt4N^m-wiB@^Q4wL+2o8BKG+P9Ryce=% z>!TM#-V4nZvBi+LLhiq(TVM$FS7G+RW> z(;zfkM9kA5^ur=BPlM2G5gc;-l4>&$vqf<9dZF1OIC{O%Y!Mv2UTC%mj$SV`TSWMX zy%oYgCfnoa;nz>UM-Rb@NrON3R!}ErO%h3(Xe6(d%At zJ`o}NIeNX&Y!Mv2Ug(EK#OSp`ezxE{E;OO;2KYT=dYI>m`J|diDFLbep%VUD>I`xJ-g0|X=Obp%=KSljD;v0Xv zxEOg6ioxrJuCBZYv8C>9&31L=MTjl+LYHYOLTm{?B>$}wZjej^!IpZV%QRhE!bw)s z(mYLUsTaB|+)GPa_v#_CHU83R5c+KqG5L*7mxWup7drJqS65zyVxBfUb@*-xTZx}K z^+LZbV(YSpY*$xax<{RQq2Cq}x8NI{ep|#K^cNP^ZF;9cgZ;N=eeviM=J^Q^u=(j~Yx+{#^*RNAu zbn1nETSUBgXh!e1MGQi}E#lrCM{WFd@p<%Gq3883K$+aIhTbSgr@JqDqRMN}bhk3r~S5k-jI>+U8#{XT$^ zFm|sOy7-9FQV`4b7Gzv^od$y4>#i$2S#z1E+ER>OFLbepDiq!8g)Tgz2u1he9mn_N z;%Ir&DFTV{DQbj%Tg1@PZ;Kd&epm#)7~!p&?p=Jubs(A__Pjk2fciBM&sNCq2bk@V zN3`?gce+f7U-w?d6OUvs3rjQcH7w_;Ru^9Jzfh_sws2+32yQR_KQ>XG`6k!i|ok_vLJ<7n)Ztc|^CN z-f+?I*XZ4Qq2CtK@`w%L@SiPpJYvI^bP}RYICA@*CydS{6r*?Vh31vZmN5BEOY_QQ zORdmf_;RGxYFhdWUp@$3Eg}X++lJ7oz0bOlepcICLZQkjh{O8Lcc8{E}ef{gkS%{ zSHtJ27y7zNu!O=Qp4~n9?V6qC+EOocv53p=vtRDubT)cLh%2}KK3%U86rs?m%`~uG zuM!j?w$%CvTw5#Mi`(6&+Y6a{_u_Q}P533#C3e|1vxl#C@D=|y2b^O4Xy?`)gm2et z1Z5EDA6lPxy+%-k=pTBa-`23V?(34Tb~rc zAHJMA^+K~naGrXh*_TtNUTC(6u=4gq=<&msQ>eSl`S{_>Db#WDh}29L5ko$7?}tU; zi!liOun3sZAT(P<4EZ4R!$*WpU05N&X&}Ok2B9Ao5jyoP%@#qOTA?5J2slq&NGq~V z{nV)!nk^!hMjLT^{J2Lzo%)t$i@-Ph(Pb=oyk>)-k@rG>VG!NM^YP;v0S%%T`U`{T zh5o`ITA?4;2xt)9ZuRlw8Uc0ch5o`IdZ8aKA{K5hG#fTe5)MKaizq^Dsk@FI$vu9y z)Cq0ZYXp~;9&KdddX1n6g-(Oe#UhGO=oHTMi)aLD`a{ji7_@?PkNMZ}Qz zLbFAL84W_SMNp?UQg^*ZP==gl)C>Kvh|sAQnk|AlwL%!yadKm6w0kaoWBbXaPQB3Y zug1{Q4~vL->RXyE0$ak!jQ!=o9@kq0WtwQ@-EH~D^%lWZsC%jRxZWbDLNVffOFs-E zRz@%M!yv+nTA}MLg3>)&Q7`nvMTAb>3xEfrca!PSih7|R1`#^-LbE|or(S4w5nS!v zTZ6~-Mpzxan5SOoFFqQ*&<~4O0bYj6#^FLFlq_OZQ@)dZDW?FGBbbw$Fy|Ul+pGG{tJ)ai{ug zwcoFr4}%CRYK5+@{5lZ5(8ZM(A?nmcmhV?rUW7Qgz0k##SE10U7y4llF}c0aY!TF{ zi!9%9U;5`f^+K~n(1Z0tKP)12>V;;Dz-n*rgTmvQLFl(Z3_`O(Fkfh|(`*ni;@!QtJ9aGN;6*9gkO2y-1;n(cuj-ffKU z*J}i&B?d5Bovv5Hsu0Yz@80zqK@sAJ_bpuvqRA3&6ML?l0D04^iV@oijp7j2@MG$PN*Xf5v#E|zw zvqg-Y{PyL_<71lJAdGB#$aV9}z4k&V7GZmx;`ZgrS4&~A?fWV`BlICJ$7$h>2BFJ5 zRUwStAaq%|RVW6ci$&kAzPt!gr!F3NyZZ7XM4ft}%gU`np;Iq(S-Djxbn1mJE4K(y zr|$XJ?RvYn2vMh2=<3U>Q0UYP{jiA8sTZ0pf;!=2|Mda5AwX@*y>>5buNS(k+$t10 z^+K1GTZKZWUTC%maF6yy+wJPgOGDxI;+Uivhu;P4UI@C5BaXovgnk>u zAT%39c(6feHi#H;d{e#$543SoDMow{n(ZOXwY}_dyIvx=j(B%NTjy`d!&Bi`@Yzb=CnA&hvx!~M!b zu!Lg72cfHjC_)(VLFj4_MF=B42t}bjOdcaXjNa8CR!d=e{SFtaWon57fnophUw4eq zC=>(H3(W=*rq>9);&%!^4gL53@gM*8zyIYw|JT>wajb+RiS57q?S`I&(*IcKE;O`S z=<^RzcDtZ=q0z5`s$N7}4OP87&njgP)ez2Qp?kI#)>6=HEzF^y*&3(nqs5-Bxo&&5 z=DNMq8jpQey)U)KgPnq^HJ;oQRIMqt@#(`$tsx6y?eCGTxo$_c=DHm(jt*k+Rzue7 zy1lbr*X{je4LreE{e7!mo;H8b{m(;XZQk&^OG3krR@s|cLke9(vo+HVIi6L6>9*f* zLQS{*o_#RgaOF;AO*b4-5Cy5IeYmSNM%!0^A8HLJHMQ(Rtzp3#s@C{Gy3~-Zxo(ea z&2`(dHP>y+)?BwOTXWquwZ>q?>cghi7;RYSQ>`&FTu`-!H-lBTr&?n`pp?zlT(@Vo z=DOwDWc+p2uxB4!w>|51-CiooZS|_*P|p|xEvRbXQ>lWgXWaQN^quv(O};e__+r*^ z$aTR$W2qrq^Lcw`Yo;51u#?uu%%qA(H^fBgIZaYuYQ zjVSuB|NPJY>EHg>|BR0p{C8-R-!b>!uRrP+!+oms5Nef3aZw)-zlhCW1h@{sx{^`E@-x9 z^ZwehHM8m0maW;mzw-OYZNsW}%hp`CO|6mmud?~oRK|nP6hGA(Z~vCE(v43w3aUBA zv+g>+xdutESJ_=Fh&ONxDw`%jUg%4!0_QQc-dEP(y6KB04!dg5dcA{7)fx`=S2T2$ z)ylk+XBL!wxRXd0lzq4}V^u+^#hpJjSkP?E=IzkRygRQsv@&BCRr-7UQESd?jz4Nm z+m&*YSNwH(u~tt?8?IcV2VotEqb( ztE|47cl=gVtygRF?!4yExAgA3=FnGDcPm!CyT**!bP6ghoYx%sj@_9L=i7gWBmNi( zin{-f@o4?`v1`nEKVtPjU&lM|vK2IYYwPvUdcE^D+A5pt)p^k&hN)W!r7XS9-FeY* z(8t}K7aa$E+}(N6A>MgsMuAoDn?CN&lmP|J8f?A3|IjDvwEk1}{U>X%d4K;=f1MY- zZz}7&=zYtw#`)ei>E^uXeN)ez7rpf*)T#FB**#mc_4>YNYp$Ev=pB!@iuJy8UGX$x z)tl?edC@!9mGh!^t}Ew7?_5{Ti{AQT-LZkKeb5){?!4%&FV@|8(OX}v2$b$+~kL+31?S=5B%GP-Us+q?x39NAds(Ovr0M$4cuK}vojMuzyeT1zUuK}vojMo6o z)~cTcnytBRIqD3Su93{~HD2?&@7bE`wyQPcHLtsN%$Z>+T^~@@V7vxs)=>8$K-FL4 zH6Vyt)^$s7WV{AtHIl|_Uib7y#%q9PS?c!1zrzuKlt5AU-!Y<{|9;_FP|TWM2Yp5t zXH!BeFG5*rruRLiEba7Ryy%r)9U|4r4l%3vIptz|hnUsFc+u<7p69_wG@sTEjl#ot z(JQ_0!*~%;_1Ab2P_987q}M*Y#g^h(dFk0(Z0TX~p4VG!>0!JGD8FucP4emQTPt4A zMGC4hL$JQKCSLU5CE-<8p8CNYzXg?U#*1EW?L!_G?*XdWG+qQWYcQLBKWNhr<3+Ex zyu-tI5zs7a>-8<~5DD6=Zt@Nf{oLefsOeE3#*1EWt!+I%SQ=|>Ka3ZlthB&MbZt#B zvWM}a*IO(8VY~>aMn}Bp(=)C3hw&PemBz+vfO2I%_^W`czhW@DWnWO$V7%t_mLE`- zrd3vc;9}Y^?A>=V!Q}t)tcf(AJuZLSiI->qi?3Nz^qwIUvIn!TUGVJ zco9&|u*G|TW*I#*&IjMA7gV|#FFKNUK8zOu)tK=dc-4@;(|8fes$Prt0F{*( zFT$o@YtZ67K-HS@BA}Wfi}wJ{*32evX(h&sj$2xZ@gksEgW2RwOhXwgmd5g^o5gz$ zWDSU!-+1i!DT|+u5L&;F2hz?9HDC19{$?{?b3A1woADZ;+%a#)YmTR^WHVj^l$=6Y z!dK5^C2=lS>y}nxyykd{k8T$40m>Dy ziPv2HHy!cmkGXZF9OOHA9)bV4CBYR)tb%wt}pav@ty-us#w-|5m4!7 z=U~U4tHO8@P}zv{qCHoI^CJAhq*|-{kYm>w)aJZs&lQCGn^m`5LC%ZzTr0e@yXHbs zI;Gq#sQT-?XjhbOb6&LPs&HPk%cE`c$J#93bL0uoX7Qfmr9IZ>yau-ufZ7?>vdl9N;|W754OhgP|j;!iivE_YhL1a@tZ!i zXX#Px9P4|S?~ET!s;z0)^mJZ?kGyK|c^CV5 zTD%7VfAzt6QJzrfx2(=SymQC#G+qQWYp6DjAP{Z(Y4IMQwB9FQoPX-YMI`nYX(g6! z2pDAz)uw^cPM^k$fM&hb7Xjs}cp5JPs@9Ab;UGb+87~5=){GYc)%aSx2WZw?*DC^C zS+DD+@A=c>Jt!+JjMo57EnGK6ub;+iaPXnB7ViP7o*Az}kWD=^UV}rDM5`|Xs&O!0 z162JrUh~d93y8eUruHmPi}#>x_RMwDxAbYe=6y?xGF}5zJu_Z|w0_R_*JOshNu5TE#8B&>Y4ExplZ!{&HJ8KV!Q@u zmbH1yGY)KBVlKY?cR1qo->BfizxkEx{5KA~q_6R!cb;WnLsaKI&oZ9Ii{5$W@HAco zRHI>vj zXqL5a2tpYuYxB0{_tALG8|mUz)^ywCb-?249AgU~Wq0E>Z){$L);Jwd_6!$Dtp=T; zV(V?FzBjwYd*0Zzsb1GjynZ)cgQF9UFShAcul&Pqyar{}GvhVyO;NVp;yplVC6Ohf z*!m_b*)86KgBtb0dCevcv^%fCPasn_=QW$+C%c_vz40rHDr>rdMhfM;UHvy5@#(*# zsPXUm%*gH!;;3IbI4=UVWLwUQ@H3f0ZEP~4hfBM4#GdjmyYnLa0-|cL^$H>&)aD(Z ze4%F38IMC~#99+0-Ywqq&UoDJyy&Tz>+ZbhnbzRE2-z3d2j@i@A&V?F#YUbv@6L;! z@)-!kSXudu-FXp`RjM`DO+I6{cn^;FWKYhEVEC-Tc@a!psLdOuMySo(o-5vYQAVN= zBC1*-@2qMt-7>m_z>$}wr`|2z^WJr)gK(0S74O`g7wzJm2r^k&MK*WmMSHGd=S3OW zi8M@Q-gd=1cZ>JDGlsJ}ui0}po!9KTM+{}GUfr)pNXt>pkn@_nN4z_)dC7l6@md33 z?{L(e*Wkj8P}2>U9)#Mwy>#dMnPi+d0_#&~5=i zODmf`*?G~CKH1K}-iH|5Zt)_Z8eQi_I1Zt{&WjKn6>9U2Af`~8_al9>^CARARMu?z z$nUGgdvGm4_HXf?_mSS$c~Rb%*)86Kvh456c~Ra=#Img;nYRk#1y-@?yj6%t0fuTv z_;Ox^ZC>d1a$c0T3SSoQ!8Hc1cm##L-t4dWB5Wg6ui13oV0k$&%DaXLAX~j+`*2B) za(rLTi{AP!#52P>4vL1ooEL%gv1iVU-m<88)K_beMZG-U^HzlGW#?e;xAp)p=QVG| za&b4%>QyWkVQ@#KoAVkhZuZxC&0F_saRbk4kS{{W+)>S&$9wVy3j*m@R^J=AaYr@B zmDgamp#1`z`zjl#EUNMvp!%}FOSzeXbL|OH>@*1FNzm?Yns@bf(CQy35SB>`s zs`0J72B^MGc%orF)3@nWc}g80G%c#edjeH|#cRI& zcQ|7CZxwa_9pl{iZxmG|79Uj8SN;&kAC77+D=$I~;;666ivrcGRbCXRET{4!plQ>U z7X_MSi%kPfi>$mT(Ck@Ruec!g%x4gmfs=Nqg(@rZd0;(wf ztMZ~iH5Zi^0ToYvRbCXR?6mSCpy}f(FA6m4b=}e)DlbCW^l_CJ0TqXORbCXR=A!Z< zTn|aFQ+ZLK8uc3Q2~<6+yauRtm9NTc0+l^fUISEdb=;(_bCD6#SLHQPR&!i=4Nyg` zaO2f#5C_72RYMhdzyYA6(xS$DP_K3;I2N?BiZOtc8mbd!+|zV4>vi37ZB|}`-*{0B z689c!4H<(3*)>#N9k&Y|)wl@q zxmIu>Y<w~g%<3&K}p|E|j8WfMf;jyDx)@*u{cfgUdm(8B}yvb7^#*1#a zz`$`ZUIbKQX1oZf>NQ@3H(#!$DSVY~)qHIg;ngVE7< z7kA=pbkZJ-*PyKI!FUZ4*>V?wn`2f(&b;v&l$937Yk*1%<267vX2xr9M5oa)UIR4i z^?B3o8ryX1nReH>3gD>b!gvinnrh8>4N$dayauSWFkXYh!>pmMH=tPq=k4mh>4?*R zv#9g$km>w4ie?YYrg1TXtF6Y1wmYss2(__!=DIRogtDsFco9&|kMSa)YRz~N4oju4 z@gksE*2gBjukoV$Grh0zBB0p^oA{vT zQ0Zp82x!*ex@q5a7%#$8x2)IaO;N?8#(OYtiUlB)V_JwOAI6K2QJD4OL6nu%8hjfs zLRpRjf*{U12yZ{>2k@lI%hIdkNtL7YI&b4e_dH3!Ln|w*GXvbbaWw1oc~dOw zZM+DFd-O%P3sY;z8??B|;;6K!@gAHJir3?AiIvs5z}*r@HD<Z5;tL zL$!CqZ4XDKvGE#QBH;HNcQvf6cmjfLhRP;!`N2@x4nlB_s%OS)@G@4mW4s0^Z5{U! ztcJ85<25L&aWGy3RIM4Wxg+Qt-UxRAtlqRf<25L&Q8!)#RIM4W0h+D(yveKM9Nt=! zSH~&3quH9zn^-wcyQA#Yf7214{(DDJ_utWK>%S4M)#wgjQ2NO){~eAv{Wpuc{|-OV`EL}BF-NVoUJ*tT zYU@?622s}5t75J|%@--=3e@IZF;}2IHe8u-Nj5fj&LvPA8!UPD%y<#rREIU7Z2g7+ z)i@e2Le6iEqwyl3S+D7)`*^6=bi>ndwPw2E5x7v(4V@rrya?~Q)td1lfEsn z@gksV&3F-BhG%OwZ+L30KDch&^}}JiZrnwLbFaQr_Xoqd8_K-}X2j-A_XmOcym8kL z)NESON}xV(^pZf$CLi>YKwUR_NuaJ9y(CcAjb0L{>qajL)O915#D~CjBR>S{x{)6O zb=}Ahfx2$whd^C7Mwx+{Zi-z1HQjK{oU>W)_u!G+S;Nu|VY3IlI%-(D1LQI?DgWtVP16?p)<<_%ZYUTHfe z-UIYVOD*vpptuG}Eefx}h8ylp=$bd6I$R(KK7tYOW2pyUHVLO%9HG__c|5mmi~7ZFvxg%<%m zt*r1Oq8hWpi-@YV!i$Jzy=&eBWqb;hXW2B-tk-l?d4biOEbi>v0um?Q9s`cXHw@}kf zud7kkY*M#ZftpS3yp;l~YBs4i*FeoCb<-87>83laK-c#XTIj_zP@A`%caed*Zn866 z5HQ_jXQ0-OO5?(7qBVU(K)AiEMzZjlC@Z#uv5d0rzta)Ze`itm-%}OgF`bfSPW4hYi$p(>rXSrW-z+wEx13qBZW^kO0eUT5q<2 znoZ-X8EYuKC~A=Ry%k)F9S^vRd^B6Y|VAc*(|(>WwSN2>6iE+ zuB_CV$2AOUQQ|#O1Me{)<(I8jy{!gn>s4>7ftpR?x`gWWd1L$=Wo_Pc-xsLqruWi7 zZQk@=8mQ@}_tMw{nr=AeXCIu`9E@J0tm%ehbCosS4xY-Rtm%eyD=KTc9b)DBVV%!x z9_hDAya(fZFkXpzT{rE5ZzbNtvKmR}HOi)nL~GS1aR*2}GhPE!_F%l`b&jO*8ld`G zlz0z<)aUpbuK}txRN_4>tJQ712KR*`QiA^0c>}5%jMo6wTo|tbsuf_o2B=2IcnwhX z!FUZ&jgEMY`)>?%q{&GC&7$VN*~89%qo_vLco7yG_h|Y-l+zl3YIKbk0oB+TF9OQ3 zsrjB`ba|TnsQI3WaujO5XQCX1n(sMK?Q3hk=RoDvYrbcq9P^s*nP}Eq*DGq^IMjU4 zQC6&`=6fb8-HaE(>8M`gML<=r@uJLNh)7OdubIIRXkD*BrA3MNpbt3e3X8-e;^GhC z;o23-KT!ke9zHe*-p*(OjILj zya;8bh4CVwvJ&G(Sj1cvHQzIy-stT*`cQQPs1>R7|=RzK(ncVS?s@}qD0#!@|HxH~{MN(_NW|qx**SrNP4y4}^ z)(Rjht1i5TX!e)$cJ<$M#Pr`;)ctp?yKn!^qUu-SMS*H(fpc-wOc7H&n0HiTQ+QF- zkZZf}BBIi)+z%ou%?d9fnmzM**Y^ge#MX!WS`=OsHE0ijb5}2`{uW*oWqB%u$hPaI z_+icW9A$Zz0%d*P#U<}0-VaVWe9HRK-PUU(5vX;FAlpyDsM z4qEHg+dQOhHQkg+3Fum{QG<9Kk|0|RyvvNTwq9TP1t`2IYDn8Dyojh~zVITVn&ZNY z0!`Z~yoji3D7=WM#;ounq8gpTivrDWNa009vj*2qQQLdrHBnZ(lY2P_BPu^zcn#6) z!}7C%%Jbm}$i`Rf0S7IHYFCM42S@o`K)}AD`eg~E+_ibrZ%6<&-I5U_@M|^5OCsFp zC}#*EIY+4*f^UY(AHwH5%6UTo#ZaB~Af(}_S}VK;V}`4!;i<8SwX$N*HD7a-)wc;- zBrluwx^CivHD7bopgFGjnu*d%Ks{@{I80~HO1uYU@i7%@y1lhq#fLX)aQ_V!=X z8=xBVat;<{wabfN9zJ;nQ8FM@VW^l@&G#H-#jJ2x=w-PEYrbce&3auoah;m)Im&9! zQu93r%A2z2g6qbYp>f=3eb6_g=6hxh>OyYuk7cqN-$=5938Zxo?W! zrLH5XFUzCEd(fKB`qAIIUV*AL<3%@}^<#KVH=XqZwRzL~9iVmI(3~_jUIa8-tMi7d zd(y4MdtQkCDN2Fk(WM(U5&HJme9teS`u0D{Iar`Ml9kusSxK(J%4-7E8mhb|5?pHq zR9*wBuHE^g@ET;rdh&h*jBn{iR5n?7O`wXk;8^dY8&R#o!fOJ}RakgUplLgW*AUfu zTf7FJ6F4(j$>KFa)!I785~^`nyoP9c)WvIrW@{fmD>Q5P=teYWXz?1M*@ur!3RS&} z*9cX;i`NiM@4I-7Q2D6EYlNz`#cPCSy`Om_nlrz6jnJ%@x_$ZYaKypC&!W!1V|{%2 zZxzjcef+G@90ebnWC@EGv8-YYkHw3Gs@}zmgsR@fi-c+v7B33)WEAThOla0?^M3M- z#fw;0Y!vB*PD>Z6aa{2pp{y70pu8-5hDT0@if7;y_^;`JRc25#s@u)vNVd@;$3;_RMTj*%|T4^Lf+C#A7e3SIi0tpd8gWtaC87CQps) z7hYB~w0I56YQ2_x&qA}T>!#IG@-?%pn0Cq6EL1&Pyhf<%UA!hx-L}O|Bhw9+@PnP< z8CumszhDB?bj$c($=9rUvo*6xT$We8rW-y2LT$a_^0`o(H(WC(x_Av+Q~VE)r>wuZ zTGlxh%jUXRyhdo&>$-{Uo~e#WN@L?iC@YPP7Xj4_885=pmTtz2fM(gM8{Xn% zAL@FA8^}IX-GF8vs%}8DwQAFNx1-jK7r~LJHRDA<)td1lyyj7B#*2WeHRDAq6tsqMVY%8+eIH6zA}hSq}8A`h%0f9aqv5S6UgbW?T>l(l(Nb`795Z_2I#)O?Zh5d(GIbT^^odya9y@fCY!ya=dl+ISIA zX<@u5GZH^=@z+L2u`FEtbu?RZ-4wOLGgm9CsMT}Dd)Qx{sXvVuWlrPAbH#gDHf?gn zdxWYF#%nUuF>3I6<0~1Uu3K8F@fzem&U#%peJx79X7)i}i;}NdsJw&m8e~Q0m+)!4 z2B_@Ucnwg^oADZ;nzt42iPjJ#=ghBokI*b@^M=5;%9?Jt*(}uNEni`je9f#^to*s+ zJwoN9oY&+l3_KXF_JANPTXSB6P^VCvH|*Mk+PonoDb#fnr!M)L*)v6G@k2h=2gS%r zzGjuxNa`Hx>c8oTPyhYEfw=SU7}3^$BN)csIxl+Us&HQP$W`II2%$XH;A10RglE&H znRcZ}wd$xW(s>bnTuk-)*rfeCFH#O?=wiMo-znhXwY8Sk>%2%goDl@A`%h$RRv#?h z162KWUZngSsKIno#uuPw(+CYvH;eZmv$JN{;yrkfAd9qk4^Y}($@d&Kg3WK#Tk<^% zWovj6UdI8BR%LD8;9!K7dBdrw)>X;(9QXb6^%>4yR=q%Ve-=k|j%LrwHzdmP3tRF% zvtF@s1o^E7@fXCw9aX)R7olG9kCN|M^>W_ub5B--_KzjsGt0`VaZTCFa)wI2XO`6$ z0GF4otXRojc@27|GY!*Oz?FLN!B`*F+7P`O0g6YWKHSUK1$4 z03}~D>s72}U*}jtvtH8;7lx=szLsJ4(qMFCd#T0 zmDd0jS3!E^sNu_hha;B%R#Ergv6dSD9YwPTX4AM3qka`%6lJB^ItSy3rTtf4gtCfZ zmVD1FtC&d1_bgPSTX_*`&?#=o_pGw&S>;72EB=Bzls39z+9lsJYY>2`kQE3ZLXUS>PQTSfCl%I*c! zzK_c81=PMF%HsvpbWr3{e9c0+HcP%{qWYF*zUGVn4(EEt zPoM;fy8jN%TmOyVn)LOt(YF{+QtF857bNgz)8<{j01>ZIy{tyed69Bm#j8&%tDBr9 z-*f1zTvsS-^PVpZaV5@bkS8hmo>_x@M#=X~RNvx~@0qCBQpxu$RHNg(2%9L5ne!t3 z8tUU!<2~rSb`kh7MC-5OJtf~WThmvli*#P})Xuo%duCaEZ%V#rp_+>t@4*0S zZ&C6+N7=kpi)#n9zsj$KpNF=21GVHh)OZhS5Qlm>FVgQFq6V9{yv>h`h_$sm2P^rW zqi655|H6yF;*=lkQSv?G);H`xJuAE@P@Pb`3NIq6@h$NlqFKY5H=uZoK#fbhhbTGF ztMD44S?|(~Xx6*dTcA1*Ecu#43p@{CYlYVkRcj^QLsaz^UK41}Md39>vtHLN*HDS~ zu&lHw=U7CuHP=mFV_Zf5%x09;p0MO=rf%ZCxWDFQRd3-nQG>qGufl7HY8(o$A*%kC zcu%0((cwoUtTlbPabL;Ntk-oDqb>QGsfAc-$=57YeJH#p`k*rzEQDz7%YTO>CjZW& z?!UtifBWw!Dn?xLJ+lYmzPRpcnko8G@;$4p#=P*Ns5d=S;YCC>HiZ`vO?`dd#h!7O z%laVpT=G4$54sD7%e7us-nYbiqBZRvUxgO|#f4n1l@jkEs_$!w_Ylo`ZQe6dSn@qb z&ys1Ee9uBPW`!3;*<8hi7ZKHJE4+xP#;ounqN=y>qCj&M7hXhEb5VE^(X7{X%P(Q! zMNu}tgoPIo&3bL#GK)*e_snq+(=Pd*iHd2Le9uBT4kh2SP_|a`JqzVHlzh)bwTer= zXQ5fItyg?$!X8S#XO`8vzy<1RXZmF~#QV$_W&AJmJ)cYSp>Q(%^ zn?;5IIB_63d%5_}wHM3qt5b<=?%aWay ze9bCLR$lTo6IB!wKkI8X@ON%7)AoJLT~Fp~cK;34!x5iG5=E>3*6DHUzxAtkXv@b& zr?@5GGkdFeKYm)rdaHPU$@i?X(znEmVty3wKb#jSYxM)qdaYjVVDTfwhH9^hA0c*> z9<}6qW*>BhdN?oAuj!#RpLg-^qnv|9f3?HHb75;u{QGcTgrCx;O*=2z6vM;=UaLV- zd_3TFRF+fD!J-d}Y~o>pl@%K;`JSnt(ZEpEvEX@Bp`#g{e>r=S8{mD*2wH zwcL5(0j}4e)|?mNLV)VEdBe{y3pL$va4*zs@|ml+-0z7#C^l5`J+o)Iic7pF%IYi` zKh|XZ%~f3DJuE9vi1}U&Rkx zSy?gHlCPQbrn?X&U$an+j`JG)cq}z`UZb4G5Bx-ywI=3?pU84l{dHcGJLZzFnSIDF zY>D^8_~sYZc@2)?)H9#A{Fdq*>&t(KBR>6iY_8pZ$NaVa8^>+YR>}9w-fAC?J7%V@ zZoQR!&rvpW+2Gz-EvsK*M7_3NarmUxY~J&IY{~b`dc_(`zGtD?UmF`7x~N|BML1p| z>bxi(I)%n1-V<7ARg`?sY)v~iZ0Jolt(B7RnPtW9aFA?ewMWBGqdA&=Fq=jaBK6F5 zll_-`&umS*G5lh;)hnAW`JP!;tg+;K7OFltFH)vtoCo{7$s%!!#99;Q!@UkixvomS z=V(nCiBYfVmTyaO_o3F1`DJkT!BLG)IR}e+6??-kH(Obqj=h~1At1r=bzX!GzEIOG z^UIWc&(uObx#W8ms*!YF14^uVUAMF|=QRkNu&nbMd;)~JZt|!&t2T|bzc2Zk*)#dW zlCN24wr2B|5ia}!u+^Iu<-F!4e~7dSURL!wufax_S~#zX>&~$Xo!1~?g;3Wm?aX>#F*{ZRA(-OaGZGJL-N@{C7Cw(|<=%_upXwt^dYWMYY;`#fCtrjSc9yP@DIB-HIO!G|kdZ%e`l;w#@m6 zpAodOI+-o`p2NyAH%!U*ER;O%?Yt=SwY;4d>9;cRYeue{m^L1{S|7x;-_DCblc=%t zB9IZGKJVhmct>URiYMb|4;>}%#5325;v>p>ofqK~DAa5kA3LF@8!jjdHQn%05^D2? z&yP@>H~j`DZsVA4_)Ms*>4pyiQRhW@uLWr-O*h@G!LM#Qs{T4J(ywjeN2FXg?N#3% z?}39=A6z%x7efMgYfZb#x5s;M?MF4ZZoCDF=Ug^#a5pFm)OF)d5vc3NtSCTz-gvJC zsO!dGbp`74#(OP5T{qe{yHXqK(I zAwH+pjMo5Fe~s4wRUeGkAeNV9UAMF-#e2T|cR1qo->BfY`0p6!&VS<*l$!b2q@5Zs zLRt0Jco9&Ig7G567*((FBA^-@<3&KFukj+F*;=(}pxK&_OY4E(pqh&k??L_zJR)Qt3NH#Y?Z5D%K+}2) zFA6lRuf%(ZN{ez1Ml}1dbR()h6kZf)T3_KsL{)F$MMR}>iT4myYvmk_XtuWIEztC+ zKH88_{gdbbF@vE%BZxn;y0Bnn2T|7G6VCeJH$!sK%`D8luvz#CrneZCgA`Uf&R+ zS+C6-9$L_T%l#gf&DLBuG6K|V^Y-MoAE?b69yzey!fT>lzLvny(Ql>QLPH(SNU+Ws6nfu7Te^6DkuGkc~LRPsFwl@{e3EVLkd#tE0rn|>h-sOzQ`giBdA zX8Kx`e9vr6wqEi*3zcpq-V=QgS1I|PSyn3mw?eHo@ekbIG*niN`;?Aq)C;eP*3#As zuL(5mx7_a`nl<>miD{R7&FruE2To_L4{5)J*F?Q(za`#7R5Mg~4NzRfx62{oI<6(OOf8!qAqHJelhH@wiWdBfEUmMy#{dX`pQ zcnwkY%y|tiS*ZrINnEEAO5NOlqbj^W6aQwlSNLuP2^@^33e9!Dzt`+A+$b`h!oEN>ssc+7Uuzgfn(+%4^p=Q(AhzPZL z!`48k>84!ENHSu&DXTJ2n>S^x#@W8vG_EzUUgt%)z#!D-4ObO}nx9qHYSinx<*F#> zU>IK<@~Z~dEq#4C2aB?4sm_aVysa8sx3pB}ML4o%*%I%GdeeTL*C>xPelyv1OaEQs zJyAnis`DCUP(}@|TiUPl8XSnKXFhMqRh-x0kTc4be9d8#NFpTEz9EOKy5wtSS$XP` zuURPjQ1Uekr9G5<%|f#erkgUepl8)4@dLs`7L&3cz^M6+J%_T|6B z5tDysQRm;$>bL)9(KsrJn6x2k-Kg@=>h7xrHvF`6gBXa5M^CA-nj(oy78n3 zsO!el8=$TmPj7&_ZamEf>bmhv2dL}D6K14Wa@}~s4AgYf=?zeuHw5oEn}ruaW9;LE znr_IOC)9M)=?&^N-45Og2Wq6fmMy#}`kQuEcu}Cd zcYzvg-t?;jKwY=As1omq8q%UlyoadPVBs}HwT23>36$r~Xw7xwy$igwb=`Q*7{7%4 z;Y+MRt(ABWQMFd$J%RGZJ8E#)=1tkBfZDt%OFU4UH@(LNYV)QO3ZSMN4v{%S zCEkOcz4hJ2{kW=|&Mh|Fn=@2jSX_s6RKC8%d!k-_VQ~q>%8DVDe9cJxx#1{LJ#*a@ zx5ZJL)u3-_$=9qJ)Q7@rqTc*!7G4u*el<(Hhp76Xc#r#U{2TY0KmPlT53Kv|=y&VC z-{O)b-!prw9ahQrOjJ9pdx;lCzw*0Xco9*3w+k;Ks_%A*7ZKIi6kZg;TCq_ib||9@ zRHIOM5zDHz!i$KiwZe;tW@~lck%>oN{=$o*tWHi!zGsXB&}^;FJJ4*c+B8tMR`NZw z260q8Za3Yu%PaYwRhF%RO?g?iR`NZoY_{gQiPe;R&n&CehNm%BuU1>h_pGwnn(L+= zVafN*vf9y=e9uBTZzbQeQ0Z3A!D4*1ic7v{m6dKK-VyM zYOTp7a(sAki64N$dayauQ=HeLfXTdUs?tiQihHm=Tpqo`)WcoERl*T+UXO`K`edDrikAoGUV zw0^$?sEl)gVx_X}pwFy=K$Of`PKOUhiV? zh*Mc>+QB|bya#JgxiN5g)^+2pDxj_#Zk6PqZ&!)HOhE_*Y2(xZ=?eCdCS#eye59$g5yx) zJsZAP`L!@!gJTx0!V>R^vWm;pe9c6)E=s(IC|kqTn4%kM;EKfs6hpNxYQE;ELF=OC zYet5)+%JF?ergC*-WT&)P~5fTyrHg&?urrAe9gFsuit{fk36q=Bbqg=c?(qga~#rH zz1pAS5Gl~B|E43R|7Hc(`-4&cxBq5QT0_nEOq8p*=6fcp-j;Y#pnM^Yl)W}KxNsXp1px_1rE%d! zQG@(9Ho>(luJ)^5)9p}116x8bn|(0d^g&P#cQI5JSX24uMsL+U%ZB>zVansv(T*f<7b7+qE@^|s4Qyn8ll;m z&6~0}V2WKg-n|Cuy6Jn4SbUv%{Vp=hVXe2&IKKdk*RT)T!y;~PHE0iu$A6A$)WvJu ze}@yqBaQIis`m2!l3#)^|E;33hIK!PsJQ;Nc#%+z?&3v4HM)xz3DxK>UPM$pV_UpP zsOECbX(OhnV#)WcvRQ-AyCU5s-!seRmvr$Wq3ZAAMMBlz z#fyZhwZ)49&GVF!?^$TpVDp|Q>LuSZ%PQ7_$X?YLsUr9VSiDGOWjX8Kvrx_a;zdMd zdwQ|UU-T2o8W0V#))YS}`JP!;wo~#w3*|VJe9uB@B_-c8QCSIM4c3|>(k0)s%5uy~ zzGtD?nypu);E2(|kKI_kvYnFenKdZRfjzdD<(QRx&n&C&0w|P~)s8OnJ)cW683Fc& zURL9~;ytQ2TQgsT)DhCcb<^&z!P5#BD_~u9B}APv2J!Yu=E$6$zqL1Lw{BcXS3>5aqwKsKvj@ z*}wgF6xB|%(hV&VQ7ZD}9dlp^#Ho4&sABBH9H@FJqBq3|N2>TlsifhsDF zC)TF1qT-;|j%K~<+s_)ZUb9L4hB)Y~>!zJS$@k1LQ}pgxcumxs^Hz8bQE6OwO`wWL zVDDo6O;25T4a=&(h1UeCC|k+b%$_OAhM!TZ_3HH)eniD|!_P%<-d4OPYS4=?lr@{w zi!c!Q^?i)8I_r5BUPDwG7hXeDbFtz*Y)x_M=Zg0T)w)>m9-?WJh1W#A$utVD2{f&* z@EW4oGuKTK<&v+Nt;wQFzGk7+qU389${E7XImf8G|3-I!TyeDcYXJ#c|Gc}fnD*2j)sx{*^I4xFd#%u8Fz_NAYH9)h5`h5hNHPr7TQ1#b% z4bGdhY+Y|av#jfu9>#bL&Ze_xK5vTG@5XCzVy&{qYk*1%<268KCB|!zDmiN~-IUXN z+l|+ttaLM815~={9P7(}ha*n^&7$tVW1KtxjiRzA<3%{}&fePC;1UsS+ISJp!By6H z5m1ed@gkto%y?1!FuLmXu@TQeT&S)JTz*kmn|DwZp*HWzG>DAAwqEr+BS1|zowhAlGMU@5q_yT>owhQ*+FGZH*`&? z>z3ZZcoC8ZYA%cy0oC{#FGA5QYwHyU>}<_=5z1;Vj2B_6sh$}x0-9xAx3oUvMd+9` zF7Y0CR6KHtK9qdV;h~hN3TW}Oct0WUTk<_4l!Co0=c43$1`00CQ7`$Pg>vRgzGtH1 zv)BWlmKtc@(9e9&u{4Rw9I@-?fhT3ft^sA6O#U$anYv3LzoY*snGC0}!( z_>mHh*^2iFde=C_1|>FX(TU<*@b_HXZ-Tt?17ke$@eT&nyvdmLg|ZMD_$g2W4?G1QALhRzGnmi z;h?1N;zfbtnl9~W-47C)^_H=TvYN}qi&R##ws;ZI9I?fVglc6jUL;f+FJ2@xTPyR9 zKIn^8@;$R`YP`$)lCTJk-stk(A8MM9;;;zdBQgV78vUW6+@xJ;zh z7B3>2zH`NU0);!LMJ-+=RJtu*BsA+S>osb~{ubQ=&Hfgf1e(5R@fy~vyQi7&SxYm@ zshmLmot?nq6wc!DyqgjK^d%i|2`JUMaah;OynW$D<$@eT&eOULNg=)+y zFM@7bS9n5WJrk!c`JP#WICaVQOjNt*lJ8llMt$Ay5h{&Wyho_|TX_+Brgeqzy7f%E zN90g*G;6T+n(^e4@0qP>U6p*#Le<~Oi_iz{2}{0bmesl{`JRQUhRTajgZ6|a-?Pf9 zzboEDR6E6z?^&qEtnwPvtDR!zdp`X)5~jwNrQ~a74Y@8VuR#rpUYC5$Dw{Q!O=k2O z2MTr6kt&p}RbGRiu~6=)lCL@H%}962*DN$^uzAZ!cgfeRvKq4$?-8p0R$hbFw2LVD znpswn?vk%rsA{OZ1~ufmsJtdn^|$hxK-II#YXZ%BUAJ5ABjR7aP}N|(2&npN zya=dfXvKTj2l2R)?^$TpP`@E~f1NeBZen*O-!p5-)wa&Tgk}w{TdphPMR>t2jg1!p zmBz-4fU3X7i}2bzYpC-EG;46(a$Oj&L0R?Jcnwg^knx&(W@S5!*8pV=C0{egLF=OA zYbL67QSvnlWq(V)W})mu$=6I&>*8&^20v+;HTb-Vot1pesv-MZ*BhP#WDP!VS{L{b zl$C|Ds$sozXT4e%C0}!(nU$^NYZjU{*t}&{wvw-zWwkC!zGk87@4DY3lveUyzhgmE zjH~2p7OEPI*WB~uqvUH=S@qX=4a#bU#B09%cR1qo-z@6>JA7d0zwy{YI@EYk{BTqD zz-&6tNJ_qE_Ezhvk1##I`4T#@?Pg)Dy#k)FT&#x^|!`* zpoP9Hc))A*YJc>u@t!D~^_orRc}mIm%s%95tMMMxkgILI2aB?BCDhn>5m5Elco9&I zPL22ce}uhDmMu99Bes>^f%E>e=Dh-sZ1)!Wc;@{ZAme2a3P5*IbghUde7!t+c zC$P%vjC#-Y-1gL?-gCDePY}D|!950%?cSbx)O)TcbX@e`nfKhS$9QGF-aFR8Mdqnr zlohDnBrd`=n|^}CMQH8uN?e4R3q9Vs-vd4LyY;B|Tq8ReHTQe)+k;VazlW{O$@3hn zp3t6p)O)VhgF6z}pu$5xVea?fxd(S-zXz=?vBWiKZHZ;S2Q?yEXA;+-^>~8XtxxDi zz2+M4;i=DatZqHVt9I+CWYlY}-}XDesMp-B{RD|?aOJ7TD{&24d%UvWgX$H>6NHof zU07T|7uot7R%o3u8=lZQ)3~O;7K`7CZ2bjTUSXe{#GzzyQsbKb0xW(z-ycEh9tmTas4E=T;&fAgp6zv)G-|2|H!M!n}6*D>2< zzX&7f(P~_DoNbMI&-H|3wrN~+oNfK5anW(MHR?Ur6OP$-o`ZGkF$T5!G21jQI!?Ss zz2|zuG21jQdem&=rG2q`y#t_i#_FhALiX}1zy6l&MeE!*z;8KTw8|QS>w?{?cCU8; z{8nYF*@jl-bj>!j%KC`wcl})Dbj>y-Z;NcW`c}WyxCocrx>aPub+&F5*>G{KTSYe9 zF6vg1?OE>tEHW^4hU;DZTam3#-B@}+ep{;$T17To&Z;M9T-23qk(e%ad)B-{tIAgM z3au(ztwLy3*=k;(Rb{Jp0JN%X^$vhmm96FlT2;1Mh0v<9)hdKUdbL}{Dri;N>Ky>B zDqGD9w5n{i3ZYeHt9gM|m93%@w5n{!ypa7KRJ>`HXk3FLLS-S1Yo5ov(6|PLYwEWe z*Wdz2x2kN%yqM=$xc_j>i+PU4)@I3>-$PFT&)v@z*)XTNRqO`abgS5{zd(imi`}}8 zE=xO1{`R+~&{}r8_-{JmfBu_4RsYTBTmOwq2Q@B@i*UW5TgC2O$rmFKyLTmDw2Iwd z^=^$;)lIEeXjR=D^Gf3)oUrv6R5!=G(zpoe>-AfWi@G{5v?+G)>bz)G*^YUoaS@9; z()(&$)YWy-sb&Ppj=YX}rE$^gm{+pjg9M|NSoV9++GCLY9$c4hi8U^I9rH@# zqP|PWA{)Y|V_wOA57Lp2F{o_EywbP`3B;uxjf-B#ywbP`Nx}77jf;3`f%?_Bs4K;y zRqWQ4V$mvgdmmm>fUo z@Q1qJ^FHDPjceYAjWw=$A2ybAFw~c7DKxI>TC*%RGHv`mY^-rjSD$r{cdWIu|YG?cVe1rg2f%uw?-qk?ojQ8W(*!`WW?| zYh=f~(zpl**)=kai@M$|C?+vqpCzMJ?ACd6Xca%(m2J@~vUO!!w2IxjvMpLgwytc8 zR++DTFCVSK$-c3KR(SVD%2_#zyWmc+W>(>IpzTa~S&2jommt>ZAVpP;fG^FrgA zzOlqC8+pPpFEp;{^I`l}Wjp4@dC#5J?8>%?yHvJbn{FD{^p~yhTa~S&hf%M&o_ov- zjcb07d7*JlpC#kDD%&wHG_L6{Tj94V+c7URuIVbc7>&wy%nOZc`rB9>x#+i}hf%M& zWIN`C#x=jkywJF&&ys;s**bzp7Rc>RVU%t#G=(xP?}kuYDGcR?!W%+55Swn~utH zx-S|#=9R`ped>%-Pb%9nuQV>|yI3q1CC}|R4ky*x+7fGA)b%X!1eNVrZ!|9IQ)B#A zW$QTZrg2f%zQk|E7yZ}sYSeosBm2~t1*>Gdz21y^&-L4W+a2|uyS22AZF_k_&nukN zNo(%{VKZM_YsDJ%p6j_Cn_-Jze%td3XI$FaPtg6IJ~hTwaFwms8yxwJCm@;sc*3+B zT5F9S^`0>@w6?^O--Fh&@u>G)zpb+fjA7ahRV0rmOxe(SJYmX)&ESgFaU>z*?Kp1K zYp&ipj>AEP{I+AOQLnjv+w)@7Ywp&TSoV8R$+E{QaSf}5bH0sw&Gm$SzZvzKyY+a2 z%GPhIqh53Uw%40cuen>>LK4^Dxs-li|YZ;vO4Y+bn$zZJW8OE5rU5yfZ zOe)(k2PG~#ZsFm#;*0u(8LiXL;tpL~Q{tlIW*vU3vh~_D>OI%UjyWiC5w07xjU_HR zZq~iNL+;095=&fz*5e5x8y4q&g4hkqaJPzV zeNK*YBErc&Cr7Jr5{q3uLE;)*6Kjbju0d-{EO8B5d%P0Y;NY*vD{&24k0+>X$GniZ z<^k8=BU9Oqc_DEPetV2pW$X8TtiO|!D1Fc8j(W}H`n}htQLnjMYrLagbGMenqh52h z_WKRi9qFz2-mq$D>+yt=ZS~*fi2wO-UaD08&AD&=ci*&xWNP=GSMbd;f{x?h7p1jV zG59)dZH;MM)aUHD$0NR|&)Lx`zNl|yqE&U%^9plZdh22V+D0(w)PX`91NEni)CQu{B57JW7l3}>vMLrifm9}@k!$%T(0g`kqv6;R*?+~=vJ{? zpR=Pkk*&|pQM^ZF!zJ5#f}Dfln(Mh8$BlZ;-P#gsT!ZqrH3p4q@M)lK zRoQwK!nYG;3>|Uddx+Y4JV9maRS1`A=Wma5@=>pu<2}yFN4@55ZJlXcgF>t|UX5!| zGPPTUlgBwZzP2Z0IA)8+HOJXEQWxa6{nmqvz1rFmYg}`jljAFM^4ng8M!n{e?U)xG z*IfKJ9q~W^jVr{9|E4t7f1?_5X+h&6l=o5n@QIr~H7qT`(X zA^Sb>6~_}qHk{b?bA{7559(Ht?Ko%0=i{e#uounWj(X3O?Kt}$^`5)6X6C5(+^xqG z#BO-2=;z9OMa0>yVz>TYEykd-^}HJOo~yT>7o*;Dwf4L~Ia`sf=f$Y^+`sKHXk7E^ zHir#Dv`Spm zU%W-D%vTgODvfDe^giaG#zjYV!>IR6OB~q^quz73Hp?_FLOG!BO=Uagpzik^*$t!K zb3M1$rl-b5N5;Za@4t2Rpul`@+&EzwOtX4CGV*B+)t=k zfq+nc+tD3TqO`T2p!+@j)!i3TZsfPU3L$vZ)|OcJdyd=$gp~5zerrOyfVLh_P}zE3 zK_LC@Fkixd#)$+yu#+b z{I=&6LSSuei6y@W?<#F$jf=XPD#oC)^}NESo;d=Z*|ik*)8!qg7-(@)(c? zt50Z&HLf{w3lQ$eZ;yGQaSbjf)_C&QdE!XQsL=7q*JM{WU}oc^|Trg2T*bAMsN z$!~kTf$6oiS)y^xky`-u$ZwB%p>Yi^w3Tcc*ZgA5WNe zN9*x~DH~dkCrsJU+Dw=I9<;X3Brd`m3~O^iij&j0@zizdkp+Ir#(PlpMKiRXoUT?@ zi9+ki>1t*DDzu({wpzQl5f@b}>sR5or)+Gk%7|z^Wy5aU^ov>TsWb#&2s+KsJM9}KOh-Kw=D9`%~xwDH>-*{IiC ztyRYhPf*$FO9E(ByVcj9(5kZ4R}#=FvLQW*mPf?*H#aec{&F5#MYjHO9$G~r1_JL zt<6-7MOy3oF1U4Z%EsR|cSgPDdTxE+1;0Ig34hz%8TFd$w^f}Ezg5`|Ms-|s@!xdB z<-hB(uf=~;@~i)@pO!B|sdtgA-vCfpTU*QXje5^D+Ky^Qz2|N%&xcJAeM0v(_KP@% zj%r4|=Xyd%H7EuuPw01RY?x^4(VN=6BOq*}%-3;-YG84>jVVYHjU|xQMOwH4cnH>~>_) z;IfQZ=$M%!E~+Q=7)D%Ft<8rK7gcMIY{W&?+MFEuJ=NOd9dQj?doN(rdoHc_I}5h7 zWV{`P;lqj2+UG2|u%oTbhY{DXw|?WmH6HzKk9Wj1{OvIdM_f~_t%niUu=SX4;~cA6 zdkiDLr&^nRW51_bkLRjv$9x-c4Ssv%%Zz%>)Y*|QGwL;WYd?3yHT-QyT<;OrRBMlH z#5L7=JVE?y*NQ+eDf11*>U;KCfv}fiS7jxTso>HRz0sy0z!k zxnI<+t=%&&>egoDxnESR#~O0RMcrB~8}**MwKO*BJ$GyMHtIchYxOqjJy&aUdenRF z)}yySyLao++uwX;>yec*>OFVs@r1wm+O5YE{`_pW_IS^@s9SryXIxaR{ceFPWdG)N zw{~ymeowb{Z|8nbw;sKTY)1ymsP|mI?YC%DNt50>YZ-;|wDowd%GM`}quz5pxA&Y- zJVu_-@7CB9*4CEd-0$fpbZ=)|)UB@GQ!$-a6{%y^RQSbTvA9hbV zrbeRu-xL1BO^2TGqu%qIt-TT+^`2{LR%=Uf#x?!h9`6~~RBONAk9y73+I|3szcPkC z0Uh<4>$iQPf&&5hZJ$(PJ5yV0&VSChrk>EV`*X%M-P$}m_j|gvWjptKy0tBI#x>pA z5}$ERwf3CvP66{oA8Am92M)KWAK1zdh#s8P{}c_jblL-P+GR zNR)k@!YbT_-_)9MYR8sgsIcwziTJ)>c6Y6V_c$}BXjz5#zi%P z!)KgvQMVrB5>6la)Hr&W969o-@%b=mJ-ovi7u9nQ?{LON-P+?l z`Fh4h-P%%|aZ$G(&z1R#3w(?-M!o0yZO4bB-gCG1bI<*rZtdruaZ$JSbI-V_T06oV z^`5)+czay@x-}ZP%TvM&bbA^*#5e*xj zDqF9bqh53M*6(AZUURn|&n?;1f1_Kz)c^C}m;CQK^RfQ>$p6MQnvxbdW@v~h~ z4XwiIuBe9AzrC;;ZO>v{S(fMa$<(O#+`nx-j<|?=>`aK?^Bk;x+p~Dod+ye@yT(QR ztsZO~t8Bd%k9yDbgr3E}8W-W?eZ@VEi@MGlo~yF;+vBMB%;z3a`Kb5Yt;ci4&tmJX zdz1N!)ckH0P9GWMquz5px8F2>H7+`G#Yes8{%t>3r@st@t$pES*HlBRa1!aoHC~O2j=b{U zbHAs0>$9!jbHArsTRZ1|Pqp@1I_f=lYimd28l+737}RdPmi}s7gEZ*+t;RK7e+|bL zDqBa-zZ%yZ+2%MV7M&flP~(~-+Z>nR^|w7byu9XaSY?4Hj(s!J61F8=#p{&#hTV>gYz`6TPVk6Le|-g7%GT&#x>nq`-+$f^4s2P`S0BCso(ag z!>HHXt+mfF>NR)kF$R^bnL6q<*Ka$gb<}I_)|U9p@2S>tW+FuIbk9?Tl->we@huHQjpj_9t7n9=(;Hy!dZAV#eS9eyaYP>)FMB*H7D1 zk9yDDdW`mu)7{#mJ>#No?a`ibQMdMJ&$y^s+kgLe#zo!Qy`6DUw;sLy*}Yqj-c&ce zqw(Ll-&4P>uhJu7;g8eZdOTNUYp;&fAF+FT_5aSesGiVX9a%o|+heYraZ&%adpqNz zZtdB2#zocI85SsFBF}xdA2{Qp{%!k#GcM}Zp4(?!)UDmy85dRS;p@-1s9RgVXIxaR zho3$7d%CslcE&~B+7h2}QMVq?RoM>jd*=7>w@CUZPkqKk-FoyUb~|oRjC#-g+wSd* zi@LQrdB#Q6dd%1J9IRWrw==(|TU)j>uIbjJH<7KsuK4=z-0!L1_L*-6_TDwbVJ?+NUI?X`qDO&_$=`^rMdd=`e{pco`Y3il^1}%R5#@h(W<&BABR@e&GUG!>ZUv& zeyh5v>>jkLZtAO;XjR?R_b-vEb=sX$yjnNseosm9>M@*gQMHzr#1mAudMib%%2v5V zXjR!N=Mt?dTlqt@s%*7mgjSWUGS1MdvQ?Ce!wi+JqFf$v{LNxYTwlpX>&fYAZI+#J zQAu3cY51+mR@rH2RoN=aMXSix@hnBZ9#7hz<*3j}W~vh|ms(JHbb zX{Vkr;vznwvUBiTv0Lx=pjBk+{T{T6Y+XkHtt#8$9nSq8>I|PK?J=lqm9v8fQwb?h~8nzzuV#GDo%JmVck!rV!ztO68tN0tOD%)@S z`VrUgx%Kr`{8nWbE`K5!bM_Vilxks@*EuN2}Pa_hry3oJ4|g$u{B|Joi~E zEPh+EssF~GQ7`MyfAgmre-mT<_p?@LJXLhlyFF+XyW`4kk4tp(tOy>z6;3~EXA|kD z!f9M7ttX7Qh>~IGwB?A4*m`h!#6{KGRvU3qwf4wHTvV++2haT;GV*z>EF&&r>%sJM zzo&kCtQO~fPq#8!LQ<{DcJP11MfKd4?cDFFCmj4g_j|gvWgBr3pHNw<=uJ5NJXV$w z7xA~pYH{xO)N?Bz6;DvR9dqT}@9E!`Y@^Wxk>^FKv9&xTdQB zpjBkUm974*$c9@$-72!-8dkT8Y+VHa&lTCa3IJL~He74!C#Y-{l_O1CW$XBG)N7`N za8;?Epm9xC%f}N`w#wQ>tIAeyt7ui(o-MJ)HMr!{y{T*+v*Dv+Gb-uI`grb;4Y5Pr zRCpu9>-;V9qz=o^xQ3~bt(_Uyu(kXS(qQKk5SG`-W?X~c)>#jF8?v$Wc*3w7TYFy2 zxCX6^S{9oJm^Hyv@u-~Chd-|W@+Z~nB$HRB?-_8gpX5nFq-GcH1FM--#p z^Dknhz6XU={$Y2v9?w!oN*C<+jg6A5nGSvPEH@+>%-yigP%}A+ z7sa;xjBD_OV+PE)hOOP(jBD81=IT;XJYOB&-<+4^mD z)N8Ki_S@>H*W9hO%7e#Zi(Xm4O>He}9`%|R|BcB6NBl<;gdf9yV>*|6J{$bWDUj@UvNq+!i;81m3#;6y?{^qdyM zB0>Sf`ROruB@dXf?y1_Ia4rEuj@W)m)n0F8ki|gN@DEOHoH`alRfF>eQwy2LK-IpV zTIMWv$eupk0~ozH&;<` z0MSamr_6`4k_Tlz(9?yUHhiF!Y)=(|dzs2g9+dfjdGWAww!(McQE42CRL1=BArLE((+ z5hEHftB`qvMRgPwR{H{FPd7RbjbyN@}>$fOt4!Zy9sUV(-1!+`-K=9weIjfI2}>r4!C zrV~ac>%`DFVQey21p{LSj7-&ufpK`7_-dTm-pFe6m@NmqK_drB)3DHWXzI16G=;H4 ztDOKV4l$@@>H3K*D2w`jA`8l*9+O!Bi{gybjV-bO7G*^Uqqax_PK1CFcfG3mjWF5t z6IoEI_G8=9;Enu%OFw;dW=%@-Sb6$j&0=VtfQdAqXTy<%8Cqlkt%D341BoOk>v&8g zL1`LiKBk|@g2MQI_AJ2CX!?mPDC_usA`8ko7E=qFwJ21L?=+jC)!JksRCT~a5>RbO z>vH`>78J&hEz+PcINZ3Z8hRO9WOI^I)j^&}1FAY;A`Pf&ySG|Ls#(<@6IoCyuPYO5qPiz&6)vDHzo#gy7SCenav!;!ohT4X^n)MFwGN(DGzH~mBw5c5RJ zg26-fp!2GOI`#ge z0g4*X#D7~Ocg!Gz5gN>+3BHfujMPAc1}nsp4UNMWObFjI{Q*ej5dKLc7{0*xeuM=R zQ|~VxBPJ!UDnI9n)fPSUPneuRM&W(AP!Pok9DjgNdmX+r>FpD~75kq*rW< z5%Ww8y1}(KH?n1po-c5mqb!tXq!H`PZVH11&|t(mD+c-P4kOl?m|o;vKdY-g<>^J< zVq}iaepr(GiS&T0Jgcid`=Q&#!e}5eMQ1nVc0DGtpxmx~@vU?oOSZ!Jezr6~*v&9L0aVS80~l3D5^y2}Oe6u-#xncYe)PD0nzS%>Y?W(e z)m9j!$e4a24XA1_51esu?^JcbL>f@l?k%=c->o>MYLAI5C>6Mn<)_l-Z9j!UwwzI0 zq(Q08k1f)m)MhbyUO#C-wYk^6&epf$l-fKdvY=Fe1>>rM%4oCw6b6Z}1`}COYV%`@ zfkCOwVq5078C|K?ANjBas6Zg)#k^h=k*gqwFOM1 z0o8^i2s50e)G*^)K?1z@2~+Q_7M#B@&iWfGck1rWpdj+hnhJ(`^B9?@6GOf6>jp*#kq4z~6Hnmd zcQQ|`ph zJSOs>^yV><2cfQdX{_B}q( zYUWQ}6|_DC9~V!U$OA@Hp`XYDe%&%)G7k`f1We`uwp%<#Q>!KqO4m51x%wSFnimXp z?J`o`Jtq2tCw1*Hkq6w`3Oa~9C>@ydIOrhqpmg9dnFr*X z#pvPuv}x(UW48Xl?QP*JGLZ-58_E$FzG*_$99#KbkBK}e-|I1%2aKycCi8%6md8XM zlnzWx4!uPllny*5@}P8JFesIg*9GdpVZtV7_S*=Q^VBp_Z><);!?vtoEMQ3X^od63Lh0hLS^q%K=PC6fnUifJ!D0%8Z~)T#$`SnGuvJfHET}QvhW~P^JLNj3}89w_RlF zDwKIXJv}HhqGa+Qao-m^g`P4aN+y&rF*0RFl$|^%Goobjpv;J}lLuu+luYL41U?9u z8BsEMP-aBQWI^i5uXggF%!rc7oD3e7KR4X-pv;Jp$%8T@%1$06jCdms{`np z9us*`@_0<-0kf0Lx$>*a!e()BG3hD}^f@BpskRcwVjmgH6zn#|RB3hA*K3BQ%&8Zh{7k@IWwl5f2#QfnX3^2aNDwVyHKND58h>g5l;d z{=4w4zqs)?P3MBemGObX9R?%znHYM*fD!vl4A=UH$y`3Za$M+-l znV6EtW5hlaQ}UQJWXL1)bYe;#kCAygF(r@3$UL2xlE-2+H+Eu59_Qlw+a=jP9us*` z@_3BQ(>b=1$73Q7N*;v9S6-{Xp8b@4JSOs>dywM>-e7OU){wB_!KM)L+@OH6Se=^5|sD%I$k03o!4FM!n z5D@hcK*9w9l}s*NM!l%0ypqX-gbmVD$>c#o2LY8#9wdAaP{{;kTqmEN=nJT1@*wdH z0xFq2NL+(}N+u7=j3}AhYjl66Xu~}Z%8V$PJSa1wWb&ZQh>{5#2gXjB5oIS2%8V$P zEJ)AxuXggF%m~Va6DT8-p6*LelqrBRBPde|dJ$%8T@N+u85`-qnZWk!@t?i}c&zI+Bm#NaawS3UFx zXaD@l#MBh@7_rjC)C}|(G1A1eQ*mQcKRz)fk30G358Y;YJVq=u`zd)mMhrADC6C9* zjGdUWsXLVUfE^>anV5DbCLsD~X4b?MOMO2wT_>g{p2tKUU@gbzAq?G?MA{J-mkQj; zN`Lh?niStTHT`JWt0_*Yz+)l}+V|LgA`MC&cR=z%P`)#%$3z;GJRT!%d+MO%@t8=1 zlE)p6^jD6veLN<*gObN$)Ma1f@fh*g6I1fIgOLvwnVo$+Ci0-<@tDYivX93^9+W&L z;r-QLb* z246yvPgS{%_IY|t=SuV_VJj=gObN#`per^Z)G2ki9EoP z^{}sNILip`pj3c*=NFUqC%x^^N(CMh-9f3qV9M{48|`%KIotEQ`WhknF96N67qr?H8BCI%lo_Lz_6 z(Fz7>?N$dY=r(vTF?F=)jvqeiuLVP0yCYeAi!k+5dUJOrKAJ~6`zgJd3cfFV-7xi2 zdh?jbgD3T7!^HaJjpZBVaV0P?wk;3vk;^OJKAK89bwGJM22L;7l=2{5)bykNdiFye z;3F^&BmP=2;K9osC4A~LJy~z&&iCtsSN$LldGIpD0)g4`Ajq@jLFgy?gVGypbnzh{ zlvkkUN+vR~=~b)f`*tz^&W7>=pD@ zbIz28LUFRlgVLMFWFBzN`LRVFl-|4!G7li?+f;*vJi-GWr^*@(*L>AA20iq)Vq86` z*9TjS10%b)h~uB8ED$itgPB^-*x&AO;jClyGh<6YTwzyqBQ#hc$c8i;5Ee`bBczYV z2n!~L5t6&bfuRWtE*SfQj-_vCVAV7}wdmv#7R-JaS=uC`j~?b<`f-Q2A5FfR{V*C0 z{Rj&t1{Qt8!ClRh&+=)WfQc-ic>*TVfNBevNCT=MU?L5u0=s)(AK&_07pK-r1?~vr zqj&Nrrc_`t81rD;#FPp=Mts4Ro<1|wP9m^@^itwO+Bd>8+RC&C;Y|%=MG~&1%iH*1>zA(S_h<@q~e|fkV>)Tu<$@O!lHlI^Z5(LrAEE=t0pVP zeRT(U-D;nXm3qD6SHkbu#Jeb)*>=;Y6Ld#V7eH#E3F0S314vCIAh?SFQX5SWKhP0C zVx;>7l_K1c2lo5-itr$vuCu37ga_$-6%ZBSLF%_Bs1)Hrdq%kL&fy$j&xiopGa`WY zj0m7TBLZk)M7`|y)Zm8i6RZ4nYApV{cL@ICKYZBSVHQG+j*5DCS2P_hgczWjgC4XH zVt|Tz9<&f*f=E3NS_m;fMLiE%2r)s>c+j2_qF%=TIWW9HxVzUpADa$rV&JR;M(Hpx z3^hGQz4(1%aAF)V%7%e?!tVx*l3`%_CCVH-;=1xy2kw{-S9KGnbl@?{gfX@Ub>Px# zP!}=vQ#x=*AgI-sFr41_nz@-odTcNDp-nv|^MLZW^cvKQieuwTu9Jr~zIGgS$1`s{ zC}7Gy9us*`_HjpHZ#}l3dZVuIHnP=Y`-$P$0w(f+V{>0{dA;@6e)hw;aJMNedTcKk z%44HpJ+_~ilE-48%w!+RV|U5)*naj?y2j?gWpQ}p6e{&YU3<)y2X}WX`mM+IGB)zs zeD31K@14!DVIlZ;yor}{zd?dGvH-~&Bw8|M?)T(wbX6ET^P6te8!Q;+1zoM9hxT)5 z?8hfeX~mR@!J@!31=Ed^U<{2A7yG^S$bR<1-S2?WHDPqa-S2=|I6x)#n$6wsfLTaz zVz~PqFuEp;vGJ43oF*5wy%t|RgJuR+PrG0(&U%6vSOt(8NI(dz0!XLn1TnA*Ahpp1 zF~VdGQ=oHL8S-}QZosNitr$vtrJv=@F1P86I62Yq3L2Yq3L2Yq3L2Yq3LOQC*MV0#{HUKrs)Ul`#*Ul`#* zUl`#*dq&vOFE6YNJ4OW1o)H1GXG8$)84*BxM%bD$uR1Wvj0m7TBLZm8hydC%B7nXy z!kv$@g!`5e9`uC~9`uC~9`uC~9`uC~cEfWy`Com6-&$Rc{wFAJ;x1?Z0*afs%i;e7 z#ZBDj^8MHedY~ts^k3dJ__V|b{w;vAofyo&U6$Xo_8;>k^q`F|r^*9pGjpj3J3K7J zTSa(Kp1Gw6?DVH#d3!uPb9xm1J)ePM(LePM(V5f-G{$b5E_8CAkY+aswz6f=g}yWfxJ3z=`cudlQ6yZVHMU*1k)-pd|uvLTyePM(LWfxJ3@Srb@@Sr^-Y=sY|%(=5qjD)B7pXc2%s;Fa5Y7!(ybyq z=nEq}=nEq}=nEq}=nEs}G9#jG`_6VTWPG?W_5dpN?=>*YP z2GDA!6GUfeE7CreEZukAei@2zZ{ZKjm#rc^XtmQhyi$Y(U3~coDn)n@e>7h;PEaYr zZ4$7aeF7CDJm?D}Jm?D}Jm?D}Jm?D}T-xaa1?{(r@Srb@@Srb@u%L@CKaE?A@Srb@ zaL4rgMB`Qw9`uC~9`uC~9`uC~9<*nKP0{3i^PM6BXwQfM+B3p}o|jYtWkdk&8F9k` zaSgGT#IMy^2GE`n0kmgC0PPtOKwlW)?gu`X?5~R=Jm?D}Jm?D}EC`EnA}oEx&4a!$ z!d2FJB3Ms7an-na&=*E{&=*E{&=*E{(8>r-{|*W8+Wv-E*O{^8fvvCZA9ve_pMz25 zogGnm0kjh01ThHnpiL$LV~+q@332vB76s5shyh|cySv5p#F~{9!GPuM%6C7J#FjwR zUI49xIEPmos=lX82x>3%#3#=n?Cgo!3!u!1QiLmd@x;zS>ZufAL7Pm1QiKO(MwB8v zXu}99!h^mr!XNK^VT1>LVT1!caBQtAr(%xOLNLO70+H`pfE9>}LfZOZk@x;z|=hUl?JB zcS$5TWhzB@&=*Fybj}9?{A8zMga>_Lga>_Lga>_Lga>_LgiGgqU~6`(2oL(=BRuE} zBP{5WNU$)%gT64rrE@+mNnvMC#Rw1j!Uzxg!Uzxg!UzxAGs32G^3Z?BhydC%B7pXc z@Ssa3!D%P@hydC%!k*OJH@>{?yt^oeR7u*STedIM_oa~6^sszj0A)MHO@jN*m#0mF zzWO#d2~_2NcpG0{if}K~_obfKMG+p9eRm`nVU!6AbpUNPzHfpMR?E` zMtIN{MtIN{MtIN{M!3{W7Lwj7!h^o}2oL(g2oL(g2nTv>G6{+iE;X|Y!1)-qriKT7 zVT1>LVT1>LVT1?m8R3s=kZ`hNL;&p>VL`Xmm)C9BbmOt-gGMcvRzFy7&nV zk!gS!g$2-JrvW03o*3d~Kl-+maC{FCX%s+=Hh>m8O%N5~hqu^ifJzY_w9MQA zq9W`*EYo$8%BctsT7CKGiHZoI#g|VI6cIpsMg-8F5q8J-wv+)|84*BxMg-8F5dpMk zL;!tZgxiO`At_jPRf@ zjPRf@jPRg6BkVrxT|et}U#Er#t*HcqMr1?)?HLh3dqxD%o)La;c})u&GLaDhv}Z&B z?HLh3dqxD%7e=^S0Cz;WU(^_l+I&vdh7jeAj;Oo86efZ~4iZO!l8SBmhU#ZIHAQiR*PWtqy<6S>E_px5_E#1;ue?gh{nM);og z6F2mx(-%g#z1!QGN-$(9MR?E`MtIN{ zMtIN{MtIN{M!3D(+ge{{RfGktsRRR5jPRf@jPRf@jPRf@jBtCmw>6bu$W)B*pf8N@ zpf8N@pf8N@pgkk}E;069(j=rJJZMcN7}_Hv0%*^O0NOJmfcA{A_YH1qeVHLsDZ<@1 zxFI_uX|ELFL3>7o;q4g_KwlW)t`6MR0yC>3END$77@$&w2Yq3L2Yq3L2Yq3LyE<_D z>?2EC-ui}DgDoR`PhS|}L0=f*L0=eQ&v!mwe1rr2tSP?m-T!VsaXvlV_TJB$7B)bn zQ2;G=njn}XfEGIq5QC2ZTI@7HbyVYa>VDR=umLJXc+g^}0V+j!&|;?vq9QD4_2mOp zitwOi<_-`QVR!0&))e0Xq9OulnYjZ*MFh~E5dpMkL;&p>VR!0&))e0%Qz-(D=km(> zgWVc&ECW=E@Sr^-{P0#^K6)Y}0_Y1P+)mxkT3}}MREqGRFO2Y@FO2Y@FO2Y@FN|=d zH-6RvGpiyz=nEq}=nEq(XiX&;!z)I3&=*Fy(i=Z(D#7Tf7~w%*7~w%*7~w%*7~w&C zM%dCDKWkdpqKE+6Ga`WYjPRf}m0%2yj0m8G5hF;1OSB>E&stw*V7SuQz1yGVdt&Q3 zR{$-97(LO!2GBx?3Br;aKno!Th{_9~O}NLJ3GP+n2jBdWMPu|-JF2dd!OxdW0(;-! z_9c@bfHEO!YuOF&w$_&!GEsY>r^Q7KP$|M)9k_kTB(OyZZp*jDCih6A0LqMr*CB3e zeVHLsDZXfxT~VgRqHvr3l;8T9jbOREqGR{VQP@-WNu=s{^+$nFQfb zXyYTu2-DN^zvZ)#^W&Y=o-2t8(B74M&=*%8K+DV>{-5*7l|+61xBBt{;^H1ai=76j z6oG%Q!7_SUeE9^yEr*^KI}H%$QvfY9cYsO}W~UCrTYUKdl_ET7nYja0itwN>j4&X4 zb!?frqo-1Y2kjYQcj|stUp{)GA_8d7hydC%B7pXc2%tS9{6f9@@>LObb;ql@c-R3V zBSKGmMtIQb%STUSL;!tZgxjh60k@J&#Rw1j!Uzxg!Uzxg!Uzxg!U(rh_p_!F43(E6 zJm?D}Jm?D}END$77{e<@c+eL{*p0+Bm0jPRf@jPRf@jPRf@jKF+b7q!<9 zp0u4B9`uC~9`uC~7PO`k3_BGgJZR4dn<)PC*!ze8+A|`6_KXOiJtG2W&j_0+{sY&$ zV?+S$84*BxMtIPgO0X~@fW9!oC5r#7sRW}Z`UsoH{)5lEChc+g44^NJ@WcDU2oL(g z2$v}SvsRc{72!c&7~w%*7-2zcD!~{YeFQ57UH#r#m0*AvV!NZ7=YN_O_9|QVHGvNG$`@Srb@@Srb@@Srb@u%J!;KrzB(dO!ceYjO&`YHE1U7e;u{7e;u{ z7e;u{7e<)$a46!7kMN)`jPRf@jPRf@jIf|h{s0-_GQFSwtz~7FsS!YXMg-8F5dpMk zL;&p>;WE9Uh&>|$XwQfM+A|`6_KfhLP5wYJ!lZ}eFk|l{TzWV_Ul`$g`oahg`oahg z%8cL%_|Ns@s1PX=qLgQ{!vV^Kpo6uCI=|}5XM9b}(`4*Df7O#u4CA(d5hDo(VOzk6 zjV6XsTfm5kCWeZ8n#?xHBNm#N(t*c_g(jwS;4xw#!B7VtBNKLFN(UYz^L1jVg9j@0 zT;6|v)qzh8br3KzTPKD(2$(Go0%psDfZ6ikVKV2Qzc9jxP^LVk1CQDAAoR24LBMQz z5HNclm{hjsue$Av4m@Vh1CQDBz+?72@R&Uh@V0xM3;KD;iw-FJn)!3 z4@@TC^H(zjE;{g-Jr6u)&jXLy^T1>FJTOUo(81mxc+8#$9<%3x$Lx9FF602dy3 z%$^4xv*&@w?0MiYAFb9n<*D35_oDUDRIZ7s-DHo6_F+uUA+*6eM$!=#SEV;kMp#2;4yKYmJU27&r=>Ncubt9r2~)I z^5BJ#QwIUFFJn)!34@^4Q^EaRImkvB;&jXLy^T1>FJn)!3 z4@@Q*bg<`v#pE;o;(^EPdEha79(c^22k=){pQr_2E}4Q4UVf^lyPpVeC{ z2I)qoAI-&jEh=!|*?xZNM}PTS7mUl8`)Dfd#Bi3nVCSRG8Xp?sZ2Fg>`Gl^WdEmy! zG`)gAldH1VJglXsH~45kN`!TIZ^YjQBviNz@9nZqKkBhBpqJZ<{iw^ng53GkXU)S} z6!AiO(p9Flj>`&iJM1*x&Q7??;Chnf`yvz0klw zD(ytThE|ve)Z5$6Qmwx=bwIs&Og_7(-U24lp!R<4*fim4j;;1RJtp#?bbz5>`KDif znf;UwJSOviI`EjtgVKS=WF9c1+++4UaM@!Yz21M(fyLyr`{IGe?0MiZ3`a-QNE3H7 zS3K~TJrDfZN%RNB1CQDBz+v86ZtDd*{>g*j<&A$|IQrJgiGo4Ku*WR!di2Bd+Bddr zul;DQ?Cj@(VF!%bXY})=JiZ^b&%{t3k5T&!Ov&?Omf$y>^5o9Ilsq1z^K@WJ9*@y^ zIx&>TV|1Pl4CS$F<9qSf14DT{22LXAfbs;4&ePG)6Y>O1G-0ApRtFg=(SDNX>F7kXpkTJFU;~%|h$L%h7$qA{NKHnhX4Bm)y z=g4kQsu`KE;I}q@zU>Yn(QOQE#k}lmvld?Yt-YeJ{m7bd!PwVKJ-1&mbTC&PAV?K? zDBd|X##II*ELi(_qP~{F2n$xs&29@}$90Tt+7!&W+gBgFLk-9z8wrYS4>vTS!HRjg z8)>}Cy6MN3ar!LPR_eBFtNMO_`_fMz%)-#m1NA&ZKjI87^1$L(^XRet#MIb4MrbfG zrE9y9y%bs*Iw*O3KSF~`KklgVqsR6WLwW3R;770KPYlNvFnb=LRD#j9EDGy6gI!2I z<WLW(2^Sx`W%*vxV#k7o zn9AO3daJjdYbnDpx7qtvXFZoVK<+rdx+vg{OEEzh2ColyI`dXvJ-cCKK*C-7QC~eV zjC3Br8H^fdDjExOz=*G&{m?i8vrN)+{pCiy*W2o>PfY0mhZaVj#aW-2(t$e$>T{KI z@~k@W7@edOLmhZbcC@!1*Yvx-%ACRVbhYwV)V@ZVjqu*JfJ-MLi<%7J+q(vP@bF1mU`=%{lrk7 zfQdZd>Ju=+gT;k#ugr)eG?)-h(|{3oFfmly2LX!dM`$oHR9nD^JD3=%&13YmUNBHw zzz7W{hKsh}b%Q@1Q*L6Y1N)Ns)}#7~p$-B@XfQFBkU9Yqc~J6TAN*=lJ*J;y zD|tL7@}T7Ln9KvpV=;P0KgU+`xVN&m9??%s$>TAR2PKck$g(i|Df@U#5-gVXB^+g_SrHJxaCDvJ^8OiZ)dc*H*Ivx{7_ z+V&&Xnf)}YEk?{Em}0fXh ziFL6eu4@e!Cm-#|kXfP>fX~0Apl-i(%E8UhNR*OS)U!F(KWu^hu=KF~>D7ASV>}ddB zew9a0=Pxwym^}?VCeomE?J|{LdOUv_o5w^R6h%E|&jYvpOx^~*)%5Y0Jr6u4@}Tr) zU7}`Ft$IVn55ogJpPv}&Enp%KFioF0Jh;+r`B2K3KAffj6TJad@N}0hxx8%56EKkm zr2^kiqyeXC=qJ*kBjmsmjSxNJXO$q2+@*1*F>yeKP{Fl8OzkFME6YdpgAV_SUnz?5}tKPZP6gX+Tv6Or!x-9Wapw zRJGL`zGfBWp$^=3`b&$T%n3)j1x%zt>A?3BX;3=wn8*WC2mf8e2|b*j;uLi}Cewgw z^O#73Qk%zQ8jw036KPOtvlt9IOogJ3$3$;{pWmGiWsX_src~`Qkp(qNJtnfCRP8a* z8x%u5Ceol(?JOd)#t5Km2>C+GTdU;EacP)>YBPMlWOr49I2j*w>LI zlWGjDENaC;W9X+W>M@Z8Wl@jGETG@@n8<>%sK-PWz@j&oZ17qWsW{Rj-;qn4eT&oj zC#!kvH$iwMJEr8MdtS`M!-^o~K zI_d*mU6&p`oL@11#je-gan?a^fA+&N1*RWe62==dUb5|Nx98%jD^@zYc{&w5HS3i@ z*Wb_(ZU&56XJD%2gYQSIGyCBXLO(i5M?c(`cp{VMqP8cz*JSm;RGO%JDSa+!uMj%oAn^7+JDsKTq6x4;bOW#N2WC`gFGhpX#mK3!U@y z;WGEQt2E@98#HjDwv5LUZe;_pvj$x1wk6R}s+XMQ( z>TNljUokEYWf2F_HUHUUtA1Qi`CJmI)_z=V8g3D8`nkKyI?oCt&vkJ`l@R~wN;N#| zI!lCD>p(4YWTO{`)%McKb2*wH7{+n)-q82FEM_^HAN`bdJSMV$DzI}$%bZNI0rEg-a5d<1GCxMfxf3vv1T<*CWD;QR1WY6W ztrIYj1+-4UL>ACG0TWq3RlCHi=W;MVR851zjbE*Ul)S)c_TuuZSv@E~%Ao#WBszjJ zsK;ay(4Za@Nl*rLn8)I%=h6$$VRMTg$Pk)3m>4Ya9c^(}uG2oHH{Va>!IOIP7@ekLY}CQq6+L2@ z88}KE1We=sbr3L_2jEV?WF9~V0TX!uN#E|x_n|dTrg``R!xAVL$NN}(^-wjsdEs@y zK%zG&>)4?!&U$oHn)aB;06`H zOVzH>;bS?KA1bJcU@^<7{KRk~cub^0na5+c&LH%YX+ZNJ596xq|7YVEj&2;p-p)c!C)313=HM*n1u%?rpD%W!X8T! z)sUyg<}lCYV18maHji0&u#C<5L}on+-%d=8&13i@Yah;qm&YtT;8Z_iUhX-%oxSB? zer!GS^~%kjr{0>MyU4Y}?bhEXhAYyXBP$G+=L>WA0m^`>9ecN!K9_s*L!3Ibu$bjs zeqy*Q?lB7sPI>C2!(r~Lw;n8jMQw$GyMFs`Zf;@$=4802?Y`tt4N`Lf4w%I}=g{ax z17n8jHS3}o?`)mfhyPM&~S%rh`hb-?6FiUl}e_+UFpX&#q|+Tp5&lzBX6 zS+h@Z$~+zueRY|~Vd@i8P>Zv;90azMAH7{XCW=sxcL49vk&%S6$|D zd9^%t4lF41_bjkp^WRkI6Kkc|0c40Oq;7&o)2ckT>QD znCJ{>9*2Zil?+;Y&1{6L>kaM0TXFJ^8`$$0n8J05NS|4aNo*cHuO#h z9usL$I`EjtgVKS=L>`cCZf~5WlY%((1_2XkP;~SCL>iQ;Jtoqi=;kq*2Be$EL>iQ; zJtoqij=zzwbO|fz!Go@0o|`+1Vja@}p?Lx(vVi8Xg&H60$-H`lfQdAqc>*TVfHNUr zA`NJsfXOs~H}HC^(#uEDOzFU5G7o4| zkBK}eo4Sf&_l>*eob#B-gObN%G7l(^$3z}bo);3*t~Omy=!Z=qPrzh<03Q-C(I1eb z0kd5fUanB^eaWR-md1dIJfM97Ci;Vt$B!-Y0821F;p)s2X}~3zcPNcXBMmsUUoO#{ zQEhTnqz-Z`ul-=RCt*k(kI6Kksy!yspj7QK(H+!Oa0ecDMBGUp>cAaD+>u?g!$99g zZwn1L)W4*d7iNQjL>kbf%se%iNCT<>pSm=d^@Lu%!PC89+?RB!G2=LULO+=XkUwA| z4N4wlDqeN49?>uIAhpk6A`MC&-%q3gDQa`z@5{ycp|_I9)gZlNUM0HWPs}Pe@TBE9guG}qJYoa$%8|#t2|4clcBeg$MwS`J?V%4>?y~)(L5Nt^mAKM zUlFmPP zrs=>y)m{g9STIlNz$Jd(R&PD}p$af4!Z@_0<-0k7rQv!a`RG>SJS{!P;-#{xAlzv#83x3@V)AKJ)|EP=pbOW?jT^c?!fbHsY*3y zO&u`qyvnm4(hqs41CQDAAYisU2$(Go?uZnPJkcGL4qVB~TP2Rzsa-t4Zn)`(it-rp zz+?72@R%(RZm_W#+twf4aTI&O@Pd4jhdl6@Jr6u)&jXK%JfKbO9rZiH$BjHU`{KN& zRE@a+n+8ng0hW@0i9BFV)yM8TtvOX=Y_QMU?hj+bC+Gl6*3GSQw6j1s(lZgwU3z zl>xI*;KcBSBw!X-Ffe#w448!i0|VD#F+X())w?!$N}JI9Q_8g%hAp-h?N4PrTMXT9 zz%2F|(*RR6U>5rf45nznEcQ7uAT-O3UP%436c8M%FQdJHSA+*6Hz;}B zLV82S)Xt_JlX*aSJSOviHuadu15Aadjm6g^`qQK}72Nf;+j>TSVoGi9oalxZwVm2L zW={i;+0(#dA`Q4x_wtxX1M1-E&b@A0=|r{-FcktO(*V>7m`DRo1&>+J=uey0ZY4HA zFDuqA)Qn-PSYu zp=HrUBik!~85|1S_UL4t=l!zEDu%LY<#ArHV8Cz@Vsy$E@!9#9)=T z0pHK+txw1e#txXpJSXPPp9414DUyKTGg7Fi1BK^6*EtpVFJ#x&Og_O2E`7klhKv&+4tm*r)@KS*Gd0PzN3p zc>r_TFP{7$;11#x-LSuQHR)QJ>Kt0B%{i){HUIU*6y4m0;Lqx;2ZnTW8^b@Vvpz9J zH;>_uEDcz0F7y*=Ks|e1ug>}$8}-Hn(5tT3nkOfwzH04KHGaU`BoF9jQz(A0wUjU% zo9`!jYb-uDJkf}=cmr9l?3UmUjvZxW3UN{YPd=aL68G_#g#>3eT;c*|A;F1ZWZ*HI z19~iR0kimm(GQlmfLTZ|Fo+NXW+B0efkEFc#peh82kTJP0TW3;RR;{mGUn(xG^#pa zmL*|epz45$G~i2Fz+@Ug)okp-n{mu~R07CbpsP^$L*L>82)JtoqC z+hIYTNP|+f?3j{%VTAZ1)Mw{vt@xx9Qav2Lozl&O4Tmm;%E5`$-tDV-O18VKAf+~ zV=BVXmG7BxVv&DZ+zfx(cWi;XyKA6+}gNkW5$s zl}x5G4P?rUD49GcGoobjpbaA^lLuu+luYJT12SbsluQ<+?pw51GI>yD1m8AzgZ?@R zH5pcVqD-tiVnCS@lqrBRBPdeB9HU?L4TSpz2WpyY9RRzK_U{i%bJ$73Q7N*<5NJfJ)t6M0bbxEuCA*fx+C ziA5fZfj1ABlE-5r56V6s6M0bc)JD;3uGJ||=>V_dSB|d9Rwt%x>M_wDlny*5^ME$> zn9KvtQ_Ke=&z=X^j5nA)4=iRqzd!X>I`Ei14?HIFplk~BUFBKt*q>u7n|e(22PKck zWFF9_9us*$dHkUZqQWreD38P7x{AzGU4-dK?V_a)TR|n!bwd|DiG>sdn!XH80TP!j zpw`26Jpo8;B%oG=1&NUaM9cD9xGRIulUPYXWhM&}GYP0c#YVFgq& zS&({e1yLpsk|`^olF9h)$A6k8E1;6egEAvZCJ)Mt;L`H)pv(xaEuOHNekC%MB8&rv zA~GXN5gwEoQHt=O4I`)s3sN6`mB~2d$A6lHC7_bYgEl^blhA`UjG#;&lo?TWGENyX zWk!@t9<=chl*xlKBZ{ydlo>&pp5}BPGG#_kCJ)l%eK9V%7eLuZP^JLNjNl}Entap8 ze|p3(!z)FYya0eQBT5k-lo?Tq@SqJNs0a_rj3}7^ysl4L=t>k(GI>yDM9E}9deSdF zm2o{NGooZNXZ?@=G&4(jDw#ZJ<0D8|56X-vnLH>nqGYmLta#Fh+^#ZtP-aBg$%C?w zD49GcGXfhZ50fr}D&9G;xD}5u#FrZ9_;*bYz=s*s6 zP^Lra+k-M4m|*cRnUPRt~wN6Zt z!Nm{1>ceM0r8kce3r$R^&11wsf*}(;M(iUPs@m!eCqKihP7HOxe_zeBrfZ#gqYeT_ zrt9p7ItUm(c%*fl{ctt}j7-+q52$lD$$^jG^#fIBH>8fosOO&Dl-gW$^1C|jv!7C% z9h-XY*-xp>V=@h>Hjjxkpfe2^aocljRJB|1e%ExZQw61JkKvCj3rf`<6IoEI_89Tl zQ=C$@#i+v;3{~wh*&9&R9uvI*Rc(rJK7P@EP+O_mVQ>{V+7D+V_K!y8%O0 z2TXJZRCU0JH;~8@dVAY7LCdKKhKhUJMNaFf2#A{dSdwZ%6#+2;+@99{YSNa1pl^3n z2^$F)1Vn`gkdQ$^kjaB~$NgZpSNZ0+pW1UJW*@(LS6_xl?Y&{(YYeBC^%X?znIb9Z zNgRWKN_!q8o?7cZ{-Mx4`OQ!9NFQ^6c*@E9@C#83yJAFzMLCcz=&1DC@X9w~zYn z*-u%=VW8fNF)Ivrq(^aN*PyhTF2en0Vb~C zw2rH^3ru7ItrIYj1+-4UL|;Jb1WaTB)#i>8K5Kr~8Do~(JSLK$)aEgf1f@2Qi7Y6! zIn1w~_s_{wYV(*#1JdS+cmIq3_|?n8<=swZ~*% zK!$ouq(Q0LV|Cqyq!Tf;Fg`vEBI&?5GJc0HY_0vitCMh}*~3*FpsxN^hp!gXjk zhzyuJ{&YvmpTUTE=Fq4D)*Uq%G0(*Co>;($c?1Ks1&qv6!62*+dXrf?G1LLeHye3m zmQGCRz+C2peYP~XS&aJX-@5L9I4W)kIaO;4)`XA-A8S%fkwJrrp$hD7)kl5x1>=^4 z4{9ZZeyBEgOZ)NB^sCtqsS_|l1Hphg0V6aJ45$-yEi{-I>g|nPz$)Y#nK`UhtlL!OD@ zg<}s98cYbyV>4JjdQv|z6o+5HzM52z>Mt0(DCklBit*kcAJwzO?%Q39`=FN8P}{Q3 z2Z*p>g}5N>gV&nS3qymq#|Q~#Hw+B|Mo2I*3=INCSTHdR4FX1-fnf63#2E+%QCR3l z)`W>c6!wPdu4F^wPSx-s1`|n8s`mXv7L=+zCeol(?J83VJSNhh)aEgf24rZ^L8Jk7@G{jdA!nokbr8;AG7Ufh zi+O8S)m*Ob7}v{f^RsTqu+PLW(g_%`&%|)~4H&VHU=Zm9jMzsoi1zH3J9hd&ebN(PsSqE>1*9nJ*1x%?L`%wlHSx^{n zQenYV0i6NMKwbM$XFV}Bc|2z83_?Gd1~jSfC)0o?wf51I`6*B7z znjX!s%t3CAfkag+Kct4FYCMgMiu7!0T;K19u6I#iWO>A2+;I{X6iv<4Evps*P(&Sk9eyWUt<$fLl)hLe^?-Ix4yg) zgAcRLkzwg`g{;tvFkyw@we(_AzL1ArxLUqFMrbg*;cDqI`NW<9L%@hznEi0I3>e{n zU=U;kOymHArhpNjF#Dl(+!p&A?r*1Rm+ZmJ@_|`-<6@b&CRfdom31sV5lfD4CZ??8 zF_8sj9gEQe``J&OY^XT{r1{qK`c(s=n=K3c_Cr3eCktNg zBG`L#UJpMNFk2P`Ok@GA6Lhd;f%_5)%oABqITTw^~8P-jSj>fn!MCkYs`W$!{*hz)LSbEfldI4ffR(D2JSXoR{EDF zSP6&`pH0Vksl&d4T>95bbF2i!F!7CD!RzqUWebR*Zve^4tsrPGfW&DFs1)IDWWO}e z>Iwq?jZ89O1yqV~>)lKJwtz|z9<*Tu72!eRxwR+K$b!^&3y97ev*W44#Ew>jT# zE9-@Q_%Uq-RA%x$WkQt3Jtz~RH10v-z-4&cCJ2higy5y701_82J<;dk>X*@Y<^whU z!fUz#Wj;{j9;8lOMn{eN;c42HfT-~R%7mcC11J-M8V{gM2x{DX%;@pLWJz=_jpJPO zs&OoH2~--#iKPK$K9t5iC=;SI?m?LlrEv?=Gkvu!HEy-1wNeCBim6W^!*8FFoIvo{Fy?lnGI0@}LbNz}JUe+4YEDhDSv_U1=;MCd6q zf>Q&<$K3F;iy+HwS+UJqn|{Js-m0G9S>9-~QJFe-VhT z=r=o8vH%2Bm6`$uyvP^$LCZ^{Po=y<|8cumlnn9{V%%%PhILn{`z7rdt)@y~ur(;gF9!1es@G0_`v z*gs~#GCTLB0N(Y!h7tBjf_a~-w;4zT~r2~(N-T>6O**!4K#9&fV=VrIS z^k{#IL$w7=bOuyg7+aiDf0VB>pVtDA<-qd^P@xEZFY4C^I}EgMi2ed!B!h5b+nTEVDf{n zhC`%@DI=M&;e$B|LL0r^%7E{%Bn)*BFk+%PG^!wA#6lB8KN2uvAi-cl1dP~cVyHHk z(uNP%CKZ(0uw{3l0W0|j>y+AjKamBcHjjxcD785ZzAc!>M)zxVz-)#f57p+bfIKy) zc51rR<}r~4r8XSbUR3?eE}Jo*`)2gxsp(jAY^7?qmGsnntcfXAdrYK3soG;A4aynV ztpKf|GRIaruo!jOg261c*%43m*b_q?1Wfb>)Iq>R9)NBymodmIIDw(0TfjtTK)MA? z^ai9(z+@UwZC;*C1FFqqqBo%0T>0y#9`H|#mfGx2fS&J9OsUNsH*ljhn1>9tB|4v) zkv01%Rl7p6PpzRcF~v}ii8LsNdQ7ANXKB!Nq(SMx70-NP(>>{pbb~3b9#LzkTy$VD zdaN%P(#>Obi^9_dV!T`z#39|DE+&6!O4b}2>GpIrN1mFJH8E5*FPUG}rX^Ga164m= z{g5ZJ4}(0UTfjsbkZu7JX+XLKT}N+FI>33#RR?;Sf6;;aCg4*K^CzZs;4zU0r2~)I zEef{W&U#=<2OgvLnHcK8V|11d3|M`4&YHKQf=O@CPQXMO z+|kd&?esntXFY~is>Wh+rOx84Cx)u_m`nrG&0}=@u}N2h>5pY`)s+YMt#`VS-w!sJwE*SKC#ViN)V{CAvH@Fc45gN>H=&rf9 zVlYC3iJ>?FBQ%(p7xrQTMrg3yePUc?hNj-25=+JnKv&v>51MRSG1$g3{irvn?8VWK zJIO+23+;!R9~hSsfm#C-^Qv%mVB8@a>lsgZR*daObE|j@c8=|hP2j5zumdsrk)3YP z;AVA=E#`q)&kWsBI16M9$PD4p%g4Plvk>+M;uWAIm{&)!#QR-)tQDafM!W%|BpCgW zhXErbm>S^wmB-{mdcI!;jQ9fS2k)2xBP5s@dNvy&>ZSY%;X7HtL=sTd0TWq3RR>I@ z0aYC^kp@(?#oV)}e!@+&hSp`i@#g%b+wksm)x70oFlwHG;p!VOGDl@41>*xo?KApe zgcvYtpNT;L5HM<=iJ{)S4%9va0~Ng7PSAaE)dNCR2aL|rfhkqHYFzi#Ra3fl?|dhH zdrYK3soG;A4H%4vej*J>(U)5i_}S1v(b9oC^0_b0dg!fm;4zs7q?^a=XmEEc>3#W- z;22t|&3ChO=|C)-j|H3RUTJbi`9Pubx7;bPo@EA z9WXl@@FvC8i7uz}L!9yk9;5PT}h#7)KOKlz# zSx{`lhR4-B%kliGHjmk{z<%`g4yPByDT4ZLA`9q1UJmnEPUnX><#(Oh9?R+cz?9lt zh4sgBJU=kSHus@eeqC~>1CNO`C>?lAqygB5ZysD(@K{dghd5-Ly=?W+aw#*?q1pl_ z(tv7vx(geR<#c|Gjnwg&<#c{xK%Ice&H(K7@@P8M)IrJPD*A9kc0+@w$82d3Fp&l| zHn(PTb9Fa1kBQ!Z6y^Ej#p|&8Ug)RB=1M}b8d|^<4=iRmpI>?4F_8zH3*qP@E()9r zlvv6!b4SBw>+r+SLvI(1J0IX~*9P;#pD*&gZG<<+fBc# zx1PP+;P?V2vjAESn8*Si0x<8=Xh3G^9NQCxQG7q@tp$VK>VSzXpxRvJQI;MFnl81u zEw{Tm>p8R=)#kP;@0v?BF{L(aYh2Zaw2CmcQk%z!v!4BMlmFr6i8QFOx#Xj}I&0~N zCiR#|gX+iHN1gShACHMNsD4~Uo4Y1cUHb7DS+pmH+_49YcRin<7>+Gqwmb-!Ee`@F z@_>c=nBjTlC|1A0(fgDCJQpAD4%YMe1>>%x-PiN^fx%9@y~1|KiBlLGZwdyC_=71A zGpX#C4Y|`1`k~$)E+yu^WK&JOQ6Bdmb6*eWPaTvz5cg`I^??4wlstZH!h@-Uk_T(8 z>4y*g`^cDJD37c6a>r?4z|`1mKTwQdIMn7F&o1oxtLO7wRpg|ot#)_U0#~mQht*VY zpEkTB?Pm_Hq&xfZ)2EJwPPGjTV*_^-!~0CLn=v#*m3MdG)2|?BFA8}he!SWf&&j>K zT`h*Tx$T89a=7{n>oLEUw_}hwljiv$%qR0nY+PIWRO`rw~?ec-ThO z?!E!<{0B&>+8%aj9hCW6TB`P#$O68;_(K(hC1Gr(YTr+!L2U~=3{OKxKkNDT%U!g+ zsi&S8Mr|*r>zjIN!C=Rp2gsM%b5l<}F^t>-W?8X^r^c&Dz=(ZjKP8X53~^gZ5n{{z z-<0FcB$nJW@`!zAKP8XFsHYYTFkF*uwNp&A>A^}xzZcXV6XkC zyPo~<72EftwW}tka$BGx(@*pV#U0qyV8mU|eo6=KZRw`&dSXfk9us*`I`Ek24@w@q zVHkNb4`@@DdvsHOeUZmwG7o4|i_ru6*$=YZSOxVej-Jm?NbkB?Or!y)&%<4zxM|6g z*$-81_Zn_^O9@kf)CrhO1JE;IA`M8LfQdBV^a;Ao?tnUQnTR*dr4qeS2Nt6T^b^DB z6EJaEC>{8I_B?P^0r=(ZWK-$@FKJhf!UzdN9eB*12OhKMfyYE16tCS2<4sTKr+tdo z9+TYxZE7)kLO=T{n|e&-0pH3#Hhj~soXCqQF#P1j)uu~66?FSAL}EWLywDnq@Zi#q zADi&tg29`K=|_05Vpw{`V1x%3jLY%4tw;2#16~R={Rj`%e(Yut>pzV7vtpRmb?pZe zYhdOkTJyl1F5N0GaD-JH>iKrgkBXaq7Jr}^o5Ok2Gx~LG{K%r|M|g0-xcfvuOG4Gi zmt|V4t$OmK{+i!8`lkb4CiNKg*Mh-YXuybl=BsAe$AvpTn)|w9-Y!G^C;MxL6z&k~ zC%bDvKG4X;IzO6DH9Zv}jyOLbnWPiKz$IX0l1>Z*mw?HOHs(mc$RwTpZ~^w1y|WG& zA;Ij2K}f*FMVqR28_+-Mt7kW*YFFRjN3*FWrc~`Q%N#xZA=kx^$3z;Gsy!ysfa_xD zC(@u)?fZ!|px)j%AimB7_0_B10w&UcdJC9H1L`ec7GHhZhk6SbS+l1+)LXzr9)P0u z;>pi?Kz|OcR1J4+R2^wRit>}51`}yes`i*jgHpA_+|~p7Q=U?_$3z;Gs@=x@Z9Skr z`zd+cC7;`RK!0LN9*>DMD0w_4(xAp>cPQ2)`eke$6M0Z$^B6vOggR$Gq$u8GF4Vbc zKGmEFMNx}cPw3BXilQD9X;5nOn7udfn7udfm`DSv4aB;tZ9SnsRZwd4n7AmE+T2Om zO+RTe%~NXgm>mo3ZPA-%Q_XH_^4M zwdQg~Z+bjG$42G^Ok@F>V=+J2SsY{Qgy{1vj+r?Z7W`l-PZ;b5-d%O?A9dBUAJ#4l z{fMic7{2w~Tv3&uI(_+b)q=s;ZqT=pN9;2(9GmY)ef7j}Y_^8@54ImfZ&c&ZwS8B@ zbl+fXp5%|FQ{6F>XFoO-;s+sZ>IW}{0kiPn?B@ZB1dPnnIkqRJNx&>TIQwCV^?;Fi zD*fP~HDDGVoc+8IIeCnF>)8)^@NhMWe$cqnwZ}vrl&(ES*6ca9(zVA#9+a*Y`9;OY<5Ur$Ww+G5mSPfY3BV!b9Z=VIm!JK!q*D!FMP1+V`RS{D@M-Wcf2iw#$^HO7Bw(UH zpsqbe59y~4sB5nS&8M1}(g8LZuR72}`iUtWcueF0`F8i1$b-^>$3%Zn^VD75`oVjb z*p&b5wUK*u?rrvG$*8If{1f6b)PL&W;V(MqJ4q+R_aY>C*V@{UJ2D4YLG)^z<%E90 za68#x#2KvpJZ!L2bs+x4xnOKSp&uo=U|jy<&vHV)%H!@w{b)wjr5{)B^G7qPRtyW- z8NG=!xL|BBswebw3m#-+K7#2+Xs|+DcIl6v&;M51(@8d$SNNmn^DD$1U;Qj!BpF8# z+=IBo+*e`rczz1QFXr6cg^(XTo}ZXH9`P7;1Y>M%+WLg zc0DG;i@`sKbH@wW6Me0E14icPePEdT95Bm*efCq82Yo+_qaGL>U4?!YM?Em`9RZ{E z8S>CkzrY`(1GUek)V0S%9+a*t>hBwO&`1JM7|HZ@Rp4(wpks*7+G{^Sz(Y^`0A5I-KV)H&OMeD7XICvJy14ZR)e9PJ?VcBu2GKiGbLO*tNp zc9440m-e>~cs}3#Tk37EbGC!f+g|5v2cfsU&e0B1Z+o4y9cayM_c5inqaCCUmiw|g z(>dEg=wPpNwu8{YUgvBFVLN-BqaDN#QeBtt3(0fz2WdM?Hc8bv+Cl1VuXDD8(A!?; zYzLvYz0T1N(suSbXFCXgy+)~cK#%nk`@%9m%8_!kgV6Owr#N$+s?O04e&S?huXD76 zJXu-lTwdckRUV$1E8i5zd?>&+$EG@%pGT`B6MZaKVpIjw)2qap*h6PROE;LFUgx4u zw!IGBb*~eLa*rhxbb0aT{!mA&ewT+=UwfTY+ujeRr`M6%?n``AL&GZVRq~8ctNUAR z%uR(l66eqlR%x#j;%qm0HMYO3VEc&-Rr%8j4t0)JkSY+r{Z;{;br&Z#-}_z%i?r8C z73_7eNPC@_(e2yk#b5jDRbn9=>ilg5nxRDn@YTJaRKZ^7Z!6gA{AC64OPb5j{QdBgH+qLE53O? z4;4f|d!3^hr0MK+j%JW*+w1&o2AkJ;kIPhT$4(tAxgn*uqc;f0yZtD(>Kx4=b+CpJ zN4k?Xw$#C1=MOvBU#lo{@6isD@hX`2ZEsR0zW(B$pWve+o=X*&L5~baH}~b3Ohbbi zeAQW1pvDeEi+`z40@f6j_-Bg4SJo7j_-9~2B;I! z@wJXz@9xWFW0s%Z@t7g^z#$C-s>FgAa%XhIod($darY|gVgn2=V%A< zLp}$2j&_i`-upS)LF#%vCDGU2U%KAvyvB8^jLUmz-}Sw=r7pR=#&xPz=SLS6AIqtn z>TrH~9eu1kwk_*LcO9q8ziub`xvw8(bl1I3B+opIjq}s`xhlKdp$_M#)rp@=JJjL) z^g40>chwu+b(bgSXL+NopD5uvZT+O)q}DH=_~PZ)-cQz9Qe>(huG8L+=JdYTIod(Y z&!G<2Y3nEE=TL|1wAG3EIn+7Y!A* z*ZIQ^^q^=v2Y!uH`X-P0&h`*QsV5Z2?Oi*g<5d|M+Whu`T%c zVtT6niJ`BbEti54mBS21mFPuCKfNb7ZG#b$){FZ9%8V8PZkI>u6iLi&1>3 zEjF=3onYqoEg<&HE&AUTmwxXvj=c_bI6ZA_se`>AuF_sd3AztD;QX{YDbKDquF_T~ z<=N|SnYKD9&t8X{cB_+PTOLv9=Wn=7Tb&%+T4#9cRwu`{*E!mOK1kw+lD|!7IP2C; znCMN;VySa9gCCjbq0Z3^G_=e1J^$1n{;=wsPSTd4{b>bm2PMO?0`Tu4f`g zJ4h4NUjJ=7*r=h*f-f7`)c=V%8xr}}RG)*CNW z^_?W=bgy%?1Fib&lK)9R)b*zsY>z@z=T9>@)H%9?P{H<)ME(3}28TL-n!!Fc@!Eq+ z%&{#GWAuy4f11IepFhpux^dCxIHPYS8fI{)b2Nik+WNiG#oTzGs+(IZ?f8B6ZxhwT z{iV0%(T??m=&z6*In+i}TP9pX?FoRwv zPZjn$Fay+yQ-!q-59xcIJXP51zzk4Fu6OMxKJ&W{{Dto*P1=r@{@0p&&|g55)GOuimb~D477C{gnNoXuB~DZCDTlXL z!g57VIlOIOeb8^CQVwsgbhd~b-d>4Iw#$^m+d=~IV*r2J-d^c!5jniQ5-j48N1e9U z^e7t0x9N?alWLAQ>O5CIdv@OODYX2Sl72%L*3kDnRe7#k-r03WmF*z#sfX-M)xDS4 zjSqET2&kjo_)rIS(CdUdI@Ey~^g3arhdQu=UMGy;P-hrHsHB{hcJMfmSvDbKFAqZOn)d!3^dq&#aK9@qEz$+0aj{dJ|~=nHaed!3^l_};UB95^@(yMY4&4cxs4Yx%y@2slT>Df%^=jaUJiN6o(}zl+75M&W)NyS)H$1h zOmvq=x77|~OL?{n7|(H7KbG8~pFhpuQ0Hg{IkxRG#Z$^T$dkI>>cl&kR_BW;KSTz< z4DLa9jY?_QdnL>eDg{%1Y$P#U%b&yBE5T)>rySm136q3MIXtbtMG=@KR7$U~SHd)* zQVwsgg!PI_IlPULMHbROwYOHH<3>+8yuA{ZEGp&jmR}mUJjO+<{_T|7+bf+dB8RtE zI$J~}z}$DHX9-I$K04Vy|?zh+vz$BIv`v zCilLivA#UUjjK7ihe~H35i%Vroh>3%zWx5OhIh7zbe4*PeJ^)jyMiKeczdP4En>a5 z_@FCCPdU8pvSWOeoy;hQw^uq_L~3ti91kAoe-Cf3boLQByuH%dB64_gao_W#5XDIm zslC0@*&=dyd!@6FkQ=}0Mawd}vq6M2x@`|b9=wDFjfXQjR5}|(DsRafe2L#1{8M>r zC7$eKc&WU-(jNvPXSB=2!+rD=GQ|%tf2*8Vu}~=t;!x>tgE&+=8$=FoNs_2vhCEwD z4sWk?-ZVnxYjUFpFJwUxIlSeUQ#8E4E#kIT;we9R%Hi#m&K8lw+pc>&cqt1#rS|qp zXBUyf+bf+dB8Rv9l&rEwpDiMXw^uq_L=JDSbhe0aELYt(`(7G6?T7Zlv0PW%)2q~z zk4Qyqq1gC2g;S+e#9rxa5vhp1(s|Pema{8@NB&Sm$aLM#cJBH^lc~qoqt0Eyqc4r$ z>B@VZP{W}PJbAAZS~%3fB=tHuw(UOcoqoL6$+7KqFh#vij%}}l8R~V?essL_tv5RH zUMJ0GuY(oa>!kJUb+BN2ogCYieCAFM-s|Mp_BvRuy-tp8uXD5m(T(ep2vI{mnnAJ+ zMKHcqP3PT*mSkhEb2Nigo0h}U&(RE0ZF?Pf?mo6u+g|5v20=7-dHyzo?J387UeOBi zK4;47^N;(yqV=hh^6dQ_%^-EX{3P+^PM_WNmbzZ+&}H{JIkvsd-*&L&X^cb0gu|3@mO9w$9PJ==u-7^IgX9#u z4vuz^@@$v#BgS#iLCUk&Ir@W?XRmX#gB+Uz!(aaTF^~B_bDCq@>m2<-j%}~=w;e3m z>Gd0yM}LrG+w1&o2Ya2P9faP*8#lcj?I6Z>sB^S~(A%NT(GGHKYh*z=CH}CtEd%`J zF^~E`c93IR`{7wX__espWAg`I%>NGEP$wMEW8+9ZaXwVi7tNs(ECD@fbeHWq%40mv z?;*}m_frq?zn9Q>o!={kEgUMr7BIR{_@NSvftAF14tjt!pi;=Ro(Dy4!Dd1;*LM6` z>z5vGblbg7T;Dy^;Uwh~p}NQ-^EuSPa_#*@{_8^>EZ1H~pU^+sx!{dnyH|;n>xVj= zpe9bFC_mKU{Ioi$wry;8dhI^8NV&fD6EF7Q1jc>-?-bpx3tJ|6CwkF9Y85mf=4K+!@*N0^vOm($96a_vv@u(1Nd$EY~&gK%d>m zrYhTyk;)|D4Q7=sLEBxI)!Xd!D&Dr1LyP;!ew9irP3Nw))`1oDe$rX5W8;Z`uM_*v zW3K~m(CftZbEpGvfI7MRZ1V89zt_?1M3Cisk@9}lGy*+&*y>Y)=jD^M2Nc z^2F!Nn!V>f?`M7LgH55=NqP1<;0C=;j%~~Eapwttuajfj>m0p7j%}}VbO$-MEvs8RRzB!D z$F|q`+YZ(`JlyX(h_S5+U++BI?{#8qhdO6>AQy6|bF_mT+m;{n&V&80vF&w^{vhV` zzSn^reEFU2vA|u89p*Ir&V9>Db?2demnZyAxTWtkr#~F}NxdmK$9Ekb>GytePWL)T ze~^0H>zwUC+rq8`p6B^ z-XQ+BEyHl6@;KB9;vb)2-~G^E_kM!-AL?L!P)FZOhdNlNy-pDSgFKj@UMKaoJ<`9? zU-vqxgS`&dX`7$a!LDnp)80>f{2b(gzwUKXp3NV`dB9(Dy4In;?){`Z+xxiqeTqXr zDbHT#Xb1WRzHP50Bm3i_n^eJeAuSR(9O~p!*!#gU?J7t!*mBt3bh+rzPpWON^S2r7 zb&h6`OJSGiXa=FT>lRVG(Opj+9O|6SK|+g4*HrrW0gKu-ZE}lO*2r;@Y{40qHo>wp`{t@ zbzlZ_Xj_7|_^p6bH{qxDZjRm{Jjfb4h@XNv^b?kIsB^S}u$)7kvlYmL>~(lr4^>MC zhdQu=UPtzRQCHtH%ES6zCDpbamE3r_YR>3(Z{;=~=r?0aP47cHT0v@huXD75Fwlbv zj#dzU>QLus1>vhNE1OXM;Dfbkb`ED3$M1xkot1#_sD94Q_Sq8|#rw$e%l7vuM(b@$ zGj>%B?^H*Zjt_M>JFTCv&+T$z-0nMc^P`WbLmf^|>qZC5av#eHR_x-3ej?+Ze(C6Y z?OcbWZvEW!X!KBrOSIRK+75L{+jFgAdWjCAob-#uftVmkjKkM*1IO~jUWDl3Sy+6Dw}J& z4o}^B`OzuBbFahwy4Q*0>AlXdg3r+6`tZv5BY)IEoST$$=x12Lrypr8UK}lo9!Bt~ zl0)0xCB*ft!_cCe?H=%TIO?u7X?m~2OI4R%Ck|rPe(0%toe<|xXZV7T3PRI|I!7xA z73lwOO^@FZ?Baw9_Bux+_{p=VCAb;UyTk0H3bq_K*Ks=E#+EAB$9A-W9Gl$1qJ!ZI zKB~^KZFM4)a*)TTrOP4FZJy4@4FB^5%Gmrpw3`CVhi+m<*Vv3`Nw3lvn5wK9tZqD- z@7yqZS#);e$^5Lc5_cZV_bS109(x@+f+kGR$wM8QK&umU@=%8+(CP%8-0SdQzRMGI z@=%A{LF*^zVrH-Gf2H{H%xClneS$hI#}mtJjI_n*y|k4Aa$^h?Qc8K z(7)$L?B`%RDbHT#Xa_l`d!4f##GGzNWH%nocU@~KtPy_w5-Y@Myfx@t+^_#qLBt)p z3R<0b8+xe2>FIS8i#*if^t3v8C2G4l5g#>&v86XyHj(S_)vcSTvOP+>4qx4>#MjuN z4i{;w6JHgFI$Wf!PJE3W>Tr>^I`M_D*BQRL)sYG=I-&YD0^Xszv?}pYap>l31R^ts zI!7Z2o8HnDT!*V}LyOtDi3u;_aEZ1$IXhb*M`Rs)wg;bwX|H&&^%6jUC1oYCF_9T0yAoQ0M3hLI;OBf11H< z%MBL4v-6icd!0Yc;Ly*}3{sxGpQ9b*{K)lwFNJX^-{v&uXRmYK5@LS#I!8N5dGXY$i@_Bv-X2-Df?9L*q2XRmW~2Pw~%x9mD{qfB}BI!8N5SGCtU+d;Uhz0T1N zv=r9U%PX%?&84u{(Z|gnW^mo^%ts3GL!Iy-hdO_n!J*EdW^kzUrx{$ut-qIoz`x|# z>-=d3hkpJvgF~I88H8D1x1V~s%BKF3XRV{g4t2t;_kPByd|N)TOb>OAc98O{Y-gOx zw|>&zwmKK)w0h_?`8V1W5$2VPUYOFV*NM;ELmkWy>gX!rp$_H;brdMv>(E{II`Od- zmjk}+`a*Y&Iy%od)WQ7pI`N}n@$6&i2kW%gNnP)CuuglO)b(Bm>lAfD*LxkTQ`C{J z4?4g)?R7#2E39^kKulv}-b`EvUb`W~o`#IV{{Bl~vjhC?<{XytD z{MAzDXa_%`>+L2?ILkkDz4t?Z-Srl_KGZqdLFoEW=V%9EqlY?2J4n54x2Tl%>!gFw z+jaZGP-3W4ov_iBBPkuysZQ!`AKTFmQg3^mqaCE));c_#?{gY9y34~`RJ~5=ep$dm5XjZ+jiDb`2KtOXs-XLvnDXVoX_SZ&U)^r z-<-UJcl}%AJoz1kwYEKPp>0)aM_|KKXlf;%8x#O4|Om-z0O5fK@W8>J-tq< zdL0|Rb+41E-s`|yqfV%LuX8kmNMv!SgJs(Lk*a@mW9V!3{P50euM(<0)Hzx~sQOUn zXa%9_LmjNrKDJQxq0Z3^!s{IB9L*q9z1N|)?qds8E9UX7YC7v)B~`sWxA>v6?sZbt zTY&FJWB$W|tVuEAVlV1^HWG=mCc*w0zaS_h{`FHiJNwX%R*|!=fVT}K0T>}z0RM`;AgKhoB^h1{W+xzuTtSNK?{4$-*e&le6JH~ zJM=T0!DncpwnLp^2A?{iwnLp^2Ca@3_My%&gH}hTbEq?%!KaQ^!ecw0zVLv)t1TAx zq0X>^PdB0JL!F})gsKm9hBNr|6RJMcIXZ)6Zo3YSW)ME~(9h8fLe+{7eEHD$iR*)( zN>y*E1TQ1aNek|!s`q}5R**!16Ft$|nUgu~Asp`GX(F{`6d!3^hgsQjn78iZx zAH+#j?{$t=kgDG69IYT#z1KOKL8^MMb9M%DAO{^B%^+31_M?wG=q<$aP1y*7Ty(bb zZPt7?4-XPCo$XP>Wu!T2X6-o8wtSS-2xI$rgRHY%r@HWn9%EDNWNG-(*|dATBnZ>O?A$wa)a`pMJCy;*H|h^!)HTRo~`+^f`8@Ggj%Rn~TC^ zhdN_=K6Tra(b z^>$PAhxe)a^rWiSex|ej^pmRI>kMc8sgtVS>m1GC7OLLs9L*rS&Y{lH3_{ggZlxcc z3?F7RRlNopc%AA?)!W6_A6|Uzbu_f=_8#VkDNcHooSnU!voDB+u+};I>YSau&e;lL zc2-qSU;VM1w9qXu{xf~`r%vi%uXD75w9viI(F{@tdz~1}>I`B^x6Cj<^LYLvPFUNa z&T&f!wH@jl%^=ja*O|xjpRtA74t36EpoM*?b9M$YokN|YGYC~{2mao!nBkcW- z=V%3~>b=g<3R2a3o#U2}s^04y%^)oFpo60sgb&^8%+vXg8HB2J`1!4BUZ(m|^C_kNCMkgDG69L*qz=wq*QG=n78`UGC)XPCi<@M=mQ z+5x|-%(Hn|L7dz#RgS(uyg)Zw?`9OtL>{l{<)rNPy9=(06( zuSQ~24Su+h{BG*-HQUZ_qYk@mCzJhj`FOc>m~bootVY60JM58U>f8puY9vF-S9Lk0 zd_COdkn&{>=0`(o`|Y;#yP(5vTXv5DzTI}dmguG3w##w|Nbxk_y0E>`R^=b;s5j>|Kor4pZ}l# zE54qdw;qh*4xq9%I_OET=Qnq?Ht35-mm61yJ-WO!)?v4ucMUr1w(~kchpmvj-qql1 zwLSE7twx5PuGI*vLDw*A+(XJ*@Ot9fOiHEQb{@jFk<^0Ege48G1+VLoXyop3i!%|f zwy_uKd4~rmwuI7;YGg=R3qCIzwUN}y&O9FtepcH<%3APw#@tJL(()X+!yb1Y6*st6 zwwM&g{i;TWl(mHOP`5YYNy|Gn4X(ASJ1l7XU2TuKtR_m7vesZP zl556e8*KZ&l0DsiyrEsmo&`8ES$#wbT z)o{&NWb5)}eP_I}!__6%<&PK1mF!7z3wLSu^tA(|BmcJpL}Bg#?WcOM&^pB-27FFkUsMuwEN zD9OK6+TxIZ-E{7isv`dqY@LvQ3AS;Qe+jO(xw4hx#BI~&^$NuBn9F;$J?8RWZ4W8E z{awkw6is*AgMaB)?z79mzjXiHFfCT$F2nR+y54PgNLfob-vG2Jt|hF`|Lz+v-sd9i z2jOaaNLfpGuqWM>&5;cDbn%83PZ-g*Iq7wm6GE6D82^r>GYPT^=DYdN_ zrj*)03{y(E{)7j6lGiqjZ`_;Qvtdek^#|v5u%~NnXnCLTGduE?Ze&PV`z zUd4kwU26dj_H?~^6=x>2-lbRZU{883oFf_RNpCC+4=HP<4fb@cm6q4#T9^J-yqZ0I z?*QS*|9P3K3UddDuIn&m>;TtVtAl?jcI!b8YpAuVOuEo&t<}N5uHLhq4XnxHJzKjL z8}Xj)q+YG`Gq;gj-ZtxSPNb7_bvWn13Ah^ks?x(6yoBQg6~yr_d>i~rIrKs&j;Xb_ zy@dUt)!|%yx52-Z>Ma`?{OjtK?bKACMx;8I=E{~b4AbT%hha*oAsD8VN|#`mbE)S? zhPkq}SQ8%n>vnY`gMZz;b47ZiuGpJ*u6WcB*gKatp8;2wLrQOGaooyAtk}W7be8RL z4=KIO{HqmB-`>#t!xSC1l#E{8bYPKf5B8+TScZp`UOUnl$L+P_-+^dddY_XbN9pQv z%w;Vp1@@tnT2lF&46SW%mbby4ly)S?J=oLDo8@h=CnXtl=^>>zOaBH!>#|nbU{AMN zX@fl}M~~YcQaXYf*ApOREx=4~(7LRZHrSJXy(HTn?CI`M>20tl{a&6+Q_6d-w85VA z#Mq^2vHD> zYd11%-k*?>o2!rHQ9IY*UwRFejST*!->x-GDfKgUhAHLa$KxLS>*3hTZSb#0Es_zv z()q62=E{E5+8NO+1!G)#NNG-b8|>*}PU>Ge{TPH&0!JhMG1Cs`W+^vD4SKVToQ_be zcSZl|Xlul~;yqL1b-3CdQhNK7FJ#-^bo>jTtr2fJ{*liPr?>O(cy>7b?tQSQhhs1P zHO^Mrdy#)|v%?;DCWPy7bxDhTcrWr#W46*hOz_WNcDULebLjx(eMGPH(-S#qgFQX{ z6?Gr%>FFKdKG>69RJf6x%kLc^9QnT;APRE_h-JI)08ht9?t_0lz2n?R{7Y%9Jq%jI z(_d|ITen-<)5nDO5&wGnWA3g;-Y9LBc^~nwr&;EG@UN#?=6&!loqFd0d3vZ{)9|zp z{`D*?9?`3(N!)$#uct}eJ@1-*tl?=A=N~xiaCJGP^moyH@UN#yoPUY1HB#$o@UN%Y znl@f)&}_{=8Q2@K0S^B4^bT+z{OjoxZ2z`jYowOK;9t+O`N6+lCPVkZzg}iN+SD=b zS1pCXzh1QzM)c}cOJVS@R~fQiICZOfl_B%=P}`mjS+_@6+LNXmLWI5g%)kDvvsW4N zU{5bcCGLYgy~@5v^h&=@krq4H)2r-zu&0;5qT=_RF~BnW!Jb|Y%8QJQ($(dV(!q-R zU{9~wF9v&h)qXM9)5~8`_racCwbBNAdeurB?CDi2P51R6rN4_JsWIT%7zTTK)yAMa z@+j?(lz1IY*g6^P=~WxUIQx6~BPG&Bqmf!9gFU_ck#Zl=t5>a^arXDB{bI1Ex5EK< zN3XtjfNJ3w6&xexyJt|dJ9*W2GS_rbs3W^4Bm|9YF2#jl}uJ-toK zL*HdI^fH?l>k3{^0lQfI24>-4|N2b;Nr*F~U z^wRNT)U6T0mXfE3g40Wj+>g?3oBT^0I+=nvKTCTrdXRsqw7X>VO0c^mdlFn-LQ3)T z>Jk`Ab@BzqEE zT@ER|(jH__`rN24hm^I_7`;;I>T=9wtu(SHeY50uQT$>Ggs+uG_N3C5lI%&ayX4uQ zfwkka4%53(nfwn6ahPp}Pw>`7lwHVCpO!8UucC&9K8v#0MJARPJcvdqHV0mA6k z9YAF*Ir*1h51RZ--*z=RtZc!Socv30wLNU!8|Z`lOCN~UHuh}6HIiWsZyO-_m%bZq zY2;smJ?-RQf;~X;FTvF|rL5~2@qD;jnqEExiPL`rt}bcwdb8xxl=7#RF!`50Y;Az# zUxM8w`IlgKN&Y3+U6OwZwv^;wib7VGL&{phCoMS>`Ac;i^J%ZV5^GkNs;C%&AC)| zh_LS`*^^4A@K3TQ!8yPu*^}ZTRhm*>yyHH}o>V$L#FOkvQIu+gb9wO>+LO^M#ZXe5 zC)tzWbV^UMCq+yQ^X`@4^n*{bC&8AIXMch{Zn7tZU8-$*A3g6*#hN{R?EvY>|Lp)# zm^(nM&wU3_xFhIRsa3jMSY?q2CjnY=g;9nQpW&B=RH|~q= z@;Ug|#dfLq(?8U%K^|!VmtX>wSjFOmvUW zFs0OmJ;Stl-OMvgDRrsNFjuy2&l#qa`Z-F&^wPQyXP9$&t%bwWLq*%%_TXRFS~!D$ z)rE`a;9qs&;yL(NUATA-{&jU|*|f+ zIrx{J^<`;BuM`gSGz|81wex-s_M``OZe+}5t)0Q1^oq)*$6VT{KL>l#ulBk0n9Eu_ zj9%TZwRQ%3(lwK8WUwdwYBrI z@UNRcQl5i<>DVymcknNz+BHlsT~`sFgMTTA;nMWd`n)$xYtU!1VcL9MTzC!srEjq) z{TlqMt|Gh!|I#^|OVj4-hQe#`FR^Bqrj%lzhH3M0MIlErq;ybThX*h=ktCNMQabvs zGX<75w|fo#rL8L)8T?E8h~XinqwhL_VB6k{UW{JdwY1&HkkaR`ufd*Vn=U=1G!uM{ z=+)g!P{$Y?i4U}1gFW3HX4mP)DD7z&?CI_>`)jbLyTk0S!Jh68ZM=B*N`5X0)X88^cSj&zBYJgrWM2m=Y}gxEAk z^hyzIH!`I3n%AieN8&aA8th3?=4@oJCq;q{)5j_jY?x9i{%SZa_In2iNB(aIh{D_f zVh!y(fP$}Xn0l%!6nZfX5g)a(2meys)QwP23St_jo)pA1%$2QdSqTsR^>CcwHTc)V zn~n~iI6!YYufe|_-gI6(J=A$xbvdN;rlZp7)*|SlZ!-*Wh0dZ&5ny z8l^q$gMTUScT5)=9;T|V!M`50`bP9h;Rtt0i+%bt z?=_-V3NW}drBoy#;lZ91GBC`Q{WMj54fgajRecTiq_1x`!gcvHRecTi^z>(*&Mje8 z`fzq5LrPOs9cPZxHSQs$sp@O6C;c9JwmsO>(+pOJpKRL<_BGg(9;>>MA!WG&p8ehR zHR#eq%5nuGdi5+FHIh*!w7*1AO!BPupB7_C46s z)64ZeqE}A`5#LT0`_HNC!y?B0cJ%6d2M9-w!q@?lNbEbn(|$lFzm&y(KqtS1z1_YC z{}L0=(GC9f^nzEO0W|XRGJB8s*UQW7J^0tl%S@-rqY-O$oF0k-dL*>@msj6=M6X2Z zT$)mfy(K*OmuQ+{u59r!!$V51J{@Fp-2Q%4l7#_RmqSW#mdd@r(q5GB5xo+x@kltA zFR#A$;9p`YS$goVmlx%G@UO}q@*e!_<<<8d{Oje__a3K*+Q!}GkkT9Rd+@K9H)5Un zQ+RL0@4>&c)w=C5m$ifk|I+4}rAPGY<(TMuM6a|Ry7ZXKTG=Cdr7g>)hm^I12YY(e z0vyq+S1rI1y?S{gevjyt_K)mxu&0-|R$WS<2EDbu2YY&XYt>zaQQE=}_9Un1E-9tF zpJ7_8+?-*~rTm#;T5Mf7(LD%^q%NGi2YZso$VLWx(qcEvb@?`1eUm-u!bX+Gx)e<> z7*dL+=NH49>`8Dk;Wycn;2I#L6rAMy&9gtj?vm_DmqFa6+0*w95RUwJ2gt(Q0m1^- z9YAG0Xr3Ml_MpkX1bfirUxI7ULr?x9e3O6a21|7bYY<#r4n6rp@y**?D(x=GzjO`8 zdSdiSu)8Gx66`L?zXV%KMy~`{mqSW_KfcMobn~XV98&uG@lF1v($ytwUa-3)|I+mx zOG*AE*jBdlXNhyD7QIdbDbahE7eIT#HL+~%{ zIWZE!@L#W6`gFlq*}AhBZ3~9~5?qa7Wow5D4XQMx6dbA&4F4s#+J=<64_S@CVg-k9 zR3ng5aBNZf_@I=2kRzB<>Ojz?DW%`(s5GV2L6=KY%6sy3m8O*UWD0^QrOq|n$dEFb zf=Ul5lPL%uQYL-Z(e98k>AT<|WfBO%L&_u&f`^nzAOsI7lRyX_QsyQvcu1L>yx<{a zZt{YMl)1?Z9#STK*B9fEGWUMLL(1Hr1rI6n`&saiGQXb%4=IyQ3r;D&c7Sx`zdL~9 z5#uB{6};{MVoo+!@-M-$m8-v@r=$sjsVDhkm!_WNz!E0^dikY~PV}iKzw{AIJ^7`N zVCuDHr9oDWy!5z@LZk1Xq`|Se^Bzlw?mTU0sg3EWbx>8Ip(q)EZLJvyGybs z!S0gmNnc`CEZLJ_cS-i77YLS;(JR5#CD-Mv+#{n``pT;=X|WDtD8iUhe(eD1$p7sC zQJCKVVT=0?;6R9ezJ@mc${2=V+PqGQV#Y)^;Rjwe*Pp?^bnfHQw0Rxy7^XGoB**a3 zll|@wZ*S?dH?`_tdiZyT{N?b221sjg_(8X{hLrZZ{!NC~i2bhkEv2;I)rHdmTS`W+ z6p}4?NNFn;8)hSR$6~_+w!R1da^yhb3@Po7<7Y10Z7PL(t?$9V6q8MT5B}v?fZi(( zDeZS7J2Kj~-*x`~j|LqgP$QJmAp*gaQt?2Kgf{QzBq~iQ{hUNmbxQfp7@c5R>^oz0 zf;pFdWg?hTzRSJ`|MKG#mF8T&?T&v2d-CHGl^#;s9mfyebPf9PiAoPC?T&v2dwQ1* z4))}CBYI6bq_mg*8SF_Bkkt2JPj7o^UGU`q?WKPPds6VijSMOC179QQQtE^{zZC^{ zDFxRm273zFZG$}t_CEIm_7t!e3D{G>_7GrCf=$8Vx6wY+5O93V>QJUztl*5j2<}n} z&Ul63A!WuZ1P>`QUZHoo-D1^9#w!GOiw!uxL!H(8cS!}D-=XmvbsG2g4iJvKb^sUV z4iL+B+X13%ekCj24He?AWZjP*u=ND~rAGYmtZTrdbPamwDMK5QoO*J0E72|LNqHJ` zYQVp=L1kz|rMt}w&hUfazOn=MNWi}Ww(#Izg7eCX8lg2fjg??Z=`>b?Ddjb9n+P6K z=4}&QryWve7*a5;!51P04=M8!ir^t-UP2Mfx%53r!JJE{eiA&SEStyOE9oSog(^Ly z%xIzDA!SAj#gT`U87&k%q^uPJ{-rK!g@AtrY=Z#*3fKz={3~G3Jfc?td*;Ev1ee(( zdZjxvWrKJ*7;tv!-){V@RV8{2i`9jBtOli-GR(PDJ}JYTOXc-6%(+yiCBvLcrBpJ^ zxm4~X!?f62-q6qhIhV?YDsp#%>pZJP4<6yBzJ0eg}L^L~^X8B%8S zUGR`Hqwj);ly=_!MZY!;8GTpjA!SD21rI50n#vW)ac3Y~H`Ire-shA;a+LNofIUe{ zZw&q&yf#3ay*3{NdPxk5Z?PC}= zDey0eXrC^RiqaJ)^)JkQB=>zoeH7E?ecw8pZzYCvmHmWXTw{3{yq$_D=uoHq#7$dIyD2>4f&w(Wp_2`+O5{|dOe z98%hO>!k^&!OmN=G+=i*_?IvLDK;_YvaERUFW=i!=^>?^x5Q$XcHSEQfNLaUF73Q8 zgMaDydQQXOUlq=b-$iWPPChXCZv5T~;A({YOBc881QESbZiPJ4QIZo#DcA-<_9WN? zBzuy7wGEOz39bQRE^mGyqAoF)^2W(`FJw=GlkZ;0o&+bCzK}iL{D?zwCipMGZky~$ zaJ7xO6kKg%F10V@2d8qcLf=<^0A0wQRJytxQkuSB$evW%U6MWN{=B;+dlGELl06A_ zmt;@Mz*nV*l>RQdkUgoibwc(exCS`p(%=0e+ti7_`}GcLz#bsklaeYHoa^#?2M9<0 zy8~ol?f@|z>kgo@mWBLFuw^0t(w$Q)oBT_#)kpp%xCT9}!2t!m(t_lE2J+PU8E}n+ zdh+X#C-c6)^o$`#!o2SR=SZ0MJ>V2xi;!J9puoKED(z*)yzc?$0Gan)uwz}!`yOx& za7gLZ$Gq=R+5;s266`L?zm$(LXNP&;)reOJ^S%e{k&u50wyMa#1lLG7mp30hFz>tC zcKCsL-vh2L$6R_TFz>rc`$R$y+BiEtkzn5UC~cjPe<_cob%N-XN;`Hehsw6S%&z2L zde>r|AbO=nY{*Jl%tjm;llui+2gJ<#uH$a+0P@PCw7W#~DoT4A$euLAxpV2evp4c6 zJ|x#p*yQ9&_Vn=c2Ho<+x)hxI^OfvLaPD*32-vm>xjtS8?3pKfdMI~N?B-XpCmnND zX{<}Z)(P2@VC#hJNw5uq>`6ZgS8Wd|Yv#$GRJz(8QrbN#ku#;Vd(@URV0TIOq+8?` zhwMqPyCi!OY;nwVi`b%-0k`_~xNqYiQP z&~m^Qk^D=gEh71sV0TIW^{7K!?a^$z94z^lZrfYgOSvPgSn@By9w7ObU=NV|ORxvX=#^lriqR|Or6_nvX$qnjtkhsFGe)oU z0-;7Sq^xB|{-x6HlKku8i~srsIHqD;=OUVq}2>F-ZS$N!xUJ3TN$({sz++!)le zrOjS6big%|VX-!Qyh>n&NSje+hP% z-_Zaz2#FWvktPYtd-6`)~S;T00-UWYh}&(4|Cu{L-?t3)LZ#dox-3cKG49 z!{PsyrN58CeEEA9ts!*fTyz^Zr})FQvTRw;hiVzMz@KwMqt$L#j(+pY6aV2^S=JFj zomDiYtE?yU!?Ik~y?scQQTO)YSc#Tv{ZK5UHmFa0%4qf0Ctk^`s<(eD*JZ0W%I444 zmeoDWI%=r%56EWfq9_2-Y*%?7fZ8o9G(a@R+Y-8GZ{{a0pS#g&m$Eq+q96j=_35e7 zMi8wQx=~;LgB@>6pk+l{)n4+k=8**+8~QM>Dw zdEKa`_z)nY)h_1EN&Pfl%I4rs%etN|IEd4dt{Y7U({ipGO~=(zt|#gPSUoO)c6`vP zGc%&qF6YhXRxRCnw(Dc7mTlc=I{TJv-DtJTdGqmYOSPV5eR|t6ts8Z_AHrkQ?SA-< zQS0GDcM|pCmh#j<;#xx=vg5MVZq6GVc>GzfDE|5H_*F>w?>uB({kMN9QmO7a?>@Y` zf0$B^z$dvaLAz0p>%)_bTHX&$3RE{7a&3QDl2PmNLz0YEyU>m98n^|lSO4mxz6xQ} z{&h#8kj=>eg<{^F3sAZJv0nX)k3!*#bpD=W_>iLNMPCVbjhfsCFrv zZ~Exi6fO9yxaDKd-b|O2K>=lJy@D8Nxb~59$XXIB)(;uiWdu)av3WR7;$m?fRoaZ*DLKe^a!y=~31x z2A?f!x>0L~yvCUXRTi>2k)Tl6WE~T?jOp2~@4>Z%=|-*JCYwYz=7Mv2zm^ttn7 zG{@VLr5jECwp{5(v$vKiJyCPPmMPt6+ImZrZnS#Cdb|2;Snr;?*6V0k&yj$d3+e?Y z(drHJR_DU{c41k|W?u8!1?M3y5(0-EqJ}Q^y)@y zT-1%%YfG-4WxX<6Zgr#9?&pG((Q22v@ydLV7wM-v!%Mcj>e+(VU`wlR)a{ZN)fw}H zyr|BS^{Y#m39rEid6AP9Y7Crrufdj3J;vaigenW!oRUzFS1|8&6y36^y9L|yCnS`p z*{F^k`grTW`9WS(=gm5cVA*OHHtk%58X07BDng;K={lL#(=)W|@PU5J5~$a9%b}i9 z_&e`GUR1}=%G1OaJYMo51&h)i$cq#dGD_KM6@T8}Hu1&SiNkWKkGz^XpI5$R;SN8^I_TQ4YKKDFm*;= zqtCuX$!qk1XB4t2FSb$0rjItGm^Xc%8KrFgO4A`jjQ7ibha>*=-|_OA{yTbI{dc{_ z)~N%uP^*o+NS{_77j;vsjl8H{Vk>bY+I2)up>d$Klad$JQN6;^ENkt44%fm?^`Vd> zBQNp~F6vjRD4W0XT2AzA!3^{9Iao8A-vBKmx>3(1<2`kgQHO#Uug`uSzkA(Cn0WEkH>Y$aV+a<55 zivqeN&a&3}=hAmd>{X~M#3<{Xuq7*ZS?htkrfwAIrYBl3b8VT*vjv|I>1Xv=w%Vm^ z-U)R-4rRSsbc9UQdLXaShLH9^UgPwHIzi>Ud1dLa8SR>R>beBcYM1loZ$jM{Kv}O% z9ZLuL<-fxb|N3wF$Kk(YPFDXd_n4BA7dfw?esPbw@%^8c+B|2XRxx=|U1Dg7&0W^= zk{8t#hL+Ym%GRUxmet&7jTgG9YYjS^?;5LH4K1a4wovPpyr?cOD1e9-ycf58=5E3E zPhO-&lA0th(sD40d9U~GEth$=TkDm)sO~uEa~C5sbJfo?619HGi|T@d=swCi)*|{$ zv|4~o*QJM+!#v09z3It#PrZq68O*b+Z#!x;MQ^pP$cyS?gPbzU+N(3(<1B)@mq6KS zwK3k~UyxK;%I53*EpvH}*BtdpUZYImIh*7)$_Q?hvN;M`S<8Qy=F>O%Tgvh5&GE{X zaonieC9ml-*B~5{zXfn@Fo61OQD!I|8S*H$fh?vIWoq3^w!2G{H!uH8>MVMQq(;VjMr~o zp5!(DZAy)SvN?*`(u=1ayoOqKaicljmR#Iuj#tSaV+>#ZI~?(^k?1z<@ZVvvtN&Im zsF1g%CeOZnKA}_$kk{8yHKjyrT-1%9+qAUg*{;tgbQuOM_*G3yO7605m*-&0x0~Z7 zFH)vlqnvktJ+_48*{&ZQ>n;t(;8iTM0_yeJvXN&CUa$J`RF1@KY80|5FKMFW zMb0KD_k#8M_VIg5KOPdl{Xn>7A2(X^I z?dw&~C#qfQ#@}4Q+#-*ZY6MAYL&yhlQ~UGO43;IQ?A z7YX%RLA*x-S6Rwd_K$dvo_g4u;eL-$uUEu-gjR2q%`Yrl3h`VOwTFNg=>>^<11}Ql z-oT55x;OA5pUI(D z(eq7@7rf@GJEpN?wN&5Pg0Bj;Oy5SUU97iC0nqY%yKH(sZNJdE`JHkA5zQ6bl6+?i zW_`L=$+GDmw1E@#$Pn*QWWf@H*VGToiNJSWqmXG@UrX<;G1QO6sBB+vcmK?b&@P+M z8bg;&XpN!Uq|oZE%ci293-B7DwjJ}D>A%wvmyu*q`tKOw=D$_cqeZ+(s7H%-uZQjwau^;W-Wjh3AGg9MMB*!c#%*`0bZor zDAjJaX`$6FWwYOUgBPi6wTpSzp?R(=@FGocwF}vF5T0ehi&WO_f*0vVjN1h-66$ur zi-cCYkj*~^^L!)TBO|MJVbeO+^~fljzg6GhMQWkOOWDdpffwnnj@tz<66*1S7YTK{ z;6*ZDj~Bd1Xtj%Z)9*f~6yQZFTkTS|@;Zq3=)O?3OWA5|gV(66+Xb)D!t!{*YlOO8 z@EV~WFXBByt6j=g>kYg{cb2MM%2xgm_j^>f+NErc+P=YSfXR>gjzQ9n(4pO5&!z{C`$hw^SAo%N-^4!dWT1?=Vov4BHbRValy~l ztFkwEk;;Y^6fWxftI*Jb(Ek0YA9jg$h4$~y`neaO*k7HWR49CrxlPgJFj*rTi%ROz?8D(S-nuomfw#GgL2-=*1>CZxa;wP*9i4^!D~b*tOvw< zgnGP)_Xw?aId8Qt5bx1ZaJb zJudJfp_UiCNH6=VUD&j?hV%}&AEdI?E_CB}6$-Y)rgewhEkHLv$%|AL^RDlvEDK&F z+8KO6>6H5(qPu{>D1>%DtNVTS)Zj(B0c456i$n*i-M(JqrKQ{LvguT}dh4@FJn^4ZKL3X7xtdlJn_^gR&*(6H3{V^9iMF z$@zp*wwfXEBK-`vWdkn~YT3Yxgjx#lB5m@P0=!6QwM*IZ%S#6}lr6upghDnarxOa< z{PVj)A)9}FS4TbF7wLpO$J_E`i$&>4QI5Bz$u^qfZCSF7rXKV|E$wSnEmXUZ&6!*@ z2FT{bc0wszet8L{Z265Pl(OY1p;C`iw*2xE+Si+?mB)*7ta=2hvYprHafe^+wt9%S$L_vxjL(vE7383tkhCNMg;)Rbei?HY+3c^xx@- z%YUnZ@e8cES8x7XMJ+FQksEga%pE-uh*7J+o&b9NN(18M7&36wM*H&URx6F7=xo9$|eAtcAP^fWOI~4DCXT!3LT-s zrgeQiXBfOl_d`4~@FJm>7`#Ymdfy-LBB9m;cu`y^cWHi-cMV@FLxPsdh1MN-O2wC|gD}bVSX0^G?{(W#=5% zYQs60?$o$l@EV~WFL;emj~Bc~muNg*@EW1jF8r*n@1_*sH7Z-}Qnp$x;5E9}Q|)rz zGJ>c>dCps{7VsKfX7zZ%YlOO8@EV~WFL;emkC(hgS5Z?oyyw#0qG}hi>8D*>7W1ad zp++H_u7MhbY`XB7D0z)?d>h3cqKlbE;b)bO*eLc8rKL5BdDB%%qp(R`iZn{uY89H- zO#hvZ_}72yrX=Gh={;8e{j60?UZiV|9szYz>y^CdS?iU&NS6$=1@a=%JEPQ1tyl6Q zkrJ1sZfd=f7fE`T<-FH=B`=awc3H~i_1aQk$J+KUzUcA@=iRGVSqA$4>f325OWFM0 zrECDbtbbKQWg(jmwPIu!@**eY5DMA+ScTDEwX`UyWmB-xsqQ<5))

>}eu8lfI9c+JasdSw>fCWThJm^a_&P}%N_RJPjfHmMs& zwkYr#q17(t%{Mn>gq_!@tlI^z(VZo?3tl7C?Sj_`wI0B0gjTzh%`vhI&areCs@kP& zj*+#5)G9=dZ&tErJuwpwlAMK2|wOB(?%66)T- zi-fv2@FJm>4ZKKq;Hq8Nv~vc_QDNTvf-{_2*RNl23WaP+k>>G2HoxGM`@(wl3r?Y! zH^1N%ig|MmVWHSVoI+SAY*IY=5THk#vYS*4Ap9$HfAME$+ca!LnUUujqH8r?KV z&ek$YtE|xc*1Uq(yp<;_Y)6+1n|_5RLu~1!vju-4wQSOf`U^=v!Gjk3g{18)(CNR^ z5tsjdN2rkgJ66Kxzg4tG+ihBCjkeFb(By|L5p|BX^oV$oeqTNLcS}RjAt-XtmqdtA1m?+NErcezdI9DTTkLTGDBw)h=c8*HlY6 zon;+W)Rj(1?60YoaN1?vE_jh{xp=(bMMA9y#CwEV58y>Yt6k1ptxVkSc~?|WGz8=I zcT-C=o#S=%qve@S)X|SCc#VEG&f^8I5$f?G-XqlG1+URv9qR$_+=W)Vl&w}Fc#X4yn_df!7GN_XV#JS}njPeN!svSf7iE6<%?_ z=UuVFmJ>SWqGE+DCA3lZ2417zzt zui!O8tGArD>A%wv|N8GJO8*_4W%b_`fz(fYLA#Du=fZ2S<%|xrVvQ|jw9#z0 zWsEjj?LxMSK(>6*S=O<}mM+?8wF}uQ0@;#9yKL&=hIr4rVvQ|Tbe8p=*D^&L^>}d( zrWV{T&cPI1%2j+LFVger@KE~1=(AZ7#FivFd-E!8IieGFtnh|&u(yt*-7ajhB8V-$ zvs>_Z$!m1{m@Sak=#ax`{|X*StQeKJ5zinSp+Eh-mI1EuXW3ekyCN_jpgB)mxJ- zQ0uJ6dxUz$>StYgZ+^d^53uG-6clw7U%&OjvK~W^_e5Fi!Mx_ne}^Ns|8`OO?~r`) z-%-?-(|M6lpAEDu(4iaul%Zs3^B!o87P^t)=lpbD6lJS7>c$*ZzlQ=X_{5;)f6g(~ zD(<{U?V7pj0o>%1t?>W#9Qqqelq*_-#r zmi0MNb5va-ffU{~mEs7fd1p)coGsMa?z~7Nt5wnCJ%L(^&WnVW{dZmzXqBaGW|+F1 zf{~eF>T{f^HQ9NQ+O4(Hc~PL&WamXf%`o-pj&{B0A>nhBt=?#pwYzm*q$3D3O#S3g zZ?|rb+&ZsO*;*H!*92InZnu`I?1#y&+$7ps5|?YYsG}(C0rScGRl!H4pzS zla(X>_20USKlpd(ZuQ^(-JuuqJ?j9?^KM+sOAEXvX7^ z?>SJ**5f@w9sSet!Wewwg?!IZwtB<7`&GnCuh7^+^+wqonMb~7wd=?{@;wLY-a0P| z)UuHm`PX#xn-thXoS{Z2Wb?}mp;)henIROi`DKPs$mW+BLLr-9W(dW4^~;PG@;&RQ z*JozP_Z+BYBQNsH47EVn9L1MI>00;843(v9K1sWi*Z5_H%2GC;q#<9kM&^?=J^3@Aj;M{?&nw< zZ>`uK?+Mgn=KQj=JyTL7;SYT3+dzWjGM;_}~Fl>9rizxi(!^|-)`bWPji0xuF;38R z-@`$^W|g(ABVTi%ITy&+9B8#m*&IJXzGjv6x%a0DQ!gh4EwU11{foc@8)!h%| zYgP;X3P!%>K&^)!?+MgWfY%7M6yP;NJ+dC}i55IVJ>Dahbp>7wvMz@XA!ysR?S};Qt35I@smR$Lor~ghz{OiB3DoXzy9ANd|b<0CR zd-heM?c71Pplh_$jiXb@_beIgC6VtrP|q;pMMAAH@FJle19*|{Tzd@QMMA3u*tC9S zF)b3jNM);C%4Rl-e9!WGKBqyx=RngRBHweMmJPhs3Ll92t1gkA6|iD11?!>LcH?MpnLv zyr^P0$oCv&t6j`ny&=+32V^T>L|&v{;PS{|lNANhuW+&j_eNfHa}-Fwe2KD-0_g~a zsCy%?sXG9Atj@BwKE!);gWmcjuc_01eb}J|GXh-$Ct6ZaHphmLuUR{^VvuQ^c9CV5T8hV*#~*&G`}zUFAb+99v0h!OHNtE~CAK4qibFaI5m_}70& zQTp$&fYpCj6bbpB)t6T>@;wKd@*>}Jps7dXdk!=uL%wIBX4=U29B8$R^;%IR^? zIq%5#9BB0hn^qctSlh_=tg?>qBHweMZWr+$p>DUIgQ=|7Hu60OTD@`J&DC{$2W#-o zf_%?v!D}1&o&!zqi+s<4=J$dg8=zh9Ldf?VWpj7av0yLj9N91AdlvilDGTyF2WmZ# z7wOGd>X*Ez?i(QAvs&B6Y-uOXJ%2m*h8F8TPWwP)+XXTYQZ`quc;dc z$k(j#`YS@g6==shw}LA~y<*90oDW++?uzwRu|ni)R&TW~$ZINAh@As(PTE*l=n%rF5I0sW%>zBO98M&3-k@M#7G30wzZ~h)bzUM%z zUD~9-yp(VYQaJAQWV}ZT$nlaFNmQepx7r{3{T_|M`=e6dpf~T2N+m-y+eN(>~;%SZ~kdv_?kRybATO z4YGL^B44vw@OslTFO>DllHf!wG2=b{f$9>sH}E2% z)mvY$daGK!b(46t2fH#y;RE%?Ut>B*XW4ZQh?V8O*X2}DYoG8 zg4gJn(6WKo2(1<W*K171_V zp8b;ZfW+q1FYp?bt#%=s(#_-?gV)sWZ>wF{WMzaB+^X?s5KbC-Yy_dehi$w2ohLG=B?V9Bx-*ce3;`PG{XxHl%`JSU} zu6X2o7V5ne`JMx{CUFiX)SB$?xm4C`5c!@1^$hiU&w;vK@FJmZ7raPL!+HQO5^DV- z-V<&oY!dmNFG8zbtXC}zmxWErfQ@3_WITz2*GP=?hi~v2bysEKXY0iF4PK+N9xviO zLOovG@3~yOhLEpWMI8k~zUDxyUCLG~3-^08T$D+w}4(Vv8?Bvyr^;m=yeaumQN-xs@wp2S;Ml`E@dlE zO_*Xu&65Z^V0){=`y{7x~v0 z)GlQ!-w9r%7twA3yhy061iVNKGufR|4@@K)gq&$AEZ`P`3+SBh)?_yhf;d1Fw{<-IJSeZA==d$kMMlz=fu2417GmIAy+sHMO;meA0d=pAHJqC}&RO*cV|LN;B8 zGYZ*!hf(c9Hs4khO4;&MM`+6S<-fxbm;cry{qcT2_lM1YtEfkdc#%-c3tps6%<_U4 z3AJ{?i-g7+6!oQU(yI%lZjuiOrEZcB2&Hb4Jqx97^1D_j=RLn`MYLhlz6B{1Htk!G zLSfT7z)UH?i*)^}P{?K$rWPQZ`Ib<~CaU6gA)A?%%0e~~4VQ&%m-L7FT!3tsnhWqE zT>tZp_DCsr#^ZpTl!9+ zm^c4+nNZA|@68FtdcCCY6v}x^-zk)`rSB9<+0u6krEKLp!E1CC&g%mAo`qVI;59<+ z9l&dZ+DgD{beYcWg4YPGb}3tVN$?t#t#%=sQkX9oAe2&P}rnTK!v7k(|@NU{`KEcl>9p+U;VdFP}M4Q;}cY&(2Y+}g+ezz z0Tl|}__c*l=*B0YLZKUlix8yG4DPB6-wEXOA4iI$t8tS zw(^pQ_vmV*{ULdg&qdV&W%DkCe9!uR&2I|@N+?^N$Oxru83htb*}RV--*dDZj96tU zTgH2YQnrlG3gx_c$3nhmwVP2}m8EPM8&Y70^Omt8p_DC8D1=hBj138;Y#AF8%6ZG! zkWk8&XAwdvTSje#QnrlR3Z+fvw~$cEmS-{wPf@mvbNmAvRxE0etFRV$Oc=v6Bd@g7}4w-n?>3iYQHi1#Rai`O7|kyyIR zV&23IjY2kYiA2eZw9^>HyvdIl#k^_l7==wshek1P3X&UzP3z|mjbgpNz3=MANnb1a zNkf;VY_&4Ui~LK)%BG8XQ_wkEATLsQ*(hbJmC1OILdGsj*=jE(uTfyuWhtA#3H7lC z+5AnY8z@ARjVd-z)a{bjD1lY>MqZ=jQAR17zi)oXYZQERS<2?`8y!ht47FmxYn1k* zM%HE1V~H9=mrZE()@2i#wxhH4)|=35SBDxzb1rm(O*HkOqYj|?_EE+BaTSPwcZAzCd^wv3UfH_GPkJe`E11%Kx$&>iTP{|-l7{#*G%$Nlg0cANiJ zQELpmNT^2(UZfZLIX?;%U|jxA)TtiPoHZTd5Ut**8-FM2+~nzx~T=oRuMAfdsbOT z$P_R^Z;o&&U_#WI1TRtxmJRV9rG)joffot2Y~V#gt6j>L?-b-$F>fL{sU7elrNgOq zF>ii7te=&oZ1#K-9kSWSUBHW!U&`%*7YX%TAl@U?Qh*l;wRXUZl&Hs2Al@UidgHuh zq+3!@wpzvDHOg`27QkzSdc5E@LOn9@8YK(z$iQoaR=c!G$7L?yH7aX8Al@UidZTQP zwIE-!CZ<*vc#R&Kd1T-cRJ!<{~bli zzeC2=e^4+L z%TTp|J){`CLYdv3bYCJz23~a2xua3o^s81I;yt(b?-(#|@0w%8du}@VjCPUlS?7`#TPr2wzdOLpr4yhf;{K)gq2wM*Ij zRjCUR7@4C$$k!|>Y-d;S8ljc~yhf;dL%c_*r2wya$UxFcz-xq7yPP*$s?O&y-m)n0 z8a?N-b`b9o>fXR>gt|BI8lfI9c#YoqyIt@aq1A3*Z$hixzK004b`b9oTD?IwT|G+e zfY&@~2he4W(8HJi4o6)6JB!kPhXri@TSe2)BHy#JF_-}%-*cepNs#Y3&}sqewLJ9| zyhvr!o9Xu@`dnVjfUe+0^^25mT_R!G+ySnL_o!^O3!AP}EaZFEc+HED?>SIQ0bV53 zy@40$N6M-!=e<@1;yo(sc?T~N>Ujq*(ywiL-VyH+YAZv$N2sj~yhy0q1us&52agxL zNGXw%Q7BnM*RQi+=o%VibG{3qm^Wv(5Q_DxW4E+k@FHc1sNUdsZ(N{gXyVejR7|4{HEGb zv4>pC&cJKbf_nq6Q6g%$i+GPv%Z76-p_UE2MrgImdGq(KQc=Jj%pO#QX!Qo!oMZKk ze9ih@S>N2ykDl}v^bwFc1FuoyY-Pyhf-ALT!`C*Bod{p%_uH`2R*dmedS!HdJ$oDMN--*cg9H`~RIhfGYt|A5K&33A&B4?mb3zV(a3gSJ=6_YDo-(_gG)(YZ1D(g8WFH+jT@FdLltg@a9#C!Be-Mt~+ zBh)P*-t%zwhPS>AF*5T6{eU&mYJu}stA)JA*&Ea@=dD%?d5u!6rEG}z=uy38L%c_i z>Ma}MJwiQ0rEee ziIUgo)5|DqQXe=*VUzNtMlo;Bkq|%G)91}O5`4~vX z$fjQ}Gz!`DY}hDeGb`7I*ymVJd0m#WnHOoBWZCMCvY8h#-?J`zrEJXi{Ltgt=#BZF zg=V|V_bfD}V7_Oe)h=bTS7*LwnOW+r@;(19P30Q(7$}>+OPQ}(d7wOA#(Q3l%-_jt zUXCkjTZhj4y}*3U$|P0oa^C#Cz-$>r*D+9d1qn1X8nMP+a<4g zc?~gNv&vfQJL5g? zT7%?8?^-GuXd(w>#rK?^>CR_q@&H?uhp&@0w>5yhy0E z4qhbGRsvom)cQrdM>lY*-9B$ZtKDvsLftNSjZkYHyhdnpNs;rW2W5nFZ{RgTldCA< z8p`_g<&JyLy6{_L=<7{c-f9fp7wN)p_10ulINc29-g%ACD%;~dfhIFTzUDx!oz80l zO%8PL@t#0E-X8A>)Dri2PoS2fpJN4@%oF*V1NCh7cu%0#dOycf{LpcAv>c`1!~FPM3HhE?*5^vd_Z+Bu>+zmI-CI8g3$%J`+#yiw ztn(tFwsd_MVq~^-okbC?79g8*LTJ~4Y`T0NJAlq>dJFZ|O+RHw)a`a&qysd6?J9{N z%G&;QE<)52_jpgVQ0+oCXL``cAe(bK2&HU3Sw+5QwQE~{^mva@TeVIJFf!kIL%!!I z>+yD86R5}A<2^#ls(ZXAQ0uqznm}z2J>C;&^+wtJ#f*H-Dw}VObzhM4=IE$m#gNVK z0Tk0E>fU<1C)%}a{TxeZt+&o=0!_aqeu;K{x{G|xDqAb7^O`{2Tjw=GYi0F#PoUOh z=QV*^lbzQH_1=Vh&4Jo$bY2r^wcGZ`K&xHKR_m?v8l5YdQz)JkdKms&OIMEg*MCP* z^6!|F)ql$&=F056DEi9XMuCm4^E$6ZzGs!KHQ0Gkpw@ZkMMC`zp&$s_^)~?WJ*%vD z3#Fe#S+jjT$s$@(z^3cQj)E&FTWh8BBK2klk9^O8=FYE2U1*^!z4IcKb;L-Ix=_|p zAU*0LTJ2Icf7c@4v)c7{t$yAIEtqK|-?Pe^JtN<9pk6`XMM6CW@FLx4Om3z~0eUN& z1}{=s+cbEQ&}z5K_Io!{-@>h3q18f{P0@Rgx5s;QBI&P_2Y8WCTPk>wV)~W>yhv!Z z+hr4K+v(?E>doIQ$oCv*^#<8=MJm@2c#TdFs$I%vt&8<{J=7_;*g4T$L&(>xF?cSJ zuQ|{h1M)Qon*Ig(ngdOr{OtF8l$XNy{E)9X(3BYYnuYr7L`=Sqx877DUvrdAJs@AR zP=9eed%P#moFP5)L%a4G$k!ZY)6Vqx4`uB&kgr)~?KP0EInWvzY_d+zk*_(*S`Xkg zI-yBBL%wE}^>;t=H4F83f8}fb^55Zz%YSE4`tPvV&3~(?H3nWJ)RKW02`w4AFVe|T z$pD+ade=q1XUX8W0rEWu>Y3>AqCnjnc+ss+>XGkRWxcMD?^&q5nSO=}Gi+~$e9uv~ z#z5H|3s7=dv|t~He9vmZUysQ59H=$f&%pw9Z{S5bwyv_B7YVJhuxbBpY5YiTADMr* zR48oPzfdX^vemr+CAeh^)_Ok&)4h#aZQw;hZ9CvaLM;V&kxucdEM=<|1YV@FmbjmT zX$-Z3z>9PaSnX0a@5adYtQNc*Kf#NHTH+q>QQ2B;;590rEAgg<37(HA1bO9`8}vS^?lS zzxQ>Y;59-mF?fy8Y8SHA9e`&)$I@jrGgstm4z${ZZ2lFB_Y?6Rm343Z97`=Y!u15N z5n5%j-u%lFY8UIRPWzFsS?$)&0$wAu+RgPg{dYRzU;iCN>Azz=um0P=OrddM5ArWl z2&HanwUHP3S4))!3EZL1`jPKhlGO?#FRHWtC*no=ePLTKd69oDLcMX`9g%(_-lG<* zG4dk+hJ;$6Y+h~1_pI@HwIScLP_H25dk!@13HhD_%@u@v&w=L1kndTjca|4<(cL^w z51G54t(yhN_Z%%$yOhnV4f&o`*87dpN%wXY@Cq)e<58mNxAbh9s3k_cN6yR=lNb5d z7t}81&A+}N6tXE8;xWJ`74|R+*%aVNl)Oln0*z8O?+eKHtlNW*XdvHnpq7HX#=q41 zM!sj2wO2>J=Rj)=l+Bje`i5S!#Ho=7xZ8O^JZrB zBCn}C0La%YDazIn?-6R*$ZPa5=#g>W%Bp)_L$zC0Ox#U{zsUO5 zyHd2^FBar`))jHHF#V(-%X-$xi}X_>IR^5gr*{h-O{De`?TkRI)MWQG!3!4@hF-qBLwUHOq zjhk1$-=n#xJp}O{-S%;B@WgT-; z3I?D)Z_=dWXhvX=uUS$!DyVB6ESr%XrFH=7__xx?5zTg$@PTMX zc9gn|XhwFF27+kyM%jE~rQ{GO>k})bSRz{Oa^4(QS6UyGwXG|W1ks!!U1TBZk&)Nb zJ3L))L0Lz*lyoA{FaI5m_}70&(hd6Wka6|j^^Onuo;7PeuSLG+t3H}CvahQ&eO#4^ zLkTW``n(GHp4EbHXDaOl%X%(x4yLl!4bH)IdD~+kFRF|gZ^nBnFNIEa(VPAEyWe}( z$n3v$@djnhp_F8UXnILq$06z&CNHX6DY~SCvc3h0e9sz#-|^|{4$E2(I0w@^Nv{g> zBLA|4PW)lh^`=tSe9&%LFL_bjG|}ZBlr=BX<#wW$n7pWNA?QLi%GxLEq7YGQlJTCp zL!jwvWpx+OdO*BKpVqbq#CwEVJBarP^(qE066$sl@6l~Sw~KQyp>7xP9-+2h#CwER zZ`~IOt==e`&t%^Hevjg%KEG7L6X?O`mv8VIm36y__uQ4NG4>|pYgW5Hztr(A+V!c9 zejtTtwcF=SL5gaZviYnB`I^;RSv7c#&}x^m`EJ3x-&mYI(tn^n;;Q7V};w5y9=dyO?+X#o`!Xw|g*xK(XHL!3Y9P*{1(a zNBrx*qbT`zjAixTy5j9tG4FCwMyZ=%2{8idCRjqC)J?F2K&hMHvw>1KWs&4X_wbS` zOJ1a_!bYi^*!iO@<~@JwI8e$~){A)0{a)6Kc#i_;mW{mV9(VVmUC5?Okwzh#u0JM9 zUX;HbtQHXOQDELWBQMI=XHgciPGb zmN|_Bg>0GASSWc-<}?lzvSm)=Kp|WHs%xN-Epr+N3fZ1<(>qYiTmGtRppZ?6|1L|} z$~%zPWTxY2fwI-=Ca=j~fK^%Yn*0UWKq*@=xj-pf`3LeE9j3c1Wh?(cUX%Hcqg~1t zj4M#iTYNF_Y z1`mrdq-ve=Alfc~R!u z3KTZ|itqbCF>m<`vVl@IuUF)Imd?Chk?%QB&n9`%E57=pUCQS5ihR#%!RrYM_uU-#!M4^_FiJ1BGn)b}>-Q zTfSWkl(NO0s6Z)Ots(Ln1s}7ldCiyq4oCd!zoRJqcj#mF-wIQ@RqCeJAbF8~KF(#S zn_6w;MfyoNm!)oMwUHMo?B}x7P3?~4MGEC*S@NQHL@A_4@}hjt7${|{)ka?QHviVI zT6as&SB}vF=RM921Ep+nb{Htt==e`_a@|fmL|Pg zD&KQ0O?`Ofyy?{t+VyJD0}G<=4ZKDzST^t)p_UEt9$f{h-YA=QEaYp}c+DF1a06p7 zYt)MnqMi%z8ht)`yx=vDe5UZam->leI6sI`N5k5EhObF44_9gg_dNTMkHcUa5j zztw7u7P`r|ZXp@wJzup2O5N1TM7&7v4J|Ktk+X=Z1@I!FRTeg#FV&(fY&u`61&Vpk zmui7xy=HFFKq;H|kPCQ`bBjh<%2ulayhxcrJrm$XLep9?D4y`9C-S&RGTU@}4 z6wpY2sDzFzo4dsYyhvrMH_GPSLQjd%f+G(~{z$Z>piTQ*NGTy%)>42MIbW!B23{o8 zvk6}03~*5vvgy`qt~T%@Woq-P051|+Wg(lcLAnLVb}PRHUZg95URU5nLftOnJwm-M za1JKay@A*0WueE5c#qKP4K}HCJ~>{*dsMc1qil{R>(Nl33#BA>3z#=u6iF1kMw!-f z-@Sm>ID?z!0=!13?F_s|sO=2AMyTxpyhfKRt2fxB4v0N6$X3y7{X|@st)kb+*Q^sH ze=#FpbD$nCc#Tkx7raKO#|vKL{A4<;*w@zxh|5l~^Y%K@=Kl~rMh8jQZ-KJ5wR$LWqG1fvOi&qJM{Ag7g2qIKGE6f; zW8xud7;A!PP~CC@vRMzL)XZ2Dq}0ruTo7+kQ`M{svURYS9KHUV37TqZ9cHWxbUCVF zybIJiT#j`?W*m|r2hA9cT1TU}y+w0KjZC%A7nhSst0wmKFD}t{dHqvJ*1CzCCT4ePpkuLBnnJxpT9RBerHM% zi(y*(R3;_}x_TdqY0Xm+ZK@ikHBV*qxhli7=BbQ624R@iJeAS)`G#rD)0mKrnVzj1 z6B`qTY1z6m3MWS6yVSW%@oz7k2z9u)gvl8vTZb8If@~dTtP8Srn6WO%)?voFK-Z&R z%y<{5b(pa(&@faV8QYtuC+pTcF@=jY5I}|rq{z2l=Olq97T=Ak;)2fTW;iHk*kCZ2 zb8<1rmJ{a)sGMPgRZa{IwDAU2({HetK;qH`LWT)iDY~j0N*Nwlh$g;<86H@Sf{C@r zt(fno90sGgG8XgQvO`95Wy6f;!K#|_x?!vbG*>pvNCl;wm@6CR6UzyQ#!-EcIyXiUk<|J&&hF~m zHbo|-jy*eItP4`=*i{6^+90K7#@ZmIX2#k;QXAc@4N?P~jpSA@>XsVB5Mw}C3XBN4&jap|sv9k*8 zV||eEAd}nTSdKgzuPi}1xoM6}bZt#8_NwKMIwHBa8^?cuIop5+6Y-(GqYZcq=4=CE z;`7wK92NT=99^;Xe^aXZo|7#a6efle%*<@P7=6meFe6(RCdN&M8QpX-c4_l_J{RK& z8|d!*tx3O!cM(xB@wyE$qj~gy|I;`$56F~q218GU@3CNHH0iLQgw3(_GqIpsr%phiXU@@8}#r8ij!vl+v0AV+%+UTMS(bURRP2NJC^)xVy z{xCB!wKB}e)T?gs=D%Y`rd~{h6K~{@1l9v;9m7}?sCAf`iF#E{QX9s)KvEmVx(lTe-DeJ3sP!ktP4_VW~>WRYG$krQfg+b4N_`k=AQhrK}yYxwLwU& zk4fzvJ5Rq`sSRUY5XD^48K4+zf^3}_fdHeBv1-{m%vcv>>o8+okgdavcR_RnBb#+W zY7po6b`4^dW;93!wVqq@%Q>lA4C5n<9(nc%FNLRabpzJt#8WLWxdoO`rRH+9uKtrM_2ct<^x&*ctk^+9HPFU8RJ&YPaf#~ z*E@et{eJgt;>5mA%>mQer!jH5*D$SpDx(wTIui-ywDzftz9wv#);^6<-MF0AK9vdC zbcA@XT2I#%(ZEFqfq`kwQ<;>2%W2)TGARKwt(!JR5-`)cX=QS@7#zeiY@k2!oyNs% zF)j-vWVm1%}bS6F>O3ZM=^y1WP`=%31`Z&Hc0DGcKco_faT~ND1EVLZ__Z9 zsaxvCjP-%Oes0RKK1kiLoSv<#4^lT~tPjNK`((QYF&Qu#BoikGfU!Qv_Q8G{D}JUN zG5Xpkl+%0=x5&hw_z$Z(-|H9t^+9E{;1q*CD5lw9Ao2B6LYfOE5+y+?%>)(EqMtr) zvomvFehKi5mn;p_Oi;_ws-t0=2^tftj)rL_sEk$}4bx1}m{@f*j5R?>rmsGMZ0(s) zi%F@Ou_j2VnXxWNshP1h&@l^+XKu_d8>G}&PCrFeHHgH0CSR16&bO!NzR|x7Rsbj-(bM^Nk5kyjIW^6J@shP1Z zNU52z$sna>#@ZmIX2#keWV_?#9gxksK(g^pN4^1!QcE^q^tI11aFdFEcYAcyaew%V zY0dNeUMH~*i^qd;#3QCPPh}**{=62_T4xT$^#vX%rnSz-?6XCc(^_XTad|wI)0$^v z_O(it(>iHoVs-^7R7mTi6WMp!g|tjvk=#;2rf2HPXhNoMOYTbdOkEkx6=P!ukl_Kf z@7HUZ!|Ah>z*rN+$7LL2O`vT!6OJ{3!igIep=#Cxfk3a0#>w~e{_UYvv|Q%jGl3ZE z0X2>($C^M&0~1H6V#TiwbxZ4n(uNtCpfFOJ7B}PC23JnVrghYzw5n!JAlVFKO^~wD zakaRS&Q&dCW5&8b2E~_$;;uAXPRJIY;UW#rHo(P&2s<*07VSRZ8Fm^u3(nqv1`UQL1BG<^^b2IlMoW~>jSTYTGq%CSCB-3;S> z5beoK-i1sEVr$_Nw*W`C3fwCopqN2e1tjqpE(4>hFaxIfsd_f&SOEKZ3=K=23M2j9)D? znx`=F`kg6fbkoAb;o|4Mv=?=o>3T8I3!WkOkXnd-Q(UkR-Kq#LTZkFGbTPU$#*{Of zXEBo6Fe6(pCO${%n9)lM6H=RUMz&szWWyjFt_%CRnx)P^}G1H)Jw zNNU4a8@xhlW@fHlx=CtG26-bExiZ88#?PNX^XLnlDTw zkoYbo=++NXjn&#ujQe+2=Ot{#IjfkInwjB(#iZ2CSQn(!%vc+w)XZ2LD1jIatPR4U z@oiF)&ALFc8OFLmvN1C^=9kox%`hVwEJm^!Mn6IuNH)U^8>~d7O?JH$wA*cN%&)ph z>iv{xj12OEU#yythzLrtCeRh*hOs8NqSA)3E{Lk}CQM!{jM1oS$o%?D9nZG+{u)G# zF7k%RQcP)^IB@ii3okd-e#3;#1B-daMi1_Ee7_!xQ#EbUK+>-hB?~6 zFe6p3a-tRDYxK~hdG9DTscdlX?^}cfGgGf(WCK&q(FTS&+Q2YJ8yLpgAnq!4@mL#3 z>Uc2jNpx<^FWFM+eZME9o*VOvNvXLU>jL#SQ#bp-PKR5Fdud9|jI}{Z&CIZYb_%`|vOG{3 z=Qnm+_v=mSx@(MdG(-f%x@j$sTl1@!l$sfv3R3F* zsDa9{xge$Ha;yzBPcrdX8>ka7Gq>iK1}QbxEkWd~YpLvFAF-p|T%tXByC2GTr z#wkoZ6Ad%^=wdRS$UB>EpLms^nP4#)4>O~E7L)NXGuo#xiiereNf#5(>uWzY5#Ml` znR+35USG7L6`w+L5~OT2f%)W3=_T#1a#A*8e&;Ew#iVS^oNd6&(FVFwezU=EtaBY~ zV3?x~40E)BVNN#C8=nx)NYzVf*#ItUiHCf6!$=?-Joo!|#EewE%8?D2nW=g)vVmca zHZaWD1~_V&$$Hf-<6-7(17^-PVCHB8+FSjdyYefXv;jTke`7d%vH_QKwgED8SANwk zZNSXg2FzF+X!NcxG3?zZd}uU?#0&SnM^A|1c0*Ltzk>fb8bsE4?*~ysf_$$L ztCq5b47=3%eJo^BHfFpHBpWl{24Nk;oNW-=K|E(0Amg{?(+13(Z9vRtChL-QLbv#~ z`yNkxM!Wl_vfI7iG^lb$^DIVoW9E>oB^#DA zll3a+ySBNVu&n4=AFDEf)6YsyL4X!!b>$$HgIy@$)`w^2RQN#9YjvVmdF zHi)g%?ct;EAD!Z1=4=CI&Ng7?XoF~02iz%WM}80KVyi_Qsu@(b(O zvt>SaM3L0GmlXX*^rrA^NW!UxSY8)Uzm6(80Kt){S~~h!F*|cm6JAL z=4=CI&Ng7?XoL8~=-#vE*8B=5Z9wOQ-#uC1`RUTX&)-P8@aePoSbRV5TAAF+rXv9F zh_Pg<#)L!O%(P@(89fjT)0(F;SvOh>ddJl3R5xZ?^VD*(Zp^f7T^ZHwrpdWB9S?Q8 z>Ar<`&(^gZ)s2~+tt*ptqvL_^adTktj+hq>T;BaIs#Z?;0PnWYDvZ$}&^@Vpo zNVSzi$2Z^o%xh(`9A>N!QUlsvd-rr*%Mkn$~@xF>4KcSeZuUAHZ_d(L26C=QTiCCDJQkw-!1$=orB+}p?R&_}l9V&)|$>+vImmeAgDfkSDX zI{VWT%P`FamC?Y(FwF&((SzPF%>|Xwz{N1l1&xV;i(#4z8lzQs)~&lB-$z?}OK2Rw zKd;t_dH3dlkKdlp)(Kw&({e$LCYk*ffEb3&OHP(?vPHRW)&<$3%vcv>i!$R~pcZAu zyFe|5Q6urg)%8c#&HiTLqHuLc~D{rU(Yy>&_{dSJ)B9J{IYZC+E1 zmD$rbHcnz~;?+oTMsD)J)$M-g@;r z7Q0N%B*2SKIO$a!%iN&=9Ju&?vwAIpl&Yy5_=;DIrebP$DCeRhpSs)2F|lZ3m|=p| zI$8u`W@PFTPcF7#-QvWYsoN`jXv*nbw3MTZM=o04)W>;DIS*;D-_IXf$E!t#Ne#Fh z>x0yQnUM;X)~NwAM;|Wv8w80!PIkBP_nKz_qhlJ9YtYU+l0XY9`xMt(EpSRZ6OT#n5L z8Bc7a?Yf0=jo;D-%p862WX9%$jE9+HKF}BZARg<3jE5QPgKQsWj`_gU?U)aq`yFG^ zPh(7H+9%tTM%H@s*f6O9mXpJLe9ol?%y=KD&oN_tp#1jO?@S8~#s+=qKhnT3M;{pG z=mWzXePEb_58Rld@6h+07^^xlQr-JP-=W_aT&3ze^o@y?IxS4@4smv=Z zZbX72x(=Vl}jC3=M z_ksFc_-E@schA?goJV-aFy05!fXlHyxTFCy-UrfvnbuERJklVRDL{j>512Xo0GXbz zYu!=8O|95pYcWxhFH@fxYT>#rm{iMxriE zBqPJL_G!9>)`n?)v@xNzVMd}}b<12pyK;I@qUk0XS0~k%Z#IwW%MXS5-kP%*Oz!&9 z_kqD9SbFE-z~J$)S5MXN%)+H^ln-A$RnG^hiD&qr4-DYL%#S~>o~vg$%9p#=Jy&l` zbV*=_4@%vlJHp3hRJY-S#a!{^HB9qCt=km|mYJTdE2Bx7VVVyb6AQ408TnwvlYM~( zRN8?peyio^ChMCS{o%5BvdT%_m>Kz?Fw%{gkq;J=x-nyYpv?$8Dyi4AOgyPutbOf% zi$CTV=|;@mcj>FvsT(ua2kAFvtPfH*X1otHbfe9PyKmIjc+xw}cps?GF=Ks@8ZhI1 zpgzaU(FgL+=7T%NO$Q$^(>Lm?Zt{U)jy^EV(FcY(`aqAGU4y9fNdsn%J}~7RePEcQ z4-9km0iGx78}+qK)fZmK^o{z;qz{-m`+%9V511J~P;;#@h33b*4)4_0jqO=({< zUzfVYoJr|?^R*_2CLYb13^Uqim2<_kz%ZkqE=E-_%;=|u(Q}UD89rEy=BI{{!OEC# zG4g?i`JkKkL1_KL`Dr|uO*zuqlr#G2s++Vn%;=|!k=D%2d|jB(+A#VN>L#rXV||cX z~&lV||cXGh=;_TF3tSo)7Z)Gxmkln$~(>bEAG}&5ZRyYR!!G zL28Z6+^Ao5OJ6f%eUMr+V||diVUC|0^{Z}TF3i3Co~oHc3}byDtqo)IfwVS^&j;aa z!&o0kYr|L{$k!Jg&V0o}gvs%#HQgij>IbVft(mbtNUfQ%`5?7s#^(cR&5ZRyYR!!G zfpmLf-sxW@X}ZbRG{<<&jr!6TB46VUi%yT3HchRuoViiI%1N!6@%cbnGh=;_S~FvP zkRFXG)t;|oQ_N_P3>^x8#a^Ogq%||v2dOnPHXo$c#9T99ulbL*rs$m5HP2ObBzCGc z)vkW2ppG4_*-u=8%gkt<#XMv6#4w|E7ITGp4KrG&FtHG9n9(|m(TjYB8Ld;8SVeo1 z+pc~Lw(6$ViH8YDJv!+s<`E|Wn3>6XF)1~c6FsV#dNClntm zK&|t@>>ew#MmI@K2L-Qqh#5p3!7$bYDK(d4Q$b42jI}{Z&5X4{O3lo$K}$Kf^r-L1 zG}#)0mt9L#V%bm@s+tu_)!X;aQ{ z!74|l)=vMfK|fGcH3(Ddnj1id305&FH4WZ%62XL%QZr*+kWw=1%wN=@%JU;RW? zl^~_Ya^@!eDo3)>N5r)GXe1CqTM9a`Ymii$8Eb-6nwgObRy3(JGu8#EG&9x(sWdaz z1!-z}2lSd-^eY}oO>e7T(Lqj96Z4uo^sAVZni=bYl$sf9gOr*XYlD=UnCCe9nx|*K zJ26*`!EyIfU(cwzRVMz~Fuju&<`wlaOmCmUJY&MHiR6~3o+DQmCgWkIw@+o_pG`Tv zeF~HDa5=qw3ZvOF-l*{Gx%!z2W$l#VDXeEdQT3bCrY{p+!62=d>Hy-JlE#%1w3(~J zoy7)|x#-dJ><6lT^IYeDs#a$vnSxH0CSR16&%vc+Q)Ogtb>bIRtR~yE*~f)LhQ6L4WqfO5CQjE+(n6 zl|i@$?)c@X=g8HSiS1s)^yVo{49;{nGE}X%PC*_q!ZA#5ox*62qz(w>^wuei=18WT z-a3_ue>O~Sox-HlShta@DsN3pv>$LXn3HFa#mSQkh(z2^+&SQkh(!&nzcHp5sONH)V*8|X#a zn}+YtI97GA4qi6?92@dQ>(n4dNV{%hL%uT7fEjCp)PNbA4P+gd; zI=Ij>cI2xBvJNxW25B8;ybWX>X3jRCU4iG=k)QD}W3xfV!_3(R%p7e%v#aOWk}u(; z4VXFGAmrYALcF|V?3TTUnX?U;Iop7lqYX4&+T$5p@y{h3U*;L>n#@FZH_R0q28QX)Q_6Y8 ze84chc`6eL)-b(!Dx+77>1ef%g_wABXC=N0qxE&iNb6Xc-faCC*}Bv%wWcH7k9pK} zwP|Y2Oz)jQ0bum&Sr*K(vaYB0}Ft$3t0Uc2y!cQ%aH(#I8q;ANJbY0>}-I($DK)Nwweeepu zz39T5MRQ|LPr4@O5#rSTGnp7h?taVTBCCA{qiSr7zHD+R2M<2$ z0QHH%3HsQcpLL^Sd(W{yKN)&;@i|_dk7v}s;a%K7Q1sA|&D zF(te|;isRXs>~~7HcW4wnndHgiD7#4R3>tzVR|Pm%=aW2tR()-Bd@CJKNG;XtAZ}z z{PD?J2d3~K_m5B3sdZchvsv_~AEnx~X2vG#m$YWaC+i4RUr&U(*<>xP@#5^C{_Ut5 zk90GP^?`IVjP-#$YM9&nC!4RniZ4^lT~ zybq)sGu8*G8#6W^q;9m!_=ttS`j9Ic=%I)gjz31eE==miiVYuaag zj9vPvH8a)+sWmg!2dOnPHXo$c%vc|!*34KR=-wLK(|yFC#`SGIOJdx)J%1kaNL7Ds z8^-z|wWf{WM+9l=mRch-w&{xxQfp?c4^nGptPfIaW~>jS0bXqWm}jb%*3!T*-Usn4 zF^u(rG%$?M2jMrvSRbfw>pt?`Z~X&Ob?l-%%FNiPFFr`Ev7A^TIrz<#<9#5lxg6_* z)S4Ne52Q6S)(6rWFRRzfphkmiAI#S=EwoH*NYEz6&&=17@jLd{Vk&-R^kWX%xIrgw<{Jk9`qW?PYBvH7)-1=Z@&JC)g{Bc;%df6ya)x% zXrEP%?$I#JXrID_)`l7VbTO$xLb5*5HQv+u7hu4Bo zWuyT!)(7ef7hR_RGxPO|Cz;rT0u6=_RO~;#j+{sfsz38ys?Oj&bwA@^Rrt7;^NKVJ zbsIhyOy~g2@WEhcL+@wi>(1Z;64gNb)?_PWiRP6k8YRQMSutA=hDE!h3UqEz!+ zFXtK4N~qh>2Ukp~fEoEW(i}xQK6ZK+dZq~2%N!_rVxmjNr`HdOtgVc=~?*nN-i}63>Y*o!6(tsK7 z1NAv(tPj#V%y=KD&oOiMK@9J9AI$HPtoG3zluu;lX8mH)2h7-fkQy*^_5m|TAK;r` zKe=;L#vy9r^{m&6(z|ZC3E>$!Nbob>qR*{^QcjF7p`77^Q8#+m^d}}Bt#5Y*KREj{ zZ#)?cj(cMv{V7d=R zIr{t)Fx>}}fqjgKr~6}bj-I-~z5T&-A54bp zHhiEzv3&62x(y!;2G+@=Zap82y3suu!3-bta_Ao0V1^GA-aql&|Mh`W8^H`8jB?;? zd@#cYm5FCb%o%o%#sswH#HvB8zZu5*KxxsXA#^I`|HH@o*u|7zxqw7PPS|6m=!2J1{`}L)Lq%||v2dOnP-Ukut z6W5-`;i{@zJbRwFE;bHVRYtz1!*4(1a8+SaYc9w7Ahl*@h~j{@`i+s{{BSI%v|53N@$sJ>(0W|}9U)<6xCa&I^>7Eo)U4n-Hd;l8eb zS`#%$??;)ES{pU!6$4TOwMOcYgy5K3D>X<$&?hP*rq)aiQcMU%;;z1HkYX}W>#!Y) zm<-fzm!H{=E3IS`95tDaB#>9|! zM8xuJ40%T=Cc1JkVmdoQUt)&pogMLl8v!DfA96%E!a!$7=*h8HukYq>jxf;K z5ihuVFkX6eRbKNbljyx9{q=c1rbN z>pULr-VsVH^zr(De2!4{=;PA?ogH!EQ={+fZ!uj_+OA%H7yjRAX@?>v1N{d_z+gOL z`VWp^=Bfxpffk36`HfR_WwcQ*(ADo>G?M%s z2WUg9e|-IlcOmE+>EGX;x@$SF=vsyu{dAS1Cz!s6xAleJp15l{84p|r8+9A)v&zYM zxSY{Gg;6}rjP_ZK7NY6kWYlf+Q#IE=i?A_7qbJ^P&)GA-$)}*(@If!?meEFuLnZ|&fl4@2ZMc~=j-+W%3&6*c>l)p?;zZh z228(kl+ZG9iutJC~9Oh@G*=vf}Y&W%!Iwf)~L)d)(Edyq&Cb* z*sC11&jU`f{r-*#{DTJpcI>#_GH*9r(6CZBI|@~ zAuh-|A>9+lI-nfy1i6P9?*zGr8L9hkM%YB4Py9p+H7j%|yueW3j$r6NID(;rBhsm)GbV<-BQhp}KI3d{ndaz;N$=hNe#SRn z3!~|WVOlHIDT}5bhG~t|m>BsQrnOOH;;4sVS`$?!txC_oPtW3w3Ek-Kf!|M0;+2tZ z^sas|t;beIx*4W**v5ozhH3q^F`=7@XFSi*AjXv^-u~If{pwqYn&Y zeUNQR=es_+p7QTwqSj4)f!=NZ{q)>jnbZKw8E3Uh|H(FG#`{2R%8d6xwCPP}n?B=i zl~T9V4c_$%4Xh7RH)gC4Qa5J259A$YtPiv#W0-S3peg@noYktj$ve!nguQu(8SevW zz>N2SG+@T&gY-d+n)bdOYc8g5rw^Dp`+%9V512XofSIEY=pF6f-@fNx+f*9dIHrw; zGrFlSm~xIjFwD^hhB^9x-klB&tPe7tn3Qhb`Hh?0jRwkzrX25s@U>yA59I3`-h+;K zybsi-F&Wt7={x<^2dM#;Gw;Gucz=)eNCRfP52OJz)(5EpE`#=6{w*G6tPiqHnejeQ zJj_@hWIXZeJG77Yf!Y-A>;C^ro+Wx_-ON}YNCP}K z{~O=xIK)GDV*md3z5N-FDaZRD;xUZ%LB<1L6ebP|8D`|`#l($*7s;l(;+hQ>@(9NpMn5V;<;6TD z8<#VhXE7-oGoza>CS_x0bko8}He_bHUW{a;H#cTYG$krG9J`zChJu6 z-RKU1SOP!VfSI|Czhp}ZXp8jI4`5Y`rUc9!ZD8tVZ6FD7O5YD()vBq-(JLCipMLhL zGU*&Tr}~+@_)E5A;12C5$GRY;=6I|NQfg+b4bpAM%w7BykEF&Tt~1WMB_-d}0}nOwKT4 zrm*U!nVeyo4_Z0e!9WdS`PXV4x>5VQeY%eH_NH^2Z=bF8Br#0urt?W+sy3RZr_m3M zb>2Q(EA`?A#@nZBrCyFF+O0{w>Za6dn9)3iiPUT2Y2CEyrWapLIis7da#8~tglGla z#FGqsG358%({-&|GIa9!-P3ht^aOr$IY%G7;c~>^cTd-~oU9w2C4BdEy)kq;=iSqF zWilQv$NE5ZyJH_^OV{rZ=g>Yky@~Rk>3aDg^HP;nPR0{m1>&*! zAnQic%=bKUHOh%8%U;e**Mp%2|97mG8V#~;G-rIz6IZL8NTV-0hN{gvQ;gE6VU9L1 zj753 zj(sYm)Lf3WK}yYxwLwbFjJ1JeqaA{;wCIAA4U<7GQzMhIF=JhjvN2;H3Mm^hbC-VE zAZ265+CZ|=Fzg+x#isM5Y&6As=WTXgHZI4yAZ265x*%m^#wP=HY-W5ih>m^XGkaX9 zQr7n*HJvVhkNZ>#l5Rt0?$Iw7q-@Ms7o=>=SR16>0^r3Ob=oD6rgMb;$v*LNi{01jj_srFcxuR}{8O^hpSL~J=W;9P>LN`6o z_jqQmUX0?=7ova}y>u~(2T#1+Gg%LYUY@yUvR;fdcvF|t$JR}oX5B&uh{yUM8T3v+ z2m4O@EnmN5JPhTGZo1-0t!WaY3n)(U(E8pzv-K(`hfW*c{Th|3o66yt!rY->K1ha6jJ{)q=ukI&5%WF1Ry8zu#ro3LfAqPQLpc|% z^S=8HDpP|iWQB5$KDbx|?}Iq>Z5W#mt_amI)(85^iedb7A@VyjbBn(F;EHvhUAMVM zUl?hCbDvllIJGI|5Us`;CUxVw*?b^h;|AzE60$2tT5~zp2fCfiFxCgE8+){G(#NF= zQPqF;2%>LC{h)oC4>pEQHNRv0STxueT-fQG^pm+F{q1#&1a>F~$CmGYqG~ILp7rk# z^i&R=E`0Y*`mG##2EY5)sx~H8oN?KPq_Pes*RyF>$|7w%EbDBY0=h4D-tcr zgXEs5D-xyLv0}3~PS4X7(M{Wiu_m};>SY*f0GCPN`Oqy)U_N*K%?rrC+do%3z#{(fSI!km^r(EnWGD0 z%&@zlZ_aNLFmrYRGiMhtb9MnTp^M3Easdq_?!Gy{Nx;n61@^$6R2TqYDgkbb(>43lt7*OW%EKeky>An|)`#B1#1~k=Oe;#%s!khB=zR zFlQ6cIrBSqnoQlK8f}>0ePg~#AS6bvyKf>9n^ID>INS-0^+2k|jP-z?AunY5zI+Ww z&k)13<($fBY^c?^J)XWVUq`LqkuG)7zA?WsG=0;0TG4uA@PQE4I5Bjd;g0i+CniSR zph5G&tlKkIK7naIn9EZ*fxG9%yd+suf1ZiVo%zDJV{W?gw`fr7mRlV(K7Pd;V~tTq z|JF^*Q#h}9^;G@N-JdU`KWCWMK9#xS1slV(_Nh!b1aDf=ht*6tk68J@T^L=R>X>jZ zm(z1~t=gptHoe-R7pzPYGzupu8gGCg34xV&7w`+LTY>kT6fzTL{b~Z zxp#kEnXX`B&;vE_l(~Ag{SIza)?g40CjWVXO-z^%WB)(9J#+FN~z7 z(d%n&%r8dI5mPt)sGO!NjHKpxtPPZ>3}bB&vRx4pNMLh;WV`4rj2041I7;t$1G?T0 zFpOj~b+ayzLy=L2Fy%-#!`MfHWW$xCxh=n1G-abZ$?v%>Ul_?o^MreD%P%HnqjQVu zRYro8jpMO4NZGg?Zv*X((6+Dk&`aI)A@6_ZJu%?KBT-k+)s@lR=Z0yyx-yU0am35H zB#x<@cH#`vdTA|3-#;=;YoE$wJTyAeM-NQhG9KFNxnjadW;z+<6)+y>*|AyhZ*Yw#eEIWemxg59y$`G9pO_v%vc{}Jj@*P0bXYHntSsj zo*0U3{YM`ZIK{(^^+CqNjP*gb4>Q&W**<;i%vhk`YEcKc9Oxh$9{@xGWhK%X>+LCMYm7C`Z21l z9Gqc9t~`}Pr+8m;dw$ss zzw2Z>-$eI(Xv@hI^OXRez?y%b7`m`RpG!G0^p^1}rZ)%X87mljc8k@k!qm0Lm}KDw zjt(~;5ITFMRTzV4US{??S}v$H({$D_%>Cp@ zbt-ekuB~Bu>tx>gySEg%g_)kKYdM;QKWSf5XU}WOkZ1aw+DBK* zY}s00{4-3(gUm?RqkXuXk*^Dr@zCj;E4I)~-7+3#tPe6CW{&yb$&B?u#zVV*S1c%; z;$g=6Amd@i`XK$rjP*gr6YaHoXKd1!HqG{7=9mxg8nCOMsM`7umt%d9@x(!Nh{yUM z<6*}7Alru->w|0`W~>hs&x5Yoy5d07!Ec7KK2SW&j9vQT1I1$)>w}CZc2)QG8N2kQ z9JP-wI0wf1Amd@i`XJ+B#`-|<;4415Bd)YhpJc^a6-@xI7;ITaYw@r-dA*wtW;u91 zO8Y^k9IYWS(|74>IhxZOrum>UnztIJJr^pY@u*>%4=ST|4LrD{{jHM*bgkLdU!kw% zqz24bAEXA%SRY6Oy`{GIg;3^b_Nk24icC4JeJZ1P zaGydB)q=y9P5~ul7mZVk;7u)=y_SI%5D#>!+1@#`=!ThOs`7ZhDO#G_XEM4VbY$NDY{=K1dCa>G`^9Ee-Gn7u^bc@Y@Uf!npFJ z^||l?GiM(#bM^r<)(6?9v{$bQj?p07lo{)TY*S{e53)^}u|CLn=!D_3@6}fyWIW7R zA7nht*nE)jFk^k7c<@#pElVES$1v6hipMb42a3lq)(066Z7%4d^iw>{SRZ6O$n?GX z>Vu4j8S8_LCzgEooH$NajeLM#NYEmQ(IDgDa;y(B9%if$G9G$wRu5TIH}xOxo$bSn^+CqN zjP*gb4>QMnpkrZM|G8p;ptX-|{vE?g1Kr9E%S71 z>!fmS@h!jcTyva-E%BrVag1tf(`P?h^&X5Vr}fj{+&ZXr!*ZGreq)XMpFa0(AJ|3L z1u{j0-(1bM z;&bNf!Qi}P=Ig~M$K#saGai^lgE}77x{G0&4=ST|7sE6kG$zueVVVyrqxSjG8ve82 zds3OyfSKll%A^L!%>DYMb!tH4kY{$xk`D?K8obdvbH9Eu=}}x0%E1?wBMsin9DQJz zqYn&YeUS0cD-x=-@o2`w%ox8d-PERdEvXh;O*v{)X6AnVD#z!L7<8L*G9Ju3^KjKF zC*xs8KSCd5Jj_@hWIULA`{}CMe=;6stPe6CW~>h~9%if$G9J1+NuSFyx@A1b%>DY& zKFnAjWIW7_apEc`BHRtPiq%m^tSIW~>hsk8al8pTXnXByFx4`wPri zA1EHfSRbf;n27~Ys7ZamUT@zqkR^mcnmYzXE7NMT~PCw`Fb%q|6yjd&tftjW=20cxe6LG0#^mCgWko`XJ+B#`+-RVP@oO$+*V;I%B-`?Z-S{)fpTsKW4rj42_i^ zGhZ)8y5V^AG0#^mM)O9)3?D2;^G3rA9~35Db27}x2aAz+aPR#w?m#K?#?*kuu#b7Z zYL$~3Fk^j?8Za~R!74}Zy5I2{0+dTVU9j9 zjP*gr6Gta@4aOxXqfPN0N-a#D;^A^eK3E#4O?7V%)Xn-JC z#zS`nJo>x!RfCKN$NqD_e#FC!^+C2z_-FT9f49EYE#qOv`XJ*W=4a&V+(KGo%R81_ zx4Qj|TdOJ)&0v_`K83j=hZ?5$)57SrRKxUsS{P}K^PivCT(91#OvGcD-cJjocns6q zr!b1gFg;%vM)BaD#7{pD`U-<%Hp$GUYNjQuRV{J8hInXlLU z^y>rar~N$a_iGTPZZx0!nfZE^qr8K2rk{QnN-an8V8b*YR7Ue)!!#c>CXTfjrum>U zsT<9ie&XY@#c!2K4VdZqptNafz>M`lYQRj-2c?`>Xn^CLpSfSZ+Eg0goatxo*DppI z7-l@T7b6V}bM%2>tPe6Cnlt^({rXk6jEBxf{Pep}st+>@i1e3knu2M^FhYLjLiob z56+qTetq>$#)I>@eiuq*G9E6+=L7kT8S4YZgE{0e_v?H6@O*CW*Dpr#m~xK!z%bSa z84u30BDor0t9^K$m4mM`FB$Q0Imdip%CXOdj0fjTVW?9)%vc{}`yey->z4-VKQ}YS ze8BU$xnIA^$@and+}y8UOvVFqv(KM#9yV?99V6*=;|tCs1=HK7FuIP#Fui>Wqc*+Z z%tDmY+ov)y4JGFHXXNX`WIRw#Fui>WlkqUq`)Oe^9(o_}XPmF9jN*yzwU2wpdDy~a zJX}uC*M-S=nCbnrFpB3%>*PNpUsopLdB#ONqG4MHm9YzOa`!W?__W;lRsi6=Jh;Zh!;C*9xdf)UT>I@ z50(bf*)YQgg^8h^VTKPDBahg2wC^`8Rx4ClNvB%eUKV3V|}2TS@rc# zs9S$-m%2#h~9%if$G9G5E53+q|Uh)%(#c3eFF=Ks@@i24D2UO1I&o~d8 z!>oUDRjl{Ib32&PPbK}|Ia3TB3^UqiF}l&-Fr$4Iqw{h%j7OtxqkR^W`3>%X2xhcT zVd7az&+TAF`z%J+$r)y}&tjwjGc#W=CgXu;{pZij*M(6$%#41zn2d)R>w}Dk8U5k% zTv+8~Jn#&Tc&rZ|iU-CA!B`(;JY0_TLB_+3^+Co1&)}$=^+Cpi%*@wIw~U7wKd+bH zn6W;{c;FfQ`7`tNs$0ebBGu$NC`KhZ*Yw#Y5|fQ8()Y#Y4~SV5|=mk15Cc zK=Cj$_v?$+iYMMDonz(CpSfRO7{vqYmcdvbWISBXF&~(^Ss!Hkz;iC@W_^(D6GwSL z1M7o~hs&`($o65z`XJ+p=N8n>`XJ+huUUQm^!@sGd2VMsSWe%suS~|njP-%ydBMHu zQ8()Y#bX%j1I1$)>jTAO80&+K2ku&rc&raH9%if$G9G5E4>BHPLeRtWl+K5I{*3+l z;+@nW#@o9`W1xB{=Nah_81IAd&J*sgjk;MMsBTwm%|kiX2M?_)Gh=;_>&nbnALP0+ zGu8*MSl%~vvpz@-;GOT-EAT#$2F%#;L2AH^^+9UDjP*fk5dC{^)3IMaJZkn>&OV^M zoZo)m;5)aS{?32&)nxMZZ$DpEnJcCrFW3|R{EmEG%6Y{Stzmlm6ejy=^oLEi-#9>3 z`)OqqPb>oh)7z&o84s7!`)Oe^9%g#`6ei<=Tirvq-cKu|c$n$^v@jVDGrgY{CgWkI z_tV1IT=hHhb!8$R%m=?CUl&I47{>ZQ@fgPXK=IId%g^t~*QIV^9+cyMBT+h!2BX}w zdvu?k17@rbq`?#Roj<>0zrN@uzv+6o-8*ByzA~Y~lb+kZ^L*9PO&XYT zjy^!qDJSFMa;y*JYg0GtgKVE@BZ$ZPK>bwL2>@fC z3mFfWW1kBd4>L9&WPS@fLfxznG9Gw;`|~FzEQj`aU^#uizUDW@V;Jj$j3z{{52Qct+J{37rj(c$yD32F@P@(|oWo zIIr*f^^$AY4Q?9u{^{qdCZq4tK;60z#yI{J zS1JJ0eJ~h$cRAweK9~%)&v?JSGVvUtGZ;}$^Fduh(R1D~%?Fjyyq=l4U%x!6XQN@7 z4{AB;3%JMfJI`0Cs^4+d_x&u$y}#pIu4(f%7NfhHKELBUY+;^}4-M1vbz$;6AC3>k z{Z)m@c$gXOvow%ycjVH|qrW3xmvS;5W=8w0axxxfdcH2@WIW9D=XPNfkIvRWJfokk zx+xyRjDETp#bcP!PZy(j3}bze@x;5K5YOnRtDKAn&hveKQ>cG);=AaU@nAVUUsopM zVaEC(h~9%if$G9G5E4>F#Zqwei9^Yv&SW~>h~9%if$G9G4B z8T3KM6TaBvnfZFe!;JMo#>31pAL#RzP&ey?j0fjt<35!5yZ<~F(r?UIA7nhtobv%Q z)(46Q*Sx0O%R`fMqbv2eWQ(8drUP=^on$!OF^Hm!Y-T!yqhf?N^(NFbxASkE# zpw4O4w{P0x{~i1Fbv-1M`lYJmF~^FEZ-KB)n%aee0b zD%s-iTqgPsef#F~>G@jcU;klrm$zZYb9*uQ-c+QEtuK6fzOLnDU!Z5!Cl@pR&DV`l zJk0d=nei~w+ov!Y4>RMry)^jtZPY+N^6|iTIHG-8zOEW%`^403*ShEH%49su^nO}2 zNWaC}7?fju@QC(##dYk!^nO~(Q9SgK@6TtRuUc9w9>Z84WIU0|pl;R&84oko2N@4D z)(066Gu8(g53N0Y;tw7ChU-&Hrl}j(&H5nk8>DsR5W$rr-QMWY zaUaUi%`nyn(tw#rum=qcbM(QR&T+*8k1Iz$c+*(px?^zSJ$_VaEC(<6*|;gN%n6>w}CZ#;1E<82j}z9%if$G9G5E4>BHRY(7vtH_ZX# z5c<(?vBVD=SRW`JQ;yFE;WxurA7ngnj1}r;eIUQxkz#8AF%nXx{| zcyO&I7QRg0$#|HtKFD~8c}K&wawPrV`A?)5JZJZw`Fb%|yh?M?*yTO*^Ld{u4_e>KQ>XpiMR^YyA*#>32LpT#I1ahpf=fN}8zqfgNS zGx}*S=Sg!jePrTL&V$w|-s5~#(M|C@Xsz=-zBe@)TI+m|`>Q5{zMlEIYoP1CcisB= zs(CjZo(p*Q^Hm!Y+Z<3%^TEczor@nWHkbC9%$v@KyvO}jW#0Jp8{~NXp;Atr2aCb6 zzg}EBG3d8(zN*$Oo>w@&e#d_>@IePCylNHlG(g5FY)W?S$6B-!i=mTcvetp-# zFxCedPk3(Y+n-pVKE=aK%LlVf@qJC1!<3^oHH`H^#uMWgh{yUs>pS@F zhOs`#c(`uX2N_RnDedvh{rcsDjE5QPgN%n6>w}Dk8S8_LhxUv<(XosVG9G5E4>BHR ztPe6CX3qJ5&cA);`Kr-AwEy#o!i@$Q50*3c>sL7$4>Q&WY9D-G^E2PCU*)KM3}bzu zcno8Gpm+>peUS0snnN6zFj{9k%vc{}Jj_Ta%pn;MGu8(g5ACt&g2Yyis`__)5OW25 zF1*Ki*fAc(-1|L@SK?XaJmUPhVMhBb=8A0|!;JP>%uDgm^XEPD^z3`q%;=}9oQ#K=(N7nX@i1e3knzOW zd3(;i=lQBtPR7HGeuNriJj{%Ky2{CTm>KRX{z>M{Q z;<;(8{GR8ldOVn~bz#GyeM~vWd|((=27Qq6U>=?Kp^SLwzJPZu&YE)6KDdwmp8NHy z9Qn;K)(066t*O4}{Z*?R^&h-T=N*e!r+B!WV?Lm}QDaNb`XJ+pDes;SV!&zYmhmuS zeUR^juV`ato}H@jnt^03aw-v$rH`atoRa*p}HFxCed51o~I&;9!DH~I#7 z#AAJs@o+iT2kJkj9P0zcgZs|!*ywY93+5TiX1fo@`KmFldSV`(`}IXPc?Z{2=YIWQ z=*;PRoUf{Ni*<5*4_Omkqk-0y4Rgo`an^(DW__UZe}=I>P@7&6)80NY=r-}_Q#yvR zKFGCoF30ABTw6zG?$@t2%{vBYzWtu}p)4jf!2UD$>kA_dm^u2uFh?I4#`+-Rp*@zn zpND*RMVxHlijN`L1}@owK8sciF(9?XW(=TvW6C%(Ngalksplqn|ED z@x17+y?f^C!bCjG%zV9=?=u+oY44e@7o&JgIpev#n2aaV#qQCWuM4Ain6W;{c$gXe zbd{6wFry!#4>BIw2h@d}j!`_!SRZ6O%pCIpmlHv{y16lhHrEf#6TThwxo5s!S}P~w zy+yh(^-#`>#<2H1U)9UG=q%_x&sP;Dwl581eQ?Fp$S~Fi8uJ;(`rwXjE5leHe4nQ< zrnqB4%4sbPXdOu(tu;()z>LiYsR1+A2dM!*OQK_$x_zI)uqScHgwiq6fW|KO+^=8W zNe!4e`+ynigN!F$3E%VR+^=7Cdng{-E6}BiMuUt8%jx^|^;w^7%8d0v=7ZQW-{Xl7 zznQvaJhUcs_x<`>H^uYha;y&&k6~;+P&}{LUWIsu5A=V(fDU&x z-3Ei_0wTFOCb|jky=(E$FnVSgX82&$O%;~xKezF%J$X+Zn+ z@A-cHVp0QU^rJGSSWIfbjP-#uz`If3@xc{Sjx;cPEJq(0=I8@tUNc{-3$DI!QU7_( zeBGHRot1jceBGHBt&?kD+jJ|8#&67w_F0Vbj$uaoEJp7WGc)sbVYKFeb({HmG4jC` z+?ID<{d`sbmV#wQKV9YIvxLhT{d6(Xz|>7YD*dN0QN~60>gw=}VNwGcC%)$Ss#VVS zeoI(O(?trVoYa8JIr@Odd^2B{x~Wa+eOe_7Q@4yKUO(A0&Df#P}Lvwr65GJexq0PRuU^L$lhv_?$2 zjr*(0+LPwA_&n7Mz)kB?PUJ+x3?D4Ujt}m6zG^X=qnmPu4+<0cz%cqz@y=pW19}GE zbHBbY(tw%agT>hK!JRKTVBJy!ENAZ5uX3~wd(+zO9Rsd|26*R{HpUzi8W`s21H+7b zP~r(6;F#hL^SZjlf6`XMo)6}J{VGQ}#4sZtEJkf=80!PI={v5rg}ROB!YU^j+S|S7 ze*I$P>o<-4@2G&&O&ZX*Sgo8s-^~j3=$7y?VZ` z<>>c@Y5lY^R~$7rOlzOU#2Cadt$i93>okUG?NgaobQ8n0ep;DFJWHRU{nqDR{XA@A zV)^(<``fR69=0;sKD5rKi@{x+s(qNTKFIcAruEZWw@dBAjQ4@shZ*aGY#(N<4^lT; zw|w>Uur(fe0Pn7S_3uqpCUxU-TE1?KbYrGHw;Lnfkm>olGN~Ig)(5E@o!Nf%^HsH+ z)QuVM1LXr|tPj#}%vc{>(v6vOK49jU4<2;ql_qSipGNL|ME&+0()a79*39(%`pU?o zhOs`7)`s!`*EbEM8{IMR>ihMLk#5X*AINXaSRbTr%vc|!Zp_$xkh(EreV}z0O?CEu+V|_9 zIeqw!#p1tw!i|758|X^*x_r&UkpB6Sjlopax9cbKpwBA4`gZ-s;1XotuHTr@Vb`E< z*KZ76`}OME_46ugeAQR?p_SCTG0`2MZp{Xh(HpJ6v~;jBIE;_Jd#IaE3`03B9c<;q zh;V26cKycCYwxeVUB5AOoI>|g9pbssJF&J@n6_2mmO8IkyC_UeRXW;x(KQ%XzizNH zdX&6q`QYluVH*=E(J-xjDx*gUGd^7_B^t&*w&PJ^nASeEZc2%UY3);))QzrkyW-t7 z(>}^=FJ@Xlt>vU{%vc|!Zp^fP+RBk`%(Q-5nbeIL>w`z6q>HZezIwi{Azm13k74V|^g44P$+fx>4S_`gJIqZp>I8q;AYuAEa)`^n6|G zmbx)x^FivyjP*h4Mq7G1FMjCT7c<@m@*6YO2dNt~)(5E@Gd3TjZp>I8Xi4b8e9(_q z)i%w15UYUObNlMs^_9u?p>4pcZ`U_Q`G6VggY-2s)(6=>%y=ItA24HmknO{a^+D=J z!}zOj*KfKpV||diF>}rb#OUm*>2v98X3qJ58S8`8jkXS7{W_FQH)gyKln}rb%vc|&Z$IgppI6_mZ@R^^{)uz5zFps#=-Y;|K9ENZV|^g44de4c^ligf zAE<8|#`-`W<*koM$fp0~vL$Yp^zHhpb?U~9^+D>!jL!$sjTpU$SK|q-{#ieG##92g zB%b{^>|L4gr(t^g6h^Os8K$>SWn#!>nBG2xc||iAruWmz#8l5Py?qMvjGeA09X)== zWdEc!G1th~wH#^9Oz)?KNv)Z&KG5*il+*iZDJQk&a;y(t(wZ6TgGY?19x+VW$2%vc|&FW@$Y9?S== z4P$+v=b~Y3K9JUiu|AO2hOs`7)`qb@kk-tM?fT+_)S6y_(s$`jS~FvPkXkcieUMr+ zV||cXGh=;_S~FvPkXkcieUMtydi*uE>udYO^Yp=t^+9Tl%-F6k<)qfkSRbU;%vc|! z*34KRq}I$>ALOxHx=Qrw$E)hNDz*u9cyWI$_}%(Ibgmze?t*eaMl*E7^lVU>7{wc= zyPz<+(usBRoAnXSgWmVP`nOA}XnOwXX!b6F->cUmIfv8FR?Tv>{{rQ76BG$F=rv4F z29=3H?~4Y#dZDf+#KLH@U>IwIkec@iVnM+alg^=6By``hW8@sV>h|(m^_s`L;y>?F zhn}XKbQ?207ZllK9aB!v1(i{gayi}xYEovb4YEmzc^-0gj3-_(&DnI*nVG6v%ezrG zWDdDHwk{3R+ozQCiv3x`^!BMt#BZ41K81P47~~bVgYO#jtE<}BTDmdQ+ozPHG;WyQ zO)DeaxSZZi3zNDr)B9;K1kh|@%ccyF=Ks@ z9*tw(yKZs6gQ;6;&5ZRydXyRKgVdTC>x0yqnR7m1#`+*V%8d1a#;^Js*RJ*0t1taW zLyGtxAl3#s3V|W-vtL|Qd%`20Bv&jRLowC`T5&OqcR>V-EAh|xW6o`n-Ap;w1tGzM z-nDzie=x;pvUFjCzP$-z!`)QPnn2||X`}u*HtLHBa$$!V>jF*6F1p`Gm!lXBG$}KT zwLvKQr2UI$KUX!~_C%>;U~8%-w>|MW5)Wm=wczDS*G-ON}UD7Puxz4ydq z)zmF*fK1F&9HaGDW{x&6%+Ushu{O|K#_WT5f5ya}b*5x-%q z52Tx6tPkYTn_k;~9QNs@HNEbk?|dEdfnlrx1+t zz3%Zm@6+oB%gxvNj-Sywbz{c*Aa!HL=7ZFY8S8`8jT!5M^k}>}4H{SA7nmY z#`++&X2$v;wMNG8)2G(VSRbU;%vc|2{DxPeKKo}&+W1;Ig!UsexHlfn_Ic7cK3)QG zjPf-z)(6=>%vc{}`!HjDknO{a^+C1|GKYP7<=(K#-WLx0^wNzP>x0yd8JiDMH)gC4 zQa5I-4^lT~tPhk!bXyzfW_=)!;=adY=4;vF@3jZ9bo`?Ay2s4di^)|nI%oOl*H+#6 zm5gsWdUaoK_iyn$#yQx^#PY1(9tUQ$&#K!qzPM?a(LRgOl9*ve`xGXodWIS8vzS-R zGYz93{d)~c)$OJBVGTw1G(~1Lx1-Y>@a|C)(5FI z&Y9+3{pxe6H8a)+sWmg!2dOnP)(5FIGu8*GH8a)+sT;jd{TK(UYCe!hnXx`d-I%dH zNZpvRK1kh=nS1ri2dNt~)(7cPT(gUfTi3Uh516q&NRKjOeUMr+V||cXGjq-d%vc|! z*34KR*!|YWI9pZLyko`r6aRNlA%3eq5Pdfukl_NE?>pktV8bxO1%=USJeMPdWBzVQaFDPc1U?DOz-kJD_I=7Cnm{%-#o2m^HEGEmLgFBDd zO*G|b^7BTG>bG7>QJI*U?P5Ki9?p998 zhw+>@%xIp%#B<&-qj?siXV07L_TzK4@}Xfy^Q>}|4-GS#r!dlu>o(eFF?#kGX7tj+ zNH;EL^wP!X*`qI->}@)Z!PaMXXpL97|3n3h52UqWR2iJhNo&JcAIPK3%w)YZkk*E= zKG3trFxCh1C~F=6!Dx`WVf(})gke%QW~>iVH)ck<9=b7OeUQ2_V||di(KWI^GhMH` zrEbW~biJ6=jT!5M)QuVIgY+9S)(5E@Gu8*{+qzMH@7wca)zTofroqlnbS&ey)S4OV zgVdTC>x0yq8JiDMYh>nL{n8+{X2$v;b&L1ecCF{ps#Q+v#*Fnr>c))qLF&eg%?GI) zGu8*G8#C4isTx0xSt}@znn|t*`H)gC4Qa5I-4^lT~ ztPfH*W^6u4-I%dHNZn}P<0l?4hklBCB|qa1lrpwV-MAdx0w{n3#T!_K{`( z?#aZg`5D8=>78Ik`z+=Pj~ZsQ&thJ&r)!weK8w-1#uM(Ai@J^WS&WVt7)C!TWAegC z11@K@&tg&oW=8ugCN)52=Ih0z25=|R`%k}P@XqP#-!_#7%$$9|%-ILboPEH|(FYH> zH!?Ih`oJ&}*ZROPM;{pG=mTbEzV1GFz&&JfpXSKdi&0-N%+UviIr_jbM;{pG?1LEQ z@40H`>!ATNXCE+g_5m|z9{}_DnS1psp3DdIY2qKhSMSz(fBaT`AXjW&PNkz}!vzxL zn{@(t)61Yg@p4A%a0_|Ft2l-kCMZk@W|)x-7NZA!Bnt{>n4mCPNaJ|)qmn=tBh_dR z^k>{xHO2-XdL!w_@6+q76IboLPyfcTb*$%_a5M%njCFy=AcnCv(Ado|)&?OpF9XE0 z#e^fb-MEzKx9N4vMIV*kJ3^ctGv#QB;!Uru|HOG7$H<{^WA0v#-=^2p$1vlOupFwP zsA0}F;JTe{z|7eO%vc+!E3gmx*QM%ln#>0AmN963&IZg_AEegISRZ7UL&k5@XP0Bf z`XIX;Gu8*`Yr5|GC&siVU-R~g^+EcY8S8`88kqN=_?&60oBqW2fpx{G`vu1Vf*I|z z7=37lnVGH^^WC1MDb`HaozVv2^k{ssZM08k=qsebjP@B!j5eU0(LROI4Jgd$N9D=5 zm}gv$VwjPy7juQ>4Kw;_VbrEvH|v9JQ)avmVkM8unfZFvO+L`8bGz2_7;Is5B|98F zfB%U!$%EFW9P0yVZ5ZnV^&i710Q7;hHjMSbE40>)FQA+CL24btEMTk;6>x0w{ znVGLwo2G8ejC{SA)QuVIgPbbBs5gd=)&~#y4TiA6SRY6?deb`?>jUX#80!P+W*F-O z>1G(~1NrRX^+9Tl&yu-SzuHtiVH@Hsu{bz2~58dGUk6^41Qn&CaXl;Fvx-nyYkh&o=x9V3ssT(tAAHeG??>})) z&v+-}Vdm@uX3jof=IDb59N>z0Y(7x`q0jIHbM%2J=ja2&9DTsd+^X+BFpTwq`j1|% z-+kRLLHW&;{~h;=q0jxi|Ma6(leuF#0LmFYko2pZCoEM(Il~8o!5lKT>KBts{>%&? z6h=$_%nTnaCYSt~89rD{F8L!fx9S&@IT6P@vA@;&_F_^4Vt!-ar7+KYjFfjP_amC;I|?_9>XrKAoX2wZDITzRvzb+c>}D zioqQI{M9;g6@3jo%CY%c&n(0Ed>!K|!!#d!Kh*rKo1R&QX+Efoo>_)zK4?sgs|+I} z<9s2tj;ZyQcYb4`$T6Yy8@?nNT3a8a)>w|u*Lt3Qm>K!jP*h4#*EDesT(ua2lCquYu@kQxmCY3NUdqE`8%(zDvY#_B|XsE z`XIIDa;y(hYh=QRChw%y%-DR8S~FvPAl+`7H~xk=rfwQ5-%<5lw|TT`X)WDMIo1c# z%`iS6M7<1S^MQ0TjP*h47DEou!1^F{gIAy8`C@&Lx?wqUtA1&nx-nyYAiv$rSRbTr z%-DR8x?!J-yK7E$z3GG)UcI`4kxIgVc=~>x0yd8S8`8jhH{NaBMVCJTJK3 zC-xU?K2SV{Ir_jbM;{pG=mXySh;yDs1N9%e#XK~y`5<+}Ia6%jnsQP%Vm>opE4;ts z-WZ?LHL~x|JX%#4UB^vpPoFrO)9SVueZbr>qkR^mKKF{f+O02qX1*>=3^xoj+GjC( zYs@gCeF`HDxNf6;7Lyt^_-vHw3qYg zpO=~p&NV;%+NzDAx#p)|Th*BG0nW2NJzrPmitTd4G#@l3n!zy52bGZz4AXqjnApQG zOv~4mk#|10PSNvqW2751EninAbz`RGgT_cVW?DX|OzOsrjQsE1xiO*Jn~nqj_IzC# z=|*p>zJGhZZcONA80!P+W*F;(D|9oA^?`I_CMMKIx6}Z~%6-3n(|{T818KmF^+9UD zjQ4>wV5U76T0HVWEC+ATpWlAIsxk5bGiM(#bM^r}Kx^u}I<=^#x|mK49kT z17^-XVCLupx~4PM814Ar5gHig=mWzXePEcQ518ru_088BQ}7;(_dzgsYzyq(>DQpt za`F^0BGv|aWYO17WBIc+&poyQpYZl=Fs*qeb5R=o#C~t-3L67AIz~CIc^VThA6>}w zbX}P%TFx-7c^VT#B*V0BTA5cgr(s(AG$vjSGfeBIl~J4OSmS1$Py9=#wRB^~`XF^< z#`@rrZp>I8q;AYuAH1X+9nJgnbiL_D%T=GAt{WrWn6W-c-I%dHNZpvRK1kh|@jg&K zh?(f_ou00z28QuIh(2c+>jP4Idch=mWzX zePEcQ4-9kk!4)S#b|1tzu;maH`&Wa|4UdO>V%_+_+;r6A6LXIvv){ZE?K6DP>qZwa zz4cr^l@rz2HJID=y_}ndiJ!S$UlE7;jF#UFqaXb{t-s3>*A=Tch8aFsBKN+AH*yfwDvxbZp>I8q;AN}?fRub>c-3%A1o$yV`k)o!h~+G_?FVHK@6Ns zo9bClxAw-RS^C510}ZbYV||dzz3@_7lw*A$-Ap;w2hzwVCL+Du<-7k`PGsYPx^qFvk#a#`+%9V512Xo0Jm7?SEhyr#Jp#| zR&%ZATpUH*wSLc=t2*)+Df_T-0{1gg}twZ=$%l*j83{3 zeL2oB)&#G3)!H!D1g{vY8)kIURkv5w=V; z8S8?Sni-o4Qfg#ki^9Z{QZr+1Alc&F;;wZ39aBuo#*B4A%EpX!LCVI=F&UV6tPN5& zF2~wHve9Ho@8_RnW5&85WkY7})31(@vN2;_kZxnf+8||P#@ax#Mc&!n*0<^BqsK7T z1t}XH&D21~G*8MFyERZZ>w=Vx8Eb=-jhV9z==ft?G;)lGnWGIJaQnskGp|4?c8mOO z7;6Ky55B_lnU|ofa+0C()TeLLSMR7zAGD?W>D%;`$@OG<_I&2CDuuVk$h;O53zE%m zow;LZyZKGmoSYcyaynmsV&H2sv9B_`Qb|~kCYiRSe`cV!Yv(&kdQ#rVP zG`}v@%eiPAcF%MzNxp5GH|5b$l6xMjT8z>gO_g+8a0{m)7knS+efL9EcP`5Rbz5u* z8D_N3D&~rXOv6Z~GTJMQb``j8qjeS|)eJMT^V-U{ z8Z)DhE=C`Q##id^Fq2bFN>e%SnX6YhQp_;=5w=b$&E;4ZdD-2iEhm0 zn++yIAI1r$*Pt_X}O%BXR1}gSHJQm+GRS$+va=zyleP{6W+Iw!%4<=U1 zAfDla!o-{?PP-B_(!pYMJsdN0i@q>1%QZ~%K^?ZdqUj8yKU`ACDo0zUh8gLgF!3le z%+UvU!tx#a+@@~2^ia!Qd;9bgRaJx3noe@Q<4cUL9QAD)g1lqQWSC3s!{t~XWcwg9 zx9E%3Y9D6257a))SRW|Ay>UvC=U~t~**=l)_V$@KpsaYZeYhO!gVdUt@myHtq}I$> zA7o!(#`@qDTHmpa1sYf%$ye0G)RVy(!A#uePJT+++2?JL26A~Si0Wuq&1c^x9C^hLu67mW=1z%bT@oK%|28J%>6qshXX8S8>n8kw1_S2>zv(HE@Zp{yTaJZc@d zF)|Qqf|QyWYl4)G8J`Oj4l^TH7YWp_nHe_FvdEe%@G8K})vFv$O=%i)#}b)W`l34` z^isY@?r844>&!&mDu(ko9dS0Ls67p1J)o{*n4<>_bM$~=jvg?~@W7HyUB@u?c@Q4Z zZXvXe^*~C^j5R?@jm+GdU*V{`aoy(Ld|@O3mt$?9EM*vLgOr-fu{H>)Uv&4_9eZa7 zR~yEnnW@)+C6J5O z0<{O$%+?WF(t6DkuM3fA7c--679)QeW;D)XB(z~h;}j+mn_))dEJm5YFr$wa#+Nht z=wj45G2Y&W1=@W#t&>tSGxGE*CZ%S^x*(-yW^~b2jy!GRu{KDlxg2W)r2k99$` z4j!Ys=brp(9U0Ux)&waV9oM_(YxAod8Pt?xQ$fmx<;*?#)~)d}qPt&mQqO6HYH`Op z7-xQyWR)V-%#O$~!D3|4xU+XJ=Njjya)acb)z*0K#PY*tYROqsPGNLn#4tTk7e*&j z4AWbuFt11*$ml~drf!)*VKe$Q@^mTY5u3eF+Q8PKQBzDxK%48Yk*P~L$>1=29H1&p z$`*ZlYo6CQ>spwUjhUXQ3zK!jachjqP8!g=p0AOrOF0=&Y)C^qXB!|ha&;+3d5ZQe zUn5l)L^d$Y(FTU;y|k1g2@KOybz#(`hUuxgFyHe9B=yMEafG(+z2Na>eKMihpffjJ zJ)%!*9T@y_&@0m3iHUvh-8v&zk9eMxd0!)0S0+|g4KsYOG`M2gWticE#k`buxNgG- zg^2}r!wer3CeD}`W~76~$U9Fu$M72Gr>1VqSRbTr%&0PJi?o!Jx*;<*!jQ4^3#*FpBOS&;*^MS5Gc+$DrSA34kX&}Eb zV||diAv3n*iw{yaX1oui8#C4isT(ui2hxDfDCv_ZPB(dn8S8`8fEn)tX~2y4fxN@a z(FYgZwDuai@}*7H=N_0x=eGQsD~RFe+}xM%%pJE&?9ZQAf2i$~Y3QHPwa%0x(|s@) zyb9tKLsyf%<}34E16@@C<#Zp+a_H#HYkrYrltbr6UXhHN25a^c zLq5Iqu~qHLv-l;&%YEPG(I}C&RIZt=7ot(3&OJdfy>-e+OoMB5fo|5Q(G*6bM8k|; zy6UEIXxy)B0ZcI(Sejx+Ctbz7Vp-Zq&>N?OlM=+(VV7+t>s3xlz|2V2i^+JH>7BIH zEo6JqLh=>Y(Hq(10>hkLKqro_{`IKu@x`w}C7YIMKsM`wl#LE_=yO~qo|KK5qYZS+ zKh*7LgBPv0=-nz)x6~loXfJ2v>e8gr;6(=`uaT<@BMl5=eIV=5(S&QH>QYQvhZ&m; zQUYd_T`IgDFHo2^s!&#oOA&*HW{P~n6WO%y3v~T zH7=tn@nqeYu{OxMF=K5I&4cf|UhyA{Y-*k>cEt83jZ3-=BMD47)&`P*nXxrrWRnDj zu{Mwdah87%XB?p_#bh|lSQlhC%-Cl_hQo|?fx?NQHH2eLkS$6#*XqmCrxxXMtP4^C zW~>WR0%XR{d}$s@K%>xW9HA;mwkR_;7i2ihSQlhC%vcvFoY<<`TQt`4P3vTfGGkqk z63~gTD=wlm!{MG%$?yL0AWONS-rQtA)Q~O6`PbNnxv+js-Lu6efPp z%uLmbiK=O}a4%*Yc8%ZsQ?*AN*3+snFr#%A^Ni86DQ9%j#iRsWx6wL(`s zpb@{86^+sv4m0|@Wg5GR$#9q%sk$%Vxx41!;DP@84fev1qz25>jH&Ci>}XDl{evJ zi_)}0m-QJYC7^P2`MhCL0%pe8y|_RUFk@XH3F!LwYi`Z2Vlo_NtP3(6X1og&4l~vT zTC2Wsj?lN}e`9F(?}IcLT;EpVrFkZE$5!f=sx>w}Ft}E&SL+VU1E-g#y?GZ;zuTk^ z!E%isXY#R$TI^;lzZjyKiQqUK&Iwnhyb@-;`X~5Pb9Snafuep{3Jj zc49;{*w<^?d(CE+UNzI`*f1>*)W*^1*f1>*G$uyJhOs74zcGw8f${*Rn|Q34#!1^mO^~uN)1C&k94*Y5c-rHjF_I0-nfvj}29k~D2hTXue3FeB>w=Vx_LcRS zb5l;r#*B4A%EpYfLAs6OIop8FG(G2j{E|B3VdiWDW~>dON%5oh&sg9wQmZEz#@awl zY8Yz+NnjXngXjr{@ivH_ptAOaIKDPgo;;F`PFv~gkSE!gvB@B1W5&BcvN2;_pq{|Y z+>c)ll>{_!*M`hV0%oiWQUYeY3nT$E-UX6?8Ji3wL14Ra#;2i*akBF;b9TYbeA=<0 z|MS29-~Z$P{cr!v|MB_X9`TjdKe1%1)L{f`8S` zm6awpNWYTRlg8m!vU<```$GQwR3$!^MDB>}QrgoW#&7iyiMI9 z|NVddzp;@2PKNLA=YRXZ{dfP}fAfF*KmU7u+pzb{&CsY41l`b~-OToen+SHIN-faB1?cx;s+fN><$+4R9r^?vBm6kRPg>m`>}-V=4)2 zcuwh%a%KpJq*lo+-W?0|YLZO&ZBl@#Yw4d^rTcBYYiaenkHRnHS-ktS`%0EhIBKxE zXMgUzAJ@`Tw@djmv#*byFQq@HZkLkG*DX%R`dmv--67>n%036al%7f1N4;0Fn(Wiu zD_M1S){6`IrbV!$XRhR_B&3|Bo*N#fs#)qm?@rc|*_W3ub^mBN?nBTkd3xeAnuo8nAS+n65=;{8U zez#Bc)#Uv{{cgYMl`K`YZ}dWzed_}0l`IVgdg5vG9^ybxciHzX(9>Pp$gO>mm%0UF zEBx7{)b4U?kK(no#A&DDl`L`emGHg;)I$Ed1Ms4B>;Po}`wqaX&=S#S_WgS#cWbz_ zx0KBX{<>=$sYG%nqP7u`UyvnY;IF$j1^}U#zH8Xq>vmCy_KSK^%bL7PPkoo=T6+2& zQqBzFtq!YXW=P<#yY`D);IF&(i(BBY`^=EQU-y|IfxjNwFK&Uq9{NJN1^#->3~ATU zOUlR05DrSN;ygYZ3F>m(rL@3)Me=)Ttw?=s;#yj(UEhqjlC|3P+pZU~z5#R>?Mm(i z_SQa=D|wpqv3%U7w69+eZ49~>cB$kx9rUq$=+i*C{4S-xRXXt3<6Ghc{(AgKgPnKp zQi=A9TcD?hz8LCurzv)p`u^^}RC1r5gp~K`NsQ%VdJ;0JV_+?f_NTzX8gO_8s7ir_{*K_uZz+cawDeX4@oQt8ZYY-w#& z=Bx`@UWsqRUzCRRAHAkeDyW&mMONL0o{Frx4Luck>b{~U$YbAzo{B8t z4LucknhYsFr^%2~9=&?$>^ck|Gj|L)^7f7=&8t4I;5PrW9W&} z`ueLAOzWU_^LoX}$D$m#k)`jx z9(rkVW`oev`wmbG`OA7J>^ne>(zXN8-pr>ym%6m4jsM&HUzDEt)c5i)rDr}7SZ!LJ z`DFgDAC_Gy#PC;+3)m_d z`bEmIOT%B39=kOBRb=(V@K=%56T@GS^>I}}$)*#b%nD*Lvc8MHJ2UO2gYq1F8~(!Q zCeOjQ;jbcVi!%IGWbKNEzaR@`>V4K%lLXiH$Q%Bm^w^1cuZk=?G4EB8HI{~+iad4u z`t>#DW9W&}V?KtSiabsF`t>#DW8SMOJx%(&B(GLU$4D8Fxq*+~(7cd^aP&;( zm8`l0J$(si{semBrMVU-&=Z$sMGh(NQk8kHkW!OG4^LitqVKt%Ku=#fh5QkE${j!p z`R@);g>478Mr+tPKy^c%4*d1?P^ZoRMQJ%gegc1e>5CADvyYgdQ@wF?o$zFiY(1I( ztLm0r2L9qUw@SM;;CvO?S81HDBD>Awd~I^zFPyI;yUpW#71?bb=c~vrCC*ooUCKGF z{R#Yq^HrsNFT%?za!9F<=bz4u^)eD1q$(X!>XY>+@E1uqx^&<#e0Cy-l=@`-3H(L$ zwn~STI#>G%{6*eWl@2Lqc?kT4S4gE}EN5nSYNw0v+R%Oif8popN&muQN^9!t0E}Hp;4hG&$e|~FyHsvu>$kpLe$0DCle3`( z{`%G@%}?Mj0;kku*u1`Uegc1SO-iNR=7Bp!z6bsS*NE(U5dcPHmy$QTMRqBF4(KUyB{ zfu4Re;qQT-el+3lfu4ReFYke#el#!dfu30X)RP!X&C9z^4}aH(AI;0VGGDyNnt=Dd z9&i~;O~8AgCzgh8($4;{ZcV9sc(0g{Djj1f=t!)J4cZ(K-vd3}1=#N4y}ApT-Rg9>F{1X#xw&x zJ;pQxJw0ZX4)pZU2mU?0SC3h|13f*)Vgo%r#xw&xJ@svV5A^h$Roc$}@Y{@O26}pq zY1-Lem2O<|2=w&SD$S&@(Rm8tJi>eR6gYW=_v$HJ@d)(vlppO8XMa!m(H`Nwddf5j z>+qiFBZcYdWZ?>;J%ZeuC9^ijy)h63Qsnm?;Bpd-rZ8{!0+r?NJHS(bh2_B86EY#X z#L4AA!G~S~6K`yl#C{Kf?d@6ntj|^3p;N#JL2yHyswHAUC#q zIR5(hM=L4o)wML_sl<>8G%t>2Ga4@2d;fpVp2j@X~$oX z)j`K!kkvuQUmv)GyKX-{ggkYJltRZWzpfMEn1|yp&O)bd8%xMjx7j@8>32veElDLq=%y?>X@Fm z#eT@c^lBIR2uv znsod{@Ph`@@fT!`f#Wa8QlH~5$dc0W7i3wn<1b#`nhu7PGeaDIQCgKa{vtX<9d!H! zSsirz1zAlx{(>x>IR1hx+j0E$F{k4X$6t`AgFcod1nK?4@fYDE-9aFp4I1eYs`S(G z7p1#{Psd-7yMs^1UmtVc{dD{VxjP7a^d<$go{ql=Wa*9g>G%tBi}Q5+1$p}IW64vF zEsogz zF#y1It9xPqh|mnO1})Ijmo}YepeGWbb(4XfCNzL}POn=Z%1`%ReUJ-FmAJ)z=|h?C z39n?PlzXo}=CGg73@@z{x2L>UZ#zIQUYRLnC@sPL3ZVg|8WrQzz-P+`yfxo`A7d-=ieQ9@j2LAe*so>tLk2%tR2LAdI zT6+fmB41|rJMh<+(AqQb*O$=RGw>J5#Z^g2IrAy-*POhwk-JW2;<)$fV-DnrwvE!7 z@PWVPoSqz*iK@3*$7XW%a`)^sI-zvg!GGw|0OgFgd*&86jM;IFx~{B-Zt2bWRQq|fZzY&wCS zxa* zIrB2m(`|OHKu=srP$ePdOxHk9Jh;)N13lg3Q+Nh?BD7zneU-k+4f_oAM4-4z`@Ec+ z&(A^r=k$;rSLlcxa!dKX1JpwP zy8~2V{|2bR+jjun;-+G^2HoO@9D3?$2HCAam%AZ{o*ME&cAMAbZpfjhc0b@I2|YEo zD_@K2sizs_&{KbhL3V5S?(ZIizgYEaZ@~&6SVh zkg~tmA%~Rx*#SAE>@RT0A!S1+$S$Q$nIXHBcndLC$Sx&eaw5Bw#KVc~QvS44i%MKd zBHX%k;4ebiM0P24ANgp^|^fxmRN zOX-lZ7g&5-K9)Mfg&b0jodkN)Augpu%CVF1Ug`Xm(lM63+CdH}+p`Zjr0lO&$T61v z)e70ABxs>E80hKVejR*rE+t_ID(z!=Z{%GbJR3_M%~ok2OP#+`+NHerS1V+fk`rxJ z;!<*SEwW3=8MVkEWjlxPO8HoFdfTM~J>A>0Pw9}dKMEm-lnsy}hm;MFA%~RxnFl$f z?9CE#OZmP7)I$Ed18`gyJ3w*mI{=4u5;62N1{nD3J_}XgFP(bg(hO_p6Q^>Q`mm2( z2L96B+VY}WX`OmPc0F;D)BX0-LvA-Qr%Klt}98&h@666@m{#=3_QugN(bFS=xfgG|ol&}%Fx;9>77D@j7-Ti+=!rW@8Z<{w_-vId=&6sbFiY1H)2=IV{8d|m$Zid+E+U)F ze_}Kuo6SR(H8}o)ES)(1`lQ3E#B82y)KZn>FUV4rA*629?f-DU> z{vy$|?8NaGWDT0*FUT4+$6ws}nwzed@j*XMH{|<-&*T^HTTqAp5-3eLcuNFLhrJa!A?7Uy$2k-*$jr$bWZ$FABRC zs8-K?2jJzzR-faqPhQ{^IrP*gWppF-)Si9FzDo1pX;s4B?OJUQBIMAMRwQ;~FRe|7 z{WZwlZ%$C1ESuL$4!;4BoGG@Yu{TEP>0n6Nhg@_pq|~OvR^^q*=2@76tPZ;O>Qgtu zz$-4L{OOQgN;%FUyOi>fL-zISCLE*J2wuXIsH%s-@zZO#A4``=(~u;(8*(LetO6v zt(AA&&cv3%bFY9asK0lq10J3xc0eFyj!^8O6`rAtv2sNf2j zMKJJ}@?cWhXZCoSKLdY_rx`e7b&pH=Gw_#gC{efDJlB%jxIKF7b6m=LzVxN+aVZl? z;Y|wL)vKx373oI~oX@~tKZ1OpfxmtP`N*c=m1t`v?!w7}e0ufs(m_E!!ZD(>rb6Ja zAGz*61AqO@(i8aWM_*`UYVf-Cg$5uDvYHJ1^`p;t0zdVI&9 zfxnd8k)RW|d9G{q5C{I^URIL>e{s`FWRsF?1X`sXe?gX%j=xA!FqN2;Bq>g9zAw= zX;Mqim!l_2cfZM_;dKjC5knK??)R6U{Sgx~{kE}$-0)q`2VQ!jeNHa~UdZ}{{Brcf zYo4mx(Gz6V?dS=z#BuaQbV_fQqy;f?NP1D`qc`_nJ>lZF$TlyjWSVp-XJym#c$Z3a zhNdU*uH?2sB89vsGJC#Ng4|~RCG_;Z1JpwP?+)-)2X4LtR2%CKKwM6Lgz^#O?dj{M z9^AaFjbC#LM~agmH;DUk{6*;zG4!N8n-46nL`aYitRQO)9Dnh7q49P6^+m3L9x=yX zkf(G=soD4C_>1TxiR1VSa<524T6qU&_W9}I*Q~o=?!AI6OLP1MSxvh43bKTE`~_Kx z_0vPhvP;KbkkxO;Utd4^j92RLi(Ss_^V360%K#mJed(Ge>A-v}mB;skFG*9?EcIWG zzXw!x- zX7A{UO4OvIC&+5j(GyW>635XKWQpVG3G&qK^HSG6$;uW|3I<~s-fw+eG1Ng;-Hx8f zjH7-#dLoQY{dV*OS^akO1bOQAd8vDyMDY3Qa~GC|%R4w5nxiLj^i16$rLgpuqbK70 z)Ne;mkkxNTPmoo&qbJDHiK8c)nz}3;FL3 zP=$R5sO@Cm0p>*Y%kdWxjw88SgOV8I;&z)?65|R|v`#BqF=XG(=2*6#T)&Dq$Fg7U z{~~>owCbmaxOJq}z+aD<@PWT{8I_*6HO$#AsfWBLn%SQBo!mT{*`D{k$ZZXt_r1v7 zZ_oPkM(o8o!ji`*-z=Y5B)j}&}cJ`9?aH}_sqTB>sI732{o#!{2==H4rU zZ`G5)U%LOwrJ;~gbJg>{({IgH&--3vX)w?ecP46gxdnRSa)ih}mbyesX}4IeJE*iv zspQKXCi%Qn@@2?AFO_^5vP-Gt%aDCuD$6otU%!-P8M3cmMErLL13f*p^(`;O!Swx0LB$kS<`*-t^$oBO{gJxzw5v`X4QeTAih?@NabDJawCtkf&~w60&sS z_>0X(bvyoothyb4K~~+4zaUTDX7lV=Q@71*$WwPnDc9`H@fW41?vPThS>n1~-*U2e z-ggu$_nPN@FS6=({Kej>emnkxtbV)q3bJ(K_zUvX9a74HLxj2aTc41)?1MaYhm^Ap zIC^3iSHB%SK~}#VJwaC8j-DW^-;SPu0#kR4Qb!aM!~=Ss1TzaUSOZu7ctjMjZD2`XsgcKk&pYSQr+XU}TV@fTz@>G%t>q;&iR zSxq|r0*FuDK9;()ObC-(tZpYm4k>4@I{pI9Pu)J21bMXZj=w0ae!KSyvij}liBAI6 z?dS>e)a@3l+sTApg_JX;+~Xd_g?XFqPiVDK~}#5Jxx>+ z2``XxSUh(y$eg}G*h^NTfPHEL0 z=;?E2aiFKqnZZ>JI$H0YFz0_^a+z7kUW%^))j)@YmPO?7&|<&a6s8%9+`Lzb5wT z+r3wOVoxO@0q$wRzYHKCQsx`^C zSdAsI3PGN_-D3HYP-&ME94xX+sq53!?NTzMyL6x@kdMeNxZ;|)?HrKVeAZq-N67P`@{KZpCBKyq#7J~f={51i3k@p=}U%pEJ$oWaSYS*AHiV@ou zppP)5)>zy^}ZP9{6j5XCm)A4s$6a@Yh_X=c2gREk9}GeZMJDI=SaUPja6| z-gin*zrz}Ix)OQcDc#E&Nx;1)0=AL&oyhWDH6ri(O^MQZAkM8psm~$1loL}EdEW_7 zpPsmsB=0XPj=b-b?!_eXzLSzgV;T5s;&meLJEhfR;IE0-iM;QWR+E9hCSE7;M{nIG zFbDID($nv-hMC!czm%{3;~w~njJLgN1pb=L4UzYq@3txJ>zCdOr|uX_p-g6k_ge>l zk@uZfu7zU)e?1hreGmNg&^bM^YEgGXg2?-RQ>u9qefU_CaI}Pvyzi9mumdKc)lCXf zm3Aq~6)LhzseJX+?K|$|kchnRw@DTedEX&V-7e)*$M=!!lPM{~!Wp)R{d!;n? zACa}4kL^BDjjZjEr|yta$M=!7ozm*J$6oO!_|)wd`xJtWtnHLmzXLr@l8eaNew!p0 zk+mJN`W@(LB5)poo}N0sCk)U>UdQ*5wf#mW#8#|Fu0x)Fhm=CA1PyxKf~Ak}UQHMe zd19ip>JIPKQ^)r#a9&!+_mA*iO|;EqZGYbZY9asK0jjX?0M-9}2bjQ|$otOKLs>)M zFD2_I1#{?0>i_|eE{i67D@K~~-Fy@IT|9e+Vq-R`}@)irh7`UQFF4k>45`{^O2 zr|yt)X13!m0xhQQkaA|Wd#@<1x*dPvi&MWHe?eB=?!AKCyW+#~7eO2iJ|B+1AU8OP zyzlt=rgTWD54Xts{yhmx9*(~tt0#`XAgf7_y@K2yKy18Mv&Xflo;Z4f+;HRJ=n3*P z8DlA*D7$ymJx#ilF9}@!m8W7SCA!+qbJByw_EJQ z>k!Fn;!I!;U?j*>cStEj`Ec*m_XOtf?u}O>MEP*^gojskJ9>hwe!KSyvUK9!tMAF} z0?_d$g(x46o+v$ahm`tOjI8bWnx$_?PmrhIE#> zkX!P|`_4h@K7;&q;WddLlS z)$QIZ$m+M_FUYFf@fT$2#JyMe`={=ZQWKuoYc~>2c;I7@r*60TiSCKK@8o`+e!I<2 zbPr(D>YnHx;<}xznH_oGNinFp9e+WVP8@$hR=*v8@hWWZ0Fn0{a&LbGhkH-7p+(+z zPE4kBNU6OD*z1*OFN(bHoUf=Sj=v!HUKDxXA@_$we~ z_>8>ol%6I-N@3E-+D>UT8R$vR29Qd|_abh!*T(P+^u&d9k=P0k^{5Pal?C?jh-v3csZpZ!6ex_w^i*#JWF zd|v9=0LU)oq&ed2!+J7ljv{M2;egV2pr^@a6j|H3macvWdYXJjlePVA2k3?TcL%7# zz5~?U-**76_qH_z{`wLojlA#QlMyNMzC)H)-Twu7>JB{#lSbb6@5*LW<{J3xOPKT- z_=~HoD(%)VX^tZAJ7=L&x7$3)0aQs?!%Xe8*)h5=ooTH*)SM#NZDu=a!A=g6LLt| zKofFE+3`A%L(0aVBqa+e8-G^jP#@yPpO8b!KJ9=UQubj#MvQyp{I`Sf$Vz1 z%hCqu{;zLE_fXpPr05>Vt|vwJKz3_T(ksX=B^#%jbSV|xL((~yQqet-UCK(#UF$&L zFD0ab98%823GWsApDGC{J1&4qLdxF$AcvF|GJE zkEL?pKn^K;SA^^~uN*j#L&}E1kVDFb!H`4B-Yg-9l)YI(4k`PvpOk{L(1MP zA%~Q`Swape`^F>Wkg_*R$RTBKmXJfr-Yg-9lzox{Ii&1e5pqb`Fu3wV+CrrqIFMaR z#R5TgDHRI@*`-u05M-B9u|SYrO1&ci*`-v{FUT&XVu2vLlzLtQvP-EDArdx*l(V4) zdQvVhN{5u~1%ez>wgU%pNI6?nc&~_h?CBcbE5aK^4k>3t3-6V}YG^W~oP93PlisM{ zT27DU`wmbG`R@);h5Z|#q}_J_q7}Q-;r}8mQRL85yL;$H=xKK0z+Z|5qI6ip?81I} zNFZLhA1EExFxze5uODr@!;-LXh3&6(R)L$5OFCkbNu_3k2Dv zR4fo=mr}7nkX=dz{Xljp74!o+r0k%dN+Ro0_6?F{Wvhh%NNU0q+^1c%~T3>&alg#UGpAqC3%l`U<9Al|{ zj{HnsiS{{s+(DiuLrU#)xW2t~e-zSWjHR|eZj?sp`fwxpMU17kKm6xjTH7DVFM{0P zF5Ev2a>FDNJp_503@NoMa#`O>6G5O3x|BQ-FS1KXOn}HPC5Qh_4)nxp>ms|99MFsG zQgRY6vP;QB=_0$7oR*8+Qoip1wUGbr09Dv`fU0cY0XSG!#i6Hm4&nR_J+*TPa_FhO zKy`=8l`UY)HKHI(^1xplFLzG@fAJ!?$e|~pV=gjy-9pElKm>W}4k>3+2L5^o1{0(0 zl?VnCVH{-D9r#O$bnughH3;t#$?25{?-I-y3zQjfbp zb}1FF1KGz?@j8%QO2zBoigYOzuLIeo3!1$yGl zK~08~Gj{?#DU64@LrP64+(14$nmag1g4{NUKfuZQvgEru$UR;8;tX>4n@_YLPu($= z`h?^oz)Nda1T_bF>JBOOtr%I`xpUcmG+>BVqAA6`9c0xV=t*Hbwb6NL?V&7$L6%Me zJt+u>NUq6be;VBXuOUMmX zBkw!p{_Kdn@3;cgq~kBh{S_5?-yy5tesd7=G#OIr>o4-YlUsb63@PJE$5M`7fBr}XqYELN`4 z$l8wUMRhxRf~THX)c?o-;SQ}JE`A}o*+vn?!AI6oj7`eJavbZGXWhvaldWq4k_gv zimdIFR^5)CaEz(nj-DW^Zbwg$)o-Dv_Z^@X@_%=LF6=u%iM8$kl%3wW%`51qo((da zS9A~L(9=wK$6q-4rtZ+wOnAp%`2Hlh<1fgP-0>G=)$RBTPocEx_zQBQwNJ-ikhP{e z{^EkZRy)UEkf$eM^ZMG1yzi8r4!X?)ylTgdyzkt0><&iWcT#tEPa^L-{nhdA=SLzXy>zaXng z$6r5+?m^a&Qr~lt_np#Gtm7}pJ@Vu&G5c0@4|Ru>`f!W9@7ykxIF6nmOB_c}kR?u_ zCuPjxMJvP7ymK*ihm@KPk+q%DQ+JG|WRr^y&gZGVxqoziMD(34W* zU~-NTl^O?fNU2G}BU&~LN{vHlw^*gdf$UN$u?=J&OKh?&Hqevu-B8-4RK6RY@A17z z8Ehc?UZe~*kX=fKrJD@&qzpEc4k@*Bkv_(SmzOiLw)1Am)ZJ3P?Et-y|Ly=)*mr>9 z+IIluz@c|;^C(ENgr2k|M&5T)Z>V(OFY>^5C4s+qheKqy2IatET?##Ei{e+;lb|Y3 zW(0Za4r`dX>fS4ofM~9|_X@JaaqrcS66t{6!y0C;2L4ha9ZH9kGgkwDkp#PS;@&Ir zj!2xqUkc2j?vPU7bCLJGlGdtjA4>(#u;9DRD@q2kOQ~=e$Zqo!_kwC{7$(4ly!B4j zH&^6+=XQL5b4A{F$XdJuf03%J#qrZaO82K&7Kl z5Lw&FBp`4S=!vWgswBp8cEvzX-1t}NkWwz0FF*U^Kvg<%?-k^!B&3wzGP1UF8MZq} z@+Q}bP#-yPgWPpT)^;jseMi=Ij&@roqIFBTmCsAAYjkOOuikfnTF8HQfGX@eKsCMZ0Nkfg#jYoAF^KFln-G7I-5Lm$7uoeh z+`7na4Fm^^9D0)5gvG}3d>(*Rl1q!l+ z_tQgi4Na3FrDh)q4ZRY5%thXJG86Se#d_tXwa-P~cM=x$8WVZnA@_-NI zjHR3`EWh3pq0GqpPFg0JPv9?HW9o@ZsmJbU(xsf(l*s!|5QX&Zu~#H<(x8R+O3x_q zb>vclH@YW*p4iSsb}7lTEV5fHdz8pNmOuIwV}CGJO@K>eZU3177q$&AEqE7M+etp9 zCIdZT;N6ozPZQu0S=*^ZQU-dO@Dvj2cu!_F1bU(tH5pRQBnkASoHX@%sMkG{B)nI8 zq>pb`U#0a(ALJNI9S@M^#Ou~q6lqSJEDr>mqmd_`gXAJbe%}FVA^+V0s<7_>wXWB(Ia@3J3fIxt9lS zDF?YnKJva3*44&FIB=BiU_uc9$u7&7RNyae7q;Yqzn*gBeh2<~$~p8M z{;#J#SxGDDP3mhi^1hP@uyJGLeTOWCg!hW8VCtY-!*kZJz+YUF>e7L~p7MNt2mYEv zb23?8`%N~%{?hq&?-gXt5cgg|mN<^T$QReU3wbZSZtb{4-3M6)=-w+b6-s!=UyvoG z<1ffsVBLE~{OObqDYYx|e69CHyW+RwFR~y`Pi*}nh*eTL{(?MBhLrkT;uFT36hQoT z{6#87iR1W-09iHZ_zSW+==ck=dgAztw24#NEEe)K8B)%!=-w+}+4Ll&)VIszegAh3 zQ(AR9dV;KxckdOM8r4BZPmm>!qbJByw~yr<5J%Q_R+g#6rJN(*Z%0pLfs~Yvo*+*L zeJtlBn6Et>;yLpDcJu@))l75r1X*jkqbJCkfR3IZYvFM8L_W%?JEWY=($N#8r*0oh zB2Rlgar8v#Zjx9Rmr@=*!c>CXbrWF{&j$_&-vO$Pbq65&dwLhv zpyimaV(*5Wf5dtOxlM||3ny##5n&PJwuZ?2&gVs28j%W7T6H`AqI6FM;sd<2mU@o% zgRCYUf8CYmftN8tN=;!-vb_>bVGf~#JavbZn%TGnqO`t_zSXB z<@gKo)a_%*6^pV=0? zGg~jMKz56rbK}YT{yT@~+&Hqf^FgehIC_FC4SMW5Nn&L_?!AI6;T=6e*66tRijQrT zcJu^UO*(oa)2uq^=n1lV66k4;Wg}}l*ibUf~-m$ zf00mdN}H6B)f2~GkTp7vzsTo0JuxXE3k*5_f-ErP-Ydw0GLFBvWYTp<-gn528zb*K z(@eQfpj-DW^-;SOjOI41ZAWKz_o*++8TuL7Bso4M&^A2h^2Nwmo zjV-daKjthwvbK}pSK>H&f-G?yJwcW@?!AI6aU4BC*2sJ0`eV-0*^s^8nk136om9gk zPDm*v99i2bErSqxdfx$RA^&#==)%4O)cjm`fCo9Pdkh?ZL6(S)zj&d1O56GcSz2}f z7i4MG{a=tr7T43{d0-JY^-Z3K$ou}7JP&vGUO`rqj=vyF9LHaeIjWAl?~o;qd#@l* z-9EE%Vzveye^Gjx3@HUFBJVqQ(0YfDyzh`@B#yrzYaMX>1zAlx{^Fr{b=maP zYSO(|kkw?Mr#T>wtnHMRPTYG%7F!uapr^@5Fj?E*c7R^Ue|LZ?>^neB@_h%;LneGh zhBeF#3H+t^O(^ZwFv$xd@B0JaY}roaeTUqVN8a}b07j+V8rbngc9c2C$z0~Pg=&tI zd6OZ?J#ixMI|oiZVv+Y9^7K2T6qbGj{$g+H4!ZY>`?)=zICAu!$b-oJ@gS?oz+Y?> zsyn3A;>`i2SE2*r$otNf;9l)Gw~W#~6}W`%WFeEt`~H}7~?!AI6 z4F-Ce1LDa0PHA<}y;qMp@}0czzjOE@=XPXmfAB5VQu^s3WSLK(C*ZM4JIVyBHaXA} z&`e~vSm2Y$Zn1j*;*%#f%zW4aRNBXqg;!*^Se84Hef4395IM$jOw+wrkGTp@_q``$ znt`6sRo5NpiM-V!hm=|e5!UM#wgqz;nN-TE#HF0$WZ+VimLUgvn#=6~ATK@UBlPsX z1JpwPYdud2`wmb&+IN7t2M-kS_T()CTm)GI>HaT{*ENuVzeuv%3JLtBmngVZ7JAZm z7`Ea~3c<2t26>tcJqZs)-gjOmQBMMY%_%dRkJmkR8Tf1Nle0m1Y2ks$`%Y}ZG#OHk zUAp(`L9WnNRp2i@3xR_+q?EHF^1f4vMknx>-g+QEZ%8T7%o60{jByA4BDrRFF!0x0 z5|6y^G%t>bmFInkf&~wlIbL!IR1jHx*dN(R^5)jAj?kNdqsM4)$RBT^3-iJ z8}ig`V+mRPcJu^!nly_gb^0_JQqEHE-Yed_>C%z49ddUNv95y#xjRVHLGBJl)^--8 zUIgLt(wcygwVi*b5}TKhTgu4VPTr5{U`VM67+KpXt-2jOktJlvA*CD>qy_PQ%aEUr zo@h!vaqksmsmjq4_3Dj3 z^1ef!x=7USW8W(!E!ZC641Sa@|N(?!AIMmBd(T8{ttWn@@93n((e5 z%K#mJfi zL7oo!`lVF!9ErM=N;OZ`dP7eHWvFgPPmt9?M^C(GAUkpN1bIsPyj1ddnsh1WOeeCo zgTQ2(j-DV-lOdⅈ=aR(*0==S=*m;G8tLhA**gjPmndG96gbZUmbMs738VJr5u;z z)6o;9r-N>>bKiv&K4!>s-zBoP^8kQ4=;(~b=m~3* zlp}&NPT{K$Xjn3n!sOr9-24>w+21<4B4$=T%6CqUj&ZO zZ>D`n*)C4Vj!bkL2eMm(F491@F9xP=4Lf9?*>Z70cAF=VL8aXq$vKn^K;hCmJ}dxk&`DSI1%>{4>5+d2vS z#p$icE+xmbO?K}U%bA8a@E0enD(zD08Vn1*OR4)VkX=eSC?UI)#0aTwmr|ZcN{5tV zZ0^0{!&1U~>^o#xRiLMP10UjWd@S{1G31c4S82#0WrrU@4k_Cu139D|gYdII-qes{ z13l@TU@CEo}N`fu4AKtD6k; zq`NdEu?Z;~c|#55u1bPBBt0y7lz>Pppph1-mDQE5kdXlS@givm= zdO;U*NU13mS=+&Hz4}DfcJN!9W@K%L++)e*2ZN=$>_d|wr8b7h+71Y8JBh6Akf-jj z*v9F^vRTkMAknQoip1wUEyapu)Zb zRR6affU=q)p7*`TlHBlDk*CvFYbf$``l=_$njxO|y~wKD@E7F%BIKd9tIZd=&6O)9 zm+>vKdSdvi$m)sVFUSHcp7*`T8XCi2MV`7tO5qsK`_9>Td$0JIcWcmv6v%E3%0UR( zr6d)4TZ7>*>ei~^dEbky4jTR{vV=GM1vb{2?s?yf+-th$eJ`@~ZTPFmYSQo*MD88Dlf_RHY@np{F8CN<&YOg)2O3dy!>6hMtNn zeH(fzvYIsXRAe=2=n3+yjfS3ztR@XT60=47YlATKL|n-jo1v#7PX~Q0 zSrt`@kEN2jafiUiQr83^`&jCl0A#mVT@!%pW2pdZ$ZoO9rUp5roORH=SBNun-p~`| zK6$5-kW$Wr$=aSf0E-q2`R@);zBte~a5Lr*gm41XcZ zOa=3Q6Y;zC)I2vQv58T3|izJEa9aJ@0#wdk^LCAS&rS)bqYq=?1o*_Z_l6 z+$Qh)?;KW=G2VN1DV1alvd>E;8H4QeQc1=jyOhfA1=-gxg-k>C^-CeskX=fJOhfju zB&|XZv3ak!^{w5U<4{u-i4wYWpeJSbVyzA-`|J;LNZH5WkV8s&AixpcWQW&LI;7Oc zm1k|Q+v*a>yjMlm$eZ`7$RkcjsgEnq+D>VGT!B%%gK}ed*7ho`CWW5fcYs>RU)Dom z-vO$PeFyj!#P+=J)t<~X@E2F{d&B~NealGf8mgOQ~}g$ZqpGcY*9Suh4SHE~TFNgX}iXg zXBq%1q?Ee{kZeOtrr~Zf@E13VMGh%vjS2jvGaZ@?Ddo=OR)IIEF9ra3kR^QJFK*_l zCn4pmF@c^G`_4<-A?2(wfu4S5jS2L`HQ8=5&=dDzMGh%vjS2L`4Of*8DQArd^rQfK z`W;fv3K8gOj*<96a1G815$H()^j~~9L}^JG=t%+elnyCpg|M?fPIP94Fz;27HI{*% z$cofD3G~Fp$RcxE<{i|W=P)YBT?wZ*LGIDvTqDSB-+26u%$JdxbSVJ^BD=-T$rYbR zRmuAfPz(9*4p4=C2dIwjJAi`ok=$ptg7YD}HE@lvdl&eN%oifNp6(r4!NqFV6IlRM z+V#XueUU>?IzaQh@7$o&s__;0i<@++#BH8yW+I1_S_eGuJ9P_dfSP^8v<`qigREf> z{B_ra2W)t0P57_CUtGZI4hH_Z>r;#s)+>?2jO8xK4fdJCL7uu}ECrf9?>oW^G&5>m zi9oaGeW$d(=REIwk=112FJ+yhaM-*&9-jA|N(9LH;`W}%vi%UDL!SG()_4Vg@ z->F+Z4A1*sWc53|SGs~g7JDB{Zk=?Kc6!K!(nq1^eJ`>)80bkk>ZseLRE|2xK9+MH zNPLdzWKQ!uYdcMLOgHNGvE=@A_dC!N_mD*nDdofPtnJh-ABJabFS2wJ=!sjZsyn2d z*%00<-8G=!A>~YxKu_E#?79OzagR@AUwsI07dfQt@FPsnS07zifb14aw7Dwrc}ZBg z$RXuSl0Z+7nIwUpxMS0m1bQO$TjU^ne7yYB$HAi*pPJ!z-(yzexk zlS$9}USut>fxjNJuLk~7c0QU6Jqb&5yWfqwZ~9Q$t$~Q>R!HD4LZ3x;nUYI1Qt84;pDfg4~0KFVV@GJ3QiWC376Bep~Dn;I89R+5OC7Ii+p%gy+#K5$f}- z?NlPv=ULl}tR@{jL6)is)zPa}b@-!JzY8Upr?^Ve(X)_!0G#OF~s*;ApCyt=1=Y6Mc9cz%CBucAE z_g+z2O}h7rB#yoP;VAb?1Z+L;J59ns4 z))aQ{6=cmP_g+Dk`8fW9JWaZkx=z9qNZkX0mTbPdwzPHOA2sWL4tm z39^jMy;lspjLp4QkkzDnuOO>Q_g+DsCSxqez8yW0c66Hby-08O@mUmOsSmfCqbDj+ zla8JsOG-yi*s+>)^aNQ_I(mXEDcySod75-7IkGC4rm12z86_dy7vmQnsodHSxq|rVx8&{^StlWt=Z>! z--|p=hBatI^Stj>dYTL=wV`?5cS>tR^StjxR+E9hCfdaFzE^2A8Tf0WO+4>Ar8VKn zjbxk7M4Nct_bM&Ly7vn5G#OHA3VYsnD$x}7yzfO;C4s+i)z&oo4*Z4lRb=0BCkVy! zzLPmvlhX6P7g>sR@70GAN7AJ4MM@kA*`-wCNXWi^P5j8Wd#~8IBu=2Gi60@2lnnzO zr1aU4AZ8Ph zkVDFuJAs}i;KZ}G)9;xifu1JduY@ z+Qgx7D=x@dH3L0O9154^s`UE~Pz(9*4p4=C2Pm=j9YE;=d2G?GVIo;P?>p{(MY3?} z;GLcs68LK(SvXaW($Z7luZd*wyzewAUnV#Fypm2dj2ZgORt`eQj=%IMAmorzju7qx zdfhYo0)OfCKPqvX=X;}PU*N9^hw;4ch%-A^;IG^4T!Fv9?Ok`^FCJzRIi#Fv7WnHn zTa_vgUl;05dZj9yZMS;I=Gy4L6f%UqB zfxmc)N8}jGnSJiP0*_B=ml9N>O59?Vw2*$gluBCo<$2#p)2m71dEblNb$j0TBDcOL z@B8l@X1}hUaOQ7{Wn&iErDO*d+2`fACW&Wlr%6SDdDiwKPftQhp+3*rPH9aN-k0|d z3iWx`_A1?j=2_bz%j^j=@Jh7lc-HnRtqz9wN;invV`402-vlLi-7;j)+D_fVT0Ge8 zrL~Q4|1`+bcc3TTApYXPZZ9oE=C*2(C61r{k*;5=3iQOJ=^@tH-}??w3;D}>DC|2x z>1N*nl=hHLyPhy#wddA=oi;h}mmb$+Lw7yt@-Jjxr7;>+;(Ee5M0P#tsxXzf&FiW# zWVd-;6^88Az?i7+ka8wY;4gCHcj>@iN~y?MQAj!SDe#wG|D$wBInylgmtOy)bV#Y) zg(qCicILh@&-yu8y9==>L6-1-dibF?_kde&^U9qFIi%FaK;(+oJ@YBNS3mMIc;0sc z1LRQP0<>46?+efSUZpk7JoXB*W=P;Kr8uPDVX@i)JnuVo>$3wLcu(}%;d$Syw3-a> z6*n;2P69mqG$Uc^=Nh14L&h2DUR$9N65RoM9K9+i453)=)s^nz#th+D>WVA&kp) zB0NM$P>>}~c(3%n9#3qCl!7vzwVfu%z5_kY&1cWrPU$iGKu>p_0AbJG!7=+lPZQFB znOEue9iSHS-yNU|`wmcMwC?~D{lFt+){Ti!_zCPfvJ0OT4tc7+8UV zES&`YnxF`*+)E2ldEWPWkZ1ZGQtBJP^S)DB8-wS4FS0Zk_=^;gwNR0g!FwX;M4(xa z)sw(q4{Z!2aEQ{=soTbq!>p;q z#uD;W5>o0T#q++iILjA6+UM&Kb3sh~cKiidO*;O9tR@|QK~}#Ve{tG2b%&HQ%^ZJG zdg=}-XPP#2z@fR1{RJVJtAZv&nJwaC8j-EIrlsJx_AWtPBrEsHXZD$x} zS9J6Qc{&(lIrGxd6IblIC!V#Py7jr_S=)=;9VF+CkG$q3?=TygsGnL-JZn3fxaOs2 zZ7*{7+q1S8d7AXG)H9aU?NV}nuO@vgIW=#xqbH7ERkwSuAorko)^>WLc?t4$DK#%i z;S=O((#KMdUh)E#&r6P%)WMKa)77)K(-TeC$=d$D1JpwP?+(y~uipR)vs-rn%1R;b z|AH)qxc`g0l6|iBaQp>Xk~{u_Je7o=G_yVLJ0fakKOBESp1MO%n%SQBy(*a|!y0s< z?&01mE^{^*dN}^#Fuu?3Nzv}(s|Z5R`%aSz6(nm*RUz_as3##gN`~_KcJN|;Kx*dOUd3GxCv1CP4lRlP{h{E%}bK6BB zLWHT?pmFI^m4uWE5h8lsODh)0v$j*WqV7Cvdy&;aKRrZt4MU(OUN`KX1bX8Bp2#8P zn0=t9PX*3;)^>U_v%$~)>X8uD9a4_j2YMoNe3uUNM1pgXeO`Vl=-jim(-U3h@~rJe zo=RLwE_bPJU!^CIfEaxn9TIq{w2vjXszi1vNj}o#Ku=2lN?f>oG1TRKm3Aq)eJyg& z%eNh%7xLd7pbGmAP;Klx09TV#vD-ZNghUQK%?t_r#f=G-4n57p3H+s0wn!d&nu!zm z>uV-X;4fmry2-#_L@$Z#>lbkkB8QYSpWJ)Jsru9%)-dxa@YmPOC-+|AkC>i>l$uYT z_Z`=T=9A}rhpZXmdEblN`u4o`PUi_Z=sSVrod; z9;K%zE~Q?#C3$m0WHt_PLl#!p7*`T4GFlN;gtwFk;6O4jc~ZM5#-+f zJnuVo>yw7N99~*bhBVer7G}YF5@dBS(9@jilkd(;>-*vv=xMT9;A`>H`jEia66D_G zNtzdA3Gd!3g6<@|d#|{<-+Mov8Lvd&7i6~%vg!`>H0SisKu>c{?^)Y9z0lV-8K|vp zJpf1ujY~O4?4Gr~9&w(Y`07KXTpMzrr#WJO26~z!cF)>Q-TJJ426~#a^k<-_Z{c(t zO)id5Hdkt#EZj`Sz#yyNfu0DAYH@^~-gkgn$bWZ$D(pKz8Qs1E%xV17PY;0_)1F&{ zGIaC2u3N*mK8Nv+xh(n|=E9GWIi_t{0)Ne6=+mRO>cMT5c0F;zDze)=N2wyaHE?Ds zvd`=}QT4p=BGwA>Her1E~t&+rWI73W9Ylki^6`Rmh94~c}8 zV*T_GNZNZSnT4&%xlHeQ-#POXEc3kYko7gf3p`$lj%A;Lzc@naeuwvpvtW^ZW&>^{@tz3bJpJY%2zx5=v7DRcxLv&xT`KUb?FcX6<5}B_thxg|;oI$g zhxh6(;Nw}_sYDm^o`Ih3!b3bL%4wr6dp62T_TddxxgB)Yo$Fh)PEE{Vc`HKgqM1UaOfsSx;!7sgt6KRx7=T7wq&i#NkmI;5Pb z;IUU!GIfWP{npx2>{2SLHQyv|vC3)<*~d~@ts(nZDyubQ zmy(YbHR)r?*NMn3r7nq6iA$;5;E;VRbO7J@%b+N}4zxy#-l% za{L8ZO*;O9EW3341zE%Hr-$7CQImdp2zi<`Yk)jWnl(V4CQVAn)1+Agp2BI;Y#y?j zbng{pHR;|f$ZFE@7i2Z*_=^-%YSK>+Ay1PbUP*tUaib=r>`yVsA!YksAcvINh$Cw| zj%Mk^(G%q9cUWvYaHu<^)QZFvR_}KoI^n>JvD79XS=;L^f*u`5PmtS?xyWgC>!C#I zb}3PvD)F&IMbg3WxRhKtR%w?K@tW-Dsj|{8NUk$o(=swuLMC0!6Xq||if z(AI_5bmdxHkf)N6QWG$;wsV#+{cb7WcYs>R|J?z)u<1ff+&;4JJ zr697W2X;kCmJ5$*47pFhdWJo!a((xCir^%2~Fo9!lZ*nH3<1g~wNgT&t zm2$epar{-erA2lr2{aPfrPKj28D`w(bv+)kOQ~~X$ZqpGH-_v|>VZedE~So>Ia2WT zOUKEOeP(l3-Tij_#Yveq9mijgwZJ<5f?V!3>JBM8#1V2x*}h}QA?2(Cfu6YarMg4P zSqB0=>6DoqJt5_6#DSjhvv=Kro^+f{B{7yAMFBaa6qY7>*o;jV&?y~K&OYa7f0Zaz zJqamik_39<{rN5(=t&2}WFqoaS_j0C!(wNW1bWf|F{MMwnLB}=c#l&(2`Ojp1bPCl zs#Sr#g{tS$t;e@R`z~CvCSZ2QIm`+sOOQ&3n}y_={wU-DKb| z9aVGdIHVK|j=b;Gp)qjp7366$q}1nde znNoqCZrZsbYddipGW$SJq~7YDxc7?E8ni%9WX)3PkWwFok+q$S7@7@%p7gu~aRVXc z%!WWuI;X!y)^;Xw?_80!9kPZv(34)BppqC%;m^q0&PpID13l^02`Y)P6h`FuuI%J} z2dIVocL%sp*uMdaYu^F%ZUyayp0t5R-gnk1bvp3ZO&e(BeW$b*lfYjjH|p^X{6$tF zk=^F?_ywu_+#2-w1!UKg?(ai(n^(*MWS3IG1(4n56j ztO41rL7@ncLrS4c((KqeK$e@f zbR(pkjUn)t0tqM`QVLE+-uFsLq9$W3_4S9-)peq;Kcf1AEUWU+kX=f$Ep*+1p7hoOrQKq6DIc;+scZI-T}tu-sBV{14|P-8$MUBGxFCm= z9g7Y*q|`PNS=+ft-^)y7Z3mmQRRKd?gYrN`)^-B=G?sy$xP0B>g!k%a%*W6E>VmXN zhm^uYk+q%DQ+G%yJQP{mxvi`Y3O&8=0JV_+T2B@B9iSAv?*O`b&-NdB63~jg?{q_* z4*aFi4=M?35TXKr`xppOMc#L!CuKW!-<(QhtWW`_9EzH5uM3-QOpF zY)IKLYLG+94#|QXQqJrP@0H#nr*uf!u~(2o%9*QyzjWK5(jleJ0Z4*lc5>GiMZ%XL zYZwB5alx<^8~E!!GuuxOzmx<392;XPhd=9qH#yr#c(3$00+qyA&N>j@D`gTO+iplX z>p*y~lu3ZnF_!u+imdHqRF_V|d!;uMs3fGEO()Qk-b|o$jOEPYKu`DCq5?f}pR9)= z&=VKOME0@N3k#$gb}9A30%W&Xy|4h;E%q_VR5QW*&#yOhdE0NKa#F%vM* z6E}!joIp=p6%sk5oCz4{NzXL!%3es>!Hn+++E^1JpwPy93nY4VN7NB+={p zYytoM|N5=1>%aa_|MUOyUvAV`ZiD~l|NFoGui&YUtvpPG`o3RSs?#eAH}Ot9CDFhp4R$wGmmliDOo9Whu2)YK=t&W(8NCQrn>& zvw|y6sqMgHR&eDhwH zJZ1$~o>JR^=V%3`wgb=649eOLJV!Gq6|lD~DmYp}SsMU<<2hPEt8H)RXa%jdm8W6Q zI-;$%oyQh~>+rPNcAlddl-fW>?`msswRWvlu=5Q>v%bGCv~+s<>ef>ztkb6gBcZR;}C$aEE_)wc5- zt)SJm^Bk?9)wc5-t)M5|&T}+_R@=^VG=owbBlK=<4Wo~0BNN!hbF_k18=jn{oud`B z+IF6!6|~xRp5tQBYTJ4KG=qZ<{xpLF&!1*+;Q7-G4m^LE!8arRK0N)Mv6?{*>4E2H z1~sGyo}(GmkREu>X29Zc;5nKBGog}SFDf`%K`kIW+WgL=V~t<6&0%80&@X0A9V@@J zqce|{=Z42&=kc}r+D^s8RiMOsJN>=Cwo_pk73r|>*zmly1AJU~YD?Ak(|6H&BO84TRS>&T>Dldy7o=SktY{_A6%Q(GFUky`4YpppHeBz8&qL zJE(A{rJXy~ZZ3_pUKh2HTeIqAUB$rx5S{wL}LlW?(${59@KHHytP#cx{I)UH_#XnOb;ke6H=(>03Pq zweZ;ZTzQCwImu(=V>}!z?(O(;edTGrZD(GJPF{6DmcIjh?ckf0r**JG-j2*!d0GcM zk4@97Jh#%p&U3bdvYqWDhAS9<+74=cA5$jd!QR?-w&hKM)~gO$Z#&P~4$5}czWH+f zrnglG9lyQyt!$?rM0nSMFW1+vS(Z0ad2B4UT3=cEV|Lp~6cv8yT2=8s{w@cViGJx? zn1S=`AG3X|d~D|jnU;3K45FR&V-~wL(+M8Jla_YE44mhiFoAa-U#?Tvq(ItuY`Hcb zVn+`=hTg6`WqJpm5@6MJMHC!(VmWx};Kr%bf#** z@oZm>x+Jmdpyb)kB}qc}XFHhIyF4A{b1p|FQ5ai8*c3!?V#GJ zYa&bEj{8FK@QV1xb6gL)ofY95_%k_l^7J!XTiecaw1QUK&U3VaR@=^V zw1QUK%9D$=si1<1-&N2d=SLMBc#c+3Dmd^Qt)NtJ;5l0XYtDh^xEL^bD!_hG!Er4p zmJKBe?23pZ;*Ybq^Wdp6~o#(g|l&$S5=y3g2o>IYv z#XtHYMo#(g`6qHy!bsv52 z;J0sgU7vWlG*-*;^ZDfA{p`is`o8CHJM}s7FO*Mm* zO!I7kQOe?R2Hp-!$-_>{x;z!N*dOP+Gr9(ZCoc=43&)brnq zJZC#7U9Z#BkLa&DXuWNRBp-f8f8}YtZC_WUCpy_d>uu*b+Cl4W!&A!2vW`zXpy|e& z-juRs>P77P#4Arb?suL)?cjSmllv!^>%M5#OJaFizF9HfOW$5Rz4UH# z;h(+-ahX5=_;NkTLDV~C_C`Pru~wqb+ETn6K0pEwjUg9?|RGi z`qfTlBRcTJJbm$y0{Fxe^Yp?~I@tRb>-B}F>|p1K_4>urcCgL4f2i!Fx6;AR6Z7=d zPU~Rh$@TieQ##mr{^y(k!3|9OZr8b9zjR%8 zKn9SF=TAF0@cd~9E01!JuJiPU9UOR$cF^){@kGjAy0+6igr>gfO{qCv z<*B)F;Q7-I4m?LYXnD3ndZklc`_?=wh6QN+u^w=@cGKJ6cChl~A^pon+YWY~KkeXq z^S&y->rn@_-@n^I9@1B{@;n|t7r^$WwiipOdjDMLyc?IUIS=o7Y+Va82%gQETmdtG z^o>VTwsyh{qMa>zT$yUm@^BpguIfCak9O8C=RBi#o^N)VrJdLof@fVqJfv!po;BRT z4Aw}e8ta3qVcEZdJ1@zqwPM*q)X8gmYNcEU2cDx5lIn?UXzR9v`1eJ0(x0Xj$6v@wxDDyjSVI z78W0#3rpoPuY6taJh4JSk z!|DB)bwpcjTcEKXm)UtrZF@UME2v1(H85At(yZ;Ysy8Q^-mKYqO4Tb*EY=sbwW@a> zU#u@Y-|Xf zFlN#c`iIOG-dCN%!TNWWW9oYG%KDvkeaXViL%Xm6-?lpiWxS~}Z9 zYkcosEDRStw8nR)*dH!Tt?`{Hc8CiT$|I}gB2t{yr}DnnwJr|pjS1y_uZwELR$ZA& zd57-BCUFs|ly_i?UE;!2$~!Q{`fy=Fc_g;oly|m=vb^2X(<$4|mUmz}+e0a@q9NbS zH6pA`<0x;JiJGp+@yb-n+gplrd#v-{b+SIM)~|fMLiMW$UMKwJ0nM#Ns1 z1>AXX{~Ddr8_#_#_Lf)coo9_uxRF zTzSg>z3`AcE+%T5tOoUlEq*MCpEdSfyqbd%2dkRuoKFQmHX1WN-Vq~ z8kl^(URx@SZ_o~=Fo;V_rSSt(7{rySWLkU+U(Z~*uS}TTE>o`D7pBr4DQ%Z=>J*?C z)4MR0BDTl`x_35+QpADjY!PL82d1+{G>Cj(yXf87Almd6zy;IUAX<6M>vtpXtfdF# zmFX?aP9wum;pohdA$CjV})mk)Y)!Vq3Ms1KyYg$7HQ zfwQpMEz*P$yjbe1;Ctse8bN)I9(bzabwvAvYHcUZ^e>w3@2Q<9mV}o){W-Ps9IZef z|C`?8O#jli8l5E!?Mn}(uPSI&?>t8%XjSh#M=NMm?>t8{XjSh#M>A+u?>t8{C{^$N z^nRFO)mwe+t{#(qv|(lGZ{3~eXa%k6WvkEi}*LF&tHS$vr)UQ1Ha(~|v=JooWho%3veocZ25350cw{Q3A^zQxIPRp};jEHhR zwA1ozyY?g3>g#6*_<#qD-j~rwF4h;O!Uw!_vN6R(y)YF%I55Rby)YF%I55Rjy)vD< z7lU+VDn+a^<(hqAVz%-Y)1rrno4tOs6`tk0WMhiydVz7Z0x!|}B%X=sdg)w`5*ZiX zcF2e4w&FRoszf-cM`>q@343AcQQDc#_E0E}$8ERn{b3J`($^Axfg;ZKP>MKc{A>@U zh?VJ?i+3pEz!cN=%5>`9Ga_iOaP9UL#r&JJTN)QHt1^&KA)!EjNLX>1+`#)6R6Zh?Z$*`okhhrk&|* z5hYWl$$PhmJo%4tIxw9rqGUQSoh_ma_P}(uh?Z&ftwyBQ)yiv}>Or|h5oe2NnRceL zMYK#i)7c`}J?k$1(z~-k)Woef5ErKZU=V9d?Y+K0y)=lO=|336&h#G)VrM!V1TPmJ zw3l%J7nw@q%LN=#S)A>mHO^_;yT&WCvqjY49*%6z7Ev-Cn9dea zgS#?4{#eN|^80dQjAxzB!&F9oU^)*|>EXUYWx9PD+hSS|9UlK-A?JCx_c{28pxf)} zU&=ekbRMRf83(36hKaT4XNguu<>!gZF#Yf;^|q`i;qtyF*D&o&e=Ov!@eTCyZq{*_ z%3KdEoeiQieqcHqL}~oMbe^}Ryd~`$ZbT)|6 z-uHIo{D@3hxk4P6{$3#tOlOP0UT;e_UPL+@MA_>%`dn+q7|_-{cRDq?KJPf?EGtL9GL#Lhy&B# z7I9$u+aeB3XN#zXWefA;70$Colo{QYG;c7SEu!Yrf$0y6U_Kp~{;-I1_s$kkW^`!j zY!R&pK8xO$<+$;GUBX%sJJTN)QHt1^{;-Hr#LjfKh*reP6u17bGPNT1Gn)Tk5j)d= zu!x=MKUl=ZbjQ_T)4h~=Ic8YS_;|B7hUvvqp!c@(#3)^OYLIrG7^D|ZL5L0V-g6m$ zvGhdWd18cKJUyyAPYlqDr>%SEiSc>ywAyx_n6MX~QrpfG^Yy}0bA0DHngOb=*N)$2 z_&r0rUd@!Mw>!wZZC!;fpK~vsQuU#oqZO2@cb;6kU+t8t4?IURD136@IhsMOA_tzM z8I-D*cLO-2Ia)!hddVmS&(R86)!QM-Js0gb-CWWO(9Ur&=;7JrIhsKa&(3o+gC3rh zCl~CC8PxFXJV!IA;o1By_l)OyHPgeh`Qh#v&-LQz;n~|cnnA02=Q)}|t9s`-E(WdY zo#$uR;+DYyFP>8Mf#!bJy1GiV*`JZCc~9qc?uGiV*`JV!ey)7hem9~l$y z8qzkMo#(h1)GR%;b2Nii+uqLE3`%V~&)E#leLI>#o6g?O(F{t}Tio&kh;gvCR`t$v zw1QUk&U3VaR`tr0fdDTvs0DGCht=yKPh05T&e057)jQA83{dsQ>Qv{$|IoEk^?~PT z1*Pf(&(R7>)d!xV8I-CIJV!GqRUdecW>BhLd43`TVxLXuy9ZU@mwVV>75{xub+K$0 zPJS|q>t$>;ItQLVN9Vxv=ja@G{v4eH&!3}n;Q4cOR-XHLtwz;9OJd}&dj{XSs@D^# zdj@a4cxoaX+BsT5O@sr_agx?VIPe_JpeDkB=V%5s5q|h8df(;GYjvr5i$u6bHm%Ft zDXacjKNB+cHdMW~b6%=j)jQ95sV-ITJm;mlRK4>Y&7jn_LjJ#(>I2Ww3fe+B>3kni z8b7R4t+t)#&&A+p=lOFnIPm7zj%6dcAldZ)YkL8 z^Bk?9jQYS+omoA5Jv!S7`#sO{U-H!Gd@Qkw_dLmWmMzlfo&i}emL8oAh~M)V|Hadz zvqiw&^A!KZ)1$NV9G8L~ot@{n7WC-sJVz@))5Z3_i{KnP3}~tCz*91>Mo?-y@En(d zQrpf$2M+C&+73KtU~uf)TS69^)ki`Z^_Ie&!;#}+P^#X~IgVD)s@{2yR?w>6cz!Yf zt43Jjd=iFm+h#)L6Eao5cy1u>ohMi73lHnSfhUIN!c)Vu_AQs{7Y}C>>p90yF4ZrV z4}LoE#PGa$T5a2TN?lR^OKm$(Oww07w^G~AQ-v-w?!r@Q+j(M^UU+a59(aysfU39m zeW1l8eKk|6KJXl^pj6GpnfHb2C)es%J7u8y&T|< zTJ&}_gRj!TmSdw{GyX#dpUWe+k&~s?ERM=Z1)2zW66o9>|p2l+YVNq zJez-wX{`qzOWOOd$}4y1TiXHt!gsyp;ry$ef(M^F&);^i^ZacGJI~P$N}hVIWs&E& z9+W(5BD5c(&JTL4xqaX{+W~X?z;m>NPP((@_9@4_^{twngP8plGY(?X3~H(m4~_$7 z%A4B0>9aNCW>B9-3(s+QN^J+8^YGAx1J8MQK#2#QjdpqY@TB_c8&Sp@mUiFrdRIY>XZ8t3&{KMQ1 zJZCdN2M3;`8PpDZ;5p7xOlM0v%#He^8II9f#+-nn9hOcYzC#aEsW?p zv#q>_wAHrroL2)(=g`j43`*5oqPV&tbg-OO^^%F4;W=7Cs~YJ2zPM#1)kOuR>Xj$g z>I+Y)dgnQsL92S_Ih#SLdevK=&cFKBI@o#6t3l~t=Q*1}>0swMnnCMe=Q-Lz%d_pJ zby4hKJGJs|&yjt7z_=m z^6FZvZ96Qilf6Sbt+t)#Z!_3={x*Z1=V%7EnhHD5(F{rl+iPU#`fLW6&dvj-J?Rb8 zIq)3KpiJk$bF_ofLA|2+ZU-EL9QxKeSe{1WvFShU;I{MpX$J?MKkeYabF_n+3%BJ7 z73BHb4z}aZA5Ps4daJo`TY2(;{x#`P&XS9DKJO4jcZ`!Oruy z9qc@R+riFrw1b`t==)8c?_92{S$!_`XW6!fd^6alg9{H{-Zg6LJJ;(MPr0cMJTX2m zp33xg;ED0M@NoKe;ED0M@PPIXJXPr8O?~l{s0W@HpBGQ*dJRMS&UmT|54t|^#5{fR zl&*K4T(4g|rRxLF(GG6t`oI(O^wJKxKJXmvpmcrUIod(%dOMByCcW%oOzXM(HTS}I z22{P|X(yScl-}Lt?T8H5uO}7+Cl4j=Q-Lz+x5;H|+K1uvdb^?~PT1*Pf(PgQ(bK3?s#J9!Ik zs7xOxp7L?-JZCdN)pa=dJ`v7`^b|j^9Ic>Lz3#plQ1wzlt9tL+(F$7CJ5THguXbA1 zJI~P!>dW+?gQFSH#K(3-|DBiTUtNRcXNxbbIMss@w5E5SqY<>GR~~$Ehjv=iJI~Py zN}T;z<+v2II6KeL2wI$t=jJQ*N8h-e=m8D|Z`-}kn=jQ@o*Q4&2Ob+9<6)mV@YwJe z4|96w@um98QxI%>VEwD|nqP+0cyKfycx-&GJmhdY@!0rWd0KDV9nPDt)y7kL+j(q~ z8c*qM=dnq8KPM0okn%JV!fdU9WS2&FnO$rR$yNXa}w9b#D6^{dG*gO4loopV41=TGu@uM&Uvqh$QgWihgbGrds$-7QGtnmk)qaARQ;ly*c15RBI?Hujk|0nE=m0ssz<@!8H z(j>MeOLlYH+hpi4UPe`q(2u{;R7X^f2rJME@1mIq-si9x3g4|wh7OU_yKNL8@``G#fa z)o%3_`hua|G{*8E?4~iE2eg~USRRDkG{(k*F-}Ekg@G^G8lP#wfA3GP?Y&69AQW?8 zb5@wT&>)K;Ms8H$rP18A;vQnK9dePwL;pz~9`gIC6T?Ssh;2PwCk#@)m&P>rEX$77PKV2XdToUofZ{JHgTz2q=emIqU}dH^=d3A<@I z{Na?sE;(Es8ab8+VK*(O4bvotcEh&Vm2dR}R#_j~O&);7Rjp1R+Rb1*55PBr@jQUt z494<+cGH+1u9w}y2I@2`KFD%w1C8-Kpba#}^ME$c7|#RRKx2G70C%3iUAco3;)d5@ zH+_!)_(*V4(=3=+K zo~r6|$)Qfh?DbSt!63Uen8t(EH+hCEQ;h~M~*qq70~rr!J7Yn49h6Md6Q zrh2L>W1?>;c3y2=tMmoKzR9i5dO=mjMBlWWf8c@aTZ;$F))=BZhAPx);b1{%0=VClo1PJ?OTAYrg$r!loipKZV$JA-NAV95!) z$<(qI>9ZV$#J9%qhf_+iTc#_}NSrZF}gaL3N{&GI1ZrsY^3gx%x{9plj0Anc|w zmIq-siK#{U?4Pik##kPN-89CAgRq;%SRT-B9Fo^~fNd3LH)3>E#`1u+mYA)FYpOS^ zKbV=`D5hNcw)JqGFueXoVtTk!eDBY-^SqAW)0?r8PH&w zf0ms17KxflwjQq&hIZ4KHcl62!~-$?Z1qr8UM{fkVC1xMy5xin)Ri$?Jp`NOgbgGH zfyLN5u7A-O&jZdS8bbv%4`>68u{_|JYjxgh!&o$8o}iBWe#=&Gsmf-97`$m9MqF%| zNNwmH)3p|@mq+8q7_E+F0oi8cv}nELkZl^%qjkbylp2g>0lg#lPjEEn&O35%2aN_3 zrdA0O_stE417X*g7Oa;E!aW*u8w`vbOM`Hamg8wa_o$cld1->NLD)@VdZ|9yfOgXu zOM|eR##kN%tu@B-Anc|wmIq-2bqN7Kx^3(h+|d}zgRp_dcph+QYmDashqlHv9?)HD z-Tan&V!c$qc!2d1mOuDr~398??Wjj zSf*zFda7-Jmygz*<+L0ht~tvYj2)(QkHPq13ilXHqrtKPXL^HaG)Ne@=fsNgGUWK( zZewe>hc~SYNMpegL-!brWdYq|FqQ^%kH+|DP4^fKX)M<<96bhOX+ZZFjHdzIV=$Hm zbPr@VAKisXpCh+xJP3w4Z=ODuE1}In7)O3G{({(+#@kJ!J6*T7*7MbN7|s* z>DN#Q8>qKhU%pNsHqaPLgRp_dSRMpLHOBIQbi*4rSGwU%HRIBto5olg1l=^o(jZJN zF-ROu-@?=y<7vQXpfUH+Kx6Ksfy!TbY|7|E_nFN z?nxNX&0v~)7KSw7(dNsLd-hR_+xGPGYPs2e#9Rjj`WjHdzh(HKjE&_`n|4?-XKRrJmBAoS6gHfuNkXp9dB z910TCYxGMF^?4y$T=nTksuBkNF&N7O4sC<6JfMFJrtyGcM;OJ>mN&P*dWn9i!(qx3 z<-!EFG>{+jeDxCjl0zDB;VR_Z(!j{MrGduu5`F3$X`nKSSN)Jw4?8dQPV@^UG&8h= z23pQN4K$|Fpy`7vgs-;lHTs01f8aiWu{;QUv>Y1_g4P;idB9=HWJvVQ@_>AMs+TQa zy-2@oKz+2F`X#B%1L|WimIoN7PgNy(^&UbdiMqkjX)~M`J7t!aW*eqd~YwVV?B>ECLr@JS%J{ zk;t!|J!`lwpclsITYbCi3C|@f6c9g|VI&m~6%cQi(4ZQzD+pI78BlRj1z}6ZfQpw2 zh)0_-4P0W+6U$a-2bTBMDIC^_9p*_dX+dSQmd=Hj)ES{?Jz7;j;Uz7pjMoARFKLkH z!%i=0&ZKaF3x*coQ56;-PX}7ufIJ^)ann6d2wL2LJRxXt z11j;m7-EOT4agIM7FYStv)^6SB9XkIf|upEfe{v0AC-C55MERYkU9j$V;xRXxJl~N zzcQ6{3O8wxCq%eOgKh{xH)&8I#2)pbb-F^Hy?lZw$qqTCfoJ(0yX&y%*P^WS1Q5B) z`oycYUdkKy?FcD_3VFkn%Nsdfd+9!P3_(?W3gFo*_XV=6*Px#LoYjJG`Zt)ufox?? z{{~YikTJ}(45lz3W0=$$jAZ~bErTfmp~yj|WiXxt2*Bvxl>;~}Y-kgvmY80+U+xK0 z%LsyO(#T<^#XAkJeXF0C$|eZ+XpE&nxJP3w4Z=Md<7oi*Z0hZ?C(6=yHh@`$4J-}9 z1{z~&5H`>l%Y(3i#PrJj;z9USUDoofA1%4~RAWk#kTIc;#&{lZ$Z3q_LFl9QZl2iU zc0OeY(HP5v&_`n|55hkhV|l=Fx~X;kvtNkPe5xutj~cG?q{!L#r7A8DI3d|BV=%+L z)TH>pt7r*Qk`Q3zhan%hD>h-W80Mr#PH|7hFxQb=YY!Cgj2xyqa+~ad3WUKh(=nJb zOmpAD)aqWg2ga_GgFHvupoZ%#Cv2dG&!dLxj0qd4D{CKE_qx8(1{za%kmZC8G{*7( z)Oo51|ESSAiy?LRg5V`uKk#EloiLj^yZ)%>tg;+pp16iV$gwndpfFo&OG?*0Mur1}Mo21=p-7@{4agIMzBQm5Lcq5M zbVCTZ!}!S)A`GE!=X%y!{?!l~$Zm7$px4@{Ax~)$8+5EHE7*7$DU51fF;` z&0&J$T9D^Ma9kY%;4<4u3g*crczx@>cqt67L7op`a0#k4{VIvflZL28{Q{yP-s+31 zPyFG|5c1lSXMOd)NTMMO$P$%nLR+#05CO z6#rxlZE%R11u$j6W(;j$FlD?J3~XR9w>&tovK2PC<-wtLWF9qm=e~sv@Um8ygOj$m zJUBGwmInr7dBCx7s5;c6M(&F~C=LjHEDyq`T8`%deX8YH9xxoJ4Vg!c+F2bIh~KE7 z33WUTV79kRW@^mNayWcs^nKKjoiU`28m2gK?P-AFV=$Hm{J5UM+=c^rg8UJMqrauZ zMIUub#-o<@GltZ8YmB8q=%X>W;Xw1C-j$O37Cu!4o<}X~XH581aunku&B7TIHqaQ) z1Nu~BEDsnCczf%0EYzZY?i=-yAGLhcgIF0ueTIe(n^UzJYzF{wwrE~O-6h>6_X7IG{Pn42RT6A&8?2myNp z#7+v#<_*TOfH|zeSQapsHyFzTX0QfhX9Xs(8dHn-g$2k34aP?fz7b0H4W03pY+AlxG>6{zVaIk|7)9*way2={1=r9rqyV>}J$9&Kw&1KPk; zUTislHZT}V1KL1g&{q6Y0tk|wT?8s%ihnXDf~W{oz!d)!43eE4Rk+R_V2Xb-CIYX< z6#ryQOe7jp{8KOtyb@D`b-`d+q`p9mHxrA0GKR^4y4MMqGHGW_*gzcV0j7-8j0qcP zjO77!F@3W9orZJud%t0ikM(bi~ znoVOY4R~I}U@Q$7;YIEC^{vr5ca3H<7|Q~h&0s7G=uU&NG$7jy#zq60&0s7IXg0Ap zhuJI($WUIwb7g2hZ&ew(;WIV@V_6WU))>ozFtx_`U_eugcbi||TEoxO2~$hE)f#@r z1l>f62sxGpVFQivG$7qH#?m0@rZJWWppJSG2{F9P+1Ug^9jpniG^i!~jG@^$F9?jK z0nKLm=4k-48H|kvG@HTrXn;{_Fogy=--~(VTEd4}EDPuzv4jD{l7QZMi7O?5u_OrZ zh)Mx&nYSzm@5sLO^HwW>aA0eF`b{YbL+@xgo(1%d##k1>)anBUFo7ijP0b^$S5o6k z2r23B1K}xdLWUg60-D-jEDdOCgRwN=K+u?4z|ZKt;4LvbQe=Yqj3bD`U(#_BT$`r0_*mrn(z zOxYKVO7=eWxK+nIb>FOeFyhqLzF!}#xr_+_;T3#Bo8p{IP)ZRm(LhRZPKSu~G9bk_ z8A5-m(+g%Q#g?I;V>{5J46`@4Caml>Py$JPd#dtyB0%7 zyw?qkB>_!s*FIe$a{!)v;na!2vci}WkHx) zV=N28)EZMlL8d{NT4O8?!qggLX+X1KNpuZ4HBjfSh1p~XVFq_6$7KGWboi5~97H}P+zP0oE;16ly$z}^v zi~F;Ixeo>!a~}*e#?pYn;4O+*Sf9|ie+9{`PuM{0?*e0KKpVUv>tgFKqMngMx*3d( z2Be$86dvT<2y|1|=|de$13=zbst9c?3&>D|u`D1%4aTw{EUo+ISwKrmOfAi47KEiW z#U~qDrpPRd2J~dWn%!d7cgDLJQ z7}`L~DelRbuz|)D_hbz39OWk~g+67NW(@T)m=dfD2KpFG8KxOSeGJC(fR{LI;uJ7G zGGKYYcPr%f`v;526smIH0)zU|)owLfXH4)-Me7e1>qbuaM{SFLYPimF!ao{gdB8Cz zx8FZCTxU7qA1%l7AoM{P5q7gY2z@lh@*wol7|VmuM`J7x!l&X8-s@Atb+%jB0JXZS z4f;W<6cah-G{(k*uz|){9)t}v#>RutN8A$%8(1EMPc_E#fE?8r%Y)EIV=NEiV=8(guzF^-myG)Vl3-%rJ60dB&KD9c3!Qd-(*Dwmh+}PkHKJf)Pg$7*?Z~GN; z3JrR>LtUc-IfVunjJP-qm_mb&k*}VdK3tQchbqsX^(a-JO4MsrxOw1qm>$R?FLpbz zY)}5layU#4rue5|;2VP}{>hlIf$m%JPsT9pyzxel(5Lt(W2leD_;Ags#9(Z=ralH^ z!!^UsTfD;kI_qJotPj%=bul0?o(Gt+-s0MAU@Q;9*6JwYiQ_9b+<9w^^1s?VGO}$w7^&%Fz)a>c>-g35F8a(d%RBk&>ctBtEnR)_$!qZ|1idDjEx5| zP9>(6>9d^hsm6F7V5d?0C-y_7KIHW--iCgisEiuBk=F)e;{k18FqQ|jfx+DJKywGA zGWvuKa7gRQHw1oz2^(n4Ef02ysdf6!1B0c|B2;<%B*O<9R@zYK-MU_*7#o z4;ZWXea@?Y`jM&{cjVFjvsUV}4PqNsj)hvO<uXBggU}{DZfYuKLtUeU=mc(HP5v z7;_q9=Y{Z(##kQgh&ytd{6u}&jGwT9TA!Z%HTvb#uz|uH^;i{d#HQ_kFH&&dU({H5 z;4XEpfHq`E8dM{80quB8%z%oEDhRREfQpX_=mjHe;=Y;}kM!K?q(Q|?MN;UbL1nmB z5Ova^61NKoDFmypmoahFxLq($lvE6;1nvR~<7r9%KnMw{p}R;5<7v=85JH1IA!s~R zKRVidR(W8A@zh8^kPR zgMn!nHd8^KYLt}1vU@*5lFc`~&&_@;D&VCch8VlsLeEEalp*MC5pGrBtfFC~97|R3B zS(?|FluX|uh9Lf4d5s@47{-u8+|P?*p`91P*6LkFUh`q(gsnBk@*r%jF_s5mYmK>& z2fFIwc|h)H%zZpin4`w)nj{5DMX)+*z%C$Un1?#aanyiaFw8IwsCcM=m|+@FaZv#= zSu~*HqXJ@ju0b_uR}hUS4N;F>RS?qB1Hj8LVkIllyMSnM_15B1kI5EHSX}0qHEtJB zSX@gg1Ga#|;u=&&YypMEHOLcUr^PkM6M_o?1M-A`#osbHt--sfM2o-G`$xP_&bgKr zHz3akTHJt2{4U+2#SN$g@Ct&(4agIM7B?VI2wGfCV+X1k&Uj&Q4f1>li))bQLs(pb z>M^WpJla9MGJ0TMbUM)va;;kn`$bULL7k&NYGuEG!VX%J=R?>*gYNmjFGE~oUcD%# zbdPpGcoUd^;DZMJ10OW#ANU|awZ7j~V#a$_sUF8F7`k>>cZ?p`uW=lrYj?E*l@ax1 zRsn^dG{`d|bkZQth|o!cJR?FUb)xI2)&8qa8sr%fI%$w+MChbJo)NIYuFjtxQC#>d zrWTcA;Hnq)cw4UHYZy;0vJMPR2MR)H&_57DgZ_aK8uSl@P#Z4?wzh9|(x87Jga-Ws zAr$CcBYEB@Ci6D67yGV}ykHO@45oM~V@L9zdqC&=mJ{nU7Y{rB>8dD;8!B8KGsR2A=LLUt0OZjR zsyB>jFku6YDPcR?Eo`7Mo(J@)##kPNKI-()8?)M-J{sfW0b_{9cpgw6jj=qSK2PPf9EhkWCHtiV_vggzQ$dB8De|1qcN5Tp^wH`9)vy`Q+QAkISi9iz5Mz{wx9S`Fbq5fRESVOwA_h{1g@5= zXSE85rZb>Ig#x1O45)CSfUNRuh2YShXJRj&K z1M+;Jmkj8J5Af1~_%5vH`9O=~0<;U}fV&-A!{X{(^HHx^DXtBRYe^+#D4?*omgET$ z7FUvZ{xs>7Cnc)%#=nal_(5fZp+*K%oRsBIA%iJC${6aSF*S%63ozFtxhBfM4q{BOy$!F=d$MzJ;kZ#?l~6tudAcp%30)ypHU8 z*GleN=%X=~26T_AV(`N##%$ppjj_QX)KQps4cHfTG{(|^>d17i9@NTWLLH59 z8e?e?HqaOw4Z;Q*V|f6&>HRBgZW?t+w@s!y^_*5oC@2SU>`DW?mSNC}(wso!aXH3{Yy$$s{a6&m0ZDX^iDT_(x+b4?-V}u{>a`!cD#+hQQgzKVbuvj=%AB7=sBLD9m0DXze%? z`+Kp7=PBQ6Z*9jBfg~qmUO3P3#!C;En7G$?oiV(m$Y6?p3I>M<45s)eV|MJb8%*&} z#)LlV;&Og!&DbFH(U{_&EN7!W5>w-K#)Li^Q^sk=V9ESeLvF9(Izxg6>Q#`vAFEx| z3A3ro81{awc45M78gowrjk%|R#@y3D9T3{_UX7ta*g%!acbsQ6n6QC*RcEi^I{PQ6 zqcN5SK^=`L;X2C!b#`@M$X-ulWeBOWtFwc9jn)|xW>a_C?6Cix*)+z|Ak3yQmIh%q zjk%?PJnF=kYK=a$!LBlwy;kqD-AJ8Xy_3krr;$S&7>uO>ZD25VRv-`Ll~6k_sW5#D z?x>UBJg$C&dC7=~f z7w-e3PG|1Ec>l!S65lYs>Vq(8^x@5D22*H|_1UnaB^+cqVQcjw;$E-CDj3>YV@f#4n6S0P+!gO(YmF)4Aj=6`YmDUq!-0`wc@Vai zSqKPX_!hQS*Q4$Ayj9jGc&#y(2VrZCu{;P~YmAKtVQY=CJP2D$j4$4g7$Oc^jk)9R zlwY2~43u-*b@{ZSL5~H8cQ0xH2{22;HA#17D(4WN&~H18}- ztbldjntK)oq}kLWlb^~p?s;^U1Gv)8pDUuI9Ql4o5r*;nlLn*#PnFbFkv>0 zu{5CB)H%4VezYokG|Z+kmIYxpjj=2UvuTWF0WX#}`dAu-*|Z!>gNRe=Jmgl-S>?VkqTc4YqenWaz}`J(++19ir5X<;yh1R2Ang~1dO z6bzOY22)6oF`VBFrjVdu5N-^nkRW5=P+l)_b!db?YwAN?va_9*1Yv4*279aJ`P{X@ z;IPECZ&=zH=7f9H&2U>S&u2MY`JEbLX%Ozw7)yira+kC<@@=CJZ6MFMZ1pyktWRLn z`}|uyT$M4j8!z7xwk}gb!N6_?V|fs1o0L-v^jQumin}MTUF%n=kQM8i7nzB(4s>T~ ztfz$>OM@_*?wh3n>1On?Gzhb4Ik(Y(CxC@Mx6wdtZ}QSAW417X##k1F2^40p_4&+# zFoDL{Xh7zu3r_e28>3FF5j4iKAO;-vrZ1Le<;2JdvuTWFL6}WrJPWuc)fmfy&_{h; zfS;AQ(??_OX&^DRK7XNs#@x|B)^2zaMNx+d$z1hdLz2tii%W53x5KauVld4;OAhJw zQUjZXFw?get|T#-=AI>IqdrWc>dG*F;o?pojcLPl$q9WlrVZ1D z34Jui@__p6>ih&g`kwX4YYRjjxr@s0b0rgG2*sT0wL5$p`VMnQiq>G=K0~d>IN`4b~Sq>NS?F9)7)GWQtg$b;iKdTw#f>6&5sz3TrE&FqQ;dUusMZ)maWJWCl}WLB_zLZ*~30R!j34!lk^y zSQ3P(5fZP&sipZWCot+r!&Z+{WlXq7u4MaBDtc>9J#>%8SQ>+xljj=okyJ<|B60#gnbjL#XN*(afn1B?O z_d0Ljlfi`9F^4MgxPfG@#iurk3Wj*+{otC88VVJ);jzt=>`Cuqrl? zFoDKc7K8~j#=AH%`b4vpmb9!NZxhH6#G50i3E7@0#)l6@H zbI*>c?2Y}23k_a1R%Z-z*SAcOYpl+g7q-p}rno0#Hq37ZQ{0m=p^xrcaZkpCKI%-= zs|M?g34JuC_$OmRAB`!&I%7f~jVZx8V?rNQDSg#ooiU-0#*|^2F`Ys!OeKe+y(}W3q z)SZjZdh+$+AC0NwG|35lG^UQzgb95##`1vr$hGsc9j8ii=pTczJfJ=r)8ciKLwyX! z@*wn4Yv*S>QI+I`J{n_r5c+6Ljn_#|=%X=~2ceHzmpto@C!KHdL%O^(>n0u;%xyd{ z7|R3dBiD`37O#`t!Uk$CdG;e!E5;MU`x&lrryr@R7Em_iqt-G{U#91}UY>Y+ z`Z7J&^#)Vp0r-{o#SEtMAY zPK~MaLdL)bhgzFG`{${at!aZpy z1-zC=>z=6A7#oB>O3tg+>9ZXARLXhPI(^23KI+x`SFO`$3^~fHlrJ&lg-z`{eKf}M zAoS4~%Y)EI<$NzB0H$xDkH%OYgnu-~#)HsDV=NCsAB>@^t!t_N;vbE%@gVfk7#|PF zH;u782z^v8_Nuk|i#{4-c@X+&jO9W2M`J7xsE^FWxS@N)H-oV}pgt1wXlGy}Jss;G z=2bjuapBIR#p{g0$u52dN?__ZO&HqXg>Wt~)jtVCcNQg^VwI@vYM`J7x zLLZH(@jA%~eKf}MAoRfjJfV-}LFl6~mItAa##kPNJ{n_rKz*L->X#=rrEd79F|A&o zY)yR(<~AM}jO9V-gLUN9KkeR=)HnL)sWFxZp^wH`9?(BVj^#nsI~J0=kqKM36^440};WWB9wPQk_f?>S&B*L8zlK z3^P&=)xlcgs!k8q%L3sYjj<#Mbu^|8(j_O<(HP4D4xYCP zjje%%I=Zs9ip*jX*BLO{XFqQ=*wZT{xP#tyB^il7p%ELO;(U_LCEvtn(8pDTVoDOv~ z#gzf5b9`* zWdWxuJ&7P`E-avfe|a9)v10x5XxE;!HMU&$J=&S7j#2f2N3YS>z8&gwA&*w0Z*mU! z^%_E3jlN-?$Q=cy@u2oi?lU}EjlRj@J6=Lg4h2A(dlux$c|;5! zV48atX2Tt#2GiWLF#Ldp!BFSqo`s3%cD-~PU2EQ1kWdFIb%s8_JyI|~!) zXiN*%3qy58QjM;)LAoHJj>fcLy)dDU##k1FIvT?tw%)-p0byxNf>1|eED1s#jj=2U zbtI<8>SZ=~hbN7$>hw^(AXLX-ED5NN!B`eh9fPqfpgM@$LLEzjP)B1d2|^u>u`CF6 zG{&-k>WGbWoV&3k2z4Z;9j1yvHv(v=qcOLkK=-W|=M!^~=2MXvfGqX&<#Xf5E7af;}MoPLA4iePDHahrt$6=O}D&%Y(PN z-TZ+~t2;SJ5`~;w9_(no;Pm35- zb8awi_43~X#!Q&9G&eB>X9NomG6tb{Q`aUxkZ>C@fV@TO3MqvJO$skn6hG^X^iccfP@b|jm_mZwH^AlB5gxrTzr=(I)E5;VaI|qw zm_TDJ2f_pzV@VJuklVYxFu(Mn2}I52`K_UPT#o*mvUwevTJ+RVoiRIlU@*-)w8&ap z$%MZ~>MSPGU5#npSz@>(e`!qf&ccK`8q>V9FtD^Q=4 zOsFFLLH4MSzGsx?iT%Ff9@+3>Urz(@3x|Z$u7*X(U(}7@Ak5_go5A<1>jj3lb*K zm=*~ZCQP6)mIGk|jcK7^$)O2e;w^o=M0Cpn-2ZSj0TPls6KKpm3pD1Q1sY>nK#$@I z8KI6PL3mVSEDOS;8e>@y9@QAj0;+@DS*T-45b8)wFUT+AggP2ySrF=IjAa4U5ext4 z7isAo*)+zIAk@(q%YslxV=N1xj{0^d)UhO>cMQgofa(~GWdYUEm|l=yETB5r{J)Z| z7vvWt)X^AAf>1|eEDJ&%h57VIO;Y@=L*6PFeo$*IWLuCYZ==D8}=ADJ% zXZ$p#2kM1^civ)?2`>t_A-W);j>a_aEKI1QG0i&*6Y6LTA4>D+!cZM#O&79#a8aUx zggP42hUgL#>S&B*L8zlKmIYJ?i!Py#B|)eoF+ET>bu`AZAk@(q%L1swE3>ZZ^gzA3 zMs*B^4@nZxI|gG}Ky?hpvViJ{x52PFvm^+0G{%x3)X^Brf>1|ddZ1pWraEGe8ZWq5 z5`;P$V@VL|Xv}RWFnzNu81))8czQLSr1*zr)u&hE7Y1gN%c>9lPzYKe{CdiD9ANQ$ z0}(|BIHz%7Nr{wAVld?yFXS)=8X+SgJ~pK7}#@DdHVwCeWA`2^JZn}*)2=p&0Xs)1_X;$|vLMva80sup5FXVS%L1ySa{W*H07+LzV{9l0bu`At zf>1|ddNF>n0P4tG|AXxvW3@=!q3t!Y^;-NALv@TC8w#k7!B`eh9hvLbQheT=40SZd zk|5O47#j*g9gVRppgOAT@~M^hi#iH(Vn?WWl!hPUEizq|grEJ?)WY!V^>R^h_DH=j zP)8>2XS+2pF0K590^G2z66zC)&z(9N)4a2~7V2nB^UlIh9hDrPJy0(Q4+d*Y57Y}2 z-jQ|M(}w86L~5uO2xq&4DzSj-7&(>&R7WMpXAjh? zYoU(DSQ3Of8q)&xk`wAkOb^rxV>8{eM(Q(9Jmw?Ti>S&B*L8zlKmIYJ?-4p6q5`=d&#*!e^(HP5uP)A~V9e#O~>WD*t&kxo!hB%>) z##j=BIvV3c0m-H@mIYKtoMwYMmIR@W##j=BIvQhH5Z=)k%L1tL7I!j29ZLeLqcOb> zzeqrJ492p6>KKe=0oB2V#dYjp4!i4d?DOoAx?!X~pkT3e!{BC=i+_0k=p6?6p};i%G&$<1$k~rfRg5~M z2^+Lg+A!**``OR7HjJvjopAPz4R&#A9er!Vw8>Ei=guCh8%Ax+oHbaVc`CKJdp|Qp z7q8r1o$NgOnW+NNxqCm`S|Kub@1c4@xUkasF+My{oUKSwH71DsLt`up=uzFb`aQqBc}L~P zXTNW%siQG%LRgqkM`J7tLLH56Q3J0;*#$mIYMDU@Qx$j?5=92Jh6-7)ydsM`J7tLLH51|eEDJ&%i9y-N^o{E1%8Mld$Bx7t_@N?(KQS{y6jar#utz^KwIEL2Z3Ap*jY`hf)At7^-71ZHO)m)lug$ zj(%inK|&pku_Op}G^P#FB`4I;7|Q~xgVRx$mF3ZoOf4~?j>cFLggP2ySrF<-Ob^tn zZ&U{v-Ble7bwh$sM`J7rLLH51fqHc<)X^Br0;+?tAk?uW2z4~Zk|5O4nA=cb`es=` zb;ON9&!eB2T2>2nB&Nsdg$Z>u#0{Hxq7Ep;#b$Gj=@+GP#uG@ETB3D zV_86T)SD>0Z1raB7>p%BsH5fFh5{`I>oe0gs-sST9la9YyrVIe1fh<`+=c?vH_L+Y zQksg?y%f)`{_Qax*yyQ8SBTnUI@-6OjsE>h2iG0!L&$_FsO1G3?E8~#KIsmJk_4z(F^el5+=}?#({+i6KG6}1Pc=;&=^aC z9VR$buFstsZvu5IGj6YMkzjR=CNP*52^NMXkeIWV;uj`L2;!D$ln^Wn;L!tV#%1W7 zc=yg&Ak@*A7713@LLH50(DbquDB(S@NpaKzO+Jy0)5sG~7$h%QX1qcLraE=;JSF_r~X2M4u; zI+g^Xj>cFLggP2ySrF=IjAel>jeNU9ff0qu_WLjNQ1E?fYmm2?)bpc#P}vmpfQ#MVFHb@90(I= zjEw|g0#)ojdLh2DKusY>ufs1$m_TFhS)eiZEYKLs0(w+@vHi(Uhu(QqV=N28qZ(sb z5FXVS%L1w+v&mkCZ{C5wuc4q<;TI;3WqKWcVYnC-cRxS5JTqbd+0=QI10K79sG}GM4$B(|HzYQVX&hL}aLH>hEfOpY zHzYKsm*E%Yjhmzlh7YBc*uv0iDmOm*mqZpMOrSBA17QM_#K_h6j ziE8AG9_aR=&R)OUd8vfiaJ0iIcZ<^r66$D79is^o>S&B*L8zlKHB=`#K5<8-*_fJ` zw|aqxmy%`-;?i$^gV}bR)wr6iN9qERyN2(6UaCUms=o*71!0;ecjmZ#V3gsi%3xZc zUUImqGMGw&WHjEKZ!nbv2?ImRlWFfBs8`p*1nR`t8*lpFnLuMK2f_pr(*yPD8%?0@ z?tQ*{q+S>fQT0~IyC0WY5SqYXZdqV3w=6Ii%L1ySPK>>A=*V~{)X|t42@-KgY9q(8 zfF3m%%L1ySPJg|78NPW(W9od+)zO$bA0$kuqcN5RR7aiudgFA@op&_Gk|4aJF_s0P zj>cFPP#r|^Yn;Zh;yZOT#*!e^k(hADn&G>5G{&-k>ZsFS@2DF|F)?;D#*!e^(HP5u z@Q%h<7C;>x43VDSct@TXd*jCphUyqOmIYMDU@Qx$4*p%qh8-c(HG0QjED1s#E$22A z7&(>&R0ly!sAEYG>S&B5L8zlKmIdJ*jj=4CIx?9;5%11B8e>Ti>L|>PR5r_r2=rHj z-jp_bJ1>Yaqyv6o~p)jJu3x3OQ?|F|$`ZwICl2Hx4U)v9+A zBut<&)jJ6jCXkpGs1qhkpfPofCJfb4#kai$>I4aOG^P&Ggb8&t#^Nb=0DFZ*}-&wNOW6ED1s#jkym68e>@ib?_}ULAF+h zZ|WF~B>~kj7|Q~B$6zcAsE%4F^NrP;P+%~Y1fhTi z>L?7ayEAgaI~rqIz@5fT-89DA%8ML!^)EL()GNKLdfY&;8+{3&ZxsGKL~l;En@iGG zD}19j^I91`K^O=Orcq$&&z)m~X&hJ>?i?FT<3PfIQX13B@JSz+FQ*$rhY2*s zQXovAF_r^i0*$dG;HWxqIo6dptqxyJAS)5>gs5WB|)g8 zF>Q#hu7x@pV_86T)G?90$LS>|yrVIe1fh<`@Q2q%V=`N)qcN5RP)A;a$M3foF;qum zAlqQ5j=|i90)w$Eu+>NISDC)S1RG}m%Xr(7$a=_2y;HOIFuh1XL&I=Fisb+ylAXN< zzoc;c&tNPCHY}$M#&Uq4K+u?8gkODQ<;`F$2k0Ahyl8J%mZVWY6Bvx808L;pmILvP z4&AqwwO12t>f@<C2sG~8K1)+|{SQbznwQ$){z`65|#Iz!O zGC`=LF_s0Pj>cFPa5~UY7stDbKD5Zpbb*l{Y~B%AZZJDO!FY}C?QQt9i2+k{CKZsz zg4H#82U)#FFi6jYoH9tC@rLi;3iiZ#2mxs{pykuJMlS@W(V$}Z zCa%CV8Z->5G6K_R&@d_(@UsntZViKN4k4$}pkm(YnhU-XcO&Pm4gqe66L%Oj^=$RT zRCXyT`bJuJ)u+bl3r59Vt~YM_#xNq}-13069Dyl3II$gB_$G&3y<)0kHtg*PIfVzK zjQckf)c2>K{GvcIL4(M>MwXdxAgTh(z;7U&-Q<4W*6Z+#p!A!;lvt3p;j-6Y3JEfX z+sX!0NRTm@edR$&p2@y5fvSgWkqpUIe3(FEEC<2_8e>U76CBvWzH+S>;+K110(sbQ zYZsTKRF5n$7@M}y1O{`<0)w$EpgO9CvSEh3@uwIh($&!zOM*~GV=N0o9gVRppgQWX;nprL z>FQ{VB|)g8F*X#0IuZlc8iGG{%x3)X|vxP@plE1yDyGYTVkrB~2ZJ zu_T~626Gz#%`3_rL>nMo!p3V{AAG8)!_6 z)~j!x!~Xpk}7bu^elgMz`Xqr}k9X_#ir4m%r6p+Ui5YBZP<4l;(fz#B{n2O0CkrYtYf zxY(MPCK!EqsO?ZgZmUK4E{Cr$3OOYlWK8%+_pOA3f}wvj#_}NiqcN5T+~wACYE?e> zE&QVn3~UhYYz_asQRcqd4H@?xM%Bx=T9wazqfh1QKU*!!XH4wcYdK|FC>ZYAYmDUq z{bMke2T_I4ax4$xD+;o5QSULy`f&WbYwZ>Q;gso-YYH2?AjrRyE{yKrmubExfF9_CFp$QVwi22=c#F`ViRri{~!;Z)CSi!bBnRpWKWMBg-~ z_$OncZyHm^X~rOgyigAkT`TU%5N4x?x|H`-!*#(R8lHKs=EjG@^K#?t^4)%w(E zo#li+D)RC|ZDY64M`LbjVESfh5PefM@K>$RXMLh?8e@47w$>QSgWwy^He5N1EmmW< z_*9=<{nYw=)+cO$`tVgwKT5@+F=ocFfyUTy5H`>l%L6XZ-_izH=^Gn_J{n_r5c+70 zjR&ER##kOi-_)&buUeqb{)xV6jO9V}O=4<+KFdK!)Q0uZ6`g_BIUA>|6LLt#$XlaAFWr4w17Le7(1U4FwIR;}{5c){>^aA}F*<_BE z(<}4~6Ma)h6!?cbTWgG^LG(>yEDwUBvVwtdZ2A@yl?iMw(Kj2&y`3oa$nD|qkH%OY zgbg&t@*w!8Z1BWXk!s({ZLvI{6;wgwe16;K#Yf@;vNAjT4Dh#IyFh&t`+ zE5OfouBsElfbI!lK%NlMJ@vvD4}myFj9{l|gbBxiVl-(<_l(%p%UF05+)9e>Y0y0* zw4@rqug+By`Ljmw0*dZwkPi`IJq_}V2Tn+M!i0)~SXGC-lyVh6do}LlmTn+M!i0)}nVZkOc-)SdcL!fx$Eq@FxZdn1~yUB>_x*Ag{Rc21Ow6P#zk(LVQJ^-Z3SJ z5)iTl=pBP8@gQU9okP7j@T?{MjG=c7#=qIrF6Vs!dhSW<=j~cQ| zC}4`A%Ce6du?vP7hI*^v0pW!Pt8ZgE5j#4M8n3gQh==M}!=s^K?9oH@!bIP&KYtmgj~=TR zW=uU2bI${HLjTdD^^y~P)0h^m7bg0qF_s6k+e=*#|L6y1mmK!ZU|O_Z81~IzEDxe@ zYH9uGSE4LA(Kn50;d)`BZxU1Eb;;m3Ey#F);F`uy!EkMJU>siw*N$N2Wk1(822_|( zBryw7DaE55!peeZ?o(Z7_-LoFGKlszpu&aHI~v@83K=RWW(R4!5)}%FIvqHzAS_MJ0ty;wkY_{~LW4Xbn06XNct%jCx4Q1|SquIb zoeapw2~_cX{eBD$xFJdb`>YvnkRdZ-faqlWUF??>jL zF(r~`4ErWOW&6PE-N{KAGiD4y)<=!w8AHPvImJZ|Q=@psgg)vUQjZ$MGbZ%W zm@;BBCiKym62dbk`i22>q3NSW@QjJRX-pZe854cen0p@J?lIA~dmd;^8LOARX^iDT zj30G#z@x_QEGI^{#*~4YF)_F`#_}NghW*m3-D=>@a-weRu_o4QHl(Qm$5 z+=;$POs)H88${nU#`1uDlNa$kFsN?kMuV~Q0{dn#mIu){xiwKs{@Dh!+paN|2hlf; zu{=Q7*--Pm(hVyzV>X5zUKJ@YHXJbB(U@B7&n5`7!L3(0wbq|8VK$AiGzhb4jHdy@ zj?w3y1{f(q9~%xrAC0-EfyP)GgstV4TP^ZmY^^bt2VrZ8sU`j_C;Em=`f9iSo_-BG zylqxs?s=dwmIv&cyl(E%-_x&sGZ@PQ+Rb1r529~s6XMZd)Ng$g80>A9&6qvTvy3tk z?(tIB1Od{bHAluQ7$b=`nC6~^c_B<0Omok|@SS#pX~T43b{u^(nC6~@!ES}YH1{kF z&4$8cXDH0pM(KiZ_sC#cv|bp9*~Q!Q5Yq)+SePFK3#mBc+^Dh{2l_oHS27L!@6T1e$6dH64?^_Z2 zG#=2!Gu_4E1W}xVm_~yN!BH6@rLkZ^qNboRjRgsVlp^}@s~uQAO%34>9vBb0Yr;{;K2&%!Y7id}m^_)N;g z7bN1Y#X&$*@bT%P zdWoTT5JRpG?U8yx!aEvcNf5Il29S`$AC@c#jFxlDg12~`5GG)dk#fR2T8?Ewct>Mw zFrcZ`^74b2XSy~LkZWv%I+g@sHt}}k< ztRCN&9&vXhEfQmC08^`%2+=jm0-D-jEDOQ};;k6Su`CFTI6(o7r2)-m`etcBvuRAP z&Mz9!Y+@Jr@$JR=1qrihjAcQXK%CNmoZDcq%k&U_GYk#0>AqPSgxNI4(tu_YHFKzA zSrBH^7|Vh%n>bJkIhF;15oeem-(H(vE)BEEzTtKVW9l%Q##kD_Y@0aggsxc@&};@{ zSwItP;z%~+SQgL(Mvi3xO<*vV1~h@1t-if7zq}JBkjrwcyN!3k1ad2|SLTi2jqi=GqcN5S z@iHB@Auid`7n~|`$nv=+MbJ^1_!q&;L)7;z9v^I1B*^m0^|1!@t~jU&;z#lfsCcM=*gK5Yi-$hA>B04mi&P`1 z_^1ea!ftQn*iVhv1w?}zPzl)uMB^FI4If}U1M+;JAq=R5?b5w41b|nQ*SK9kVF>Xh zLA)B|2@!_SAWsOg=&eEbj4&Y2h|mdpDOW?(=v@pEI%$w+MChbJH;kZjHOMmp!|5%K zB0WAefESg55Kvjz!AA&=TXCfW1bIG$!FBIEAHv`obi)UdNP|2dVl+umE!Y?1@vH3W z1HF$=E!h_kjJIRs?`rURz^Y)vOR7lqY2T~LvkbiiQwTvmKF~|1cb*RPk^y->a99|S z=L5ZDK%NgYxVWGdPV#i1!8Hg5a#K?nPfDt_`{LR#o(A272O3X12T zWejq=mn!jm@COe$R4Mv{QG5fzUxfimOvoMMdhgJfLV}FpT1R7g0e=~qgWF&V39=ln zbquDEpkR2)M(Wcm_^WU5!67RZ2oc88VK$Aq<$&thSr5iOQ~co6puQmTI9tTd7|aYB z(*kzFFt!;?@lNg=RC(biE@V6FQL7B$T(YT!8;4-BbjENhF>;D`GKOT^)V9(H(x{7X1c`-W#N;b$ArZbpu!0qth=vEhJWM`LOUKld$cAa2@toQNxi zqM?t*_;5gdRL$zFHT>MS&_`n`4_XL0)!Ol_HT>dJ8bRHD0VC9SkOgrB8A&xDWDrfK zuDgPyN`(v}7YwL!p@2XJ4XTy=VhD~Rty8V#7Z9x{4`iP>dypxVyGKJ9P>l;2L_-*m zCj?n!Ks7RCNu2c6PXCF$45tz>a>x2ah;f*(o%ogr?nv@{AoJu=?z5KkiiqYo z*7OS~46Z>nI%M00!8OS9fy|TE``{1GdSM80%@%Hp@_c}s)Ge97cshjfunS`_b<`%z8*{~5)eet(7AyCSrv=3qCD66%q9lg*s=UQz0>D%cCCm#O*&5R# zc*1Ouq5IYXc*5|FM1!g0HDhRMX@hobHetA@qQ29NdjaccZJ1phk2&gLtn41j;V`-{U5-lYcxX3|ppJppD=z>E}H&0s7G zUU-?tU~14#UE8sBU@(>iR0o6MV(7Dl>?9`C(HI*GLLH5UQ>>BGLcy{?$WgED&|}8XJD!&l!U<0s44s3mX`v4LvJ$am0-EiuUX3|hK|f)r z&Rf0Wan?&xirJu!!L(4Y%tliieOf43n9xUtk55tbafN2XI}J&KiW5Pee_ zIp1?O{s~*Fl=lSJ4JP`gG50)BY3SJs`Q2_BV}n8TO=Bz%7~2jkiLbn_XR)%aF)PaB zTW71`Cv_-hm&?so!tWS$!tiV*{D#?()m_zT75s$ZB(KV-C(d3N77(J6wzJ-ll8wft zlEK&sfy+#Tu_WL^Nn(y3t2r*_ng@BktUez-RxeC=RNNNy;8nQAYzc!vz`W(+9e!%l zV0e4YuH`iMBspN)TYPN0z50S zcNkTC}nhp0u|QDb$6 zJn_=VTVqNzC>WdsF_^-FjM-2te5=#oM-A2)!|3r=NiuJXFy4uaV6{G$1tCY>@^HY- zMh>S^aRnBCpiljY~rvjqx;~*)+z|AgH4;mIjw1R28(ZiBHT*s&BjWIU{| z-Df$h3#dIh-bP@|#`1&iS})lb@5p8O(JS@~66FVRNj%CAB@|?BqWqvSmIYCMP}>ae z9;r#!x$0s5p*a2Sk$PcX2$XL`zRTEQQj$!~JIsD^HmqO_rno0#b}Uphrbp@}XQw{0 zZ#`5mOz5LBe(nw3>7y~lKNo#8ri{{pp*|W@Mrp=`J}N`zEgN_GXiOQUSx)#zV=NEC zKN@3sz+_J)AnzKgbJw1TxdubO|1GXECd{UiO5X2eFr>A7hyGoIb(TZ38O$vW493!c z*Wno(SQ^jR7!CTy)SmItIL|6Ti5!*!N3 z7TID!@~#K0GA5Erk%7ExUA{w9KE)f=jHUVg4Vi*=a2=80U_U@Qyxxmo%8=eySB zvz#4{Gji^N!AmXW-x!I;1kp9MUVhiIeC`^Zqizx4Z8JtpSXyK3ln|EI7#j;h9gVRp z2uo{>jRiD;+MnXbSME%pF*X#039wyy9d5NKpS=?%P?)1u73Bj{BMmZ(I8_stW^xg@_^e$^8HhOLFUE=x}?%8^T`G*k6>O9HYjsK*JoD? zpS?!w@m=V-@Ie<|s?@>sr|3f${}xMlBS&MJe-?&E&v7vEs?XlfP%R8^Au*WdpM}|> zkHIwmBn;Mg2Ghpr!h}9pM_l~F`!9{%LLY^B*Kpna^VFDXU*HctkOhf~Xxu=0TbHmHQjR}I*8gowrjk%|R##kC~Xme9R z*v--)sG|-}ynAJy9v)d(*g#`E4LGzl#?m0DqcJudgg&Zp!9CnNpK6TdK@0_r@jPIt z(iqDF>ho47=HIoDivC+7PL)&0(X<(`iobYl%_Ewcoo}4roW~tm@yA18UM(ZqR!_U3dw(#E1QPK3jp^b$pcJ+mv z;-4&scUTxq@lV0f23k(>PsTi9(znWX_8P7;=0(0~Oc|yb6LwRFYx(gM;~!q+@>Z|z z(>MkbcGDQkgW!(D)Nq~k3GPT6U@>6&7B*1RDeot67}`L~@jM`RG{*8EY@jh^oMszP zAMQn5d5t;tMxR|xr@WTmVCYkW@jSp-Fc`}N)Y>WPs!om8*#sOu24iW!;j^n^?l@>8 z*Yja&wO2Rpu+bP$XV(}{1DaZ6EDcB_dL*;dmdHSLjKLG1bSu8~S(E2OGJ@KL`h#+CkcDfj-lkVm6$P5@IY3M%N_9 z(jYME9L*jtS;(*hbzbVI8(+Eg7I>+*LH2(C$;zo%mNXbk0&emej3og#c{K)qFzRsA z+F&dR_y&!^*kHiDc7w4jfOq73l{`{m)S;;j#>Rp$HA*N~g4X(cCUuxvV{9x4Q)`T+ zL6}-&EDdOCZ3C_$u9>>^#`e;mz z*4ft7$6!1UppU^=9$+Xu)hVZ~pQTzR;7~A_TN)V5Ee#CjmIelMO9O+k;ebQIU@Q%2 zYIQLR_gD>c!qggLSr80W`)*q;&}X&#rHWqrbI8e>@yHc%M83uc=2dAEg|Gs8qfv?Q|5%+H?rDbEDu5- zYEWu{;R> zXpH3n$2QMYU;Tr<0izGcwk~+o5`ESu?50lQ?dY(PL%ZqlhV3qcArB;GyNTAAFy6{; zTR;4|a;g_jQpxXBZ~gG=!fe=wFqq<>JY($$6$VrMQ!sd6)nLjv&6v;!8x9v9Z2de{ z(??^9f3lp=M`Ox3Eg0&fF=d=)Oy~pmUG=H)I%C2=8e?be&_`p+IL&f+1Ke9j>6IIox22;jqmO~pDjOPJtU@)Es7^lVtw>;QYzO&VMo%JCP zWMyTm@j7F|2C5pvFTWW7kOxMN zEVmf$P#=Tw;Q+ig7|#QQ5Q8Z^ct+hQ6_?a!Ber_mNlxFmcc4x>@mefn0zh6!;I1q{ zee(u_H&_J3l7PYOr7@NS%wIL8R_F@}po+m*5-=Av7*7JMu?)tNfa^?yDJ01H&^zj$ zj}3$}-U(By<2IqA`m9cvTFbFC;BraJsRjBhCrmBn^f&02 z4Z?1^uwrQtcGDP3gRt8bbMm6qKbQWu|M~C#`~Uv;|M;)Rf8L(k2X7ag{|Q9k{Vmv0 zNzLEUOedx0=W{f3IOgVUG}F|%`OW6BO_rNOgCEB(kTM2=0X z+&o2+#JPEjY+Ami$fo5sMK&$BDY9v~4Uw^|NFui(5;48>WFNmBn>p#}ef&1Ejr#Qb zZ4Tr7^!)9ntoZ??|M0*6&;R1t8q5G`qG>b0YeuA)^OC$7kz!iQ z&7;$Cv@AD|-o@#$)I38^96HF&!|ljHiuq>f!LCJZ9zHj?_FuPt=oh^Ke=m@X5_nWb@?=J#kDYKRrcOEwRrU zLpUzOSWn|Sb)&h)ukA5JMin+cJ+wsjm@SA8{>6_(Ej2woQkn5Sb8a3Yqa>P}r^u@1 zHX~BYp0G_cL^dsF=!x=V`t8^J?WW}jJ>w}eaR%)4bz+lVLc zmObH{4bhX9J#8ar;Su)IllM?3_CQllM&Nk2>}eZ;;~kZ!{Pa)$-o1H#WWaIp2*s0}T_$E?xu;pLakQmL4^CR@UTmH3;tmobG zFDygGk5tZMy)l}rme|%B&2?m>K0TVNmiq`jZ|pl}Q(^Beo^JUUwi-us9ohKS*J!R< z?j!W@BRuuDhXq^yg+k-_k*4K{NblIhSx&?IX5;CmB?B#j4_&WYh99MK&$JLuAXI@H$KM zq-9V0cZh7+6F#Iqo^HPU4v{T;!i+GUu394WkLIc+;_zs$T4LcpnyZ$GtfRSVi4Ypi zEqg+&9L?32DAkST>Px)CKANkR2y>&k`ttpnBAb?zgK-FaF&1~pj2~%QZc}8_ax%7M zPY4b1BQ1M+Z$rzLJ-xRfvSm+rHFx|-({dkLw(JQnzK*AxmirXhe7R4Nq2+Z3U?BgS z0q_oLn*nIxdDOnm0PpwgbhFcYcDk|QJv!a;FWjRUy0rWY*Ith1#)e~vjQeDgBab1n z$!5(>Qw4w44zsZfHq884+pRnU$MEopCKzY966y+;494-IC z35pQe@-G-;G*@3j>(N{}5A{ZK9oe{3b~IOCqQj%PYKfkW=IYC1M5LqTU&n|@N6WwP zSo}!Kzi^MiXs+=KpQjkjP0JCH#)l}Dy>UoqJl(V$5ovr*B0W7K5>NJIH{eSM<42m7 zBO;COKI9*nBC9X)S%CPFmObGb|IysE91&@JgCYI)h)74vo{kZb#+MY*(<5+h zp-$8YbMqhs_Na^UBXEqb8sw*^I!((FIL5~b($gbwj1Lgx<{`4}UL7NF94&jofyFRY z%bt!AIL5~S(r=Hz0n=1kREJ>m3Sba3omU1tCW^1m5? z57#vSN1UDkj*<0@TliD&X6R}87p|`x-DsSjp{MPC;Ub{%bhFb8J#m$C5;;Rp+yBBB z1;>w6JK>AK(cJQ{bB3Olf1NY*wEXLwp{MO$;kf7c?W!d{H#eGV{KD7aMsw8?=UGQ{ z<@`A#QoO&ils{+aX}eeW=ve$n%fIlEu+dycHn#glbB$l;3_Wf43RfPEr<;~D^t9b8 ze2;28-L#ycr|n+hb5G;xI^*K&OryE^a)zFkf1NY*wEXKF$q>(@=3sKph}3qk&XLND zuev6Ck5p!SxHUHq3%1>>bB3Py{Azl7hMu;2b&i~6d_^@sJuKL=r*np$mOY&_^t9b8 zeAPZI*s`Z{hMtx^onsX>zDt^VGFDOJ)1#?*hMtx^oip^b-K%q^GA(<;*U8zz@g30o z+hN%8t$mX@>G5=B>}Ldyv+Z8t>&)Zn z%Gl2c9B0d(J|l4OTzKyHX9SM3Wlx_GIL7BHQwK-jINR>kX9SM%(aHSuu;AFey3PO$ zw;h4r^b=ouevOdTAdXMC42HILA9#u2yN90O;3UNJWhx3}G^&j>vTsI?~}^qe@V zU)(-I58`ZV9wOWB)n|mB@xj8>laUPZj=uWa!|iSN>N6tJiPuKT(<35{j|ZmT9$C-X z@~_VbJtt19lph(P=WM%IpAmY-m;BOikI-|r>fvXEp7A}t{Pa+#dd6q<($gdK zj8Es~=HaxKe|=`?Y5CV@q%xQ`s+KeKwCdq!q%xRI+S5a1s~&!4L~7N;&x}Ydd-~4M z)2fHx8G2gw^qrxnRS&;2^t9~hJ3~*)p1w2m;8LjU;_nPSEqnUT(9^P~@5ousmOXuE z;Aq*?cLt8Od-a`xqt*VtGjO!p-*==kXRG~vXFO@y(|5*`mOXuEJZag}cLt7D`}@wo z(Q1F+k+YmFd-{%?1ru*I>kPm=RL=n48G2g&^&J~HXUo67GxW6l>pMeF%fG%O8A7&D?KC4&+|Zv?oDr$z zU*8##TK@H&p{M0v-x+%1EB5KPN7i$;{OdbIPs_i)GxW6l>pQZZv*lmk8G2g&^_`&y z2Pn(vo1v#w55F_?wEXKklcAP>eP`%t`PX-Z9wa|mB=&D28T!=fA(~@~LQd44j%fF( z`4^s!{0pg4eR_nRPu;!3M%n!Bl7FFj{&raxp?UsxiPC6}`QlUaFYG%Fb!z^F<{`4? zUuYg8YxNM#LuAdr&^$!e>LGUWrpV$;G*6LD%Mp4$HG9I-Q)JU}M5Is6p0JHJMAqyH z%|m3(p3ppgyJk;l9_rMySJ-NtzukN}LeHmWPk4G*u07yB5U@9<{`44y+ZTQvSv@%A{`=Y_JrmsviWkvlTY2f!qZb^({jXl~GX*mMNr`G=P^!V+XJ)wE1Q+Kbhhdb06+0%6fU?Bg^0P%300Z2MI1K`=w>AL@g z=HY`l9{v|e(L6idI6p&A&A+gZJ3C!D|D2(x=3jVvh^+Y+nuo}mf1!EUspen!X2B3y z^Di_HEo=UT<|(qW;W_HBpPGN+s?eyYero=8Jja=XPtCuuEj^#EYSV-PtCvZ;ejEt=3i)zD)Xo2Uud2pt1qA9XuzlDUwC?oY`z>v z13oqX!sh!BS@SP650N$hLi5nF=3i(YB5VGIjsBr!&A-q*f28?xoB_b1q}Y3&eEih> z3)O+y@2cf%oB{aM>LH#UB5U@9=F#t(J>jd_qu(`qLh}$=vnMnUQ`PJV%~NF6avq4p zI;d(n8C$a_6g#HKrsX&T@Tu7oo*p7=_Jro4WzC*YDj8bV>7ixI zzvh8RESAgD^FZXc?q1=`szaTYf6W7t-@1E+@3#*Nw)_ikiGnNTX(Nek(?r%mLnp4>+Thv9$L2i3-=9-4pzo)BO+muUwbkl(zoSb z+lWY5`?sgZZ`a)`)JBH|TlRzxk48^g_Jo)1Msw40hMtx^%>$9xK&U;Lp{Hd}^FSo_ z724B7WXqoBIZ13cw5NxbEqlUen4{k89mKWxg$Y!qsf!>89n3 zCw2Ggmm)&52v;432#h|r)!knM$YoByI1&; zDYC8@@SfKAk*4Jg94&jAryQ~OQMH_bV`NX)8GwQOZwA2E)9MTm)3=@h<~hl4%fIG1 z$#2WQ<~d1h!Ia+3bCTb>|Am_U7^^M+ng=4kE&rMaBENP23l;w1gO-2I1CiLrsXdvY zr{!PsKqR(z>eDmywESxxh{Uc>dwQr-cdrgy6(9a>`4?_>AI+5w`wTsG_X;NyhL$b= z!ZqjdBQ5{J3w)!wRDutDQD`(bEoVe(`4=u89#1zdXVz19uW%S*{&pSNxJY{ZNYip= zJ$3gAKQcwuD20)(1YftWrQ9y zH!UOdpt)%o2dvTDw2aV$=B8yVuFzbyL_;XwvM0QfFq*5Dc=up5S1s|9!Dy~p;%$P_ zT(!h&1EaZWiSJd7=Bgz=FEyI0mN>&dnyZ%hs?um~T1Mc2Qq`9@JReWD?CBjj3!ZLT zM&Lkm(=q}FnwyppIM5tgUS|LX^1m4Xr{UWSK)dT109OZ%PB%M6=z+XurwBc0Zgz^$ zgXU(Z2t9c5q}eHwAv8BOL_|V!vr|MQG&eg%L_%}3Q-mHgH#S7*L37h`hMtyx;j8Ik zla_zIXXt79*L#MZmVdox=xO=adxoBtf4yhuY55nvogF=C`PX}fo|b>TXXt6WSMM2m zTK@HpWC&xh`Eo|2mVe>9%F&aSf4yf!YWWvFCp?~RzMP?_?Ox&2HRI{#%Ncsw?$vvS zo|b>1Y%qSLG8WtKqq%B{ZS2up8H;`2Xm0rzme`}YG8Vgtqq%B%%+S-aCu|pvr>mCO zUmMMpvDnob&6Tm(1{%#x%Ndbc_H@k9)3PUg{waQ>WlvZYj^?K23_UG-!ZFbCbRCyS z)JJpEat4l;Jt4mvPd6=R;Ap#7$RNhk&6hK9wCw4afum(l#|#{8_v(mL26IgF<%}mS zdpc%3X}ed)j3+I7I%eQ#yI02y9BudNn1Q2ZPsa=#WB2Mh12B;P%>aD3>;i>adImT~ z=)snK$#;(tdY&!+I!5ThPJVkj-2QC&*D*p5Hu&q)BlJ95{&kGdgMI(@bhsV2SG49S zvaw+#L(f({JVr!9m7xB}h)B5qh32dpbwxdA987 z9HHmgvZr&TGQ8P3oklp$5s{uPdpbu%dbaEdCvrmhmOY&_aJ1|Rr)I{}P0JZLTK05~ zoaNcFr*j65mObHIO8j=qp3WIKTK05~oaNcFr*q^i&z3!%GjO!*>70S1WluN_GJ4Xq zoPncdPv=Nwo-KPiM=FDYVet-5Y{YN3-76f;7|r3!>kPm^{x<{g;W`5lrDuR~4i+!? z)sg*~F{b5TpUL@_f8lze(YqSIK9k(_^cK!wkMY#@zffU~A8Gm5XOg>B4^cB6PuKW` z;^=6uoJSROG&e0Lx!dj)>YC%}8oxf1^KJL)GdbV#ug~Os%fC>2jNfkg7mAFdxv^n# zzU5z^$>O$qg&N-Yk*4Kjam&9xlf`ZK>N8o~@-Nic#&1{7qo6jL>s*A&Sv0r&3njAA zT($hpS+V6`D0+>jt1rKER&4nficsU}8l_Qf8qL+0C@YQT%2?EoMswBjJ7>jKJw!!_ zPxG5CIlz9$tcYTBYYvgGmOY`EGk&CLIcCLI%bvbtRzzvK{`SbzUoCq=!Dak*({fCf z{Afz)_n0hE$ga&}vV67d={qJ%)VJHyLuAXIz9Vp;?A@LY`n=li)px`bRKx4jBc8n4 z?iGp)p=H~>`i{WyYP(n85japgufIJ42S2=0Y%&7Jt7T8$5jbA0_V*ou1C{prBO`FU zTI~;8?9uO*Jz+0>G&jbMz=66vfBQNEFp&Sv0N7z~GXO(^o&mli^t@X2@H;{e3jS4> zG2Ome{`DQxEzSY7r-y4={`DP!3daNL(<36iTK@GN5ecUT+SBv5o1I2P;)jQlBk_L9 z7^^k^Li6xJ&A-q*d{FZ*G!KzA|3dR%am~N5G8iIj{)OftvgTiCo+68uXr3aAmS~M&vYV8lr zQ)FZ83>>xghgUDB$i~Dr~%NaOo_Jnov(6ZM4&^$!e>eOmT?4THat6S&qtiA2Lh~?4-Ty-K=yc7$@Uq+NbhFb8J$3&JPfwA}PBR&*`(Jo^ zifnco5ovtz;qSOA_Cdl<+c4UB2UwBDx{&v%Hgr4yU zh4kAa^x%9>`|T;Rv0;QB9NVc+kI=K#{0rMUVL_bcX-^L=YyO3&hsc_L;T^!CWzE0P zJhZI&7n-NY8o$PI)i;g=)t-!qwAK6z`%d$>o0cQ=jLZ6y1xM%^_wncEp-#=e@RH(C zr{-U19wKZ0h3277&A-q*ELhK8p?QjIT8_{&Zm~}t9HECFL&;glbA+C)W>4638zO7= zgytc#W>0vza%fqzCo~T&YxacZp=HgU(98qYs1`0?;ufpdn7Fo-JVh%{UE|rw*YiIk zD8kmW(^JLS8gEnJhMk#5&(?T*3ayi@zt+*UU*0rZr^|n7)30^d;+Hke);J)K-<`wp z*E)Z9>h^0L8vnMe*oqs~XNh+ErA*O`Rb#v?f?rPB;XDsK7pC~7Ouwh6e!rCIuX%|4 zrA&X#!=AsC>DL^uXkagYrc8g$JjIUY(D4%XBYOYGe@ze9uumJbu>bcg&%xt|lbNVu zyySuAN%X&`XQvYh_;kGFfv20D;w2BFUcp-m=2e)t9&`emq@$iF?XNbJH?jr@|F}P0Kkbe8548@`UE5WxVo)=B8!5#DwOiWxT|M=BDMK{ohsXzn%=*|6SGo z*BsvYyQ=-KISl)ERr{}b@cZwo_P^%&BTdVA*9f<$H7(;^BQ#en$HjDLu3CCjxY z92e8QLEJI>&Yp~m>CjxqC5S^pjPLHPQT!fbo*#yZDV#ro7Y61bj{TQ1{hCKwgENcu z=`oq@zb&i3r>DrIC4YOYsQ8iUB650XY+0olVCsb#1Bw6dr}1!|0VqSv0F*cKrSV0( zsd+RHkNq~i{(d=vH@{$0dl!Lf|0P|2Psb#Tk=&k+A&i5TwRr@=@r}6DlgWl($#DKQ z-UADd{kE+BnrFWg8=wweDT=3mN!Rb`5s`3Ov-D(K3Wn=8tCskk@gtQD<5Dm@UA4q( z3ghXjAZduqi5H(9+Z;F`TAv)MJe$kIeS9CXB8fNsZWnZGl9ya-HS^W$<7R@-2+nye#%Gnb|jzu#>*QdvNmtTG< zrW)&Apjz`#K4(w-?XlH{Q@!o!p?uDs_;kE`{N8^Bzn?l|BF2@q^+)DJoU(mgb-x$=+Ap zH@bXBvUi9P&-mb37<=+%EXCCF@5t~d&7S-n8UBeGufzTEqbENfyz-zsc_e%9(j}&; zHt&h0+f71pWUHi?o+DLzSMtQ7LBsxqtPb(2`@4Q2=7rMa$>u$kcm=wje<7gj@A6L(Seh)} z{4a`0sm=dtF#}J}&Htj9lXCOFD5j}4{|kE}La$tU{*DZ*gDqZ_Y+AOMQ(^PJSbFt) z8_O=e`n`>1iRlR>PCBS{ukov5R;ml|AoLOzHq3{=6|)A?&NceUg0?(p2L%| zoA*R98M}E;*p&Z{QJ%8 z*51%I@2SOWa&O)f#jEsp$EBDS+`K1>X~E5VYVn%foA*R9O|^MX6t5;XEvw&aa&O)f zOLvnD)2i-}j=W+#RUk7Vz~`7$(!TtwcYSFH{M=UCjeG{4u6 zWbc1E5@9T2L%r#|Ht(rRGva|KPP?hH50-KHfE(D5Sx(n0H{&G+`K22rk3=cbO*rSar>mw z!I8(PL$84HI!xRFP?l`i;$Ph!y|vfoe^E?3ZT=Us6 z60~l9Y9kJ9Vk$5G7b;m(VLLr+Eg1#EuPbOJi~=3W-v5+eQ$hT%JF+;hCYAHd$bmC0 zpETl}lVJSIGnz5jKZ%kf+NulLG^a3p&_ zO0OoB^D7$yehfr-xw0X=)&F|qi=6#7J8?M%5w#L7$49dFzn<{)5JyQ`n#(bU-^6Uv z{I3<1f1CfsAJ{_D2GJ4^irPVhZT7km1Zr;$?>tq^F9v99;#G-giG+0RPU1&Qv@`>Y zcok}5&L{Q1kf)9WRR0SX1eaJ=>A!n}ctfgn)60CT|Ank}TnE(uf|!QgP7gsgypLKP zG%a~o0;g`;=H-qYWy7=FP;E8&3_Udo!7hTWo9t6)oR`JDBtQm;lyoKVp{TG=t$N6XA_1T@d{V#=D`pSBTYNi+|*pD z+Rr;cE#!YYz;7kx(B3iqgTJnm&UP(R>BGY(dZRQ)2ix!LA;tYEx8UH z$=+ALaZRrFBAg>`P2x)3Qhqx<#DNzw+Oux)ze-GXBzgrgC;UgES0!e@#s7ksehhxQ zM|!e0h9lW~_Zy`dB>qVB3SvfqKH`6sm|AY9hpi4n8y|^YRq5V~@Vh|T6GnkP;(wtu zqd@q%AT7;^$7ee|>?V1I0>2`pr5U&Ui2sGUdH#ANd;e3Oam$ZHuc~x!#7DCCztW6b zek6K@(%j9DWba4m4#|APd#cj3iA1kT%qGQq!W~Oq;r&STs>B?I?ews0f(UeTP`sxS zuO|0Zy2PtVWh`Q)tYlxmP?{HhKN7tvF)I=831a#zxL+@$b7y1>sR2yPev9{1m9XF9 zJ(YMhxz9_88Ra}uwXb2g^ZfNl)qaRqlcpuzq$5@PU+Kkf@t)A+;gnV2d{{HrRVisFBjm>m@V3*t55#s4ZXXNdS;C0m?hYQw-v2B}`W(sL4{`VVNcR3GrUP*# zdq2c&sw3I^A?}_a!Nr#+=*fD)btHRVrI8w<*4>rtopZQL_vjp{+K^f4l z|CJ`Ij#TZ3n04>oQ#HwN034HRzqyhgsoMW4q5FQMYX1|{#XeHCA7b`XLO&hfx>}m2OGm2q zzq%Q0K2o*+iRm}v=gzbe`px*lG%-!Jdr#F9u7mj8tJ1y$V0FSm{$&pe>kd%;4?93r zOji}(dfE;8=|{5nKV2A2!N-x6rulaNtLo+=i0w&Bulcn5UtOBBZ@c?mtKIqnhhr|4 ztS|5*+576?`T{?ay&q!Mz58FNo9XiL^XbZl^{I{Df72#;N9}j_zp4^C9JzgkR^?EY7a+2rnjwU|xr{#S|lVUAysQ!Urb-uJRttvqgPc4T^VGC(b8+v*}bPK%}?Lo-Fs>= zd$M~^C0FnMUO5+t5#i}KqYf-FYx!wXI1A%CX zM^asS_nxY5elZ}!jFx67=}6W7*Fj!@_}!ydRS7>0j#TY`r5Q6qJ{ql?F(dqLpNiKO zW!@8>uCU*xCEa&quhB~AzW_&%_)C^PceVqI+WA6E2fc+*;ZW z0OQm`e(V5MSa*OjLf!#Z8g%^Fp!ROlaU)AXYZ;#0g^6k~zsCR?hRp31ZcfImsbbHo#ehO>h@Y zwS@W*tF~~S%^)`<~+ovWq-*+Y+CkI zz|}g_vZn&Xre#kBh)v7>;Dp$;>~jE!P0POY1F>n@GX!GOvfVO>P0OA*5Sy0$c?z*< z+5E;$LDRC`B#2GRrai=_WxGibo0k2p2(f9oW`lW8JY7O*({jxQ^PZT>8l_cBrm}`u zwPY%5Tzph5nX?*V)si`@AyzG!oEl=)lCMl4RxNRXu8C~k6Z1-=v}(y0j}Yrv@@XT) zre*sT5Sx~ZRpvc05i_oLnwEX&1hHw^hfWZimc4C5Y+A07H}8qB5>eW;TqD1q{bAK) zI%1SoE%~4j;@0xK1Jpu(>;P3*cYv~F-T`oTqK9TbJw!M7QW3wqsdmCEDwb9|F<&rB ztDX2#5n{CyPX1Ym&TJ+IMrpMZU*$opY(h=F zZX>Ifi1f3xjwM1;6zfvCElGPk71W+^FG7IT#LZX) zSWVos0TD?R_xCfJG%Yzv@RY|&vu^XA5Y}i@nfJt3iMXC`T5>kvL0i>*-T`VMKXw4b zDQpL*j^-VJxmwYl*{MI{AvQa4yG3|RRqWUrN}HYf3>;#!Q^(dIHaqo&BD_E_HuO<7 z#Ks2h07tU-zp20-03in|PL=vQ?*NQ@>;%RXg>Qz>JKN_xNeQU42K&Jgv#Am-?(|Ai#U?1}nc5cd{! zBzynUa!nldzfgKLX<9DYtN(>Z*ff2#B5T% zC)|HnrAwCwY4G-+D)c{jwZ<#`9Fh5T;^K;~_a0+qIL z2SC}?JF`VF}pH_5I37sMpD`d<*UN%g;Qzh#v+JFVHL{ufHqeCmHe zOs1*-g*4);Zqt%+20Ri~rZLWNBzs?r$qy$b)?Y2nNqHoD|0~T&iFHg%bDAB=-v3H- znql>@(rqfN2PSUiF_l%!P3K7V{#SQjS*c$wx6-Uz{VyB`vTpUiAa28g%~A<$R4hJ{ zy{{(G1&VbnkyxH$)e@CbtXhI76swlJIEyBAERoKgrBzEl&%#qco!Lmx-lf(5LSZVe z{ujhlN26EB{k}?@mYgKGnWd)UBta7c|BUSsKy&0oBQnepq)~((X zI!5I+dId3?RPPD>U8POSHA&QaLTQ>xy(gry=jf>S1o5idv}7>uX5JGIL-A14#&S(5 z^PYGM45f{+^yBd3@j47^l9=~&A zu$gMf3tSNQ>T}uwdLch{fGR9epq}J;2jCSjRIGO56)=d^PQ2>{v9f`8y&zUQ-PbfT z|BH9MP+Dg;FLgnzY~ZCXh|Nyixe$Msp+TD4p4sMqA)_tDrsbNH=6~^07asYVmTQ`s z|8?iibu<5qm%31iX}LBAjb7nL3^*0c|Kgz{-W8jcbmDI2fAM}4N}HBzcQOBq_oGnS zv}CyYX8zZGO$GD6m|X#tsFqAe3bBqQk02q|vE&gXo=K~gJc5K+cU+`~?6EZei^)q- zS{cg&ONdoV9#}%Gj79oJ)~%~F&oNQjv|O8xMz65saKfAS^jO=7c~1}ecDOYmL`GV| z?umI%$UjK2X}S2V(W@IXpyHvqX-Urv*Hg7gdS-ZHYhv0&qgSY#!(iSM(q>i%k5uhz zFGAunicL#?7aghE|4P$gJ5seD;#IeeB{vYSL|5d^Xc%*7S#BEqComR0Aqf`iHZ3_Rk7VzE{pO^^2Bvj$FT#x*6SGP4 zzmSotn>7FH$+>#h(?e{)w72a6{YEz2o>1yEqMN{ueSWb=~HF zA*B+S?ck{pa^U5zg%F&)M{&zS33orLsw~Zykg1C~cl`#H?Cp;?VA?}{{y|v_t z>Yn$VVya{Q7tY1ICluh(=+TKkv(04cQiP;H} zfkelWKMoABX~~G*p0&N}W<+n#+TLOYviGd*6mxXUdwMM*oA<;7x=_l-a#7Q~C;qN4 zO50d2#+vtp1ORPV^PXO72cY+K-T`VMKX!mBtUEwaH17aN3efGD|AqJb6zj~!!+naC z^LU|8v9bYA@hMh2@i%N=d*1gN1LnhpSnY%d@T^4HunwQ`+YU0}*WokXioi4Htu=fDW*CWz2XnEVo5PA`*k10swIDz6=EGr{xB=Vx=P~(WR1?A_q}?; zJiZVsWBF^V5bIce`Z6)Z%2+1nMe-x{D?Yr6@v!LCI_%%Gws%i>>bYlarVt%{e$0xK&?iYL3c9v#O%zIjw z6!xs`EZuADp0%A~nu^}jc?YP4{MZ5Nr*L%#DBI*60ME7BPUe5{$7+#J%k0E}!k+iN zdbchYAhV(vWL+-6_o9huRf~W9@{3{5``&dk8vHQ-3y(;;-xj@E*99IHz2Yyh!iUhA zy^h@<7QN!{o}#p_Uvnt7LxvC|7jfX|Ae*5k_Qjh7({_VT(5G&{TyQdKA z`o-Tpg;+Vy-#vxcwB)t8hefY`>3Q#Y-`n2YU6A5m)#1tep7*^=uieF>SL-Yt*OIjo zUZ33azIP>SV=({gmv`&-yzeZ{rN{g)T*B_rQU43kh$WV>gqSOm`d<)ph}Hjsm`kQc zuOMbm)O*596*j5SD~Q>oMz0V6VUrrYf|yNe^a|qDq|8f%OIDMn7N~vKK+jCe?dF%!N&=_XIJuRPPC5HmTkd#MDxwR|woJv1z$DsL?CLb5>7;u@JAG zn3lX2h}+~lHBq{o+_ScWY!J8dd)D?AlWcp|c8a^nJ!?C~tvn(n+9bb2_pI$Ky_(dq zWbW?Q%G!S30cs)t+W`;}`mr6LnvOdFLRPEOYA2@1M|)}~{$k{7&--4T<}XG}Y_zK~W%O5?P=mSenqH!*FZ{ud6HdN;?fuW4zX8}E7F ztAk95fx1;ooc6Ox)pDI1?|I+568gJ)-gk=IA42d=d%~~3J?}e9vq|-y5U-><>OJ95 ztX=Fq?|WB54;Hz+v`Kofd)9WAZU=GC+D_A zl~?ZxV)jJ6Cy1%MdQT9qCY7;#VTzoqI+j?hnwlEDLO##cL1iqGd~|8`o)8FT2YL2) z+5vhYKX!mBtlt1NN_huhh6`kbRnD)&P^4uOUanKmr}|%aKI%jz%7*(|8#Vq_8TY87 z*=g;<=6~JSB53{>a}FV8t*+91VF|IWU-<37ZqocOCKp0!({kV5 zP6@0un>6nU?mSDImgKi&Z3hR}Yf79cNZso-CGG{9c=bfJT(2o5YkSwto|yNvUQQH9eyt1wBN+kQlnS6<-sO3dId3? zH1Fxj&n3y)Uj63hl4NafF`G2+X&uC^tnKF=pce9D2dKij0~De24!~c$#L0$oo{2gk zHaqdl3c-4{6W4Uf`(Ct@6j-yJIE0{b%mYdxieXRD$@|`74ueLo?ubaUZqt&1T&&01L4HMHNm4Ptq9pHoHM!PZ z^S{<{+spi~b=-zWoLcu31ctTdH3?`b{veCgdQWJO;+F)bIr&3jrWZj!aVlwS^n zMX%nx#VT3bt2FNyNY?fiw?qCi?+H0&+f?Q~z4=`vS=*};-qDk+?Jed^v*;Dlsnv(zZ9ne-wU8e>Ko!;t7i+e?q zyzebubt~uBA(!NR@6v52$@^YnE}4?|y~V3Z-HVU_lj&y$%!L* z-@9&h(EP6t{R$lJYu)rKB=37wLcaoM{!$uA-g@LMdWCFm6zlr6Udu|}chtR}#p3rQ zv~K#lEARU;hu3Ra{J4XaW|QVUt#dBP+Fni44VJ9!C0_hC?+Ho3S{?JAkn@;g(~^FL zWNq)dIShLChr7hRl1kS0DoqDMvbL9)_d6wPdx?3!Q?jVF|TvPzqscri!vzL!-Qa>LfW&nH}LVF%U!f_T*}oJZ(oiA_u1B9OfA)g)uE zlJ~vDjKRLu|ALrxtN(>dGfQk*E^@2?h0+`b^}le%hFYrs1@Wrev|PMY{|gs#I1GCC z3gXqIj^%p2DS6+aJb!!*E~$8#_^BEaLj5m@*+KQcAZAZAdId3iqIa)w2Z+k6{{``? zTgP&}k9=$N3O9yUlcptEC3)XVOR`GxzPGrWl)UdHCaYH7_hSyP7mt#)y-T;2lC{0W zWR+xXZ}Dob9ThV@EL^1fG-ynu?^aboXv zO7qd^6`loghUn=bg72Im>VHAp3oP!^Y2DnpB=39mgge(qqgS{k$GSCo1u^SZ{|jPH zN{wDY+$-rvqgN199ld*nLxa_%&TRY)V^0P3zfgKLX~@fVBnGj+Ott#@zu^peq8@7_Kdy~5GR>WON(-n~iIc8ukE z_a<4}TTJCOdWF(dUZYo!^dn^jQ2h?}>>z5i-(MdOcbDnD?}vtV!1PGS#BJc~7{U*BsQF>nPoyOCR%|9*eQ& zJv|nEGNYL85nJAOkeH>-|5}GP zxK^vfz#Z4}zT;AB)7A36L(C59-7AP!lcpul8j;FNn`G?8^1kC{EC)@aR}gd1%>Tln zZV$2fU+W!~<$cFJ+ErS2-1USCKYb=0T!%N7_Z^i`OFccrd~cV}^1eg7npE!z@7LLH z9m{n}gI^ZYo-mrS^1dH)7-wo-iFr@!l*Y2QlSjJ+ZN5wD4EY{vI!$LL#%Knu@28xHd21bpnJ~lZmOkc~9#E z2-n7|^mzxUh5TU8DK}`(p}YgEcUZsXf30^|miHYOxyeiOzt$NNuBodoYlfKrwa$=m zdtFO24EbyR*PCBfmiHZ(#5wHdf2~s?+;!KwdA#{+{uctIJ-+6Dz1PGs{|li*mNqTd z#Ifkrd#&jfy+YKlD>45I;XR6t4Rk7g&Hq}dPA%{IW2HJp092JQ$2uv)BLY!= zopb$~|Fzy>S>E@@I-;_??+~*m7QI?WRF?Psv7TrBn)kGhs1W_t4laJ1_q4LBTGsZ* zI*a->?`fSy{hIf*&Z2(x?$v|uuyFLJdl5eSFqRPOyu=q9#kzjsC%GtA#xg}KDlskl zqYz@9m-q!LR-%mM=@LrYST&N8M(pHS89>!jxtu z$_73c!b!EV0h6>#>**mLi;(l?e_`6Ov}xH373vl?U`ytFQvVC$)ud<%@oG}Egm^V6 zY{0d>RkvsfG3!?U3u4x-(JP2qxB6cY(}L=M;q42XRR0U&)uha9h*y)QWq;=3VYzA9 zQB{ad%l^!R*tG1uA6|}(C7#>V_Z-B+Scs{m`d<)JOZC6-<;#An{{``?#I)>99!;8- zy~#stTJ|Onv1!?xJjAADhrn zSMLd>X+ia#@KT68QSS-j)ud{POw>JS>OG+}?XBJu#B5T%C)_AylN!B(c$L<9$wx}K zSfX0;krKo@mPn4w4(eDU3o^wzmPmI@v1z&Zt=JwePSHF^axo22)2-T`VM z|Jwn&u{dU^=)YR~M{pJ&MSV0K!(RR0UVGO#K!JN01^Dlt2CSPU1~ zl=D3PfmmlY(q*=>HF||dToq72X<4O_x0PC|{{=Bu4fVeu=3b=HD~MN<$_BnULX)Z` zb5%mDS~6E9E+v_keKHBLY1siYh;?Qo+hA#FdEcM64wyl#oaYY$L#$)T%$5-ASn@p+ z#HJ;AVtL<@hN&%RdEX)Kk+;0>xX;bf>VHApQ^E4SL)>F&dEb!)XO%WBxsqDm_ewU> zrc(b4;#G-hNg`X`ca&c0*jV<*)f^cW3nhq^vHY<+h*e7-e<78NYRTg-h?TMYu{(&Bu{;%nShd8P zwH_Vwp77j^V$+gqqh)Q!)0_lhC^1kElExBX<7n5nC5@W-f!sdVR zH;7T%*sx}{`Cm-E`NkVynecahjUWNFiF+Ht(_j;`-{ybuWD<27=lRKMdEe_=WwXKj zFFtTXB{r6QjtQ}8xt1RDzj(ZfD4=OczwyWXFD3y+Y1NV^lMw4zGW90JswIuW($%AvW)cKRAd= zOv^qmg4ncNyP|ndICWx^rsY~5%zNU=Bx1C>e&HaXD>3hhze9-9rez<070r8kEPk8!#AKSd>}*=nb+oMQIJN5`wyfXusW2f{#xmz60_&NY#koxExM>&eWP zC~bD?doK{1oj9K?@B5SIukV}rUr)M|aKL3b#{2SCUZYod(M~p)|Mld2vb^s&+Fg~H zmVE&LJuxk$YKdpEEjIrPzad1iYKf<;6swkavr4h9UwE8K zvF=59g-Wq%iRY#i>sTV$F~vHTOpp1#nfHX;rz~w+u1R9v6OzAmY4e_tl8a)~vQq`( zB+ay3lf=BI7q=11+Wy3oLDp?ru1R9v6CMGwv@-V9_qxy%(~{eWWo<{E1Xg0+(`!u< z^Pcdst(!FO>BVitvbH~Qk&&fsEY~El=oK!Hu(XaP!psz_mT-+JRxRO9wb;BTZ0{5+ zW3k9ntYgXFO2p$B9ZNo*gIF2M$8!+3mggOy7V_g8pbG0ZKn-=?0q`b29s zo%ji5dEb#FVpU>x;wO~lea9V?{wT7%?+~*R^S^lhiq}GBCw>%J-uEX@R8d;lz=wbk zo0f~N=6}5xUG?-3mt;8X=6~@aAjVBsY25g4!rJocX8sp{m=bjx8E?gob~}5bV~K0*6f5U(U!7tdOa6={o?)q${25D#mGii2UX>u(itI(WyGyZ- zB`)bwtXh8PDIk5AR>CFI^1eSmTm+HJOG}e%miPV143X%dX~}Z{%lnRmAI(?)pJkbr_0(jaI@hOXOoR zamO$$Yx|RL>hPq_wB+%CWo<|4Rf&$}Iy$wi?ay^|YFXPMUX`epd{c*>C}VNSt?6Ul z(>hT_4j<9-mj_ywwH=vMSc!R0xGdInoAz9Ep%lnQD4bWOmxcTF?%zi^qR$JhKXX12s7B<1`s z!#&6>qLkus8sdQbJM&a7J)i{D0A zJ+ZM|vq8Nll&13PJ>gT4m8kawF?*uk6U3_$9m{pfd{^(Oer34(t=<#Fs}fnIA*Ka2 zdId3+*XR|#WxEo~+75A7g121z!co7TTRp*3SQT?NtgP+l9iSHSza0QKqx~D8h9m9( zD7$)RcH%~4dEZfb^-ej@jGXAS*@@H4^1kCoWml8R2Anyx<{JOPeeNE1y!ljvaP}eK zY+}}}{ucrVs}j?ayNl(0N9k3GY024VdEarfeATU-M`)btn3mjhEbluiAsaM$1u<=+ z{ucrsY*M3F5VPMJy@Ghvtuq_H0NNeY(?i^dUv=A9axX$`UhU1j=%M}>LNBaD{V#}V z6OCR$%$}(Kg-iIW5?!VFs1u)^I+jcs3bAU5cwlR({uhEkt0$@@B77{ZTJpgt>ejKm zaWBH*f!KsEL*IB|WnvD4o*v>&0hL$p3E?RAM7<}7S0$$9nmg(};b8)mSMLepRf%cI zy$ElQwBKv)sP}{)zoP}!dxDtisP}|CZ0w18PY|z4Y%JF#QSS*^+g2qyFA*N-LDT3} z{r(%prsbLq<~`x-yGxt*gr8TV*v4|r2J@b7Yc`nogs)guqFUl}m0}&sb*gV!+h6Nc ze`Rey?Et-yA3H!5)^C6s)4T($lYYzlj`lc^dU}Y!C&$42uXWOIdEZf*m6-p953tr; z<6p>j#xcyRGTz^ycuH~$OS(O8MGVeKv&y+XdeRf%c2=9Bqf$V|n$P0O{7X!Hu%{b)h+zt+L_ z!~Cyx%8Yl6s^!`*%>QBdCDW)vta}j?qe84&;&nTl)R~Q4l42c8yeX&Hw4@7$3!U0; z?iZG|9ft<2#Jndw#qGMyd%_w|v1!Tu0#^yON%~qi&NOio`Ki$>yg^%ao0i;0a6M2f z;WlDf+mXO=)oo+RNn%;sQJPJf_k<@yt)+QS5AH6wIHx_~+`$p5iC5h=mfT$sd)Lz3 zT`X&R{o(?9Vq?jWdm+oQml5uP*JRQVy0N6ebSi?<9BKEzn}-j zrX_b5+zgR1z(pgLHZ9le)94jW+o+EDUrZp24w{y0_UY*%UTIQ!^S}6l7)K$d<(g*Z ze?8YUGyjXf&4@}&OYQ(Tfmh|}QQ=J8#MDxwSC#y_O=bQU6S|^F8%yp0miHZxPFach zUx|F{`GBH+wtO-!(iSMp9-V2X}NX)^Pc!r7^O{1`a?MHl95Ng zT6WO1q(6kW;#QhtY2Fhb7QZcPJ5H0Sj(JZ=iP}w?_rzaYL?xyr{UMy~XiwIfu4jL! zn!{k;6Q2sBZqstj2J@bn=M|4WbzUOjX!qN^rx(93ENeToq4MTE;n5c>Q7xG)7EQL6 z=N+IH@?!_6!ny+#yYdczrzmVs*}!zOXiwRIYqTt_cEWv1ij@txnpa{(oMmWmCyZj{ zJf8}qw9agtMzgfe>~&&pdEfDzyv>JILYw5oLA=z&t8UYhOTFcNM_S)iw`s{~hPb2F z&5Z#|jftteMX%QBIKJhyG-n?IXC`iYBSNNP?f{ne9SMee(6G8$=~ao1C1)QNJuOX- z3K1Ia_&WJ*dEfDTpURv6#rMW2ZCY}7LC`{*q(_B#gNix(Eblw!JBQe!SA1`b zCRIzmH-^DfOTIUTSjUn-2MMt%B3)N@R4H`xcd$mh^`#Ydcag zaHg5}w4Nbb)^?O8tIT^^$M=@C9eJ5J4CXzp*U6T(9f`i#6Z4+d@jbjh)so*A@aI%a zU(2$#BV*C(iH#+{FW_8RB_uMVSLYp|7V={UKxQJ_0c!B_4uH%*%}evYm?jrjXpHk~ zKAHcuE&#wokxnzIE-FzwF{v)ZYNvGp0Dgg1LT?Rww~1*G^S}5jk*Hfazb*jaPMTK2 z?+eTOjvV2nltr)BNk4WOtz^w7^S{LMsZTg{4f46<=gVUqckU_ogVUsDN)+A zT>FLjU+cv-yd3Q}qrsN<9gYc=H~)*jDfxbx|Mk1}3-iC$IX$MEHo4}L`Cq^E)-3Nk zlB}$rn3iikng6xU=`HU&vaGWbi(aiq;_%M2gKIWe^lF{cTi$o1C*W9W^a^55DfONp z=2&X<3StsjPY>Y;b|v`p-a&}F68zu9T?wu9pcqNS-&7&b<0>n%(~TkLdRIQdQTA3Ch9#w%qG=)f_T+!T5@-R)2hmI?%

  • Y!=K-32bLR>ChGTnaTYZKB>23`#9EdId3iLhtFk1JpwPw*z!x{RSuo z#2o--S2xT~oPF@iwbR_6Eblv>_pVCJPMm#~_r0F?)}{k5UhC%Wf*X1!=0K|dg*U6L zMEx&_X+HJ8AYPTImg_w^Zv9A;>s|nUkix_q1NFb~hH~{p*|46OTi$n+W+fWEf|wRm z|Eu0mwyD(rf_PP;GkZN8#~GyddrdR-zi`ueRbpB)7GQbbQJNOi=oRJ>l~?}@VydIj zD~MT%`d^rJtVE+%5L0>ezaU3G6~0cXyhg8ZpL_Mh#**F|K8dyN zH5=4>LM2pQy(cUSR7brhh}jeMo*-V8n3jx;z14fd+OjIqv1Ec|++x;wxlZ1(or^l_ z>WOK&wh{H7P?{E0?+IcmuijI=$LM}*^a|otiO$P)^8Qxu38ksLdQVteS0$z; zeJ%W~Oeufb0eT@nb^!d~i+ux>f%6Wq&hBv^U9Gz2lg7WWmb269e_<`Bu{Hh$G3})O z7sOOi{V$07RyZ!TOHbB2cqA25F+UC7=6|gR@A&q&(yK|;avg&sBw(dmOUwI?d&tcO z%lnRdxUIb9eTTS}x4iEVcTX(uJH)GQ(~^s~<$cGE*;Th`Nfuk)ca&aro0eqp$NaB# z-fel`u}QKL^S|%|WHl8m?>qKRYN^pHh*u@1CEs6s%>TNRgUHsVqr=79^1dTeV81m$ z5;rT&Ce8m^ufZ+vJAS=|{WkxLzxau#96Gb_T#i2)y~33^62iPErh!H!I zq`j=fv|O~;v%mK`!A8hS>t3`s?`fS$Th?~CUSzC!Pe_#5J)!q>-T`VMKX!mBtlt3D z|GWb{=&*du|60e_csQfFaH;=j{0sLoR`1kK>y-Ip{?|HXMpRGgUdPEFjej9P&NasT zuXUXKG5>3w8zTm&b<^`k;zASC*yexXM7xcx(JLHuuhORFqN_%)>Ij&Xn3nXVE$=%# zSFRf7e=%t^_I>62I`Xx=?>Kv;sWf_p6F=6i(JP2oY29&|G#X8smVC)(dEe_)uC+A( z3vZ|>)*Tn;Y!vIv#(`Rk&HrN3X!s^NmN-^oX&p6ofJzBP`?FhTm zROUUc_r{jB9p4qJZe=V2(rs9aUahCX2moup`JUdgwpS#$DzU8X5ON9itnCo@$XnKSh*y)kty;qMW+lo7CZvA< z>ggfAU{}9QOFl-myzlseWe3gw0=v6O^S?kIicQPKdGo*c>!nD+tgAGCy%b{8a&g|G zSL-da<$Z^g_SVxwh^dbGU-+`^ew+XGUYs}oYrTBNp@*y*>*e#W`Ck|S)@@oY#+v_y zuR)f!v0RKb|7$&n#tTR3$$AiNdEa3YYH87{^&r~vzN0k9(!3{pY;_0Cd%~}2QLI|x zXR|2QvBY;0#i}Jfh$z+__ru&5mbD#2$-2#Z!q-VxV%`%zLnzjHiC>ALSjQ3{78L8e z#P2>)Y+BOSvaIb$P`-L%S~9MK-;mTn<0EmLJ(-x3+q@_Ey4@3tUad#szveyhH&YQ( zFfAF0w5;uLo7%6itnCm}d5d1bn_^E)%e5Ej*&n73?ak=bc?YP4{MZ4iu>say$JH*QQ-=4w{>&(V(gMLG7T6UfXh)v7( zNg*~Zdk=+JXEq<8Lu_N&pG%0vnU?(-53y<4pYafzmi@T|v1!?^D#WH`Z`%-?mc4C5 zY+ClV4Y6t2GaF*lvcK*jHZA)^0y~mv*(VYZo0c8Dg4nd|Ff7ETWzRH-P0OBX5Sx}g z(;yZtF)T%Uh($|?i{B87mJpLCdiDo#(H@af(GtdB-ReC-TvH0AMN5d;q@Mjj%qG=) zLeEy+q9w#NrBJtN*>^=CHZ41*0I_Mgrj&Y5sJlWWa3f614j)4-V~I*@=YrU@ToaJq z(|HG|h5T;^KpmDDt~(lc0FycJ&4_J#Bs(dZCb9KOHU8src+Dxo*-riHF||xpR2SomcJ7W7Aa%-JHbUo?O=yw zAhxmW*bBs_<=W6RdWG)@no7MVh^f3ruW$^*Ay)4RVydIjD~PGQdQbR9S(TWUYXYkG zgwm@L({fEfJ^RDS&nj(Wx#o^WuTYv=s`vC^68f4#VsB=fhger1W}B~>rKL%G%i4~^ zrtY9+ZN~>%+r+ZAL)>eXWo?JJH-?q9{j>x0LVoN3Ran0PYJTP&fbZaIf~zjv%`ERb zy3reh<$cEq89S~17sTwe`d<*!AnJcX+-u%$rek^EaaP9;s{aLX?_8Gm9b%eF{V#k{v)}4}K}=Jr{{``C(zNWu z8?0`oWuMd6qNiH+;SI#5B^^Y|`;Iflo*|a^9b&3u{uj^bv2yCnM%uPoj`73m(i7xo zqgZD)kMB`hwL}gzmR2p1ERAB-5?RqGRxOeKti|SkAoDu6Myp=m6(=mHkkLss{tr&TK1t6#HJ-ZGt1hJ7z}>(i*j#J1ViUT+>yfSNIh;j-`1|d}faw zwzWL(0JV@GJ3tkd9iZu-cK~Ea>ZxG<7jHkHJ+sqV2lVbc4(C@TYA0TEKqbnCM_iO%1FtzitXlG#1H{UCCZ>njv|Kxv z`Cs_y@>a+EFJ5y%C8p(?!sdVRSwH+1({fE=^S>Va=CZu+_)&T;-gdEX&kb(@xq)ZpiblvVU#E$=(Bk@hxXdEX&kO`4Y6%`NXcZi2Ai<~{L;!QnnC zW0~C^Vr48d(3i6*{bmMwh*e7@VJK=^)^-HQS5I_aGG9GPD`T0j9%9v!+2+f?)t+#( zw5;t2zOzY!ccip;+*gt0O2zbdZ{~kJ>F>gex6-te`CrUC0H5FN#GUJA z{udJrptNzGJJ-$pFQm3?<<0+M-T_piS~Bkd#HuB4o8VQZa-O$MAXY8$>bILT|BF9p zj?$_nvlKwAY+#lGh*eA8Hi1|<&)X&t>sa!(3B;x)zy2)mJ8n^NDwzMpoCY{UG%e}x z-pv1EP6L!SExB1*-uDkP=cBY~$TU-pwUlTyxt>t+KsD=F40jjX>0Hs#m0dRY{x?y?WaeCb|#PYsF+yiNO-yv>Y z?s|F%aS!BOPY-d=a5ZUm;-s{^?RG&|8JwY={ry_z&Oa0*-AcO1sEZu7r*U*}_a z-#>3|f0p+h;$G7&?>odj?3VW(;@)vB?>of3z~X^|4mQDH~)*j{f-ESah@?Fgh{l6+=wmj`-iz0P>HTz%*6n)YKcQT_Cz_4&?d#I zB@TI7Y^R5a=~JvT8)qgI>&!-Yg<{nbfd`6JOGJq&R>s1Sq*%4YT12sFNq^V!z9Tij zYSOe^^U}O0e9(2><~`xVh+@-nO+fRWK5GJ+_rzN=h$xwsYXX}0ggf4B(zIL?(7Y$y z+Gc6flIIt9^PaHzcWLvUKKv-OtnIj+LVKI{ge%mn#Kw{vF`m5$k+E2@w2dXd6)kH! zk}j+!jj{Yzyj%2&w{0rqrA_i%@owG|Z`+`>jU_i?Jiw_+&O1OYz5e3Ai z<(jJ&z51ooYCMuzwnN;TB_5sa zLkw~6E|#?&;vRX++75AVmI%^nC5+BK^zIdI_OnU#o*?d()UviiOq*!*3Rm=~yhg9^ z4q%C8EFo_C;I~G#gWRGlYdf9?tkN=;xaY@VQ11z1_FJP@5VI2Xo*OCRd4eM6# z31X_F-V?;DNz;U%xnK>n7Fzf|#SD(JP4AZ}q<*rj{DL!t0V%iD^kk>!Hyr zlwOsXmJA|3GIacop_mo#9Ixi7DY!0gT1jmd-R__VF*TvH6JwZ%rs`rFP zKGagZCx};RU40Nk>Yk|ggv4R1C#EI8i19c}m0x62?+KnG4XfT0#AKCvPY}~o^qx*T zKriG6d#dpE4S*G-Z-=Zc;J^RpI#2#@|MP$S@BgS@Ua8ZZ|NH;`&;Kz@kt}2I1(h+q zGh__hssU4OZ^YE4mOAh|_pSGoh^g@KfT`&lF*xv_FvW+6X}jgV)s)DXvRlR!lQO34 zmN7-M1G5(L9#ed{z2L3}v(-e4kTUgv5gP2xl&KNR4DIYU5B~dq?wB(5fDsz(m@@T% zsgH)J4@`htDXDA10%-=LGc+SC5DZ4AG8~>w-Jz7&wK7{ab?@^LQ)U}5BMZuG17@TF zt^rJ#OBz&q!Ltq8x0vNeR4Y^4{G+nhYIS9H0arrF|ru!)M*+->a-&? zn=NCmX^=73G{~518blkk^EK;}G1oN6m}?qj%t(VCAAHiBdC(5utWU;_Jm_hfF|sM_ z+-X{8%*X=_XQh}9>WnmKT4&5P4I-w!)7fkpb4`Pcxu!wJj5L7RaBm@)tsUa1PMK}M zj4UX&RU-ED@U$x(+o?@qz+ADQe%dxPGqRuvIy5t~pa_~V?Y&2J>IZ+a+1j;_m^K@# zJnPebf5f!eFnR$qvY>5{G2^DtHprNf24LF*LCny#aV-F(e%CobMiMkZ5n>9Mkp*pO zeEtW_$bz{I$u`OYEvT?MtfA`e=1>E2{>%(J@h^Z+0fRW+Z+j(GY z28@i4U~pq+z{vOr29fdsqvOL{O#PjJcUAvU*V9g8%5#`#gTg#fa4@MgZ4|aWO zeCky6Y-?Su9fSM62{ZGc#wTM&9`yL6Rg?!{k)u6688h;rLhiUVob(xa@Tl?0n3)Gy z-Ul0uJZKwWO$g&N@}O;iC~m-vJZKw4jIP%^584J9GxMPMhB*4UZ+!|F$Fw+Fze5`^ z*Xu#Xj67&tXUxchwspqLJSe_p%*cbbb;gW5D0iZM0Xf)hd-!5Mjn*ikk&L| zMjn*i2F%EVvRlUVd2r-G*=@j#JSdJ1n2`r%>nFN#wp$-F$G+i;O5N29kdX$}OkDyE z7@@)bbzUAhK6V3SWC0*`O*=qF5)=dnngKF$p#1&;GjgE({*39<@@VJs`v=U-0r-al zX5>J9VGWphEvR^Uv>Qfx3nuS-T$wt#ulRk~3DTy{$0{QU+SC~{vY<_!Fuc}#4A1)6 zZypa|bka27mEQwgDr&Cv~Su%FD~6sRxWq(!H57b;isKHM&;619|Qmn7++}y_p)Fp_!2dH97-k zWWfWYGhk*GU~~q|$buT3w2ktL_B=WnGm@Z3Cu3x(KAAdWMi#WGBSx3%y`467#*8$m zPtt6+z7iGfR;Gq$aAsRymx_>Da|X=Ff;M$-XJkRMI%DRwpje$TBMsWr88gzLO`S19 z1KF)`!it1zXH(CI^JQxM*i6C*3-)Hp)bY3!sSx%BWXF`L2aGHRJEqnt+WYauZMNLbNP{+8#>_M*vt`UogECvjj5Mf;Jz!)p*oUV~ zjVpEMnb0BK+0+rEXY+eAZR(5}Su4g8nmf1W~4!xI-kRgEND|F z%&lLuA2VThbn5vnp7o!Hhfm!jre0(`aaH#;r1b&04e8zv5|>OE86LraRkys{Q-E^_u+i86=M#e`lrBBAlEZs4sPthmnBeQhJ)cD{xR1!v}>5eIV z28>Ko!9bq@Gx7kmMjDsYwUGw+CBXrsi}l{MHd}0`Z*Y$^$bG{Z>IJO_%q0y5%t(Wx zz_ zb2}pqVCs6;b)KaCHZhv5OkEGN0%l}EnY!L#1>ky2p5JDJPG__A1Ijo&ZG(&%Sx^iuTxjQVF&Ho-4QeqMFjq9FTOXlo zBMq9N88gzLO`S0#4cgQhGt;0<9Wi<|?~B2Jxm*ke%t(V;4Dbf&Y-)Vs4r@-EI%7r_ zw5c;@WI>xcV@4V@t21V#LC@@r8EMe0&X|z~Wom?P&ZgFb`JDxA>Wmp#(5B9qkp*q) zj2UUrrp}m=25st$8EMd_&X|z~b>bJl{cinUI4+`nN{AO}VSI!J`}Y-w^oiFz0dmEH zN*i{9;9=c9;gG%=|NI|(-h=X$6f0n4bOcl9T^S>zvt#h{e>hePi$R@lZ;Qc>sq>~v zu@?F!qazr25(7pi>5h4n4RSj+I-A|f1{ov6v$s?2tU5}72XxzFuy0pr2IOWmo|gZhMvcH^&?Y<8>B;zv&< zt*&27IO)TmHi?)@_?0obQa`W@{ zFEa+2IL}?vrCPdH!QEs5#MZaT;}EQ(p%vl44k-`po-r~(ls7eCWU1c!24^=uZQ?KEWD=CA({m{PY)-_qsWV1curIsl+B?Mo ze{`kZwJBeB=-SAF9-Z8^kp(?E88fn=M<-*11#SY6=R6TQgIBb44JeM^17zet&z+2s z?OH5dT#FbzlNU^lPR0lcc1({>#*Ax0k50ynEa=h6n2`l#YOH%_Q(JPA?FgzkojjHw z&26$udsoscfBhHdJM8ClS#&V3tc(5B9qkp^w*j2UUrrp}m=24(7a z^niM`KRr47rz`c2 zfk$0G8FeBkf9dyV#=j}v$n_X7GB}RG?~^2qjLr#z|~Kd*)ZCN;!Uw)~8FltjJ$1j;-LwbSKO>L(xq1CjY@D+h)OTv-(aRx+W8J z$JBT7fEhVZ-^l}J zJV*WJ)ECpHPTS5uoUIJ%w5c;@q(PfHV@4XZsiWPn?+<-z8^ldSkK=dhv<*_k=pT4E zw9_`on2`o;gNzw@(E6mf%)d?&8yC^mCmnG7^Q%)|9<)9gGxMOPPsWTqD1GX8i_RSN zyHnr3l|J?JN&z$Sp!69qm&?I`8F_%I9iPVj)ARV9ZZ)-ILRe%OpXk8EH^cJKGIW@1bvPgA_CQrw8)8t(!X0Z9xZycA7dFGt!`@ zcKw!Ou=Thcv_2^s{0|p^hrYEw_}%u<&g>l2R7e>90DR<5>yt4f4@#f_|x&R zozkZ+aRtoCgBqU!GcN}ip8+F0*uP;gwcj|U3tby&07yQrz_Zk0L~AujnD?(P*83OC z1Ct)V&3Nh>Ffuwj24DXv#~>gwNp}b`{7)DeoE=l2{zE%5I6I~i&kYzEoE=jMc?OJ( zj$rUyWWdPq2nMDeFfvJZOsRuUb0-c=Epv2-w5cOT*XkY9rp}m=1#Rk#8EMd_&X|z~ z^^zsqK-cPBpJMfZ8EF7hr#xi%(Y28UW$FPlvH;E056_)PR9EUHD#+6L*`%)kDDpJPYZ^=VA7HQE_j&~{5p z^*@BIuI!dEBMsVa88a>htxrm0j~^bI`c{~l4bC_GXyC|$vO#%O0WGro4=}R_ z%q0&VX_v?ECSBS9KWY;6x#U5`UIXTm2LtAk2LtAk2agnZ{RgrS9Bm$?yV(EqP=233 z%>(>s(AfrhD!*fD4S8hDH4if8ng{WNQBzRueJdN>(+#SBn23Yj+6K|HMl@l-v<))m zngv^djvmYJ1ntqun2`iMIvF#vphqWS^jv=LTah~JgR8j%3wm^N zJ2MMvbTVdS0WVOUqm}qEUKySr{$eJNd+JVHh;RZUlXS=2@g97@$oL2be%*kP@!2sC z9E4PGc!uCOAVLF! zyu!UeK!gPjK}){40U{*WA$4!`l`%qs9dkpZeZU9_cFY}~#DEbJ2nONO0V5>XF^~JG z&q#s?K3Ru$MiRhe>8EtwzrI#it2`}jQ{&Fbd3b!S{$kqH88fn=O`S0#4a$UrJ|hj< z)VZCJ25st$8EH_a4hLm0^~i!Yb;gV=Xj5m*$bvR?#ON)mouO^&j2YL0Hg(2~G-y-f zeZ-k={hOBCxA~WDW$JM8>iw&?sCISQ)EP6fpiP}IBMaKp88gzLO`S0#4cgQhGtvNN zOFs$o{?)^IH(Pon4a~@bGTVR|Sx{yhFqezLfVo@@2FyrGbFJ^dWM4v0=Xd|T+IdG`LQm&+4BYUPoZ|h90L1Cp1} z{z=qrDfntrkaz;2NAr8f>O*P37ze)1)Q3`f4F+wD17A!TAlu9+@P*X3%g{CBz!y{B zRs*IAMXS}fRm6Ps78QO+_~1=FNPFP0|FlqlbfMlc^}Ff=My9A>uwM=s8J-=}eZw8i z&^H;L9n*aaFE-yFOBcA=pnmbW((;9NWPJ8^x^LkXF4~dt*)iR>h|#55Fx9t=k)?Xa zbl<|Qj`zndyzVxrF-_kuA5B5Ex6^jZn2`t7x0<$RTVs-Jyq0#*w*fQr0CpQNGEet* zx^E$n47-gys4=}`jSu?FJgB}!jIPzEzJ;8L?~kt4JEr@VF|t?-ruvpKvSsg>wi`Z; z&oSA!m&kQC_s`HU=ROt`lP z%t(VC(%iR^2F2<@pP2?_w%Cpy&hNw1jworzjIls5uQ*Hf9@VZ+ncx{7UZEYMfwhCXI>Bs41H*)85NO9}uwh`H zSH@JKI6M_ZjhJ6}f)fT^7%;XPeEU{G)B!W{pn|9a=8^|5+}S$W`d3fqWlYO%8Dq=A zSD&_9#*93u1du~JBM;hcxt);*ZMTdWdC!p6L;$1BDXX0 zpzJnaMjmv~KDRTj2OYG>${h3=dC+!Chc{T`cN^S$#pn7~x&Q9r-s>Bad&2P0E7`HdGhw=& zxM$(o&o;Mr8?>#%L$CJ-{>gx8yT$!f*XzBVI{!{T`h|8z9<)BWoskD^x2(@K58#!D z@ww(f{BZW$RMqTSLy89xqwDo4VX(F449Dz#p`?YE&YJLhM40sy1m$!H+9^*eus;NP)C-76DC~Nd4K$T z{@b-uCnbgfMwSC_=M`fVnvH75RQNF+dwnoH1``w{JUBqlgamsl9e~XkA;FHRZ>*sm zA;FHRPsWfv0bP@|V29La*(>C$1!g2cea#M-kpvaA&+6#m{NA@x2h-=g6yU^d9-MgM z^U=fky_FiA0W)%-24}#GBq&P{n2`i!=>ap6pe-G;Tf9Gdm1?&EEL{=uVCj(rWwrq` zlAz6ogPLVthg$&P(5l z1{pKbp!FV?)@x>)a+`tTVrGCn(|K70m@jL(i~ePZ8qvEDJI z&of*Xe!q3K-Z7=mfRTB+V@jU^BlC2}ls*GS=IM@UeNveBjg$L<2dz)Wj67(4GDha< z-nZ5#V@4jdK3L~Z>%kj0v##`s7+tUTzO_CXBlC2}v_2U#@}TueuG?GJ>%E;GpNtuK z(E4P|$b;4=V@4jdKItk^rP#O{pNtuK(E4P|$b;4=VsyRUZQc5$`#Nu3uXjw3PsWTq zXniu~dOgUPkq4#EBYl{@5rMcEp8+%Sp!69qBM)kP2F%EV)(59)=k-8O>3w`25u>N{ zJErx?n9KEGXlLX>>l1QszTbLEzxS>9mhyE1GxDJI$?c3h=<&&zkq500QrMlx2cPX% ze9M@T2dz)WT&@R?h|yE}-PWy7Ixu_dDgBP=@yVEx2dz)WT&@R?j2U^*`lK5kZ#|_y z>60-d4_cp$8F|or%b1Y|rB5XSJM#^HxZqpH=rR3ngVJZfT&@QLX5>NZ6Mhi_2N)v{ zif{Lf8F|q9WX$DyFtjuBp!LZIG9wRKpM-hodX0m|vg10zsEsZ~?_YWYRzN*dGe|~A zKuzrok|7dMb321%j0Dt^HC15)i7hnM^c4R*P7$QX_yTI3GU$4ZNV&z|+>iFl zK)OGrl-B^wjA-tqqt(~x>eaWU)+vK#M$~*7x;HbT$0?*Ng-$agTBi(}8PPgr(DfQ| zPoTFR=nErSrxZ|s>xsUATBi*9FV=_*nijj`ee+=gVrZwMjrI|Q4&z=lpaXD^gfn=TBi(>`6{3y-aUhC zH{T4=I%UwjcGr^nNcWNI1)7Z0=J7XQ)0+CiS^flS!e)>$Vryw!RbRS#%O=)SFt()k zvJ`}MH5&rKsY$0cL|RzuIpPFrLu8OK!VQr@#)u8nhRC1`M%3b()`*ub;$pqlDT8K4 z^x$UD%!t-0f^;31?)5mu=?+iva-1?~W<=|hK{F#-rwp1I(K^NHu803(h#sd5niraicX-`DT8K4v`!f`Goo~Q;&bn;6L#;Zdo@l2 zXl6v|G=Q$xhygS+qIJUK>Y&rih}J2CW=6D588kDZ#_1VB2=YxPZ=FIeL7cD6jA)%Q zXl6v~ltD8iTBi(}8PPh0e1gzvW<=|hK{F#-rwp1I(K=<&%!t+rOWc`zNLD%xZtE05 zaMdSJ>y$w=BU+~nni&5UTBGH7N*>y$w=BTA?2P~r4oGI{AV zfM!ONP6KFWMClYk&(rnW(((KK^hz7`)7NtI%LkB+(*`Q*z0&si)GJ-`*-+LSKsHWW zOJ%(QWaG4f%6bFH#%Tk!PHFpm>b0)3P7&m5`PNeFltDIgH&E-8K{j(YP|w`7yFc}6 z*GZ?i=RUzLnHpP5J#%wQHgh*n&)f`}8PPhW?X&LnPTlL(D1&B3v`!ghGuL&BAYaQj zQ0tVo&!=ATI*(KMMK4^Vniy)m#J@uN`S*Hw|8PPgr(9DR| zDT8K4v`*=|+Y^I#$-M}|f;fR%rwqDYBc2&FGoo~gSKgj_-Rm??188PM=`?_@*N6c$ zGop1$SLL31z{ZHy zDTA)ph!|#Fhr2H}>eyKf9Yx%dOW_fJsw3dHCy=!EU&)hGl zXKuRW_wajQu2Tm2IDK1coifPB=?iL|GRSA{7t}hXOMVZ(2j)6ukk8z2ORZA|`ON)- zTBis)t>s@(>y$3}J^UV+>y$w=BU+~n@|pYXUh9-WGb383bjk1G_rP4IlWsvXgUr_6mK{F#tr?@QFuRG{!^lfR}H6Ew6{0l0ba!aSR{0l0b2GGoi)+sH^ z4}6Xbn&vGb38344N6y?}52a88q)2ty2bFuMzR8!xI7L z$$G6*4AtpHFnrY*_aX?wsioE_gWTWyw$$U4K{F#tr&|ow`CS6jX#mZPD4hn-^%^mN zoDpAVzAx;hgM+8vCDl8tt%GABqDK5*1eomm)DS;}nhk)R`AKy>7t#wO>iw|GRcTg=X z>6G<>rxa65)x7~^X(5t;audSulDT8K4v`!f`Gop3MAY;U~sqs!?NC)%K z>%FqO_asc0{vM~b{JT;2B+MXV#MZrDSTe{Mv4MJF$sl9I4g$;T2QE%m9Uht!=4^UfUehw z0W>qBbxPL)9)2&(b&8op>`gf2|oYn`I&hFxI-wN4o{Gop3MpzAf_ znL#rnTBqo`c^(4iUIxvKXq_@>W<;&!6(TxKjk~Yqo8#L$rRy7aU&}X8k5dNOIBlTT zDT8dBHc;!7K{iessC0_gH}1ZcZ=lj?0NFTgpwejo**I;W(rEzM%-uk(6KE9%*Jkbp zYMmm;*YXY2I%SZ}+zr$^Wzfus)+ycjxcgeZwbVLg(9DR|DT8b+-&$&&GH7N*>y++% z+y++%)Eh`y%QsN#ltD8iTBi)UUL)e|lDp;s zlMnvZDP7;F-?Y2bDT8K4v`!iHU#t-sG&7=fiU)Cbze`}_ltF%%U;~v-188PMac=<4 zjA)(G$MN0o5^UWo?#0u)dl%Z`up6j#$}P=|Xq_@>W<=|hK928xmtgB&>y$w=BU+~n zx?UsV>*hNa_-SgiPU++L?so}Xrwp1I(K=<&^%@aRB=7ju(@UMw$MN0o61YwoG&7=g z%Ao5tBAyfS7jZModz{k8ab?_@4AI<+Aiqnn8KQN{p#Nfx$e@`KrBghJyZc>&t$U@@ z0Gb(5It`%fHDUnGjA)(G7xmrm61YwobiGF0GH7N*t$Ptvf0$_0I>j$)zf0gcWzfus z9;XbtUL$S^RElmo?LQdQc1StoZ@QH4m^K66ES+5W8#a=mon~vs$RO?Qv=Jgk*YO?G z`ecku+8xvSq#pyT-%PyHC;2xwz1+LE)B0qL%-9{%`ecku*d0^){9s`_kB{C4+c7mh z14b6`9aH)Yn2`si&wv?u(E6kw5UXFUx)`6Ij2U^*`ee+=gVrZwWTNhNYki*Ksr5~> zf$f+cpNtuK(E4P|<$CawF~S4=7QxxoDtb0UUb?7XQQbyWS}HR{(EVcB zE;|e$;exbO13Q3(3<|2#J#_Ij^X{Hb#tji&@QZ5$ZHNpKK1lc45E&$d5KtQ;gDx0R zgB$&J#P==-H-lzIv`!HOFKlY5b;_Wb5lz_Ww_|;tTIz91N&N191#DwP>y$yVQJlvq zgJwpwPU)vM?wS%zT56p#Xl6v~ltD8idYm$7W<==}e{SRM*9JC|mres{W<=>UfM!ON zP6J4G3^94@lzwvK?$-ufrwp1I(K=<&^%_x6q0Z|bz6qzP(K@A{-MIU2f4NQ>G&7=g ziXaT&)V&_344N6yI;Ef9xO)l$*C~T$Mzl^DbiGDAGiYW+-QCGyulvl1HbiuI0N6MizyvKQ~>Z5P z4?g%$i(UQZ*yt4uS9eV5^FS0a=ri)5^cgTC4@#c_BNKJ+ zTj`TAx^nNB)(214&-!4(UyRQqV@4jdJ{dFep!La^kq500&O3uXBM*9fGG^pK>yt4f z4_cp$8F|q9q%@~D%^9NZlQAO? z3UkBZ(0Luz)BoKDjY-#bZhH8?V~Qd-e07}L(L4e>rtCIgE_pCuE_qN(Oz7LV9<)9g zbIF6)&JTzy+^H&7rU&eEl{V+pw;#QY%fsajlagTl_LA;TH;42vAXikH@V!3hs72_4QYVQB~;;lmk(huvq8uG=Tj zGrgTEI$klNl1PP?t{G7Y0Rw1Wx?zL*aoK>ewV_7syF9+}TsUBCZTMnpxqqiml%I=J zepq#AN7%4$dEHJrdH%s+&}Qu&Q`_V_V}uJkrre8+nNRs^eXLA-XS?ZTt-YOc73w#d z0!E0iW6A~tX5>NHV8Dz#C>sozkq7nuydDh(ePmhK^=TWVt1>^DzH7&{4Kn7E2d|8| z0VCyWX#Be9@C5&dC+5;F(VIJpY*)t2k%UWIoIQpF(VIJpNtvT zgVrZwMjo_2>6OZlp7Ec?Ct+@wB!dlFpNx^6VQ;7P$(WG`txtNPa?|tuy`3JPj2U^* z`ee+=gVrZwMjn(tmF4cVhTQb0VqBkR@`3Bk`o;JRn9KDbWAtEu?_2AW_Jy1NOw5j{ z@rirMKfPJYi?-i=`<|}(|I>@LyH5|lE4l~&{8CnpFq_z>kWgm@BuUPU>egO&B(Re0Mq9MC+W1f{rF=2?OIKr`VrZH8EH^-d!_4^ zKbpa1?^@Aqz}RB_?OV}pz}Tj}VL-P5Gt*%1+em|YY?<3ZWwI%J`&M)tFe43WNYje= zjW{ROhGv>Q(7%+6ej5K(RH1K2ltsSgxFT@MZbi?_}V78`Px^epB$M)ZLnr<00 z(xB;sxRWt64~jb(Q=K`YN&9lp z+{u`09;BPPKYHhCZ>M>XG1olEm}?$H3_gwr8#E6x=9&i?bIpT{x#mH}T=O7fu6d9# z*F1^B`lcd5|$P4?6ys z+#UUimz@V4M@tdaf4*Lqdf#uKce?WNPk(rE|6anjU7xh4ZSJ3@N;F741PBltovmm1 z039$kIvWOo_qvK5+Og63Vs5zCF<@+Tz8F}bVjiIx^WDCXJF>11&DbRUVjg&KF_^$6 z=@(OH4>x>$ocrdB^%qkc$$+s*x?y0pTM9P()4Q=d3+hGLfEj6UZyE$2{+}k)+S|F~ z;{4FgNP`-m!3HA@>K@8~8F}!)y?_BT^I#s+kq4k?-PSqNz}M>Ukp7gZQ;hSUCSTc2 z-E@QLLOZrrf7@xgWz0x}nx(glsfss0Y-^|JmN7F8$_5!T)1c^w9Y3(&nkiDpX8geZi)m74%*cXAkvd~W7W9G$CIo$|Gm!<&&~%db zPk)4KXLU0)V@4J4fm~m6+1uJjj@99%Rfl4>IPO2N`qCgNX4{dRq@N=9&i?bIpT{x#mH@ z;K}dB_`H#^@Vp)XGmj6BDF)0uKDXC|na2n2L2hSWuOIMS2Fy48)&?G2?oDFI#8K$b);?I%7s2w5>B{NHEnOgm-9{di-3H9agR0UMLJcQmqG%5Z!wHdhV3(UeeNJEn`L= zwB0i1ay=OOHu9kDmfIP5&~^*AonW_-2hF#P8F|ol%b1Y|ZMTdWdC+_dnD;+Fr~mSx z?Upek4|+XFcd7sRIsLbtwspFR_0JFLH%xIfV@4jdtutoiLEAcGMjo`SGiKyL+d5-L z9<<%C&imSnF&%l(9E})kn8SMAcFUNN2W_{E8P|ihTgJ@mLG4)?GxDJ9c8`H*KdD!~ z4VaM!Ww!w{@}TTCU`8I4{sU&@LGdkNULQ=Xfd|E%bQcSlaePXhaIg)?I6P&xbWIGH zahld|LJnQK9G-Ly3GG}C&w#lco&j??JQ4FdFW2=|5>hkZ9?LjOYqfrb=Q6;IEGX|S z-Hm~4WI@fi0W;E|*fwBB8Wh{&Mz24MwSQ<9+lFQ?SukKOSukKOS&%XFVqG&K)4;F5 zZi`zDPnxB_eywW5)bM1?NQ1U@#*8$m1#xI+q(Lo+17=(eYC#+@BM+L^A*lTN#VyK< zMf8<1BM;hc5i=jtx7{*kTn^f988a>iZMTdWdC*Hp_>JS&FYYi*b}NpCE7!n`Jm@7P zV@4jdtutoiLEAcGMjo`SGiKyL+d5({PU_2U;gT|*8;v|@yJgJCgSK17j67(&Wz5Kf zwp+%GJZQUR%*cb9+t2XpZm`?PgRldf6!`$uwG4c)jhYTMSPsWTq=<&&zkq13K88h;r z$0uUu!}_*cNPUSzjFAUzw~QHi(00q1kq2$Jj2U^*cFUNN2W_{6`Do_Bd&eVr?d^so z_JLPXCysuwAPcWcJ!0-ybME10)$8Ny^@hP&(txq?`C{ra#elK#*)RwsrffCnTNTT@B)Twns5rUJR8 zUEzax_F#b@+~v<0U#-8*)J>lOGqT`@ld(#Je6}=VcthXn()G|zbtbX^R!bL=ULRkq zzv|R|{d;)s56nn{I*Ay%Hj<#)sl_UoU?jo4)6PW9$btt>j)!(e8a!}$C}VuF{@M+u zt~qyB$JgpFq}c{D1kA{SW?ROLEVyBrxIv}R&bSs7L+ihQ8EMcA&6sN%WXv@UGUl2F z8FNj8i1CB^@0j*fh&;e1Hq6qtHGJ5!tu+(MzQ?t#GiKyLPq~a4dC*fXV@4kIl*^cr z2R-F7X5>NhI$c)yVB@>8bsnFQ2W{(!@q_v=58BolGxDHqoiXEj(6-K)kq2$-j2U^* z91WN6Umw3%wb`I{tc)3X(00q1kq2$Jj2U^*cFUNN2W_{A@q_xW4T_^b(XaIMCmRN9 z&VU(tP#hgFBM-{f17_qw*?PcSt_K5VGYoCo+%lBlagSlxaw8DA-?{`PCI8{3dh02Vs$K5x8|9ong@ z%>!l}ojYtcU~F{0eY?LAxz2r?XDJR4o*2E;Zu*{A>jyrHayy!?YTtn$xHS_aE^l;t zvRm02RffKev$SoE;R~3N2W{(&8E0wRI%7s2wB0gh*B$Hx#Yos8F^6abxL0Mdiyc`w{JCno+0lAFe49&I|F9s0oLmQ zGxDJAmispHpytoe&dh_dLHaU#<9*Y`8uCQ0%oE=}eop_jb*&)-X5>NJAh$E}ply&b z)tMOEX&&HbJ%T>hJcypZ=RYwUWXv@WGUl2G8FS5paHZ(=@oQCIeR?iLjGxqhG0lUF zx#mH}T=O7fE_v_>SEP^|=aL5l=5jq4Fqb?SFqb?SFe4Aj^G^@mVS{l!K)~*S;PrX> z_(A=b1_i+b=l~g6@PlCUfEiiP=NGx1kp&fpe}tbDdwu+z{;N*K;fKDBEO_87V!(_n zfZ6i#1s+Tci(8qkeo^;iYFwC9Oj(hia`j1{QWqexlnu{@!Dr@xvElh*D$*OjvHVii z7t)d5boKtNzZ+O)`csGJ3SoVJ`&#|QRJ7ra`(VLrHaZ&y`{;nN;rU`J+Av^jj&2x4 z8wQNc(G7!WL&o@8{lzp5q7D33)rKh=WK0#>_TLRthaQm!2oVjKj#!3-jQA$Bwffsm zN8U2VX6YBx5zCAjdC-x!jG1{*2QnEm@}MJc88h;rBX1Goi}lwAZR>ObT0xa*d@vW% zv-MYHWF9bW>)g)BgSK_Xj6CQlXU2>?Xj^B@$b+_Z#*94RsZ{!&^;3EqpA>p~`zif~ zsqu*zIF482lQAO?dVDfw=0VMcj2U^*N7h47RbwB{Tn~6s5>6-Ieop`8PTM-RgNUldji)QY8GZXf z{TI`=&h3mmXj^B@$OE3P#J-I@Xj|uYMjo`SGiKyL`TcjqP|p6&#XsZbezg$7?o z8LQ4ao%_7~7fZg7O1Cp$Y&F<0&?Ke)f4%YR5JT7MYxW%;aRFm2__kADvqRsE1sett zu>oT&_+lQ2#19x_!G^(X8}u<2Y#4aWxt;l(z9MhsNS~Q=aZZn@(}1zn;M+G)Cm%-m zd-^Y=s1pwsUe}BDGra%953bno=mIieYGYo@fk294~o~1 z@Pl(N{&H31PTMVGMjo`?GUjqU82Wa(9t@a~2W_|9w~+_U(U6f4b{l!nwoVb)$^?JK z(To{+(6-K)kq5Pg#OQFnI~)4e9L?>FJZM{I%*cbb8@A5#dVtvM(6{Dj#*92@yJgJC zgSK17j67(&MT{TRZ|gzL?Ti_D&>T%q*$^Y2?AErx>zB(6-K)kq2$-j2U^* zw$7N52hGup8F?@s$l%5HWa~O6NZ)extahMvL9jcXX9CW{21teC2h7NV+O-GF$bw={ zWo8V0n^{m{^{mgx0+=9WE5^DzlAy?TPj_vRL1{8u-D@7YHj<#*NhiAXV~oS_6shBZ z%+qgGeHVi=bp_B*rhd+gbxqQDdgk!N&NuW8rhcSP#wS=iK*|K~GQzi z+8J3;+empe0W;E|82Un60rUR}`*&sAl^6&N%l#D!t;F8z!SzU#I&nEr>Qdk;D^MUO z_Wzf=fw_m%y&6<->(;?$FAy_84>%ZtbCkkE2h61#446wd7%-P^kTEk?a~T;hqZ=?3 zvLE2hp&{gItfL>`#|8}9;FU3>A28$w%;*PXw*fQy0Yh%UjDApKo$VR@p!_I#tu^up^A8@P(%;*Ok z>j5+RL5&--yWerc9_xjVG9wI_(GP0eGG_FH8n=uY`Jl!vV@5xyaf_I_PG94e&eOcS z`^o8}1?`az9b#*BVY0xGx|Y|TgHrjQ2Z7#&e!Ky*SKZO=m#}!88iC9STZ}1 z)C}WRTfM1dcHnrygaMDna?ydZ|9~Nn4w%soI6nhs_5=9!fEoROd!wmQ)8Xw;bV{=t zY_$(Vz$qOtqZ=?3VpWQqH|VLMPF1PHEA^*6HPjh1x&cFBxVO;_7zzVsbb}hVd~c&0 zlv}4N+u(^KI#rksuhgHI8taJhO8thRTW1U}+A60ThGU&EqaV~*XUs?kHP#t3 z`az9bsxTdJ_{kp9LB@=JP(GS5qaT!yX3R(jHEtO*E(qlR&- zvCf#$56VX~X7qy^>x>!wpvF35Mn9;r&X~~;YOFJ6^nhw^Wlg>{+(F$=> z^QZH+x7*bbyQtgZVLnaJXt60b45;kDS1OsMt1K#$48QlOw z9ryR1Gf|KAvgb_HW4-L@ovP01;ertBWlui>yJ6s=u~ziNABLf(hYpxaHyALNZZKdj z-C)36y1{@M-C(Sj@w-36xRDKFed+1FPtN(Nu}<}+r&sAW3_^R*Gt&V=ZorIwz>pg- zqaQHj2F&OO<=3fx@x)Wt)mW!m(GxU2U}~&0X7mHn=qqBpOux+!$2wzpQB2@#tTSd@ z6>6+AX7q#d(Ky!rNvX{q@I!CA005GAJn*|TG8R})1UWNF_IFdp-!FN*rj6_HV*YIb-j3Kr>gzdYN#`2^nx1dd~c&0 z)KF*4=my*%7{*|9gC~bN>lxjEL!F9pPbKSp*lMUVX7qv@>Wmq^poThQMmMOT&X}1D zIMf+4x&5!W;0P*S*!l528<^?B)Lp@;33r-A&I%AMD4EM&N z9x&zxryhFsfH61NF!1Vks^dMiM!#>>!K>d`(SL_pTc7NZ8tRNOFF0?lhB{+LFQ}o; z82<3Kl%9HOs554CgBt3L8QlQG_QGiY4x3i!eb@%f=mi|M0W*35hi$+Z8R)PL7$buX z0}mZA<_4VM4(#p>5XWtF0}gd6>Ob++HFyCbaT`(lp6Kl0c`;)~CwQPxm@!(P-}T_u z4x}`{j-$t^cE}B#R|d@N1h};UGdck$=Y{{my^T&lb5d{Tr&j0H9NZfI9Mp_Hz*~E! z4wg@Sk>2%i>pbWgsi5@W2`gYmFDReJ!{jdpHM+q;3zJSlJnSF(){knve1W z=E@1;`_}A?J5XB%6kHL-bsCuIl}0w?_q1ni9oc7vy*RaB!ilri1DiYd2bb@8DlH< zh8c%VyPfQwQ?rxxm>0-Uztd;2?|O(zR(Uu;Y^}-+Lx%cP!=Zj-2k&wIMf5i z+~Cy1p&l^i1{(%LJz&fYHVguRtrmannXB>~19mwI7;}SD5657@7#W-xj=_K#-GEQ> z1IEbU)I$hz!C=nl28>dClPY2OyIWE1R8zy2cB}80g8@^+mNBy%aM&_tb_0$a;br;L zb!yl$=GqN1=GqM+#>?{Oy_FlF?Djhb`s(~XZe>r#T)RQWT)RQWT)IJOg!a%k=XZ0; z4bn5rO~1{$uW<;tBNa+-+Cy-MP))`-SD(u-XNT|n|IE%!y9n-jI6DKzX6MvHt{yNp zI~#^$knhcA=fu#SXF3&k`|_fVcux%N888;5Cx-S67$fTq1A7LHMd^tl1X~wjdMrp! z2;G23>VGhI=jszv!EMryBFq9Ou0eET)RQWT)RQWT)P1ZIKOUyAG&gbjJbA$jJbA$jJb4! z^!diSSLV;@;iB+JN0{$knm;k+2I(P&-+j9pwuIpc1i|p1>kI@QaANW1=45?h9w4Lv zW7D%?@RYz;seatx<|kfH3?IH?A%>N$!5-e*fU(@|)bqf--BbI?o3r(a;qb(Y&&}C- z!_b~oA-VZ6suNT8WQ>LBhM_%a^|@(FsvFYc-49rJ`kfy?^m=0I-ZJLW58|gx86?A; z_QBGyr*T7)E|@cZP%q`D%z`=Q1}B7S-l@iVo7oy8_`;Ux2|=MbKt`_S*bEqR zg3}rj$bjJtj?3?W;SWblZ3}06%n8nWt8L+oF(=qC+#1gqa{{V83qIJ02tz$O0cQu( z{R^3?x@IRmD&1zPE=>B`<87|Z*No2sP9hGp^V*)EP69K?P67jBdaZUi@TxF99*I zYYec5^&1M<$-f$djG5g4w96juLC@$0H3k`DWN`WcTqhoTzFpVmGv>NB&(A>onsZ&7 zA272UVAuxC>;@czd~YKg&<&2ryZR}T{keysFkmj-V8C3u!GO7RgGf4lkm~dtGRP4z zb8)_g4UYuBZcx3xV#L+3Wz4l3WX!c2WX!c2#N^1U^XIsgJsETD1{rhh1_|@$Y|SC* z)Zl^g>NC0ZpP!^UF?>01z}Wnp7#?0=Q@I~M_|ukDxBBOHZ)yMe5AiF^d+MosOLO|? zY<*(z7Z#Mmt=aSl6LLe#?q@na^5 zrko&SY{@<`HP9I|I>CXmP96h4^m^*S=mZ>s z0b?fw|=(y@*|KS*_A%AWKb`{!JJV#=O~ajrfwWlzS8Zcz7@+Q9!|K!+Hud&?N! z%(f=jy=BbE1&nJfS-)=JRDG(c=}9}4e}0ha#MBUEJ);}c5M<2A1~okyV~=s?y;a0T zj2Gum44?n`DZAfs^Wr>5em!9h+YEpD(J0wznYJ1JyuHb(rfx0mGyHjb6U7{$q zb8j~<&YzgNw~R41I5E`2R--`=eR5-i6I1qNJ-jGlN>5r9Zhn&L)I)pN;4$2rtqLcG z_cmaz{UC0g;30po2QE!U2)8!6K@CAVMRdbh4r;i;%a@FP^>}gq90G1w517#nYBN3S zncaY!=@~P+0UwzM%mp2AB?*kkhOX1NaST$8=!QLu0aIg;F{2xB)BceX>zh~S&-tk_ zNX46*SLaVm&1tF;-Ml(~V#=P3nf-wFM88I-?qS@@M}s~1jGoL-w|46l>xMjtZA0C! zfsy&yG3-4(U}S!F3}fyUHeaDf;?yy0M&S2`?IDTNA53a=^QUyZWA1picw~&s&yHb7 ztN|nQBN!xH14iO>$8g+uN?RUkCNl z{CRI2=?od2phh}kyfS~Psgcf@(FtnUGG_FG8n%oXy?{f2aQq!LFU+6j)DUFMNChB^nx0Kj2XS4h9F}`E~tA;CA>dBM|F-t-CM+9SAJm7y0?rO-2l^bq%`yoJ0pV{ zPS1cDy?{e-U?1XldXUc!dN>3FW^@A%!EkS*8`QnUeLCcTSNE1Nmu?W7to>mV`$|uG zJMPbm^QY^SJ?TB7KQGRom>TPh8U3L2V9EHMQ-5*Z^rZ7zf7lin#sDtL&euWB=mvDr z0dwgF&r~V=LpgA`H4fW=8Qp*`I$%aO;83SB47!2K^k}As51j<20>;9WKhbI16Avo) zupbDFP0xwBAp=Xt!ho^)IWat3JYZ~oPRtVzg#*UsXT#8*(4+wOX7h7m%AU|~5Ez@E z6I1p?jI;HLDSI-;*m}dzo{Zr|+h*8_DSN`Xo8ya>e87}F88iAp*^@D&AC$jk%;*Po z3=#3|d;oh!Kd3PX2S|V!{h-Dm>lyu^#vo#xuFv_YG02$F4>$(grTHBLKTCD$;TQ~< zOFtMemwqr{F8yG@T=)T=WW$?l$5;7UIWgBefDu3V!NlDoJxnDS>^Qw51`i!u%VAoS)kTK#1g25Q@ zi+sTz;e#DhV}SFj0WVebU}MMpoVvCf#$59;1BM)=_8 z-ohyb+}pJuWX!c6WX$LX9Jd4c*zcSo5HEZ*Jz~I~(GNIo17`FC&d(7Z7mhFfFx*?| z$(Y#>I0m6G2|c49aNN>CNnmC_pgrNS1enne%ASlF{h-DzJeEMu=m(`IV`e|#7=$Nh z=o$Thm^14iOhFc|9r zbLj^IM&fkWLqEtEmLkuWdg?h zK=mByS@VO<2#Gnvr02Ph@^!ycaF&X~_6~+X+`u8>4Q)Wg3w|JpK$NU^2q)(iPJ|pE zCF>o7xPFC-93a94JEYWv{>s3}n(deafBv0M7phjF^hw#>p&;|_{aRJ9X z^AHFX>=<0m@45wRMi=0%rMKE~YgazN*26)K5W#+Dr6wGzLjov?+m3-X=~YocE`8u0 zw#R`PeE@3GX<0yIIoR)veZB_Fr4OXet0w;uOp7CkfEAu-ByG?lb{2gd-add6wJRJk zFmedf8n?Kcp)bzM(-`b|$B=VUk6e7tM&fkG@EC8#C|e5#Nx^`TI28;MtpP)4`B#bAF+6uQU?ffj zgWa3~bL|HyY56E$?|RA)GUnP3GUnP3GG_Jzu34cg)$!4Dulth~SKzb>^ijGt$SduC zeDu`o4&hfo*69YBryH8MKWN<>*hiFh^PHmvV2~UP7$bwz9&UdO7+$oI z!G^*1$AB5#pymhT{Y&t8=AQImPSXKFjKS;&+&aVJ81#&OP+MmiGy1`EzBeO-bKK}U z;WZkVV{5_*sVI%R5?Y<#-Jo1F*N|@G@YtLFB6N?4TI?( zFg8CY=8lSNJc;+#(^PwI&E3EOWAk(B;fL}DjLpx6!6y5FvH3YMd^R00Ha{DN;}#l8 zV5}`pPs|6NlUn@M` z&erEh-*F3%v>Wtxwmva1hh2_;7VS4yrNJC-xD0AWCpfTUorge=QtcyM=7g6kF$AL% zu)E_UV@4<72E~9G$$&&PU`98naYGD;vA%YLl!(2N4G;6f&7enmSa`!X229;s)-(D6 zH%}fJGx`C)tUh2a$N-zESzUnvd$t)fx&hs7z>I$I zM=#;}-4tKR8L1F=L$anemlU%h!C8s>Us2#yG8U%b3v*YTPnrjMEyoj2Zoa&oOxn zF8CVbjJK|S*OHf>rjpp^Sf~CVFFj4QV`{83<}ywPJ)x>!w zfIfP_9e>vCm!7BcaT_qBAJ9i5<~{Q@$8Erjd_W%^Ff$*(M+eO42i(_)Z=by9I(?0G z%*nAh4}47@9rTQTP-C6-jDApKoiUeuFx=bd2lUa5nd|g7EN2JC;m1ec5Dw#3^Ao!K z9dA8Wwfl9=PdfR^Z?z73YJM_i<^#q;#>{@eSjd>s4=NTiX7qy^gH$tn-$p;EG02$F z56VX)#tZeF;&rm0V~{bUAJiCR%*Y4jJLzqWH^^o1gBrJVmi3KIxdBt-mNBy*(04Ls z^n;4+j2Zo)#w}w;Kd9Kwn9&bv+)`=#?WOw756FobGx|aKTgHrjP~(;{qaW0`Wz6UY zHEtO*`a$ihXQ=fpg54w4X@Sw-Sbi-hW zX~0;Ro|tk2q$0oL=5)Pb=mr^c?FJch?FJb$y1|p75dFYUR-N|nlU(sg4TXd6a9c2A zoUTtjHP&f2{-wuYe?l%}Mn9;K%b3v*_#)$AkJ0sM4`Tr@M+V>VlT{~%v4Gc<5@z%R z&d;D{^aIY%fEoFK^D|&ZKOiM$jF;-qG2r|Rn2`_ah1NLM+NWywof@}P#$Zdf3%@=x zX7qy^w~QJ2pvEm@Mn9-=%b3v*YTPnr^n)%Rr)?M1p=I38R1C{cJZ}4f?_NOgy!*?% zcv2Z4>{f*Nyz6T+M- z9?^Zxg?fGxe7H4p0@IUn(U*2T*`J%4yKx)m*B-25!;~^l9x%L_cwU2f@_-rL07HGG z1|~0Uda|2CZ#z(24(5zrKyQ0t2@IIg3z)*ca4sQWMmL~`#+QKk_USNe^w0rw=>`L4 z|y^y1{^%-2iT#=hR=KKi#^h=@tP%{zI@+Trt9DF{b;gW-@Z?x$%;*Ok>+}H>G`A5yxL2Ff zj=A>hG}cIlHy;%Y%2+QPqYmRHez0R6o(3)*jOTeSJDka$Mw(3U4kh&YRt&Q)lxqVJ|Oxcq$;s-mX?8zAMgB?@$Ai96% zhu^K;{B6gSJ*i6FW7F4+hO^s+25u=xw^J_qaLr)pP2DU8__-tGc=?i1^-@QGs7sdypUp+?FI|lFe4j7rAUC$Fwm;*+FbjQ@a#c@-z77Xt#V2ZjkBuR9LyRQ01L?If}x+kS6&YB}!>ex0g+{J7%<=85F=cYc(w zUz?r>{FApHFzN?81_|SUQ9sx){EGB|Q9sx)ytnw_wgYdJ4r9Qtb`Kc!gG~?bZNP{h zuwDOu^TV|ywg=>-ZTI%U1Hz7Zq?chylvkK^0QAr*z3bub=K_|HP8ir0dw(2SrQh{%S8%|H9|#6H)__qy*v6W>f&)hU zVAsQ4!2u(FAQ<@DfVuR8S9)>d&_h+bp4#n>@uQz7*)cWNS6 zXM6O8`u*N&e&W4pm0mEMpNyIPfb)|vqaPIa=1R%v2Q@#b>BE73twa3O{A4|{F6?7a zW1TQhq*djLgpuCRGWZAj@sselV#DN+Q3) zq}I87C>St!JY@k%oRllK34% zpBgZu6R^kofEk?tqxOvRiBs6a+;9k0hx^Qp8)q=rLXFbZ*JErVOJ+z<7)jOu{En`MEC_U*U=TlEq{piV< z(GTc4k=iORj#FB$lQAO|)Rbn-=ms@x88f>9r!-?mH{cLNGSC|RKGGZlej@zW+v*V{ z4jYGHz>HqNAs8?t8IV98>FMH$WqgQi3<2M84(5zxP(uJ*z>HpS$B~^u&*%j;Y+29j z1st|)&y^QE(y1k$fEq@v%*mL`C1KDrdI4uAy;sHk)#27^b~0vkf*R?J8NHw!G-F0D zpgBl1ejNvY7|bCAFC_%X=mUV<(wJheK%GeP-4v_`A*JEjIYV@4mS zfzFuG35p5gu%SXVj6vCxI=w$@qkd+#j2WGv?8%rbFSz5e>DLSNJ^Fp9=>?eZ0J-!6 zw(k4IXl;I1!x1{yF2cEJXW z1nI5^)5Dj=e}K()B$z!cYsdfyP?*$>8b)!T+@#_al);osdmh~uE?-;sh+W+A9Fb8wWMKeaCbXQZuhQp`9oY4(x z*s`9{4Qkjj=8_GDF&N!|?sg>ReJEM)W5A(K?+rh&uR08M4MD^xS?_APk7+m+_0W@4 zJElA*>$!G=wEVILGT2jYkhYB;TAttUt;QggEqHii&{JcOG1qR8F{2ys-s0Dj9(I;$ zV_x3dfEoRO^AivMvYs)F0mBq8V1|&>vs6CTv7G(To+pB#Jt?7h%;ovACu2rBD0@<$ zp$CqY3}aC9lgj4&;M0VmJsC6lLD`crS4UIWr`(;Zxt9-ycVyB{rpfLiMI)hjg7Z z1rEIF0`tO}`5SC_h`z$a^IBS$_j`L`V=d^B1>pyi2I2NPq?>hjyT(ViT0m2^Z-EKH0! zki^9pIjhW@JvrvAH1C)gb5@!+OpZA{N9Fe9n8P8Ii*d^_hXZC;n7Gba zZQkt3>zvi*cMPaJ#~hNN;oguY-mt6xOV@ggsvG%tx>K2DVt748WsnCdsX>b@3M{_- z_e6$L`VB|^e<50z-yyuQ)MM(QWqE_70uf7X+khE@--HbJCG&3|+s#TOJKPbo@v<1~ zklA>d`yGPw(EkIrp@J#06zmXa8LXF#;NI=F+F$rs@klkBhrKj!k5$n1duv*c-yeB7 z05QlQ+~(3D>0IaoujmdCK8z0)4w?U54Ijn_jCjC~Vfr^<#03O{$Bl@&&-{GD{$S+I z`^?XUNv8|$Gd~j(dGkK=b79hy-e-O;Ov+X6Ge6&udq&>8&-{GH25rn$?lV6ZCgmz@ zNwWFdzD5-$;a{DtBdQ*At%)?)srW$HZKvUs;a13hjx{2mCVMg*`D>xhqZk zy~SMRZfB>q^^^A&bCrH|eY7V(liT4b(SuFrR7kk%Iajp@m>6?)*nhmY9CL8Oi?NQ+ z2X`fFy*HK!L*0t+K#qF&?bEQ=)Gu&kdytyum{Y!%dm|>soOU?*!NizD(QL8@dUDL+ zSilt~Js;e)D)0A}V@|8`KbZ7zo$m6@#2S z#+(-B1%uGaF^2$|#(^3{x8c6wujnAKFQ??)&AUAB{4wx}Y;fHZTZ!=jFlIHU^b2T7wI&U*o z6OtH|-=rJt2P97BZ6<0$5`W%io+c#G=WV8GKq7n6CuUnBdERD{rk2E=Y+pHRiPU+U zDH>WLbKYi#1|$;aZ6;_!Qm(>>=-HM?n|$AIhD6r9LHz)^fn{^R%!MKj)>p&)YQJ< za$U`d%3O>uOiWbfVtipznBM1NJTWm$?{hJ}FllMK&&7CRVwiF_Y4QVN(&BcXi}9r= zt!{TX;)R|VrguFy<#QUt^gb8kd2caH@8F4xdy8RupNsL-6XTS9{x9^zIK9uscB?Il_|&ET#V$WTaLN87|(mlF*g_E3ln2*F2)m+V{R_S7bb3k&Bge_#H7;s`h3`V!WH9KbIp{o zR!ki0oAb3{62IM?uMLyNnt9O0y~VM764*M>+s*k}^+bNV zIbRzli81HJdBen*^WyxD88-QEUYy@Bn427PUYs{fj5#mP8z#n_7v~j| zW6q27hKVuf#d*c#nDgSiVd6UH#d*WTnDgSiVsgxRao#X7=JWtnzl+zKp}i81HJdD9bP&WrPk$uS24ySTTUTY7O`_2iiI;=EyE%z1I%Ffr!5IIox-b6%V` zOpG}%&KoAKbNHc)vCc8)#d+0}b4xGID<;RB7v~KV*EuiF8z#maNPHZF;iZnhnXeyM zn&O=P&3s*$G^c+vUl%6L>EF!P#KbxMoB6shiAVotz9uHl>EF!Pg-LVzH}iF2(wzRy zeEmQw6!$~^X1;!aDk6{m&3s*$#G`*RUl%6v=-eiGhY`b#@x);#N?Qp`MNMM=4QSoCdb^&*M*5O zH}iF2V$98aO@GTVH}mxa1=SpLGhY`b#vC?V2aYdHj5)8)pKA#*Ip(}PZ+?HicscIR3-mjNr{}{>vs(H@Ud0>bM)p9o9?4MC~wDg4n~d`HZzZU#{FJ4*vK&l=eW=l z_oDy2T(4sgpV9xkT(6iMb6&1DOkC%@T(6iMb6&1DOpG}%*Bd6roY(7j%codkCuZc->3e31}7beUpFk_q+ zCd?@?Gy4HCfk%Ov{Q#K6qrl95KupM8fVuR8#G}Am`a$ARU@rY2 z$K1@{FBX#pZfF_2ihF>-B|+F*n!i3ln2*uGbTjV{We3 z7beEsT(2)ojJdg9PfU)vxn5tG7;|&Io|qhSbG^PWG3MraePLqE&GmZvTaLN8Ue7)6 zw9cWk;K&Ds3F{ob*Eg;Ug^4jY*XxPNF^4}4d2eCDI){53*9Bs7%+2-s!o--H>-B{R zG57q=d_A4F1ZJEcp0i7H`uxs(U6?SZz>Iudm@ucnjPpZGnp0rL`6*18Q(#8ECML}( zFe6_VCd?@?3%c zQD83pAmuK=T>3%EU4XgpgS_to%;*Qz^a3>N`+UI6&vQrz)7c1MMn5P$G3I8zCML(+ z%-4m9F*oxyF*)XDzAj9RxtXsE6Ju`XYhrTD&3s*$7;|&IzAzzod4A`5Jux}v=6Zc$ zLhb@RBOefxV{We37bfH`_(;dd2Zaf_3os)e-0`TFau;AmKDZ;a@;W!y>kAXtxw&3n zm>6?&y`GpHb924EFfrzE!$ZD9Opdv^USF6Pb924EFfr!f92fVNV{We3Q%`zEe}3nB zePLqE&GmX>a?H*3`ohGRo9p$&%7j*_4+%O+8lFpy`FpCIp*ei zePLqE&Gq`i#F#^XOk)5YIp*eiJ@uq#bmUEAen3o)xw&3nm>6?&y}mFp=H_}mF*)Y2 zL3=T7Ip*eied&oYH`nWli81$azGlj_&i7(lH;#3GWqPZ97$%MN$NAbYX{K%)P9pbUCu zHvoOdhl9^toM#h)yspi~`NG77Z7$9elUFt{@icD4#HDR6&Qnib+vehYVdCO87v~F; zR=4k5oF^tOZ{N8%UzoJMedpqQVbTKkos09t#1-y47v~F;mbmX+oF^v6=@+|W7k&`q z^g9>lOHW$m-cHwN^2tv_#a!a;bZwY4)^Dfl9W!k5zn!jk%r~bK>|ZbArkFU^Z>MX+ zq=e$_bZwYC*1TxT+IP%wDCq5cy%t@+eb0PNOytq`%-4lU zxyyUz>%t@+eb0PNOytq`%-4lUJo=vbx-f}H-!oqm6M6JK^L1eokMa{+7rv8YZsu$1 ziTfe%nXd~IV{Ybae$y_;+|1VxR`Ak6y!Xu4g^4jY^L1fj%*}jFOpdvkuL~1nZsu!Z za?H(qU6>eib8)^fG3NX*)wz}slVc7}HTftpG3Mst`~zbaO9gLC^o5=rb8~T?_QW#V zdoIoslVc7G*~Pu(n46399E140@ScnF#N?Qpi}Me}b&k2YIA53;b8~UNFfr!5I=}fF z?k&e09BLYCVq(mBd0zG8nDhF)VPedAf!;7N=Db3$m>hF3@?s2f%wYrl3KQ2kFVgG1 z<(Ts-{f@~^wV!VU*2TT$nDaXQt_L-}m|J?Ge#fA`5Z5`c)GH>(oR{iP3~L2B=Db#K zm>6?N8isKzJu&9ITCaL?%z3%qFfr!5T(6iMb6&1DOpG}%*Bd6roR{kr6Jzc*^YtAe z9Ov{k^L1g;oW5qhE=-!!*UZ<%#5sM~i>iHURin)$jgX-;1=Ul%6L>1*a| zV)C5Md|jB7yS(sYL(CN>@#t&jYkn9p^5|>k>%yexh1bm2ytl}subHn4lX&zs^L1eo zkG^KUrah5IUo&6dQS!_&H}iF2V$98aU6>eiGhY*vV{Yc_!o--H`I?v6?& zy`GpHb924EFfr!ldVOJH%+2+BVsgyQ_4>lZm_txq%ujqqf6euJ>d7%T*Xs)t*SWb~ zPfU!t_gt?pOj_sObG^PWDdygDz5an)h%xt`>-GJytWaNg!_aAdu&o=<=Dp{7ed$Rt z_nzzZ#Kf3;&-MDkq?mip^?G7r%)RG&ePL3}z2|y;VN%S!=XyOcG3MTLy}mFh=H7F? zzA$N>d(ZWHVq(m_=X!l%Qp_DQU-Qgd+;=%%yctJ!ZZxOq$bU=4)c&oE|e@7bec>%-6(39zABhE==OlW9I9^Bp!Xud_6YT zc+Gsx1_?QLnfbafF?X5yx-f}HUo&456M6JC^Y!#Gv*&B(YwC$H_nP^ddScAIX1*>x zDdt`?UlS8!?ltpuVN%S|afh|BFe&C@s>-B|+F^AwC?`>%Gd^lfod*r{5nen5<@85St4(IC~Gwk~x z&ew)XV|_SZD~74f&wDI~^R;16?g|)t&D}6~-225iU+N19b zYXywOsbR3K88Ei*q8K)T2^d?qcMNiWJZl9EFWTOL+A+*2()=Jut}vjOpvU6W^k92C zU}itS{5+9D{bHQ2RnHS8zksngHB5{-=WE48emk764HIL|`C2hK=A5q$6JyT#+AuNZ zoUeDx@c!K4e0^e2?TgJdj+w4eROB1azcV_s_1IkFnAsY2l(^A(%*A>_Qr>dRwR%8e z+H%aLdO}jxa?F)_Kw{Ez%!PVF(jMnA*XapKDa(PJUZ~?N;Q$gdmSe8c6OtYhj=4xr zNXl1^xke924(GWDvAM=E zSLes(8pm9nADe3&b9J7LC34Kn)%mfx#xYmt$L1PzjA25@<{HObogbTP9CLMkY_4(4 z)p;y}IdJCU{McLLn2YmJlOqQU{xoWs(HuH+ZJwSJ^ZWy>HR-`hlY?ij%`*~m^dPJT zJqNZ)V{eUPuFZ3QJ3fye*z6nh6ec~7A6U4qFmYX*YxC3-7dC7ntDZ9#;79Lx6z*qb ze>zVaCgmwlC+ZzD)JmVu)Efq6llW}#bgDK?dNz1ER~sfh8$6w?6%(Hgp3c^W!L5g} zw#8a8@!8<%Y;BnIZ18lpHcWapcsg4vCO#WHovjU%o(-PP)`m&X22W>e#l&Rd>1=J7 zluh73^B6zy(fDlebh=hOIp&l4!-E(_1&Pv>jH#F%rwHcX5; z=WE5}m~*~1OpH0_YsKW4bG|lAj5+6P!^D`wCYP)Wipent=eWYenDg4a>4`DtwRy$l znDg4aVPedAZC)`s=Dap6?jn^#PZIj_wdCdJ%i=4*c7De~xJ=Ig?wIepB0O-!8A$IRD-Npt#~`I?wG zr_Y(M`N673n$zdZ*QF=T>2v05V&a@WXTB~>n$zdZ*M&(u`keWin8>5gnXfr+aS!D= z^EEM%NBM%^z}LLD{Jb#pbzu^ZKJjCd9^6~pLwU}8&5vnC9(~SyU6{n9&zY|alVa{U z^EELs=J=2^jR7$+=4QU8o*Z*CUl%6E+|1X7i7_|xH8DBnX1*>=jJcVw3ln2*=4)bd z%+0m=!o=r=xi(Ksj=8xu|3EoC$J|_-FFi5l=Gr{<PmV{Wd^bKG*w`B^H}6Km#A zKTBnp7;|%Np7)kx&d*Y*p1jV@wR!5vF*n!d3zMD~o|v@B->4_X++3S~z(;e;&9!;% zljoS5Yx9MPF*n!d3ln1wKQxU2?k&fhSLe4mB_^(OUY%D=jyX))#l7X+5)*fYi81E| zdcC(Cb6%l0OkC%@L~ocFb6%rYOpZA((izun2JRaPp$i(6%7>$|vBRzkjs->tH8?p?f8nw9UR z(yV+hm1gC8sWdA;OQl))X_b}5Zg=u&m6fRO;#OI?=q_%Rm2Ph0Z>y|~au+X^X61LO zG%LSLrCIr1D%DCnozv})O5jeFc$hA6_TOrCq#K zs+D-m>N`;@@l@1eweopeD$UCKQmJzZ4z3@m3}m~Ld#kJ@V;8r|$|H7htE^OE6F2)D zl@09TrP8c?ER|+uv(Hh>_WmPFrCHhR(Q@}s+DWIdhu5+PP@2oy3QZ$;vT0uVz7%_Wt}3}#XU}SP+$`; z{z4M7FAS(XmjhP0f4_REG;dh^RYm*#>ZQ`WVewa$-nXk4e^qsT7q`kPpzq?gvTEeJ zxK(1!7jqVWRi%5o`rB4kF?$y;m1gDQuPRCJS1*-nCDzm$!741DS7Y%kan+7@ajUHI z@GfpEk;d)j9Dl8{ioDy^i@&OlyNj1fjqGFbS5;&8tCvdk*kkcm)l|2u7k}Z|L2hvI zS5-#$tJ}&de(vH{Y1tFb;@41B33I!8@mEzTcX3-;g~(mJRO(zFi@%VA%6&hUN}J2Y zU$x1+{dV(LzmvO*OJ%=yyNk=pSM3Jx;!^pl4c|@N{MGNr?&7ktUxD4lOQl)a*;BvB zx?jCinw8By{W|J)b!Sig#_29@m6ko>WF{w#3A7CCt5sU|goBTLwXzS+DYn==wzH>x zhjY7=W}jE(=eu~RG%K5ZUbR8^KmV=(B=UbNz=4Z(1)!hj72s(8dRhL3Q*dRA-DY{`U$5Gi+r>+z`F!VJui9NZuZCB*N{wu|Z;37c zf}ggS+v59=v`Wjr@RoF6tt<@}Yq44hUuv;>!>jr0Rl81`%Fe%DwXw8|mrC^p%vS%l zS=s#cvi$4S`Pa+xFAQ}3h~-}x(H5JP&0jCezwiv%SDTfcf4yo?WizMw>t*@ZtNH6y zJ0siG&0nwD{Mf~<((*6dS>1`{U$})9tH(lDi_OZ#UzUGiGq|rdD?5AY?t9w}F8-=b zfnB^*+FUOFvg`@1=}M(#Pv|7qV)NL=UzRI4;~*o0W@wEPHw__Oa~gwb-Xl z`)&8#+0)Cir`KYiI_VcM^5m6m_Ko4>l1(sp(8S9eR=#Y?4mZ1Y!t^J2exsWdB_ zzxvA++ttlq{c(z2+$t@5!uR;-5dDdX{pwa}yI1(4TwksHg%7~B*gW=owAiftzFTZ@ z`Te$7t;EO6TC5(6Z;iEBeIyWw(JStuPH05T(#Xv6UW=Kr_Ume&Ypf(022AX6@VA(3cyt+KLdOg^;rJ(>D1|M z`PZjYr|zx4-Sp8DY55nv4PPeO{ujRG-eU8HqkYHnFFcs_)#eSarP93NwN#qVzm`h# z`PWiuKL2i&mVbTTtJ}UH5IfSD$yQwEPPngzl@&%Fj}1R(_UBv+}c4 znw6iW(yUz6WBC`p6yH}q@J(hoc7WLTf)n`$UuJ@ul& zes!+^wtMyMoaK$$@BSS6UDRW{SKmcFwtMwm)MMGxcTtaJPv2)xgY8~@pFIthJ$;`& z4YqsreYUe%_Vj(Wvsw1^J(fzdauG+JpWKFO5r<_@-zJX!V&{H!sqBw(ZsI16{_f^3 zE-U-fn!C8H{M3oWU0haT71Y^j;^=Q+ZdZ4@(Vw~8#WnN&CCgo0&ek8R+{B%3^!F%t zaitsm$;q$_L?ZvU0(|j6peq2Es=NYxJ7MYXQttZtrfvW0+Yem*b;<4Oe&Fg4Nbcfw z(-ztNz=aiEN3|cgK2@~Xf27B$_rLzL5jk{a^&ic@Anu9O-7AQDA~pYlxP3==uaJnB zyCR9$ZxG`49o@Zxc&QXCAzmuQN{E+AVQD1!OQoOuaaGry=ucdzgxOQl)4s7JFWJZrT|-Mxait<>xZ;4&RIU1J>lxMQnM$BTcu`C z5Vw_@J>fyKRciJGaa*aoR}e3iHkX~Ve028;S1*-1mw3mXS;$9suaIxIO3j`iZk3un zLEKhq_5^XO)a(fl$gNVdCy1BIn#cI3sn0x;W-D*%3Y-L#I=ZSj}xf8pwN z(>6|vzcl~CPEy~r?tektDz$nDagUScUl6xStsY`)pvOt`FNl{)_4(W4FU`Mj^-`%m ze_Q;e`4_ewmP+;c+u|?Hzi@S1sreVgty1$Zh}%ldztH2kRcihPaa*bR7sN}Y&E?`R z-MzxqOQp@_;xEm=u!~YEzx5W%zx5g7Qi-~9^{w|hwmWJ5`qrx(yLhQIE1SQ*^#aCrb@LYM#9mR&C7wR)>Q<@Q z6Sk0QF7Z&JS2urs>y?J>PMW{)tYTL$mFBU{Uti6hu-&>;s>j}&zwl%uzkP51`dapM zZ~ppP_Jn4b8pqzLq`VEN!W@?CIX@^R?{h-t2=%FTImypKl#UKj-q^?1LvVyLzcKE1P}3 zb#8q7k!GK7XHP#XKoR-B72uAGbp_y!<`v-H{Pnf`>)!l@=S98g=C7~iU-#xOJVxr( z&0k;3zwXUnc)GN!n@lYKx;KB}q0_Ez2h`mwR0rDUE&oDSqMBdJzwV2_EdRPM{<8e* zzWB@XulwRJ%fIf6zv?jZHj#_JEdRPM{?h6pzPj61TK;uk{H4`Hd~dg{wEPPP6U%tZ zzpzu?V&yLcb&G8-7k^p)bzl5t`PY5%msSt)ao?rV=5p~@b+9^z3cb|&PL#hMi@z-Y zdMy63{0nd2)sI;I^;rC6`4`@y>#H@gac;WB>amZ-Us^rHr-heFweqp}OLwpEQQ@W1 ztX%wM*%LnQPd=&fO>Wa(X6@WzkZw27R zx&qMJyaGImzwpE6^Tl5f+c*_}L2TYo`~|W3eDN2=x@Mz)VVh_^U;Kq^(#EOy3t}6m z;xCA8oQl67R{nYve?e?k7Jor(Ru+FjY*rS3L2OnQe?hEP;{EHg(sr-#x^#&x|H9kN zEmkY>GIER6O1wMVVzm;l`nFiD#GAP-Rx9x$ZHv{)XYm)ZceV0a`~|UDS^NdD&gHZC z3%S0|<+Jz;VzaWg!XY**YbzXLv$D3rAvP;(D;#38vbMq@HY=+N2eDaMRXB*v%BsRa zY*tnc4UaBnW!2CiHY=-!2C-RL<$H+D$~t}ou~}Kik03TH>-Z7GW@VM{AvPH7x%^XPg%6IQ8*wJeTV@ z9aYMMSjP!%V){pPocj1TuGVov6PCVO$ElBh<7)MWqe^)Yo0V0{gV?OBQr`E^@-Osu zFO`;m_3>|9ZB{P+vi$2<{AKwUUKs4(HY*o@+3wY`_{;LIWAT^eU&rDv%fIkqO{ui} z>sb6{`4<{Y_tj?Q;xEg;(80N{wz*vVWxH4Ck=$3?TrU2y{0m)(`)ZrZ#b1_xq0cX` zzFGd&$G;&qk8S?C+3r;z|HjqkvCUs>W4je`-{>maDs3*CziyU2p=oPhZ64eF#g?-2 z+s$9tLN;-$wCt%5Z)5YytZe?eS@zV2w{f*u+5C00>2_G_RvCU=k7dDsW zzWeYtRH~JIcpGB1vJY=#(@j|#-^?nNwtLlww{f+4Y#-i+Sgq{C+Yswq_Tg=abuMwV zzg4Q0_?l9S&B|sUHj>@v^408vZEh3yz*_dyhqu4j{pQubD*%c7-wMEsbp_xZ(%^)-FCaW$I0@qKK>1rHcri7H_N|X&0pA?*WYgbx>^3! z$G@@Hsy^Sxzacg&o4>G=ufN^=b+h~nUw5zhwfqam!CP$J(ENpcfBo&|FLu5cZ)pBv zcPbUPO3T0S-S1Lq`BxwR#*VUC+4&cW2m0HKzbyaiJ|DUi@z-Y>f_(|5wmjfm*ro5{2N!R zl{iUI(_q;XO7AUJD^aU1v1Lyv?zUJx7S+%etCc7pwpgu1wXDU;UnnoNSgk}orp4;9 zeR%tOv+Su4Z$qpei+%R~5wo(hr<-L@eRvyn3bV4G{%)2%_2F$?ZB{P!vFxc2Z{upS zva=_CFk<(rKD>>qZ7vu4SoYM1w{f*ux!A|DC!FA{F|q9F-PzO4cCT=vvadEP7yH=m z)qAl|XHUN?0EztH3c!nX1>of672v)2%l5zCi@z-YdN2O6{jc}pFU!CB_%{kp8rkpW zFO;ctYC8YoC-h{-n!ixJGI2TJ-SV$@=U*sl+0`{Q{4AUPc7+*gT`F$=x?BGB?);12 zgOeX={<>TK^=|$`Wz4Q_m6m_Ko4@Xsf4!T(?v{VOJO4r*O@F)j>u$SO@6NxvH^{lo zjze{Hz+0&=_3*|+-y4}ZiuW;_DCe5-Z958CJ&1JI>ijn%;{q%RY?CG=E$Fir-Vjs(% zK8t-Ud&0{ebtjfReHQyz_VnrO>2BH6r=R{%=+ygO>|@!}XR%LbProYwiTvLRz>9SS z;Mn99;IsJ4@-Li!DibaL`tcsW)dL|mE2|y|u~}L5Kp16KRy`16o6D*PLTpx6JrH8Evg&~lo0U}$ zgxIXCdLYDRWz_>AHY>Xxc(?57+x5V^Wl!I(2RkTT$87yoY5^->&AN0B=`UkUT7V`gS#sy=~>U zyPEf~?CIOpJe2G0>bBCdr*BvDP{p^a+e*!zkR?@+pt!$Z4RH^w?p{H>REm`lx0RYb zLEI{J_X@8yv`XE*g1A-o?$z%KKqCKd1*nT<1*nuJt^l~UZ(8@iAYL~u;{@@#Y4Lfy zbg^#Q#;N&>%}=+9#MMisjZ^d2L-Q|O-6}Qz!V4X(Qu8l}dz>`?g1A*`{snPcsreVg zOQl&^=NWJ?%&ctwdT9QIoO!7p98Od#`!qYmI+uN#{rk}D3BTP|>e(y2u+%EG_6KoW zskJ|d+e$tA4slzlwLgfLN}Wr5+>NQsLwB$6V7XM9m5Y5ed&1SNQnM$BTcu`Cc$K+T z>h2Z9tx~fmh}%ldo*-T-buRlXIu3)Hm5Y5kd-_=cipc-106e&^D*)HhyaM!TcIdNl zTKuK?7oN-erZxY9xW`HJFT5buir1=-bOQns|;xEm=utTs^n$Iu( z()p;l@6SD$9b)oLX+=g2WQ;UueK zpJsG*gnk;v02&t_0+Rh*ri%3&C2Glr|w?i>K<6jp87O94rxm+{pr)} z5Sx|FUr)=P`ZPPPHY=OIo|Zjf$Ek)&cdxL!R&n{X?5WS9AAG*sn4S02z^=ky8@8N|E&PLSXTf}bzTAbG&_FSyrJ{2r{!Pw&cD!yPD7#d zuczf-eVQG=Z9c#F%kr;2&5o;WoI3wv=luOaqEEAb`@5&xj4l4M{0n;n<(HO!^=Wqe zhsph|S8yUs^pxH)H-+xsP>ihj^(pEBooMzu>odRkKfjq;D55 zm1bqLPk(A}zq(ag_Vj4>=?~^@S2z3gH}Q6HtF-Lt(d>gWkUHL-J@v=ye$N7ZNaX)k z0DRiaRsi}-UIFlVGIC>o;qJV5PCX2(%XySi0c{PIa9LZ;GUv$CK54$HrgIrP*`{@ryU)4_*e_8f~HMM`ltX%wM+0%3Jmt{{_4*N%xrLpd{*yeKa zmt{{_$?9s`y~2{!VzYAbmt{{_g!*dp*u`I#Jz-httIcB<`&jmbg`%%kE3qQ9SgpjD zU0bYk363wZWltdU7ORzD?H21?;;W=BRx827E!MdNUA9=Q1TVH&J@#1aW7!j!uCF#L zJ9|1hd-{C_Ad&xD0lb<}d5}j*}ltrOjpYm-T(e)veO4CNE2_s5p!A*Jo;H0{V+e+KLdNuo4*Y*!us<%pAi(bt>IM=Sf-Ry(kGI6W4 z>$fd?dNupt6ukaOvkx>={JR2>$p5VXFI=pSGPCV{?}{qm*rot#a~uEd@cU6{Oh&&%kr<+;xF61Lfh|} z1Ixc&i@z-YdM*C4-K*E)FWbF(E&j6n>$UjH@-KYmuNF(6PgD}4W%d#i54X)p|-K+QF zFUy|Zi@z*;dN1~|>3S%i+wD6dN1~|>>n|YUF>7o)4SORuXqUWzMFjz z6e@1^!J8i@E|rKC6PL&0g%A^$l?Wjd*TCXE5fwN4AhIa_T>(hs|5gC>ytNg8KbBVj zbiCy_;RO`aw{F@ZyZH<6rP$Sd)0TgA>vNn`vvF$vLV)X!G=JeO78Cb4S^o8I{=&;G zay3fgWsc=vINsA@wG!uHTC7&$07;20|H46x7OOYlh(e3iN|gFrtX873-D0(}Tc3YH zHEK@t7v85)aq|}#$iz#fS=syrVzR5-O3S}K&0pwlqgOY7p^c4+Tczb+pXM*Tt7BI$ zm1br07uu@m)y-el_Z_F+mP)g-`OEsgKmTGL?p*Qfc*`o4cY&0p5{9pau# zJ9~vEp#E*MviZyUzT?<+yN_j0pXM*TOr&Gd{AFF+@guF$vM02(tUIyn>9hFDvZwBT z{smd9%Fdqfl97p*O0%-FC!9{#s~3M+_ViioW7!i%w(r|KcCn9TPoK`7un?%q&YtkD zl8M`WEPLwi=U=?SWLLM9mOXX%b6l-fqBTt2x7Gg8PNc;;m*`Bl5S z?%Ln)3P2+Nw*v5DeFh*?+Jj6s)y_B z``h-v*4cOK`;K=CdYml(T4&#_?>nw;pSSAaI{S`yy7ay~|FXXC=#bwkE&sxKvzi*K z9->=ci_IGrf7$L8&OP+i<_(L#EdN4@wXU}O3)Q+7tIu~6_Ag!|Q!5vLS^o81{AKx9 zH(|$*Xk>pEe_8&8O^v=2U5mbpzijtvoqfmZtvA^Gg#*$iE-SI9tGM~g`o7~s1vRi( z>+R~AOY8fNt7~A90@&3{rOjpY7cv9Ay7>#oKTW(;nw8C8*7qH+yR=Hnp1#drIF6{l z-Pse;3lq0W%bwQRcVr!Q^-?KT;tiXcOY7PWaSyESUO~K6ij@%ez-smcajVqY9~iS$ zYV8l=R;jf=h+C!B{vci|#Y%{mO0%-FCsa=NF~NI8OQp;u#7m`F*-w9X_s@Q$RciKx z1)#0e>PjX&a~JuaD+mY&}KR_-Oux6|u)j_rDyih}%ldzaVavntwsuR_g8*#7m`Fx%f-- zFElb*D$UByzpzuT#xMTT{0l$QDmDK?3er|;{snQX)cgzLwo>yih}%ldzaU;J)yj4D z-TJ<>mrqTDp1p#2sZ=Z1*>}7ND#ov~@5r51y!cDACxl|F)a(i3R;k$&#BHT!PY}12 znmwUGO%JSQPwaA2R_g8*+u5|(tX%x1yH~inRciKxT(DJY_5^XO)Y>1!tx~fmh}%ld zo*-T-Z7vu4X!eArE=#3)Z1-Wur%TMr#Xg!n;YV7f?p`6aZ7Vf&k2?rUIDt3`xlF}I(PFI z7E%>Ae_?4faosfT!o)RBpnMb8IDwW;T;l}lRB`hc=*`4SrHxbb7ihw+Zk4)w#daTz z5QLjv-TZ}+FmbEY{0k2{ZKdX45HFQxW%C#2S$=z+eaFL;iI+;Xa-DsL4!gQlTK=`p zzFXgS{Ih)C`o2TlDlPw7XWy;wJFZ?T)yj4D-TJ;m)lz9zHhra3tZe?WzVB?S(7$a~Hh)>)cU;}>qt!!* zTcu@B>+CyTd(bgy{=&-!CT=S&d%8D&S=V+vY%i5&W%CzKD(i1If8ixlvvLx|2I@ zLs@#AeaB}9)F2B9bzM~{z;$~^fzt-7z?40Y> zi@z-YT4&$CmVd3Y@7VgZA6Y8Z=hxYHY#`{>i@z-YT4&#}onlwF&s+Yr&c5SSd%b$` zm*rpU?EBa9ukPfIrsX=9>+CyT%(vfeE46wE^6R;@{Hr^;73Z&guTK=^g+qkW+nNHV)Ix&{ZVXI^68Ian@c|ZQEXO{zbH13C4W(D9?Pdcift~*Ulf~_ zeENgfvZp%xPO(`@{-W5d*PAc zYGs{VhuE^G>cdX4T3IL8DOM}%lCY%b#k3zvy#~p#bxF13P2+N zw*owIv918T|GWa!*?0c1jT7@Pifx?u{0On-Uv>7KVjCy&7sWPC%)cnMapLnM#WqgN zzbLkGV*W+3jT8BcV)F*(Ulf~_%)cl$E6HCFTmDsN-zhdL$zK$km3)4r*sNs!MX_1Q z{EK3NGE z7hW9etIf*AUzUH>*>~QFS-JSj@-MvH)xT|4F8;FotIodTw=Ms|ds+1(mVedRcV2B) zF8;FYsm{LhYO`|jmt{|N_MKO&m38)=Vx7x6`%bZ1S!drNw(P0SzEi9oTW8-XR*$W- z?-Z+*b@rWN_1HT5PO(~9XWuDSkFB%s6q}XIo+vge7yDTDR43PQwPjCra-Cwcak7cB&MUyN_{;LII{Qw2HcpGbEdQ#r@4VW^Y4MlkUv>7KSKBx({?h6p z9?N>1w0a0}k%{$vhqx%y`o5#OS&?mh-ytpsw7%~UmrCpV4)IcHRyKcG-*-G9E|q3w z^OyB~$JI-vMRxPo&GN50`;G@M%fIUEJH-~+oqt*1ca(NprR86B_MJasRyKd#EdQ#r z@4Q;Ath4VFYh>5icZ$`@I{OZ>_xR*;Ac;r`W9Q?8&;eV{4%2(z2&l zXHV9(9anddSoTzB->K59Z2q#Y?btL}D$UByo~&y-u5Oi$uvor#iV#vCSo0 zhEi-E%a)-Oo5!+cD8*&v?+QR7|F;6XaIvlc)R9+!YT3>oHlJt9P>OAw*fNx2i)^+G zrP#)aEkhx;{OiS*p%hzWvt=m7Hco6AO0kU-TZU4s<5Vr%DOPW&mhBX)mDRGHVzshb zwo|NDR?BvZ)yitw4zcB5)v}#pwX#~aQ><22%XW&@%4*q8v02HM#}u2DY}rn+S;>~| z6q}X&;0?uQC0ia-Y*zAv5fEGch0~!eHY@qT2wrVgvgI+wW+gv(L$O)Omd6yEmHc1? z#bza29#d>qvSmBPW+hu5Q*2hUi?mc) z*Y+>Y?DdbBm27#;-!?0oeXMIcex$6luI&&nm1bqLk9BS5Nx4#K*;6%K$DLUA^ltXC zuI>1dR%zK2PP6rItCcvm)?&4?8m?2N&Sf=Rr _C(imu%F5prfJFXp1>nWH0?_I5 z3Q#TEq0jO!oI)#omVZ^tc3!RCP%YajR-dny?G&reSIc&aZJe6Ftnd4`TDJ3Q8>i;4 zyX{_mn!l{?J2uRFYApXkqnfhP@~>*y4waUFp}9$4ZB{maS>JbT#xIp-W%HNyeaF=u zW|n_d%XZ$0c|-G;^?k=if2*|Jt55Tn^?k?Ht%7|La`BgCPt|Z8S6lW}4c93)D?59#uI;GK^juo@R1Mep zBWfj1gVgL;_EZhmd9_+u4c95wxx_(^{t>kjhsIj0bBPlhCARDdN3~k4R@R|&sI=?} zCE&hV;}Xy8EjBCr(ExQ~aN@rCGE7D|=(Yy0+t} zZmYE2t2)+GTrJ1S)Brfr;h zYs31!Q*V)6U0qD56PY=ZgV7iqImtbS=rQs&U<<%O+DJR9Y4~0qBxXbSGP*Zo_KYul>iKc*yP*uU;z6%C6~X*LGapd!qQFz1~UFjCO73 z)&1MDdxbYtnok~*JyF~~DA^OmZH{D56!!>9_C)bgsdHIvw-NEZd-b~lkjU2xfZqW5 z=nB9eODh1cT{o>hUx$~ePsgbaFGDQ(7k{L0TJkT57b8jjMRBW?{EOlqC&|Ak?s1a* zi{hoy#%VE<|XKerP8ci)Fb&9uI^+AT^l7r>Xb5mTk|Rma zDkXb@cu|k+UQxVMn#V5ck=-j^y;NFUE=H2wE9^2XMw0A_;#Miy6UF`8l08w}=E&|9 z#GT4KEPJX`!*B@8p6b*v#cE}p8m3t1vQ7e&|QcreV7+8=(T zi36vQc(tzpa2OEzzZHNN>k7be&nrM3Fs44uGVw57qiXqAHP`0VnoHLKV~Tant^>vt z+c-7#Xy133*wmwa-zn}nu>9-Z)T4dhd38BAPDAOab~2=W-*I&_lJx>dY-a-MRB{7`%ZDI zwEU~i0rR(QE}MFumVe=)rTj#8ukc=OQ;+t2r+BGUpRaSkP$|1tyt)I&@~=7v%&XO7 z>%cF?nq}63Uy9XZtJyWhYGobxrC8_k(K!qHql#D6fnQ#&kzLKMDK;w?^;q`wSkzaqOmv8c!LuWEpe0kQmxJ*~NvTmDt;t0`7*ScibM?>lNM zi+U{oT8Dt2Qa$9=OQm|lIs~kJ-*I&(L)!P9;$AN-|5}HDweLHxZk3jQtwX@t_Z?R+ z>aqL_i%<=f<%I^{J*< zeI6h2;C*Y~cic%+kM@11xU9qhNa5Y;Q_UYy{#s{sweLHAq#5auv+oqwq-o!Gid&`S zU)7KrDsA@)d{*XI_EZh2d9`_L=PcUy9V(l8R^Ru3F}x1tYS(sL-PEI9+bM2yqZMXW zb{)#quI=bk(tL7A?T_NNvUjh3R{#?EKkwnix&qJx@(Qqy^&Xagtz*47;HtLtzRqF! zSGBap{969SF4YWp%fHsKUhVr16Pu9^%fHt7UG4kMtJiO9X0p!j;ykS0cPB%K1rz|61pF(K}kNUW{b<*E)TRW3_g5TWR^%I(>^y({^=x zn&n^X^ez6US9gwdSpKz+*`hDCUA=x=bLn-=7DsmV>cvQwf30J-P+?d12wMJCEvDf< za`p-{zZl8#uWB)kt1bUp$7~PFzpBMFuQn??!#OPfTE}d0`+DDtkt};!$82$U*sg9X zEqkgK)4UU%OSJf|*s<*C)rD4!f&4aF3HQ~y;-ZIdi`7ar>us^l<*N@8V8ryd`yjz# z+0&~J5?~ZMZS^3?~SaEw{6?t@T=Wlyg@NPuCttLq@aVcFBG4-%l^w_V*5p z5UXqZ?+QR7|F;70Vp#!-`0@&{j%I4#cj~Lb*1qo)m*wz7HL(vzY2SDB(P}S#S^l+- zW@_JeUfn7!|5`^gweLHx?s2mGYaPwhzVEoYk2Y!FcZ!!v^@i#wj4xx`?p1XZrdYk< z-Fdq9eaCP2@`n@VGE~)37(Zgw!|EtZvHCnZbTU?7Rz0ka!W65|zk5}DS^iZWg?Y7E z*{kBqcCV_VFt0W%dsWoF@36A-bR23|D|?GT`@Zw)T1K?*JH)*zzAXQ$*1@}uDTSoVZHh!!jRASr0ES_y+%tZPwq_@z1OvDM)h zV#}VY!!N~ZWp((aSm&}j{8Fq|;xnjqC$@Wq&xy8JJr*DFY_VC{WexPu=Lr6;03`B% zD**c5*$Tk>&nrMR1LjTJI4$b2{Oi+&5v-#!k<|@5O(CcwmPrR`p!wOW~D zyI0lq7b>lKhz~OK)n?_Q9?QR~>o0%AtX$M1XWwx&cu|k#U!O%imVbQ~^;rJ(S=3|s z*Jn|Woii5Zd>h;&KS>`wnsMEv~-r|6&+T)A~*{F41MI z#pFUDEtIf(L4(-~Gf$f|{ zyS7u@lV;gdb>Zc2o0WY+L%X)~M|#q1_i7ziU0vIMR{#?E={?AM^cjGIkXL}}5PCOMTn^7v8?88MD({>s;#F@-LkH zud6NpTIW)=?>p|KsYm<1Q`{2|=&!r>M7PPk8aX&C%=$;{I*To*-^>G<(8v!!}2EuOM!7G<$-0sWdAWBWd=8 ztCvc%vLCMSP@-1$!lwBso4`wPqvksJwe=7>h2Z9ZKY;U z5U=01x$IO1Z9{xCR8}Arr6PR{mPYRk4kwS2y+GdC|l*2io@?<}~$yuI=hpsk>LW zy3Nu23um@!1hwxwe!Hnh`@U1$R%-qQ@lt74Hud2BJiWork+knSe!Ce7ukG2@OQl)a zjD)xO^y;P_JkXlBM^N)G95L?S*4-T2D~Oj$o6DviyeX(R z*r^N>Clj|y&A)I4x>aiR5aL#;*%QQVrDjhMx0Skk1@Tg8bJ>i9w-EIPn~}6@JLa;f zN4vIDynfrPZ0gaj?YO$BN4vID+~#QQ58^gQYkv^8O3j|o@S{givnPmq1hw`D@lvUC zxlXZa*LFlwr!x5Hj||m1#fsM?P24Iids?Sh@%E%$-Bw!mv`(?&rAocJa~6C#$i!`q z*8b4eWc{{Txrjq+e>g(lBiOrFKPx~H`M(u_7wZbZ8_g@gI`E2jJN2d)^;rH@ZE`{0 zmVd1Suh`+xA6e96`PY3>kL6$M94tN}X+P5AWck-R#ftYv_3Fh)mVd2NtlIY-zOxv~ z@~``%9?QShaaC-psLDk>mVd3|s@Q9>tJ@siy+R|J4jjwB)^Sz5s;WP-sK@fJbzJqM zyH|LjqE%Y{wNA0(#a8|8MLm{(ty8S{=9XRE&TaYEI>n0DVD0L*Qg^SgR_V${ZO3o74{FzTikC{Wvdg5}wVhY@P+9hb_ZsLB*prhx!3zv6 zR*%J-3N0>={apb_f7wZZ@Yx4^5?861x_Z`h2y3mR}K)t(uU0eIUBoOQl)ajP%vrs~gV3*PZC@6~sM)mVe>AdS9*lg@frWHY=NY zumP&~-PEIf-*MlIdUW>+;-%8&a#4@vU(ZE7mVe>gcio`nU(ZE7mVZ4L^;rJ(T-0Ov z*R#)fXy133(`P)i?>ogkuzL0i;C~}J}vKJ#+ z_ViqgWZBbmF_L9Z&&5cVJ>gt%t2B>YjAYppPWtxM>ajS|TVmV2!r9#xYh2=xZj04Q zoW^ajdhD_I#ImPj@rh+mI2zkOqATvPh{Lic9Cq!i)njq0wZ&%TA`Z)*a89+Zw(RLx z#9`SJPM-GFX5}Id%bswSw6E5dc&wC_7A;ERzg|H28*`VrgzIu;|@{@1an$M(N)oU(sJ`RiEJWBJ#ysK@fJV^NRo zUg5}N|F&7Vs7I@Z=#ocsuu-m=$x+k;vH5&a55(s4MLiIkHx%_iY*rTaKy2PnITFPG zf4<%($(C5>5u1AzZwFoH|5QW|dl9yK=Aom*9(n%;NPV|N2*|-5Tvg&Ql_DTYWGcny zOOen#nf)q7LbLR;6ba4Z^Cdml*OOkB^q^UKS<-`M>19a|nx&T|J!qC*mh_-`_OdG< z(L8&Jdbk>fnLWfggY*+zJ$u=8l4zd2L?K#TE%Dd8qz74o*~@oH51MB$QA5^0GJA<) zv2LEdL{(TfOD{{2@Y~YMk{&eAu|$bh|A_Rmqz6|^FH3r`89T=k)m8N)vU`Oxs&1BE zmh>OM)lRw%MuP;Exjz^K(q9+gagge%MuPWOD{_}&@8>IPzJdW>1F8&nq@3Y zPtYvAEImQ9^sIu#_2l3uKr58UUyD(^4dfzq(u%sU-1+B|Wlx)#a+VdU`{btD<>&LzkGJ zlK2Z{4}G=taw(F;UwvdK?nHXIq(^qIP%2QrE%6s>0=ijxxui$pFJ$@qY8lHVJraK* zZ{JtTST5<2`0KNzM|Q9J$WSEuq?b#2WcLamPcM@aJ$)*a!8We+aw(ETPx$V6|A_Q* zDUxIlKTCQfdO|X~e?-P|NsmNN_$YW^ExlaAA<FlfGs`PqLt=;f)aoAX`lEJ>19g~HZSLowDin#-*JO2J=h17tJ|c+UwzsqbI|kZ zRwV3sNpo{m;;(N@&ph`XchWHnHge{VEa{Q>tB>`>ok%Y`X1PiH)yH~*eA3H~S?0O# zxRWJ45YcunU0>$8Gu6mZwA2ULS+V^2lyEP9}6ve5`Xuve9_ng|zkWMLn&-a5eBmC!7`tnx`TOE0Tp0L{|NDxXBN^s*`j&@8>I8YVPLFRL^F&C<)NNr zvZ|8MEWNDK0E9!*%c@F3v-Gk`1JEqJtg0k5OE0T50L{|Ns!Bq$^s-6=&@8>Isw6Z^ zFRM-x&C<*E*m-I@BE%|ILY<5BvPuJ3{xbc$ij~kTy{uv-G)ph5SP9M2%PLkv^Xw&7 zC*{a9dV-U+565LUWt!=;@pRDCGY& z1JuQ14N#N4odIxd-}H>X(7bM1o>P@iBJiHxfah6{Z^mC>-MZ=N4Sm`teq{Q5mrnvS zy`k$Q(LBAO>m<=Uy`k$Q(LB8Y5pCVzjK4ti9)lTwp}D&=<1aLKcV_&B=6-@R{zCII zDZO0MGvhC4OPieW7nuWheiA zsPu9v(%ij*Xt&83J)yaKIin{ux5*hjp}BiGYp}A(UV$nLsvg;(# zJbMXt7aQj86=s#yo$0Y~tGaqdPq@0fGk34h+})Yc6Q-s%Idgw#Zj*EO3eC%;^m2tV zGkU_+%cS&jg)%dGg2iQ0dfB0joZ617tCw>^dLl(=6+5Re|7mJ>gDA*b&Q0gALU1uNqJ7KNOJBw0+nS_dfCz==f30W z{%wiB?kzoX?mMEL{%wiB`m|5%_J}vM^vJpI_>pB&dfC$Rkoc=l`^0aH&$sl*x$huI zd-2R3qPa~<{MDy@V&0u&*{6M?d5$IAm+~Ozz9XhV_6WeIhWBasEG)pgAdgR=91fAWTxqF4?eu5G`^=Y5@ZRur8 z4@yXfBll^axLSI-q-XA4LB5tr>E%)+iJl%yktBNR(>@VH$yhGwk?5&U`^43gmwnnN znx&T=v!H5q_Hqe_L{E>7Sy0S6uU^72cdzhOrS=nvp8B*;?0w2uF5!^qsZaaF)svTf z+9#T&mrFP#dg{|Yakcbv>4`*7ecC6kmR>GBk?5(<>O?3j9^0YJI<@_r0Vw4EW`GAS zo-+W4+Rp%e2q^4{H!MYx_^S^A#ntkhIvB!pnFF~LN#d_Q1Qb6aKED)6;;%jg6jx85 z??XVbi9MfF9|DTz=?#4dD4J(4`w&nxPjBc$K+!yV*@u9ldG@jo0Y&rlhCT!o&9j#{ zx4P6$;;%jg6jw_xmm*30)rWv$VI#dw6{KykJ7aw(F;UwsHD zu9jXdMUwcd4*|v1(#u|4$+_?N99ikEocoUE5-Z%Z#* zkx*GT9JvnxMGi!I*@}e9X}P*)MLG8!>*h8o(NiA+iq)u$Wrs3yYCFQl?v6xHeF!Li zL_D??NltCYkMt9i-76f{OV^ZB+wtYLWm0`$<@|;?FAP4j6UWduK@0hIGr6m69(>{?7l;_maBj>*3M|un-{_4{{ z@gw359Sq62@Aw#Cb5-ImoI_gAMB=YL?GwK(yCg@#@fS|ftUHnTt55qxR#tks zq(|bfKJ61%i_b6Vk@%}m`^44K%OyP$fAwjfxLSI-q(|bfKJ61%%UE_OgLez2BQHgg z-7B0JSH|a_Nag zPdGZTe_MLFghQey9Pd|GOZ4>am<8`!%xAKML!u{~%-266W4VMwqNn$YStNSGp?duz z(#sXINc8kx!qL&wIRjA0|IGlrc+LR4Q9lE`TYBW&ckIa2bCPr4@vYFhX*u^D%{2yc z?mL?6Imx;2Xf98abKlXtOp4EUT2{_|$CqF#(JJS@;~m<{*2=l>Xzr^e{#xaea_&36 zLt5E*yq7Y(VUGL=qio-7FzGIhanVjCRsw(l$%e<4WIlxRW z&23V4uU1v1ocn&qkxF$Z5`V3#N;&r(S9dQZ{#sR)a_&1`PVQll`0LZ90dnp;u3q;o zz3kF}m&9M6E)9@#-|wqV5@(FhXVRqsa_&2>Zj%y!t@24Z_Z=@)_oI^CtNye)QaICN zSNWuz`;H%3CZ(5M8i0sq-glP<$f@l}Q1pY9=;_lnOmb>Fu5OdEd)1#-=gU3QWY;js zsqNSjT_&ZMUBe`&w&Ut$QhM1nOmb>FzMR_+R-z}I3{$Qt(bKA`#QQ|!zE@SHoZ61x zZl+1}w5lrQ)OPIfE|asDt0q!TZO7H!OWD0b9a!J@9LsMPE8$(GdEZMoBzjuKk8)}| zJ{#P>-OcZ3&Hz*)KLhkP)KPRcy^+Ov-cWa;KNXU#qH8&V9#sje86v{=%6dH3qVKwW=!R+;?o%_prtb%Pv-u zbKj93TqdQLOOa&v3P(-!4N5PUBFXL*4v(m-CH`7fm2&R;{o7TQa_&1)BW+URukVr` z*}YojlXC7mexw~j;;&UcDd)c98`bR)5`V4oNjdi&SJxAibKlWiCgt3B9DPyUk#pbC zTqf7K@BcWw$|vR2c3izo&bVTgPs*w7I96ksoN>h}pOjPEadi!voZ61&HYw55s*{vc z+mWH_?nv~s>LlgVc3i!FdwT4ulf+tOxc#b=lvCUB@$Y3)df73HoZ617m&vIQMKS9a;t>Q;HwH;TtpUCdjDt?qx+wZIRah=+J&HxnhKktF~ z%o%_r_cOq%lazDcVQ<}?Jg1f(Irkl@#vTKSzkXYKh7h)U%xFqZ^<71b})qX;`GZ_Bz&Ypn!A@0fBm*1 z$+_<+LFgwqcdyXg-I>`#G_T(tK9A<^&fL91YPX-@+`U3`o1F0%n%m@zztG$!XZ(fc zHaT~%P$SVMXZ(fcWpXeZ&CBGNi_pAGN-sMY!Z%0u=Z#GDGC4dJ&24hVUubTVGyXzz zcW3Tip@^e@d+uJLdHwd#FEp>;mR>IDnb8xjUM8iNOM2$+6%y~ur1Wx0&y1dMbw9zm zdxhpUIin{OpL8#0^n~U%Idgw#?q1I53C+u-jOEgk89kw3V40M$T*5JPf4I7Tdqz)a z?(WR!iAPT|3c=f|(@&Og%;*VMcXwv=#3Ru9M`SFQaLn8v3LTb78OtRcbN32YFOxEs zy^6w{u=Bo`aP;ogIRjA0|7!-oPwN_hcVuS(RQ2>t&;2hn_ps0S3(bAgGyXzzKc~6> z#drPc@y+-P&Fi=4bHeL6ef9JPymQjc^Eu(AG&ak*@2pJk=AqJPE|YTZJDSU+ocoUE zGAZZ2qj{N}-hhIsHaUGBl{(!ly=>{h8^dFKQ7F(?OD|iI)PbZ9-_HT&iD(> z{o6Bpi01Cj%pPKcYW=qKvZY7PeaF@P1ZV9#nwLrGWlN8o`wo`2$+>%l=I+jnztG$! z=k67n+vJSD(A>S8yH|9adfqesLh~{yW7&#?ulvkFYekZC-|-{Mq>N=t5BB@!kF@lx zbKn1Q7_Y_D4bJT019igoZ9}tTPJ;k zvzK_iq?%{+gsa=+jGoZkCTH}7=6-@RdO}%Oo1D8>XkI3#$D$s)?_`c83W2+M_7Zir z-8?-OrKa61yuWD>6zI>)L!-&Nc?qQ(ld9jaCMuM`0Ku;N8+#hk{*e_ zkT$6MmiP zV?7~-GL}nvB>uvRvwuW-xuj>-UOlkX>8ociv1I7x$!x^f-8_4VShbrcvk~)Ev&3JZ zS2xdIA|~kO*-Ol=-8`9%$*7xWFCpsPJUtdF*v-<*rAV{(3N^axx22a$dS>kvN_XqG z<8=$f@nPdYP25 zY~jGZ|Cn+6ELOZ!B4gQlf^>jf-My6Psn24?kH}bd_7vx*%^&G}sGQo4M^Z^uIkg?l zl|4mHVf@G{XT+ zCe4-d#c>bPTq)nL#9ymU5{Zy`br%=lyJyne&uLa~p^$r-oZiqMOUFw?(;HTuJX|8i23tUZH-6`h{eTT-~EHYp+lt z-@TOGtNtoFeniHytCHm0chu9jNr}InU6mx~zT@gPDZ5vzAX3hKhs5-QowZkJUM8iN zT@d+|=xG&1;xLZ+O!}1%d{R!D+oVKK{Z(`<5M(U7DoIXlf2=x5Ikg?fRJZ%g+#i~k z$?37HPEt;7$JITS5nReaCP2u*>e% zs+0Um{I%*Nk#d~fS&Ag_7rr0PJCSqWAFEDM&V5IyQQeVq-_cy%k#pbCynb7H*#(hu z?mJ$_sV6AszN2}Wl(FoBNICZ%S1*$?mi=yrocoUB>f5BmU#m`1&V9$#-AjqTR-GhL zrQ><8I!QVA9j^&3latx2PEyW&$JNW^9LrS@Dd)c9nEn23*}cN&n`?+AdRhgMNW;$i zZt1}%8l|~>P)=>fdrZsZl5o>n;{a?tW4ZBn8qd_A|Gw?t3x4rS!j_6NR)+gFRnF5&3t>6`&5 zd?iP|QrAQKg;XAhV+mbzeFX@r^>%F8$_P_8^ z+5Qpnh9y1o-9x-CL$1drS=n1=r^}Hqb*WawikBG-E;gIO5zgdr~ z#bcLnNc7a-tjE>T%L-+XfRJ96o}gLAvh)Pa(#z5lG|O0)aG-gPWq-3C&9j&N&3ZJ? zvFvZwqj~nSzgdsw$xD1}5Dym3vzOSPs%F`}!iQ$Mxq5ld02K0nGXO81YXCk3KLhkv z?{Nyrd`|tgLL)}wiPLw~a#&C<(~9yCiYOM1{Oy)5ZLv-Gmw4nec@vZM#i(#u*!p;>xa(t~E{ zWl0ZCRFhto^q^UKS?_kBS$bK)5SpczwTeQs^s*EQ&C<(KBs5De>)j4COD{`$&@8>I zs0Yo`%aR@>3#FGOJv=vV$Xb819?jCrk{&cmFDqt2v-Gm02hGyUidoPsy5=FOOUn8YiJtmX_BaM;_Od@^kLKCS{**nMXD|Dk^=O{G>~Gei zdG@luS&!y9mi^6oG|#c@Z`PxE_OicOj|}e|%l>9Pn&(*dH|xw7e*5hhn_L3fnzxtc?xLSI-q8^FA`kVE*T6(#pN8+#k zW<9PRy~K$PrFL@eJDTeW%DL}o=8^`r8)GcdT!SX(zN5LgD(Aipa_(NCxlPXK3C(SCMo&1dqD{`-D>U~LoY51S zmr3bmOApR-+-F5xy-Z3kJCu=A+i^lho1D=Tn%m@zp3vOAoY51SnSVtc&hS{IF`2|g z^UyDBQZp0Gvm)nxS7`v6rI%G2fM)4sl?I@B_OiBPA<1ZYe((XFrFEsa~n(-H!+vjKe zh30mt8GoUklXEP)P7;5dW7&0*JY;ov70$=(`<`Rj zb&|MRdRfIvI8StrW!Fifd5&e*NuqgrY?m{ld3x-9>B)?q@EO^Df-`zTb3efuJ)ya~ zGovRo_Y<7a6Po)8O7w)|j`>XBF7r{fo?v@Jn#&}-NSeze7SL=yW`Gj%e=`6}BIhm; z$JEaN_f{mh=d{;~ghie-*K>l`O7psD@rJ6CM9;+=T9FWD&L3&%fsadb|F*n zs>EMi5XpmX=a01XfDzK%-I4eUFC6!8OD|h`ATshJHSF;2+1%0t$CTzaDe)IxRV_UsQAzxT+T(7PURIqXenfiN(u2c)$LMsOB(9cT zc7$`EyH_X~Ym*W^b)6(n5SHI=KauFE>m+%w@VvUE2MQ$3?e-EqbwMP4M0&ZTN1~@L zh{V;>%MNAa)OH+2)+Qx->Vil(mGp86heS{Pae7=Wz3fm1rQNfaOE@HY>W|aoN2He> z%HTw+`6EkDBzi)6sr*EuCnR2~S)wPLJlW0C%Z^!4Ha<-*;gIO5zgZ8WNiREQ!3jL` zN0x9%^wi(1$B$Gm&l!M1{%;20#d8MWBl9yrfAtw7;(?dw5m%X^cITgbj`s4JtT6(#pN8+#kI6bbG zUUo2q0}|$McQAyCerfJrO8nK|tcTJ|FFP2*>;3X0t#%TB;XJ&0R1$yn$LaCg(#s`1 z5`W<+yZ#aB<&qwWzxw0!n2MyAEj@UrdER$R59Um1E|Yj=cs956U@Dd7>Lp&imFDsj zyon&qB|UhvRhpMc>18VtUizF@w<2MhmgY7o(bKb~2ebFQx}^tabxU)1N1~_xI6WqF z>17KC=6d;&?v6xH{c(Ey$n0f*oF2`ym;G^i1PZg4{c(CU&#~-})1!IzvOi9b=Gn{s zI6a!@SmLxVI@?2{r~YO=nrAQjoAqd(W7*%VN3-;@g#%}dk4M!Xr$^i)z3iA}o!WlR z02K0nGXTG2KW6~mk)Hwj^Y*YO vxyx=kKuB8XTk2JRz%l=n?-X6a# $H&V7dr zw5Lh@)gPzFkH~Xs=|KcF@1)mZa_&1S;!D%z+;=p$Nr}JuoAr3FPI}pjBsAJ)PoRDu5ObOf8p4pa&C#gaK2GD zPiDWC^ho^GAE(C$m&E5g7($#l@1$2zs3Dc+HYxGfYblcKUg7wlxCrrU*&nCJs$-62f1Do8Q@{G- z^k|-A*&nAz^WfBA<@&jS5b0mJ6?|ICn(Vq&NZp~mgwod^hBbk_tKM&p3WJ7LjG?C;Kg$Wpo{t$ z;Jp+{;;;7#h9v&#uij%dEIz*!N#d_}D-u=+gYf;;d+f)FH?$&Q;UHJnb3(FfHn$>S zJt58I4M<2ybBUmw`~K{2*5giu*&QRvx$k&ysT@$weMfVfl=$o2`#N&&JFYHoz*=SA zU<(!AxEsy=DSPZE%wG04>(M-W+25>3^JI2^vmVW}m;KFpG|#c@(^b(tncd&4$8PBy z%RY1!&2udK&{Z_gUiP7@XqH~KB4IH#JKu^V=e|Gt&{h11^s*I6&V9#u`aNh8fAyiO z_z~%4M>yC|n|IPeCFj25w<|{~=e|F?Tov0o(#xv5Mzi#?i7@BcXb>52g?>*k$w zv67tH{`_>sfSlTn*WN1mET^`kxiZ0WYCD=M6a19jt4~)9$f@nPx{}XwYCBGxZj%x{ zt@24ZwH;S4le3qrd=ejs7@c3`lTV4BR{5ly+K!j|x|b3?t#U>=wf*_+(f~QN9nI@U z=2+rwR*E;G)_H?n8X%{(<45{YN%VvlQTs=vmt7hlr?%sz#AUKP_M8DIf7ta}h zC_e+NI!OdYvoBp-Am_e6@m^`&w8UTEE-sLB-*I){wCsO^-Dtn=zFRszGNxgV_TUVXcKQqFyUuJTDxV*W_iFu^~ixqB(`7v2r5&P)7- z*ZR6ydby-WcCS{QB<^UMT+$=)*Q%4myR>q3o0Rx#)k!{O_iEKi%DL}PydGEgE%DbX zpTrKwFuBSn@j~uw?qa2xrD&4o6tsk+E#yz-G`i z*}@^Gw&O?o2}<;|svOs;?dJ?YA^$f6@ZvE8)RN540C@9|Wu$mHrX&`Hp(z+;?!apWxiRLi76V(MvS<6P&wOXl|1;{z7wiXJ!w<|28>yuh85k zXZ(fcWpemDnwQDZOEfQ&!{?zb%j94-nwLrG>f8pvjId`wn+$QJl6?ChAd+uJL zxw|vtFEp>;mR>IDnei8Pl)F1~_X^F+r1Wx0&)mJj)opS{PiXG$%-t&pYnzM#`2s2DCGY&10dHi)&T#d?`sP9 zAO911Z#v3<```bM|MNdcgZ_t!um9)&`(OWSn>C2xjb#jE#$fov8G{FAFziyqa3jHB zII0-~rWy<#J76CFVZ?S~YBwNaIH4E}L+y+K2MmUBc*J0<*kQO737F4+uv6(UjQTPL z(YCp_3qN@L2cOMxnCu5w!n6F_*vWoC3^F7Jll_1gu*G1q9{@u>oS2%g{c|G*GHo!~ z4}kHUtC>F9VPeYI$$mgPmU9&&L_3~yReqE)mUC5`lrffbwe=G)&;NMNp`*#K@h6X&#oU3RtV=U(?l*|~*xr#F*#&fPxs2O89 zR}pH!y#C`kSIO6a`3;<_*fwJ<=W5$9U|xZ9mDBYYY*Bg6Rmhw%mU9(PXN=`s1=}8j z;L>xhB5{vFfBZaGVS2=P&Q$=KF_v=`;Af2GTr`r~8EDq_qS%eh+RcntpJW6N3sSq#29;5pYB z4vT>t+TrQ!hlTLvS35i?nMlI}Gj?=3Z4%5NqHvKvN*R)w8+JDB=ybxx+d&%m?MCOB z)f(>*`qAlBjkSaAVT{ht8HphoANzx@S{3aikF~=W*6eDbGe8;RR1{~EUfr8jQRJyF zF}S}YibqEI3S(8Yvre%;cD2wcsf=+d`pjz0XKhuqlUdQu)2V1@x-!N^E=WdSJvUF& ztk&$0=V?}JVmwc?S{KHx(2?xD>W}AXR%^Z(YE=~J&u9mK@|??ReIv$2E}ic4V@izW zT;&2I#&Zq=7G_4?n~hwM2)x30&Q*#r-kaxK)qiD-aE6YR#e@&$&vaW{l$;@+?>PW;usMNygkf=aA`07`|L-IoIi1+n>TX z&LIVHX~%I6sf8cl1wbDpdX9MXG4&dUZg75G zDI+ekuxK!#LDT`_ffhUs#(>7meS)wbe1N*J_}^m?5aSAymFTkL1mf1e1r5;_OWgZ+ zE1D`+G`~Z0p zP9Z-*mV{HuCkU%rPr@qH$`8VmaAxwSB|LIZ!YcC0mOKgT703&a^@u7E3n1=LS`t?I z*agUvaHjK*2jNLr2UlcEo`hAH_7C*vQkE*XKsw`w|DFm_MQa=6uSNs=a6ACcK*o%* zmvwL>Fkr@nv173H;Mef=CW5^)LR@ImZz&{*jcoc2h6r(iO~2icAa*U$Z$3nbTT1j> z5fNftI_L56#Oy+%-=;`b{C*kkHms>>#m*=>i4TZfMLcs3pAff*=(jggU+fy9-|UDG zw}j}oJ|e`eAf7pYkG}YgvYfu>Cb%aIZZ`}}@zOFTr|*gJl*#FPoMRX^y>j}V=W%+{ z8112m3 z`gQq?aRJDqs*wW*cWc0}p&O@ah@h1>AF z$iL4iuyzXL0)L!5b!o>xYvJkNGvFJso^vYKI|d~TZt0D0%3a-?4f^}wgzyaRfpee?&+OeEdz25Dhw7{*o`zJJ?Aumzhh7@VmYS? z{0)P9vuo@=wj++I=bV;XZFVrtc+P18f5YJ3Jm<9BN-%bw({if~gE95+ z_!|Zr2A*@8z!!|2=Rm5&Q~b$u4hxA3jOUyt@aMgG&LOKE?O<-SoYMsUwBtF4#ma>p z&pA!tZ+76mo^zVO-!Qms&pB*fUEG`JoF?$69nU#U;BOeXujia5@Hb4_)WTt2SN-wx zoF?#hJ7J#dV;`;V+~K}oxDqb1v&OF`jc-uld|O=dxZG#;wtDfOqyC7T%dP`aSD4x1~Ji zast0FmUFn_&aOw49?OCOb%OS#D{$VQCiT%R!OF}OF&IUEOn)gQ~bKAYCtL4Pdg z`rulR!M)jejy3)l&)RaXkG%DEuza_i>+^6k#&HfO;KzHT9mlyoNjKWDoa1 zvVU{^4Izrv(f&+}wSy17_%Xd_y)KL!Q+(SfJ%hs7F|G46FJm<1r7shff>oxo1IhXYsC$$F7WxXzp<=iZ&8qWY9 z%(1acf9l8k4(`o!F6%Y#&2tU`Wby-I+Q8$M zV>wq}EsFQ%IhXVGwBtEf=k0qtxCPHSJsxH24=|o{xPgl?^_cWoaoYq%uegHe3b2(qnd$a3>{zR4c9b(*i;STa%^v829=j(ZIo^v^0k5j?|=k$1# z`P@9`w7yC(cAm@mdfuDoT+Y{Xi`H`v4<|iqVl3x!zMfm#exA$udSX21^mvr*x#8YC z=W@QD&%n=fIbTnVp-qto9L4wz8o&>tI)7&|)s zC1Q`kW}Y3L{!DSegeZia3?9m=&M-Q0_l;GZRuNf-n(VL&(p8W5r;$T{LZ;xB)fz%z z1rgs+&bJnVkXsh(I()-TjQA*Xwi6Nsw=C8yIJJU^uRKRP7*fxkEY_rgr%x7ZzC>$Z z!0XRL`ys_GSP|`SM`w%^(f+V>#@O-6VtvECd75UiE{xmgXnv1Jw#|AF_>FsF81}?Xptfw8jSh(e6ePQ5Q z$ICG{Jy?T z^~8A2;lU)oCdSTlIayzJEa%X8VaIZ=zhUov6hCL@x&FX?#8}SVbF!ZO@eB0%p8dXQ zSs2H;AJuDy3+prl_`n?@$DtuOsC+)R4TFf7wFw3zVZ31Q9*M!o_y`6vdty|tCx+kW zG8ox(5e!04gAu(J4BXyeM6V~tzHj)WdMz0D!XXZOzZ#!6VtI3K630(FZ;Y$KT>8Nq z(rhr<58!Y1r9&J?ANzyw>4yFN(~j!()*oW92WT+a4~W5(W-!?gfWbG#9VYt$!duTd z)$7?G&pFj=!C20zUJJ%@PW5_XJm*xe1!FmjYZhBnYo8{b1llAl7_`c+!AvaCd3&wHoro~kgV>!n? zwu}DoePeTPd0xPC;|ISCCeI7Nc+P2Y)$EUbvHqsTRTJYmr^$N3Sk7s(UNCN+zE9;LeHssIy{}^9MPPr#~Re{UFS?aA$F~h6ZL@jr9#fr z6Jl2ixvYv1%rR&8bCw}Bt0QmW*RFJ7LctSiUVR-3Adc0C&VtybB3M}w???- z1U(>rS)TLrgxJx~>3Kl>qC98k32|%kpRCU;{It4>Pl+Wi^IPavH-EA|mmQ~@_%>O# z6Lj-Oi>dxJgZE~26CXRvcChDY*9TdjX~(Y*vOX8a>E=(?XFfNpoA?}C>3FjuIzZusY*{fo^vYGJBBarm{{dUb$Z8e&(WMtj&Jc@^~ZBgm3rFooKvY5jEhx% zRI3GJIj3U1W5V}!epIdhF#ImO=bXy5U@Ygfm`X5~b6QL_F`jc;OeGl0IS?epcz6b$ zb6QNb+hGdaaZZb=b`0!T&S^2##CXnO1LmSXo^u$qD~#owrswCqdCqAum0;YO93Pp? z_r~w*xIHb+&rdskp40riU@Ye}Kfhzb_jT|Y%-A2urRSXH=QlgVSk58wn8uVC%Q?-@ zPdlD-T1+Jv%Q-Ekni$VHEv6ET<(%f{1!Fmf8@_mMo^zU?pLRUww3tdTmUEh)pBT?M z1a=qw@$;PK=cgUdIX(PDFm|5P{JdZ+=k#Uz4TJE>$ClW{P0s-L1*Hy%olcDKJs53uDFcj2{m{agF$E^-bz#hy0u%S!i1A~Z^|~-dM`0(<+r&5>1t$9eF-Avk zzge%#j?qzIvLC>X(@|iuAK*DV9R())0Wn5Lfw}Ypr=!4J`hn+M)@wdD&$+DEg|VE= zdR-XHxvbZBJU7p|tk?aWvU5Z1hOam$&K1UTF6(t+Ea$Rb6XQ9T^|~;Yb6Ky6@tn)~ z`NCMv<@`L~e|PHzJnN_jwBtFa^;CZvPdlD-IX_<*%Q=Wd&|cn~=bYA4ZTCiuSufmv zv1xc=$8#>{=Xq~#y#PB=4+>*B2ggnKhG*?Lr-z?x&j3qtKhME^RN=bLj^*Z~l|>^Lz%5bFh>B z0MoPM957iAh%uZ4Ci?;A9>+Og;(Ctz@s4xAWIZ6p%yYoRIFWW7=TIV-{Qx#xYza*E z1KM%1oqZMOwpe>K7}!3di!R* zCdQ2^FmZee<6@U@*6YI9G0l2SjMdR^*6YHUS_t$f^0Y86cKK$#CdTUMH|up_T$PWK?w79VI1dvvtAQpIrp3Ox-gD&zge#f<2d)5^_m#Vx!jtw&H4JmIL`g% zd_6IibH6!XUl_-^-<+=}#&YgA=j#h&*XTK4Ul_}|oUiAZAf9tMUw;R8Jm+%0zA%<^ zIbUBG%ekDdC&qIw=j#h&IhXVG#CXo-e0^ao=W@QjFqU(0s-z*fH_y48ucsZqUdZ|S z!dTAbd_6Iqb2(pM7|XewuP4THF6ZkDV>y@e^@XvV!=fOLkI$puesjK_d)}UNIbUBG zJO?ccHf+?(fI&ezk9TQ4BLkmE#PJm+%0o_5@N0d{hHK#b*F&ezk9 z=UmR$7sk$WIbUBG%ekDdC&qH_oAnyIUv@~pS*{6jD*DZ84Tv4kZx(ApoQ8h0Rukfe z^P8m_5IdURtki_K!Te^SCd7^9H|sPYVJIU#332NA%_kr~%PGf`~8Jp6(5KQ5&p$bAp~{Q@G8|+cziZ3*&;7 zZ%)t?<7t``^o6lgTTaju&2Pt%;BFN~eva)Q1vc7n?Z zdSd(xhXJ^F2A-$*u?vjnX-?4d-aJopg1#_zmcy=eyf>a4d>-6^DJlB__N6`N5d9@P z#JB~*Hz(-9$1pYK1U)gHb2&j@7|S`tG8g^voXZJ%+VKHpPS6*|MwB^0PmJeWPS6*| zaxN$63u8H#6ZFJ*&gBGsVQg552Xiq#o^v@tPdlD-hy}0gIL>`kulW<}fCEIs?u)26 z_eOXs7{mz2-1t$w-Y{4}ybyUBjPP{B;NGmheN?YE4D7hi3FAy286Ux5iazg6^?JkL z-rmR|84NGllHeN#_h$9&qk6qz5N_PClrnZ?qeUDx#3dc$B$J?B)f1!FmQGIW4H#?hRp@=Nu-bD?65RnxNn8 zpg*2-nxGeq<(wwy1!Fm<3Hl9#XW%)f3Hl9#{`h%L6Z9Jfc0A`aLBC;O=WRKM!0BRq zJm>IW5(f9?Ij0Hwzk7Qjr|vnY33|ae&V4mOFBr$UuO{dx#&Yhf33|b}dG4zT`iZfe z`)Yz-FxdS$=8~@_=mq0A_tgab#8}RKH9;>J$GNX2=mq0A_tgab#8}RKH9;>JH_v@F zLH~#0)|=(rR}=Ib1~O(j_tgabABJ48ocn5m{tv@@vz+^Cg8mPK$d1Qbp01Pg^L3zx z+f>f!`Px**uAMO>vjR6Q{+;#U7w9=Vk7?F!UB9zdv%u7j=R0e4VVs7(vsUvf4C$y!|)r=g#$)ek&ptD&E))wJW+3R$ZQ<23Y>wVKb`YUn3xHSPGgC2KY9Sk8U2 zR@08v&`;Lt!r1kB)@t6H<=iK0bsdyp*T7k;`*01n{>xfj7{|GfiuIqq6XQ9jYQ1B4 z*1d@*K3Yt*V^|dA_D@u<1!Fm<$$7z8&S`dj$8gWz-J7Q8cMMZ=ZhSO9FBr=?P0;Tc z?)jSum?zvOy~db&&S{E%+VPyz9Q}^L-00@Hk0$8_V>zeAR68aV1${J4|A*IGvtrT0uSk7sxUNDw(nyQ}|&pA!i3&yP#KANiEF+5zujSmJOaGQSZIj5=m-3~C8bDFE) zG5*CJ9IhSX#3$%zW6O``>Nh*YSk9p_Jp*Da=QLM8?Rd^%!!X%_Tzbwy^b!X5<~gUi z`gw1DPfT<5g0Y;_T>ZpY&b_lU(D~ub{ch+lS?3li@Uh}X6 zJErff*R*5D^quvZcI=qGvtAd*jp;kvh?2I{MCfO^nsich>8| zI30awy(Y%$=sW9mVO;F;&U#%Kr=#zz*L>D?z3|R@%>xBI=dxZG#&Ry}H4h=MI{MCf zO*@`*S+5J@VwZQ;Yhpa-vR<=4o^x5R%Z}w-)@x!s=dxb&-aO~BUY8xqIV?hxj>3-T zT+Y?=-t2neopbfPH_tf)XzAXFv7E!={R(3_mvi;(kLO&@)$>3B&pEBF`kPM+V>t(B z5B({Oo#!AF7Z}eut*zSb4cj<=p3Av<-kawfk~J6i<~avZzQS0}I>u6 z3vVR3F7EAVIfqFpVeqUy=W?!|_vSg5bM-vS@PwF6ZhCV>y>|^}ILFxty!# zp10>*&ea#jaxUlU3u8G4Cro3Cd-I&jxq9x$d(P!tJ@3tPF6ZhCNEke8&$*nd=e=3Zy|P~Oumd}$udLUs%d=zp%6eTG zH>R(w*M)I9`pSAuj2+Wg*6YGJ9eriJE{q$~SJrD{?3li?UKhr#7dX(tcZjh%`pSA; z7#F*|vR)U)>F6u#bv#_-mGznj3RoR|WxeKs0(Rf!mG!#pI30auy(Y%$=qu}WVcdFw z=i!GjCB}0u>vdr)=dxb&xmg{3!Kp6p&2uj6HSJg(ePz8ajOAR`Yd!kXVE9dJAV>yR`PX2~_^PJ21 zdS376xty;j#&YhR^Yw*soO|bdePP@@_r}0oJOj(Qch1-Izz55@ch1-I-u!wY=j(~F zoO^>L7yYrEdn53^z*x?`bH1MU=GO~3Utbu#v z>v?d2-xtgIdSdt-jtZuC&ez|;1IxL0&es#;IhXVGyf?o_&-wboSkC2qJu#khIbUBG z7hAq_zP>PSp5w=FF8siAF6ZlM$8#>{>xuE4%lZ1kxOwiK^Yw+XoP!g_XAtt}EGFDA zw`Z*%y3CG_W8Wu!O~F1uFC;AvHoT@{pCDIj_?nU}Anrq&T9nt+Yyt6QMf1-0Yl`*> zLa<``G*nwad|lDro(vN`x1abOOtv+buPobDb7|D)l~ucIE*&PTHVY`7n7*=TSIwoh z61fV9mC{$1Y(ngcAuBc@R!Co2unBRo%PZ?OAx=hLS*`)GD*DQ5O^6fGR~BnRoQA%# zRs&)s^p&NW5W70hN)3n=&{q~}LY#iSvQ87?Og}$Z*rEt57=|=|YuXG(xo}8rb12)`a%Srlpz{V>l=_xEX z=+Qal<|I826|jqh7nFMoavrrm*fVZn?7Wtf^f%-z?IPiolk~LXX_}MtwBx5XM4GWb zA$k5nCnE+63p=^xBt84%XSbZBC&tqh3Vv~KetyeI`m$puxSXWtv-UGwPSVqk=V?yT z6XWN&oTM*|o#b+ozA$!{L$DB^bvR(-m6P<`==Pk;N&3QA&gCS1VeHhHlk~(`&ON7G zkFfoYOp#q6Jf~itAh$qxPQ{)eOzL)l@SJs36U4W&5U3ow_?()3f}j}&Gk@uXsEue6`?{f?-{^1^fiLRr+%(_zAKkoDwb| zhV~{zeNGLZAZ+w|5>636L6(G5#RY^g$dYi%xPYj8Zk(o$pCEU#&~pm;0kTI6J*SeN zAWOn2-OTwAUKS7p+Gns#YJPBtu z{{&eQ&UF3(@+6%3{1aqJI1~B;Vg}sJgfpWrpfnTCl)iwN19v3ER!pKhJ;IZ4CiTS< zv*2zf#E4&6@+6#TeX)ed((PA2XI@`GNS<2~&cyx+vLwWcAoq!>a7V(K+MkvXp?MO* ze6&Q6CE-l&pO!2MXLkPpc@oa_{t2=qoca9&ADp;Q_EiaHI;f0nH z9Qg_ak{lJG*y3*;2`aiQhxlPBSYmeZ0a;f0nL$dd3v%L%e1 zd|ng)6v&bAc~Jns2VqJ0yeI&mB}>BRMFBv890{Kn1pvG~OTyUr2%3o)W$G96fI$A-ji^a2TV%@SrX0yffHm&I7=65jyap7+4u-s~7X zrj8#Nr<0E<53YYri=*>jbnvo^!KI;J~;A!DChl3=Hngb585Kwr2p0 z=Nz0PJp(*zn|a{rp%)m>xmh8g{jm%3ht_w^{@A6!W7Y=@JLr#}=Ro+>AMA5@&dut8 zVTTyYxmg@=U@YfmZGd7t=VocZ#PF~&#|1dy#WV1nn}q?>4%Q8pbF(gBV(@aUo#$p* zfMPu7u+@6eA3x9G!9+~R_dRA!z`QpeOy%arSrRZYJS4}|Q#@uxz{G@Iu*WP2I52je z14-gDfE_!}&2oTghlk|2*z%$p;DP6JL+p9%l02Yd+s%y?5Vy%KQ~5622HNkAix#V- z_pI1l7}!z0XT>gz8`XPOY~GtyQofTF`xACm?pd*UZ+2AgS+RLMj#U{oo={+m<1Hr0Q(tB2H+ObM{&x&0br=<6+*oARQde4eYj8)Qm zR_wyK=;fXjo6pU2E-NKgo^vzDKkN`=IX6@M2gY)4X80B3IX4sh6BBZGJe%?28Fr}mi4-;gv@RL&j$<7nw1dtEv#GC>%zFVu=s~7JK){1 z2*l^&uP}GF+GKEYVdsv})7XhTi2_-m+eI zQIO-D>NOvI?|NfZV2|p!Wx2ldl?D7e9vf?M0u^|Qc)&zAxFeV1LzgVqg>f=^&vH$S z4_&fc7sf6ZvRo5mWt2z0rRPSB4PCNammM3r$kXa z!k#;)o$MYvA?CCpxxs5%k#08A0UvB4wJ-Oid1JVaOJmJXZ3hp^! z&n<7eT)1N|FW4!JTQ1yl!oDz;r#WFyjOS@i*mFDH^E4;yX~*+4C+rJj=eeA)=e>EJ z=7c@%c%H)e#rRmBGN2ilzp#qu82Hd%^?Jwfxw(*B^;$4C^jE#!F??>$j_UQq_|RYV zddF~U!-f8;*E@!3GZ*@+Uhf!gZMe{1^;$4C^jE#!F-)6TI~RVyw3)@oa&ET+j1B!& zuXhZ$HeBehdc9#7lVjRA;`p2DwP5UWTlHEncDb#3ym7qQ#4fj0uP4TH zPW4(amUF7tJBBfZ*v7EyXU5u&c90KnvjOCoB z>*u|B&S|<{Fm|5PbiH6K=QLfvV;ILf&gFDHcg*Y|d^}xjJh#6hz%Kc5I*Lj23S)Vi z)AeP?@-(OGiSazm>H5N0p5}BtF`lP6U0)c>Q#j$pbMtd!PS?|p=V?yY7sm25r|S!2 zd79Jp#CV?ObbVngPjkAS7|+w3t}l$`X-?M{#_{wg>-7UZV8`bt>vdt=`21wOW-YT_ zFZ^V^rX4#zKUuG7$EFH?vR>1U9iN}9*R*5T3qM(}3uDJ8>oxDq>gZ3_Yo20ZW0xN| z;^6k5UKhq`;*aX}j^V+iCO!9~dc9+~w_!1&*Mh;VAM2kV)$56|n)su7Ef_p+V<*nr zd~Q|~e^jq`J6Jor^xTi?^@hPP*!9AX>h*@f&w0+NUhkN&M*mU07L3!xAJyv}6V~WI zs@FRvtkHi|uLWZ{r+U3(!W#WY_4*IP(*``}RIdeNIfr04@U-k$&S|=S+VPyzbiH6K z=a3`4xHr!^-0&5~a!%9r^WHq?w7hC!Jm<8$>JRfkHr8`a%c}(A;*cLW;l(rX^PHyZ zryW1fX?fMe_<2sttNt+bH$Ts5d6i%+=d`@)55s5e=Q%vg^xUw%@SM|h{hysDF_v?h zt{05uTu#^1*F5JmU%%NQ#&PbZ`T7lm{#efOAoz>t#<=2`=Wd#>-|XPtEaz^Tub&vp zIUeqRac`D$H_g|J9mlzw=Ib{Mo}1;|P4o4Faq}Ec!%zLebF-YgX}*5iv7EbUzFshn zbNs-;#l2b1-85gn+2LV&b}w4<^@4GnyCKPw?u~XF=lB(Yh(RvL#Fjt5S+DQd26Z|L zOw?;)+?f9SX1(ScNN!AliQ`ikGp4}AIG*?B#uS)1Z&&?+8B<{5_;k&}Sz-oE9G}9N zF$E^(Wr*=(n)UjQ(A()K>_nc@j?+X)zP1<*Ja1;b^c_%CdTUMPuAUdVb)J61=3vR<>6z;O=KT=oOpo8ufXaUYiV<~Rq;r61Uw-cQzRJ~ziXOu;dZ zr>|KZ{mFV=7^kB@S+5IYIEQ^JU7p|oUf-H&$*nh=i3mTa|nb&e|T@6b2(pM7`Jcv zlk@fLkLR4$SN+8lwBtFa^;Lfup2^}lm-F?7v7F2KdY)xtW0#+tucsZ)xty;rj9V}K zv?Z>z3`Ls^|a$Tm-F?7v7F2K`og&N!cWfE6XQ9j^;Of~ zJm+%0o_0Lva=xC=+Rt+sw7~7MV`57z&7vL<<2je}_1yFJoXh$8!dTAX$5MaLRnNJc zucsZ)xty;rjO83|_~PC?=W@QDc6@A^^Yw)>^W4vG)@y!{)#Y4)iSstov2IL(iQ`ik zGp4}A_^mJ|b^#{FZ^XDU1tyM9fB5X&5Bd4cdQCfSOo55(xxyG71t#*8_vUmIn8;Jw zaXJc2jNb}lbQG9NKX9=NFc*H{V;5j9{lMucFc*H{^9#US_(58uXT9cgbFs_MZ`Ny$ zsnbzlvL9dz!*ed{^^F+IxvbZPv7F0#O^oMU*6aFMn$b}_gX{-L33$$Bz2;ktPDf!U z`vLmnIhXaC&%kpo>ouQ&+w1)K&H4JmnAioFtOvL^7rOwH^?(?&*9lD41N6tmF2H0x zfE^dR0CV97z?j$tn5+l5H_y48udny#?7mpe*B8ceF6ZkDV>t(cgqVUD&$*nhzhlYo z*62UK5U~q8o^x7X^%wWvu~p(Zm-F?!H_y48uje!HoYVTM?cRv7^BgvDE}ns(=W@QD z{qda3`TD|G&S4`f-W%VAbFoX#*Ymk~&gFc4VJzozzMlQ@oXh!o?s@xpF6ZkDV>y@e z^@XvV%lUd@Jm+%0p8N5hb2(p6JDzhnUw=pV{e`#S}Dg0RrBPy0I+`vhS$bn6ATH>U0h z!gizkh%rE8j7|`K)L0sWG(qSSSAzyLX735Y(|aKbH=r?npCHiLfX4hiLAbp)gv)@2 za8D3!?~Q=v1Wn~WLCEA8&{*0{5N^+E-FIsD1R-8=FCqSXr+5np3W*@u+}?#p(2|q8 z@09Pul6wykw|C(Yh$*e$eW!qnB_8Q(ANhqxz>+87lyI@cdOb&tso?_R>Gfuk{Z0`V z5ERUkaH{wL@+6!xE+EGDj)YUkPmm?y6mkJ!wQET@m0Um!@f``Ll%F6=LM#}zc}76b zKte<=8|YE&Z~ebxt>q5ZK)qH>-XV;=A>DK`Fz51Fwh%Bew!@Iy<@-0M@(Hmt&zXEc zY*6#fiF`ub+WnjJ_<(qVBabsi<}Xwy#O;=Sa~7Wv7tnlj5+4vB&p?jyjrk=+PT|vv zUA5;7J|KPpkrViY*!4rs-vi>84>^5Lh{^E%{O0UEA$IYQllOqw`SdsE?r)H2OPJrB zyDwfk#{A~oJu#Ltzd3i$5;4n}-<-Q=nVcofFBVq8H*ZL3Th{#M+&xRgENy;s?w%OW z8%z)B-tY`OadPe+^#g%9Id@Ntr%uk@Lxwzca_XK#>bZkFS$YI`qMkfCbZ(fD7F|Q>IUli&#EWs85iMSf*49C`Bw&tWS`QSf*T` zARDnv!4^PJLZAGyVaoRb^2>&)-xGvBSrUGyfFB@B!tYe@2|}OzvSCWNfLJf< zV&AFZ6NEnbWy2Kl6J$v^Rr~~55>6eTAUp^wmftDl6NIImC*f4`6J$v^rThe063(># z0rDiAdHoY)Nr>HzZALplo`f^AFCgr?SrTF_vL)ui-P(QT_76**gfqD>AjIo-?LM>n z0tz$X7w&)6Cr`qe-=CI{PxB<43H}MfCa5Rj%0OTwAwKR}*@Gtn=gkTLkqOuv9aYW$0R^yquouJ9zBxqh+4 z#eyT@O!iL@o}4Ek#v$Jx(&LtdGu=Nep-+A$ocaC}zz0mCfIW_%U=r%!~ ztQWe?Zwz?0Ug$P0dAeTcc7ZHkFG>IkWC?pw0>D0b#^S!yR7p!Vr1^ly5d=$~vlk@* zygj?F_{_Sk>3amZ#r?HDVAmBN_*V3CTEf30 zsrs2kTt}Zg2`@?j*e6fIixL3#$&>J+1fW26=Da8YD3B!~?mIp`+@2>Pd@F+RgPw#J zB>;SSK4QHn0brjz2`@?jn2zuyyeI)EkR{>zNeH=OjujIr;<;M z|KtHq0h1gZ2YP*99Q(^wDBn}ZryWS1%Ywb9j86>qY+S7Jo+^G|+yK3&h)+ydTfC=+ z9~if`cuxtR7`)c+pTXGEofv)}*_1B5r+^=igJf2L|_Mh4DRgdthM4b8gD^ zz`%~@+*Iv>fgR7eDcT3da&Bt2V!S3!$v!Zab5pSu<2g44dtmU~Jm+R@z=5%xo23DY z@tm8L0SCr%4h!(aAN0pZB(pAH*nuDTfMk{h3=CF-mUEw36>wl2=RVl%Nd3V|+H&qQ zYXa1c<=khM1Pl!9Sk8TBMZmz|8CcGJWekIXVwD@4DC41eP%hpz`%~>+-Ft; z92m#B&nyN|jOE;C)&d+DH_v@$DZqhoocqj50L56&eP$uRfpMJs%sPMrsadfgR7eng2g9 zF1Gy4^#6geoSWJIfkA&f=VtQ%z*x@B+`nQx=Vt2vz*x@B%>RM0oSTV%#aPb0vtIL~ zv{n<}S+DPyo~8|xSf=D)@x#{j=r;AKak?GI{MCfO*>Xc-&wB<<8<_$^_utQd7AZ_c05nBUek`} zY1V7n@jT6XO*@{aS+5IYd7AZ__vU$;^|~-Fc6n#LE{uy^-Z{6={&=3|+&=l|IhS+$ zg|VE=x&6Xe&S8<0bO-n5IhS+$4&y85Fo0oZF`z&$*o2=d<>lgCnMUBgS$r=k|GTo^x=*WQQ2bxt!ZCJC<`GeX@i8 zc+TbA{sUVjo^v_3Ul_}|ncHU{{%eo8FqU&OwXYb@xtZBNFvyi28}b~0^xRn1XZK-e zUSI8a&S6m#$aL`Ul?p}8%*RWOEf+RJq;#~53{fKc$8PxYbIZ9uI-ie zy6iZ=er3HT=8mPo*`KV}g@G&?Ow7*}2HV>P6X$JW9tdp=Ci?*~NRb&#_5)y^ScVx) z_5)%t1sKewA3U+HHJHd#J_FCWtk;FHoXdJmjOSd|>%v&hWxXzpy@e^}ILFxtyH0e&e17QNVB)mEFgal2 zv~UMY{B)Yr^@VZEg;!436JwVPubi$g4Ajp&gX{*dW0woBoUW%GyIgqXbbVnkHJtZ` z7&W;l?AYbPE2rye$1WFMIbBbTT`s)9_ltY8%Y|1?*OwhD@i|>jj9o6ga=N}SZi)WN z>H5OB<-#kc>xr>T^jA*TbIaTE=M6H3XI(sX{CVeeJu#L)@0_k@s=#k?<#hcWE^qns z6uI8y?NP527@j^wt~bmRyT>*Rd5T;s#)TnIk!!^`AAM%IeqyKIh9OVU>tP2N7l!aX zs@)F;28WBeFyskgxxm;kfLir^ja~NbE4Nf2G789juU2j*0?vzxtHj**s+{@iC*s* z>=s$h@g1*pZ|INZ+)L8+!wzB!$GMlJ>jh&u_mXt|j={ZI&b=^cUi8Ou?j`AZvGaDE zdr7)pF^+RDN!RZf_<`lz3+sr~A4s3&+)L8+yB%U2=U$SoSB&G_OVafNleV}dUB6=x z2H1J-CF%MdgZ_BVNxFVu(iWGb>jwr)bi3S^bp4LO^`3K*uHP}}kLR4E>jwtgVwQ7~ zt{05woTTdqCLI0sl61XbJm(}`uNccYN!KgJa!%6q1H+T^%sltX>H0e|-}Wmoubi&u zmbd3=PS&uSiX-?M@W9PM_)NzPmG@%bGp7TmZv#g zPmJejPS+R4#iOsBt}l$^=`-u~gJ&|hRKYXrbz$82JhNUG#*NQ2>-7WNvE##s8Rjh7 zu{!$9dQFTSpJ&$V!npBa4ldps{J@S+)@wcktE11X*M+g`g{;@aSRLhOx-a@;V;3%v zBL>G9IURjwz2?1H9erlKeqd~@CO)%X7skadPtog5;|t?7@hN&O7|%J;>w!sYbkXa9 zNo#b`>w!VZk4w)zMXv`2-p< z>3YT3c}~*xigBEKWWBy)3bbR&+Vn7{cZ30UOdnaV3*%y!N7ifJo7K@r)@x$ym_D*z z7sib#gWHQ|V8`^4^_q69jy|$p7sifh)@wd%tD}#s*LTR6)zL@R>%ur4ePq2ZjMLFa z)@x#{jy|$p-*MYEc6nsIE<0|G{>XYwjMdRc*6YH!^#W_{lfR)qo^x5RX~*j5BkOfx zoQ^(9XsllIS$oc9y)KO9T-Iyeo9A5C>%ur4ePq2ZjOAR`Yxc);F6;FjXy@e^>_T7=Ntm>*dJgl=W@QDc0A{D zzMlQ@oXh$8!dTAbd_6Iqb4YN+X8?@l9GpF3!W#XN^YwggexA$u`odVw<$OIco^v^0 z|3I45a}EO#p1}jDV$ZppuP=<{9GpGc!K$l#g@;UuP=<_92Yrpd_oEBGw17R$8zq8KSVn`n%mW`PybI+Wwryp3(J#)UExdO|%XU^9b#>JM;oUbp8;~d2@JZq?nmC<{aYeJlg z@)Ut=#SZ8_i#4p+@w{iPCd3UV>jLwQ+0ndbrM_X4-m2jp_Jf%lk|;I;xo4#&#;PGp zBaGMVgv3zk2Rtkf)q zvK0<2M^1M5;v5opN5%RhD|LL??va(6Z#r0>KC)8Nj^*hiD|KPqF6Sd>>I-963OQ3x zjOFPgXX*=MR|+{(f5U>>^7N52^|WJo`pB7jj*sVQ&eYS6=V{K=(~h0v9ywD_JD#UG zQ(qXnI?tK9ywD_jOSd=)Zam2 zJ2yUZroJ$ib2(Gbd-I&jnfk(5&gD$~9rEuvmoxRW<2jcz^?U}Nb2(E_jOSd=)U!XH zb2(Gb{&>#iOnqT2=W?dLFqU&UQ_pAMIhQl_-0k+9!ygiVh_Rf@nfkJ0IhQl_#CXo- zOg(qvJ?CpBppzIGfR(#m!FtvN!UcJTbLD?Vf@bO?N z`@hMK>d)Xft7X(Y$A(+bapsC>F=`rUMK-nYc;{lXCfEj-g{h@r^gR(!Ak6X~p z<&V27ANQc_59Q+?l>MQ6+=H?|l#hE*_J{Iu56b>fKJG!;AIir)C@VzyxCdpAC?EHr z>=EVT7Nl8y-ff4JH!PH&K^XD?8XO%U&I|!GSUN$7@B=98HD`tZ z%KpHaA%L=2w8JZS3mco6jQ0LmUg9}l4H5%h7CV4gmXFzU+3Jtq4Dqn}_X z`vaq&0LuPQKJITX`vaq&U@7}U`M9@~{ejVsw>0&8_(S=)wKNrcfXc@`DEmYCxCdp2 zC?EHr>=EVTE?B?K9RKK3`M9@~Jpw-dL^AjE@tNiyhM?mCll_4{9zfY2=;IzVbN%D) z=;HyD^?^PfK-nMY;{lZYfj%BU*&pcR0hASjJ{~~XAL!!&lof(L9zfY6%Ew)Jdz(rB zF}U<`Yl&wPCQYV~qh$Z^@%zmB4@2~EkIDW}KJG!;AIir)DEmYCxCdo_C?EHr><{JR z9+dr|eB6VwKa`JqP*#ZYaSK8!C;3D9xCdp0C?EHr>=E?wC*Be`U2hfu4A(0k_m;9p z(8q0JWL5wSL-cWv$^KA2?m^if%Evt@`$PG-2W5XKA9tXiS+#ZRcfHpZ0A`FED&dY% z`k7^06NDKffaWOemdK_6n!Ft#%oqVQ$7z5tV+7C~ryWE#1<)L)0m6(CKy#c12s4HS z-9*O+2yCKeALDcrA>Tn{Q?N97yMw@{n>zvWh6l8(%8mh>0w((d*%Uz8AIPQv%Kkt$ z1yJ?}vMGSFKa`LAhnM|>=2wW0w{X~ zXN&;K9#KB-`;<{JR79`aH`_Pt;drMZd6{39HgR)1Ik9$z|i1Kj@x~LC;kMmnr zr;np?*YUl^1U?=x(;s#WeLR4sKMW9bJbUaQUf1r+g&{cH+ecbj5>#rmb)bRky{y-h)XDQEN!j4_C zR6g!+FZ%;^JltMZi1Kk~>1S5wj%a|Ec$WOpr=MA#J3(NR2Z@gFGkavyj|lk=BAbGx zIZitWY~t~QXP4l}`!MYo%oqVPM`_29O#w7VX@GDO0W^6#KwwhyY4 zMgUFT4iHArgG9#%2y68bJb<{$u0LuPA9}l4H5A^W>%Kktf51{N1^zi`73PB(D zAgLPI{eeCnKv^N^;{lXCfN&c zWsjhb^B{oJ^`vZIf8_M>U@3b9eBAEd|DgEnA|Ce`sT$aCjy@hh*&pcR286fP=jmIR zWjNF;+r+2{)15p!f^?KN5G)0dj?xAKn*vCAyMe%_0Mb$V165Sy`=rCPfxsqj>Co{F z1U5bKbkCj~h zKU7rYLD?TFD)ON04;2-8Q1*w4iaaPgL`6j&ls%$+9FyAVdWVqzJ@Sf*tffQA|3Hk2 zFw>lduv3+WiBXZqWPhlr$b+&!R8-_a*&iw@@}TSw6%~0<_J@j!JSh7^MMWNz{h^{F z56TKrQPBy)i7!Xhz@I-D6lD0>8b+!aFn%yVDH)I=Zm znCuVb;~td#p?utfvOkoMdrJc35j+5cze)oQ!0RtcZ!@$Qq=BjD{@pu5K zKl~Y@j|Y(Y!v^9`yw8`YKWrexDgmVa@CTxg2ax*12I5YoHh_G1(1x>4npJ~Kr&7{2$6pP$vEvGtfK=+#%TkQO#vk1 zw1L2;+j#;YAD#@;h5?%bMp(OHY8~wjas0O^c?WSFZ9%HzI|ytFpzIHvF#;(21KAWn z*&jG#1W@*e@^SwlvOkoMdrMZdJ)(TvgR)1Ik9&}K#I}0~AAiH0&($rGz(Za)417Fb z#2+>ceLR4~A9fIYJb=U>b`X3#fW#km5Omywj;a9;z=|NC;{hc8uvwyy2ax!~4nnLF zK;jP@h&~=b*&pcR0hAqrJ{~~XBk1F%I1Tc8lnwkna{73%ls$rxzvadJzKgDIJ?5wy z_`5m!cmQR8sL0>m-TcDKxI5~2u$29QIvzmTA1d~{9vOmzr11Kv5ecXeNs)0X`ppU=KGbiBVN7=w1h&~=HWrcu``#b^ukRBd%++x1- zgvQ$*-{9x&=W-oqG0zhk2L^TmM#gBHYssYmk}=vrm@hm?ReT4*y#h#ByMw@`0Fp7< zK;%*Y$sp|@a4CR<{JR7Nj);+jA%%_nfKJG!; zAIir)C@TbGBJUCNtgjIbl#hE$*(1uwJt%tweH?Sz5m0{TfnVe9=;IcnH3OSJl#hE* z_J{Iu56b>fKJG!;AIir)DEmYCxCdo_C?EHrtPthn9+dr|eB6VwKa`JqP*#ZYaSPI# zfz2bz$2}-}MESS}WsiW5+r9g*9yPGt9eg}svOmzr11S3geLR4&KhVbmDEk9_JbX741QJoN5*AL3y0WreG~)Cr94ix ziuRy9PGpnaDf(OpIoaglfU-Z3 zO#zhsp?uswyzCETQ?Qi%p?ut1%DgQfx1cK>=T4CaWgRac_n_<%^zj$ousvPxLdeU< zy`}6C@Ns^q&KSxLff*xUvOmzr11S3gXN&;K{y-lOpzIIyaSQrh)eJz#11S3geLR4& zKhVbmC@TbgJb$Di19KV0vN$2vACw^@)r9xP>#fR8`UuZUuG zJHPv-k-x`W)eOML11S3geLR4&KhVbmDEk9_JbxZXw40DU}I${qn9w>8G!N&))97_M$%>m=a(2H4<5 zt!_Oi`$Mg6Jt+GFeLUP=_6PcS0A+umj|Wip2l}`NUDOQF#{(!U1bsY!vOm=7);@CR zO;T6-c(9Zef<7KV*(2!V7W9FJ&fDXI=?r_Z={%$dp9?*N{0~%YvY?@^%Ap z#_*u*4>e<<+cc~Dk}ii$iadqnxT2W5{aANQc_5sZq?uj*pLz=V)!%c#g=j;et_f2gR) zgR(zVROCU~A1W&HpzIG76?sthhl+|kDEmW2MIMy>p`s!W%KlJMkq2desHn(;vO`o< zWI;#Oz@JA{RCK=X0M|Rp2L3=56?se9BjDpaxBt{}Ju+s-Dgl%Ifj%BU*&pcR0hIlL zJ{~~XAL!!&l>LD|9zfY2=;I!AR1N$MEqy$IvO>_u11S3geLR4&LeR$pD0@WtxZQ9( z$_D-(1bsYMQjg$ZcjONr_q+G_v8m&K80dJws6YH+=;HyT{;+}I;~sQTH9#K^AoYjM z5`5f(-t#NHBW9zer`v;g%Mp(IVuoxAARVQ@p(UFFh<)%;GT9VBI!>D>3YhE< zWK#fTf8dM}K-nM4$9egGz{y-lOma;$4#{($)L#=N8?PY(Uj|WTHA8K{$EoFtM)vX6*f2h^12W5w#kNZ9y zRRez>QL9^22%I{8lnwlW=;Ogs_6Yj8dl}MZd{h@r^ zgR(!Ak9$z|hw^a`%KlJ3Zb3)Yz~9i8k9$y7i1Kj{%KlJ3?m<~0%Evt@dqnxT2W5{a zANQc_5%h7ld;i8~<*szxW3oS#k9$z|hw^a;`W#gQfBsND?m^if%Evt@`$PG-2W5XK zANQc_59Q+?l>MQ6+=H?|l#hE*c8KzE2YRWFV>SC<^5J0x0`K z`MAHm><^5Jyrqk(0qS@FWq&9ix3_oC{!l*dL0KUf6@}Z&9#KB-EoF}=ANQc_5%BSs zD-V5x&liz@z+``*j|Wip2l}`NT~rOw#{($)1ARPzvOmzr11S3geLR4&KhVbmDEk9_ zJb#~CdgvC123h~#?oac}9OZU8>+ckiFsArPwsO!f!*cmQR8 zppRS7>n5YLg=}RBUv)a3-K(m&w;a$8q9qTKQQARZQvk^*?I5tpgH*>i5ZM$!GE6%N zYziP5ryT?~1(1x>1|pjRNO-%0z@`8a-tHiiNoIW0IFZ%;$i~!0CQF}%9_Eg9B+k=lk-07h&74i*4ANRNS%pO4>hy0#Cj)Tm{ z)U+9*k9$n^hw^a`%KlJ3?m^if%Evt@`$PG-2W5XKANQc_59Q+)q*Vjk6E7e4psWz( z;~td#p?utfvO|=Qdri7eb+Ua`O(@(BfKJG1LkARQgk%BjdvO~bf119?eeLR4& zKhVcLNUH`mf1r;CQ1%DN+CiK#f~7f5JBV!hny82e8m8w!Hd&15_-?1z z*&m8c9+dr|*yKUkA2?&&T=o4^ zbbNp0oH6_(7aboU&KT~<A(3owOfsY5w^oQLJeLR4s zKMW9jJbMZd{h@r^gR(+U$3vg8N6^RZ zi91g%8`z#+`MA5im(~qzAow_Ep(7}I>4#=E40JqTvOmzr11ReQeLR4&KhVbmDEk9_ zJbIQ9a>v22BSGb7{>>rnGeSC!DuFgtrvsQ%m`aA2BVn~ z#__>uW`uEkr-_(Z^SU&!_Bi7BU^DZ57{_m1*<)b0$3k;U=7(M$;E_}*w{gme74xs&xoO%wH;N?n)zTHAB<)`7{>>rIX)Q2_eSl`z}gSS@xf^3gK>Oo^k_L8drRA&O?$M| zUt)xo2BUeLY&H!>^ElaT8jR*~vK3=6n#ajz)86Pu9JkrD-3_(N5x-{B(9%3_F`MiI zwXau<2Z%g1gxNIM%(K>J(_r)?j^iN)qnQsjn+Btq4>p?yqnQsjn+Btq4>p_jMnB@X zaeOeE`CuF$jAlL<#|NXC5XSMrXl8_QeEUmvj~{{DR*a#gnGweE-7Wt|``O1e6dA|& zHZvcb<9nl-54K|LTlx{lo#We{wCFl6wXy$`+d~Y=K!8yJ+n)%=y-y6*l!a2S- zn)%=y-y6*l!a2S-ni=67-y6-0aE@<{e%u-`j^o3u?>OFmFl;4+aeQwx^T9d3H=6n2 z9N!zwd~lBMjb=VL$M;4vADrWRqnQuR@x9T^2j}?SXy$`+d~5XU&Va@7z0r>q!{Yef zXl8_S96{`xdhOD{N#__>u=7Vv3Fq-*b93PBk zJ{ZUMM(xhP+Tq6W!D!}#aeOeE`CuF$jOGYo93PBkK3E(djAlYu93PBkMi|GRyQ^t- zA%Bv|#_?@Sc9Bz!YzVIWAw4o>igH-ugl10)6mk)2b)cU(M$-NO@qjlSaeHMF*3>>saXjCtT{Vg?oG_`ao?56}}b3;VqNeW>rnGweE?T@e8M<>5HKD5*sVSiWt@%`>SvbJeMmkl_+xA}Ez0308TIv=iv zjN^k*=fh$Ijt@qi4~r2vzBRhxM_jcZE{@x5+Wu$Js{}n@xjJAExW^ zY&LC;?myzV&8ESqkJHtX&8ESqkJDmgdqs$(U*Xk$mwf67n@xk!k9li*{=45Cz5fX0 zwqgt|&5Xc`@z|wTvGJQ+!fYCBW&SkrLRbS&0FL6 zPJY?9VpBuL@x9H=2j}?SXy$`+d~Y=K!8yJ+n)%=y-y6+*aE|YdW{&u@>Xy$`)d}wLrgK>N?n&X4N-EJT6>(;=<2jlp@rIs;fWu9?- zFq-*b93PBkJ{ZRbqnQu>cDwJ0nGnYDp{1D-{&u@>X=a4K-R_NMMi|GpTmCo%o+guV zd~5S{YvAI8b9`?!#|P*5-e~57b9`?!^T9d3H=6n29N!zwd~lBMjb=VL$M;4vADrWR zqnQuR@x9SZ2CoeDD=xZ#474SB$;U%m-gF z_C_-we8t!s&3y0`V{6oJ4J>2pE5>V+oaTm_e#P-UBXG%PG_p93&kw(;2To2#u8Q_P z&5S^OH(c!5G&BN?n)zTH-y8K?0}CIFFpdvKGaroOgV9U~i{pdQ%m`QhyZz8xzc#RRxp91GsWD4n<$LzpOVyT7%<*(aZ!Z^M+`f+Q(IKKVD#8O&G9A7%ZI6kyA zGr~B&olGrd%(@9-9N*i_e6Tn^7|ncej_+Ffd$m#W8Ofn_RdnC*;SZfEeYYB6Hr=;I zJ&vzNwpX+_YNNCoVKxm$ZIl)xS4I1l+PqzjY_Di<)W&Hwvc00cQ5&bl$W_tasLk8e z2(xKusjcj*k?j@jTbdc+D+Vs)eMN{ruX#C2Tv~Efv~8(J@@1K^*|dAh{{tx0TWoAL z?QI$#78{>Ud!xpO)yP(iy;0-CYGf@HE%i9Q8rh1mH<}qSt{8tkkS{Iyim`8LW&}9?+$sOR z&rAq#e6X4MU>qNeW@LkGnk0V*qG_<};xi^~mU>qMF zZ{~w>d@z~`;k%UE$LqHS7DgDypSxcIz4dDYi;;1B|9I^e!z?XNz5*G?!K81F;}Cf2 zapU;jX6A!)d~Y=K!8yJ+n)%=y-y6+*aE|YdWfPLJmkWB+(< zoR*esV(fmT`mYD_#l|Ma-lmPxV&fBIZ`9)WYJ_1OT58jFHL~EpZ)xU(PmI0M%m<$s zd!v~TJ~8%2Gar0n?2TqVILG%!Gao$oZ;g5!U%K3b|K4b3ga!ZoIQ2NbwB#J$w=^@t zga1b0{PmC2$82q+1z<=0UP~F&NE*Wbs5{*u2>N4*U7(zZbRy2%8r}OEV)dFAn@7 z);C}A*gxjQU^DZ&}32d~YN?n)zTHAB<)`7{>>rnGeSCy-~k2u<*e+J{Zk>FpdvKGa-!Q zgVD?gJt1HBb!Y_OKqGMBg`gC?S__oLU z-LKWiIKDTU`QRMi8_j&M6=P^=CWP<$>|2@{VJpUc^BajL6!}O!=lH&*nGxXlZTE_C z`p;}H_dJge7SnGeSCtq}tG=#i}$gV7uxjN^mR%m?H6U^Mf=I6fH7d@zm=Ml&C5 z#TblcJ{ZRbqnQuJ@xf@05XSMrXl8_Q+&&BW3a_7jX<(tAaeUv>ZDxdVeDk9$=jS#P z!Z^OSnfc%x-y6+*aE|YdWPhtlWDMNgS6P#WEzaxAgxCB8ohtIHb|?Hy+-ei+90h)m`sCF8>Gd^Cez;N zM;NyS!+!MOyT|(#-nAu6rlF-aZ5Jb(OoP$P2b)ZT(M$-NOoP$P2%AiU(aZ?v_;zsd z+`l-!`ArtQM9+jUj_++|J~+p>Mm>x#qvTsfd!rV{S0m&2-e~57Ef|B*%m?TAzNMKD z&hfp`%m?2p+8fP$aE|YdW-X9N%5_InQGz1UNp}%zQA8 z4@NT|jN^mR%m?43+}yT1&wgoO;e&B}-%`IcuoxM~2cww}#__>u=7Vv3Fq-*b93PBk zJ{ZRbqnQxK@xf?jgmHYAgb?F2Gr~ANv@|oqIKDkwfasYflW}}+Gsg$#_}*ydgL8au zH1okZzBiir;2hr@&3tf0y? zIlecV3E>>y8_kSxj&F^A1ajy2-e_ioaeODg-tUs5c_}*ydgL8auH1okZzBT%FX~5$6-e~57b9`?!6T&&ZH<}sY9N!zw zjBt+cjb=s|$2WO4&-XbK!Z^OSnfc%x-y6+*aE|YdW|QG9LtygWa}{yL4dbRZMd#%LZVn@vMY z^EhEPZ9ffoo%1lk+refYC7VrqqhE0xvuQAzN6BW>VDw|&Vm1v%^Srg$G#Jf%u-P;i z{g}6yO@quU zAB^KeOEVw*?RMYN%m?H6(9+BY=lD+fzx(gZEK$YZZugHj^TFS4_eL`xjN^Nse%u-` zjt@pNBaGvN(aZ?r_+T_M!Z^M^WtjY)d z{Ww&S{lw!}bhV$&)#$nyVKxm$eUug>sG`BBkJ4pivuQBu!?YOLDe>N?K2D1fRMBA6 z$LTV%*)$mSaaxQpn+BskZxaru$S)(CO@qqNeWH3Fq#=*E5`Q2u-9{DgmHXmX=a3ReD_BD!U_KrDUIWMo0$*J z@x9T^2j}?SXy$`+d~5V|YvAI8b9`?!^T9d3H=6n29N!zwd~lBMjb=VL$M;4vADrWR zqnQxS@x9T^2;=zn%c~bA^h7=9_`api2z%Vh#%K%ww;K3yZ2%k}Y&svVc8ueLQRl;A zgg8DJbv`Uch~tA%=fh%zI6fG4K3qn|@xjOn?Khx}!_pFleK6{LxQvYBgVD?f z#__>u=7YuY z!D!}##qq&t=7aCxY|l&l`=@?*!&jBd0;GbVk|~zX)x;Jv>0JF4Mu(5E=HJ5&)tT?@fFA~Bb!b8mcH)s zUPhQrkNf5T?dZSzsLFq^>7#VDW3y>6>T!HA!fYCh`n+9?FoJ_opSOz1-H1okZzBig9 zgmZjvH1okZzBif);cSDggwCV?Uf4n-n@mGXGb1pW zo@nfgugBj^2ylF`nfYKGAB<)`7{>>rnGeSCz0r>_ZX6$sWu=7Vv3 zFq$KTEf|B*%m-UA2BVn}#__>uW`r#m&#mzP5yy?=LrXIwjN@R^H^+b68ZeIUZDu|= z$M;4vADrWRqnQuR@x9T^2j}?SXy$`+d~Y=K!8yJ+n)%=y-y6*l!a2S-n)%=y-x?vf zkHOzKzBif~;T+!^&5Ur4?~P_g7{_;?za03%t;r?h_}*sbgL8auH1okZzBiir;2hr@ z&3tfOs^y|_9X6#@z^T9Yi7|nbzjt@pNAB^LJ(aZ&?H6n)vJFU&cB7_2FL@UjE}>|Gxc$ zUylEK|3%$=C`W<6{n@xv6lHJE^X_F|{tmIA-X`~Y0=@q|kEnsq?DgW7&n?RG*%N)Z zw=bk$t|vp;xBK~WrC#;E{@jZf#(OFIx&^xUcK<^fAM+R{OWE5kP?yVDFHrBRmwyaV zDBd@`2G5bWpgvYFPlA}}9sjZH>KlIGi~WFn)YtQ#;5f^2oY)U%_89`lQucX7+10o6 zd9o+*)7?v1RN?iy^2;at{C(KJc-gh{>{#=gAr{m9KhnUzV-; z<;5tAFRX$k2xV0kXpFHdiL30>=hf@6_=d92sCOARe1w%XEWQDK#*;1M2K2@LeJs8i zN{@X(j%WD%-A>Lo|82KKU$;A%>S@>#ecw2bU-%lPeEXcw7rpSCbS!)OL+5aWaP*dC zZwLhMD0}&ak-)DiIHUZBF0%%p><3?&0>!d&aDAOH3L z`Y-?efBg6V#gOTt>2~uX|Mfrpr~l)>{r~@;-DN<8HTK1|hKR5>bG#^@$A7+TX@h=x zWKQ&jQ+4;qYfBW@^f}A0D{(zLP>z=0BdD^^8~X&S+fw%Vj2f=-zTK_2+1k=4hVmJ> zy+MZ!Wet8luI^@eB)>&-3;Pbn)%;{)ETxW!w-dB33Y!u(x*qL&kNErk}C$c^&0w z`em3zk57y|qjdZAe&l6s_;vzipW)7Vd2A?W0KRWo&|ED)i*Lw3A71!xEmUi{j{E1d7s_!%pp|+*-r9T7 zGk4Vc@p-{dUY8oY&)(Q{iYNPEH8_20LPG`k2Tg_W%2ncBdM4n*1NXZGO~CJHFTG3H ziT#FHXM=>1Nx;VWU799gAAT$YTACbum#T@+N|=t9KuVZRmO=`c%l+HGUR)mVK{oj>+OKdlnMBV@I0U7V5{@Ui$l&fgIO?U7 zvUEIPPUM71hEhraKYb#;ODZMIaV)KrFx@G!lrSk@YAIoQS#l|1dilutoG`t_(O7cZ zy^MMDXk+=LmnE5^5~_`NX{Lnv+$EY4<^z^$N|+B=vMFIcVCklWISeJ766OQ?X9Ua0 zGjt*vsF$yJz~<#E9k=}w8Egvk@UEz1x`u*0=>g*gnRhq4k5LkXgU>18RRgz05TqJ-&XX`+BndRd|< zVR~7rC}Db8vM6DCS-L1;j%5j>gz04|qlD>YNuz}6PHCfnV<~&*LX!OhVU9s5t%NxSCAAW!=cTn0=FlL|?#ki^_a@$@wxToz&>M$A zQkn_~lCr_{vIJLFLN7~kB}^|%awSYJ{U;Kyfy6-gl3k)JOVi6zT?y06l3fXN*h_Z> z91C#?uY`R*ou#}Ib}!G8UJ1LGr{5b|o|}5*Eb*14{khLYei_vscYnZhkzWpT**+Kf zMK~U?jU`v}bCF*x9S_*X^5Mg9F7k_|eHhL~ei8Oz;4g11UUHE?7x~4~bZ3!Y4s(0q ztc|7U6Yk%fp3GvYn=gB3Q%|^kYlOgllyZ=-BY0tIF#EfyC+xv^B~3lyhXDxZ`%gR| zm+iBurw4Zlu*IlN@)hW;EvBr5!_d?dHu%|O8_R=UHud!2OX7KNUh*yGZ0hMj^?o+> z^f>5cQ&0Fz)}N%Qrw4Zf&!(Oplmur}PY+6hv#F&6GFGW`X8>L|dpiDTM{=%=ExGznAJ-C;I zmuTeu91zwr9YN*d?6DR$Q8RWOY*0b5&IH@6+}8q{EhwWhxwd`~=^{(5kK?`-<( z!3}D>PPe)lHJbiZ2IeQk_}CNJ-83c9P!Kea^v`H`s+cNfNd6SlG`h1 z(_fDdqejzTj}ITP>8}U(iO#0KV)OTG`YZPGm^1x)?kAPP+4R@*k5QxPuP3)o&!)eg ze~~d1GvB>gjo-mrXsz7U$X26VB6p?lkrEywS_1o}S!8LrQz$$&*R}zrEXFdfC*| zlW+3)@y=G7UN-gggma~=+o3woDF=MdU|pN_%!wOWUTr$ z_4MTA{_r?%vjQNH*9u^Tbp@~xyk7wh&ec!TUkB$Cwv)y4gY$_$ep&tWdcAxgv6&mC z{mk=&c!IR>B7UkOL)R3-sa-h4`b1xyL@DgBkO6Yx{|%VAs`aqeI* zb9q3VXHa}%E3&~(DQqk^*k?9&mK)3_YxgRfbf$euei$SN`EEni{+>ESHkqN^jE_4vh-KN^s@9EB}{ipJta(cN<9Tk zz56NklyE#rM(Kp(NpxPudhtp1bpPjm;1GLh|xydSEh z??`U}`(OXAq8jHdj{ zCjM#l=;7~@09TK=xeU-fTH>!)enWRf^tFN#eSKltMc;?V6;!$Q$HQMA0j~XlO9y=R zwLcynS5R{8kB7hWJ^oVH&~=Y10M`-54@G*NscE7l8%jkJAZKCfnE*N6Q_T?cxPpen zBabTp#UsBr0#H2ixB^f-^1BWgC>i01E2&`Edc>8~F9Fh{R4)P2qtq?|(xX%^1S77b zZV8ZGmwP?|MnmFJ#Fb02AS`ju@`0-zOPJ@@BtRLXh%1+3G4qHkmtt{{9_20%A1UI> zrC6+F#FgCPVabRqmtygf^ypG74n{*VMiEy;vEY`j6D;?2*igh3Q5@WH!?crG7;!}u z2lvlVa*Zq8Jp(ALi@1_!X>2{>O77$YNRM(KCqR-x6bHAv9)F@ZxT^(ljVs)|0=Rm_ zJt}}}T;Wy}z}2H?Lx?Tm(JQ=i{J_P^wIt)pP4vo>dgUg1g*UfhE@MQ! zaudDsq+Yp+Ucr~v{_vt#o{THF8oWLd^~z23%9DEKCVGWexv=uD9&rZ_;L5s#dd0qY zy2x-)uVDL!;Nzb=HvN770Om0Z1GH@dfH6h^+B-PFU|oQ=wE-|Z3eaBp0mc{+^f&v* zEfq(AY$z2+fNUrgM}TZ76-R($G z!ihP-)gv5?JD7@tb^7@Q&azjJPCupq7_5tuY>0}ZM_&u1|N3_o)aYx~wCEcp`IxC! z2>Qu{ovtrLKK%d!;5x$m6b7L5haV>Zl>YGR`T(Ur{4N3n^g6<)@A?9i{_yhxfYKkn zQw=a?As^n)3IMLN5a+wz@u^o>(vJ^NQath-0szG$zX$+OJo4>xfZ~yFiau_sSJ;rB z-UAeme1{yMc;vfa0L3FeatA0LMO;a}!iM|+9VNvhKRyR29{I&NfZ~xKodXn)BCe!f zVMBhw9xplKk)Kur6p#E+8lZUOXU_n|qlhc1SJ+U*mDDQ%au%ju36PT`^-6%GckYl7 zWL&wY;t0^EJ2tac&iftc$2}ECl=Oz~sW<}khVa4HVvh4FW1VGn_Eo}5;#i8{Iz~8s zBDjtb4w(q9V}z3+g6kOJz{kN<9Bhbj<(`ToKsJ<$BS1EkiX%Wal!}8OBLnu=R*x7N z?x{GUWHclmF*4vvznDiu;t?amJrxJ*WMr@uFH3(iGT^f_0qIdHjxc9KsW=ESGTc*f z1jsQ;#StJqqT*Phum3CV4rTQHAcSc2HSX`C?}u^ao_ZzPiN}=wU|hMUUSUbb75jd3 z=?})0d+L=a$^J;a5+EBAz4BpP!N&bsC*#Up^a{R)bJB@k`7o~BMXzA5oh8L1#+AG1 zl@H^}UGxeLD&1W43WOEGHLiRZSMU|c`tXb^chM^!#ufW@_oeHoSMH)$u-(jtgtOEu z*aBV6Bd&;E`B1OmBNQn~k3_G0{N4yYClrtTdI-SFBisRrxU%RK1IgJ%uNW8&U9-?Y zdX#r!Kn4RjM!7>mFyhLhS5PwI%A!{cWJ8NyF^~=A4oTF>h8D$vk`Y%H#bF>lS`>$Y z(U5o)ab-~)Rx%p8#t2}(JKbBp+&D4$c7fZ0+4Y9E5h0k;|jj<7myz14hcPCT)|yuDH#okM~o|A z!&;JY1$z$yvY|zB;5ivrz$Pilh8D$vl8h^lMR6ENGAxS2z-UNV$GGxX6o-|JhQuSr z72M8QABl10u_z9hGcr6D#bF@FXxSk#kPR)010W-V<#bMQVIVzP6o-NIXi*#nMnf`2 zj10ILyO`tkRFNRz`K%91S$@VzKBKWu86)?P@}K) z!7lpZrHWOqoW=VS!F7c3@h!o%Kakdf;MyPf#@j*BEBufW-(#RTy@EFwny;M2 z%L>6YQsTXYV2$wm&D0L|-FJ=z$ZdY&rf`Oh0S zDR|cQNR<*Geb0T70OL8!BR7xd46a2O=2ask~V zoWlv|4dHINfbJ0v=T?wsZMau1U^Eo*z&*mZK76FrBYe_BaP*rFE4W&w9>pW}2jr6r7&)S};QlcaqN|h2IJxY}lU^FBiF|Od& z`q~iB+He9YU^FBi@vIFS_fnD#rAlGzj4L=6T}v{qAmNIDY$#PqnA4+FDFH@9;t}J@ zGgS&pGR)&tb!~`o<(cO=Q8F45k0P$5O7W7owC|Kpl@cJQd#aQG=~1eb0NGHglmO{b z?u!tNxRU!K0Y*csN4UezM_R`SSJerw9x;Q`178#@9m13*0M{{!#rc^!gROHheCEDL zfNUuDMFONpxi3O6;z|mQ0O?UGjR5IUN{s-cA@PW(@b;^)S6KQ)WJt9^^N0*7Hv(is zxi1nR8%n_uAU(=`5rPpJF2#Y>>=TjUQXCFOLo!AY87{@)CE3uWI1G%)a48N4*>#uV zaF7jAaV*i-AJ~$6MfAlz{%56|$Q zxvRqNjJT5LI03RD(JP;bE239E5m!X7e0YY>1n2nFfpzk3&{OmZF4+3R=e`I_MqClS zf}CS4DYG!*is%&x9+ni&GOjQIxIYpOa{Lu1QUkD7z*_O`;r#ybqfweaqvXMCn_e_XNsUfBv{0f?A^V zEtO58^ev@Lpj=Uo)HaSHMANqvH;MAeQr#rVCrfz~Xhhc3H;K~Y6gY`Sy%C8LWxXkJ z0_9H5ks2padYmFBQF@#zCsB@O%A7>$aq65zIhrYS5{)N|7;7jZCRf}eH&+7XM&6NH zCsEd$Vkc3uB-Ku$?6Z_RiL%dfhb2)ySxOe7aZX^rHCcfVu{>7Z++@kJ?6XuYiL%d9 zwj|0vOWl$veM{kzD1A%ik|=#k>5?dY%e|IBBOIo9Ni^zJ-*^ykq}7g7=>%3erKlqh>4MNy*B3rj45!toEak?&-Y z4nQd>?T3Juc@_KDm7tjQ-Wd;(_*2WWwN(~bz3lT<78&YHf332}P@h4sRTcqdlzgqS z$WZpqDvJzd|E{tKXfS=1MTWA5RTde_{#|8}q3qvP78y#9S6O5zJziyzq4ao_MTXMj zRTdc@U#l!a4Lp>4t+L2ada=qPL+QmTiwxx~US*M?e6rl42|iFB zzH*NyPzu4Ka4Y>#MFYl)b*{8bjIZtFAGW z9_Nu0$=H_OTzf}?Jt5@(s20yV_3Mm$+WDAh$cJYfy$TSO?;ML5M~ zSsnL?MXHOKWdeDvBo^Ty+iOr=gp+Kd>RZGj)kTkpMXHOKA`PvHE_(1jz)N+}gFAOP zN?fBPvy!0(^^NxgkW*gE`e;_7;^<#qOR7Z0i8^~LQE{NuMU|*HP#&#Urs71SHT8`r z?v z=$q;qW}QL}%1Oq!x9S?42O4+Ys%vl{NL0p+8K-a*Eu3U#DWEdn;@iCUy4{2Q93J*p zR$+P(pX|L=*D$LT>XmVeFZtf8Yo5Fs@K#+DANb)Md}SXqN}*o$jdAv^x`z3rkkn0m zWAuHiu3VZt_`m`ST-l~h3YYJs0&ORBb->Qq4fC^=` zZ>S|J`*1uNBQ-K0$ulrgzf~7K8L2DvaMs|erZNvF%Gsv6=*g)5R$UYyKE72KJ*hC? zs*B=#$hYbu{?r1p0qVFjs=rkiJsH*C>wXVr8?S@mBQ@yplk37;b@d~5CF6eZURos(j;H17h~H%p&v;+0(g$Z**Y#(feI@DddL!!HN2;sMNuMa+jhq2PaA|biW60bnct#BD`}Dl{Ex2mZ{2sV+LW=AEjG@PktBo9ZH*G7**e zic=<{>Kpe@Dp7Dg1IJBu(ZM$veBvp-am~ZesVK^EQ(biMO{Q`LM{8X3Dn)Rj^i6fq z;mMwHl~8@-N{EAfeFl%zl^!_jrEjWh4(`iTa^NV-wKQ@A*VN>Nhf3_5WjSuDYYwiZ zmDV@Q(l_1j!LLdC2&t|)xRzE<->iYY>3+|_cdW|j8)cc30Kdc|y~F$imCrZIa(AiH z`6kMlrMiYcZil=hI^VcHRxaPHfpcDU&B61gQ+3V3D-4y$H)`PCQ04JWl>MN(=HS{? zS$v}`-{?-&HTX3n?{(ER2lt#Rg>TltaigyJir*H9izHaEG=AIs*vD_~WK{y-Y>V?% zbrFs${ApDe9b5-1`)}63K384zqFOpt7rml)RTsUe5>C}cFJ2s}#J_9}KW@b*lQ@eF z1EMlt@j6OW<||%29aUX~S4^U!9q=+qR5*>-Mxqjn@PbHG)&9MkV+qAKpBfs{Fo*djD2>-$cECE4y!^-dZL1P1HxTa{C5K zOZ=7$Hgg2gn^X;R$1_FUK$b5qoAgaDmmEg09bMfh^W zYtVBrWPK+pv4}sN`S|F5&&jvlkM8%xw=wvFUizGGu=s^SL1PB#e$UCZ7{5@eW#h?o z+__`=(fu9-EcZfnQRH&K&&96wMly$w>Y~WefD5crmOGFi-S3I7d_Jm+;ya&8(@Rbx zn>L?Je8WT~D!%b&7qL?;oW|tyvbx`cX+~6i<4Ra*dgB?m5>}qxKx1aAE&`oduZ$ar zOH^VJC`wd)iR$`W&f(K0clvT z`bM>1Nq3`nV#exz4~CpI=(xo^*8QHi^!8C*W0xh&H{I{SkP}tkV$SP+Puz#bFXW2H z5eam^2Sd(!b=YHnIpz51PU!clqe-i?# zzPYNwIgdn)i-~W0jj=v{06GN`PmlG)i-=nZ{r4Z^$n=$WT2~WKrOxi zU3~*;vjXVq8&Hm$?Dqh*S%EXM)i_-V`X;&tD18%M1C+kWIT#Wg zaNI=K0Oh#Jeh*NNo9G_>N5Ksj!rYk<->+3x{L-$d5{rEj8ZfYLY7H9+Z`=o(xe zp>LvVfX0*QxcNRE&R2EZd@Tj4{6~m1g!GMyHqKkl%k+NR|l#G;fFreg~oPz<4XVAX!6&fe#I_|zg;{;vD z-FNhW>bU#cIZz#UU!j5OxcfUhP#t$)w}I-o`&$H19e3X;1ghiiZw5fsw^-do7g-Ws z?{(2dmT;G-`W7peoP*(_6?>KO?<;`Z$7V# z8`94@D!K?Y&^Oud!NoAPCb|eHTN7Obl&y&_0vfG}Z~Xap+^CRoL&{e^nT#9KyAoC3 zB6f}4MAukqQ-5C3HI~MdsQSi8P#J)uUPgjS0i0;GroJ&gR1)AQ z>vy7X=S9bjQ3LsN7UhZLIP6K)HAwX7&#SryNj-_md_w|HqUxI~c-*k@SkmLS2jYz1 z$m`kSw>|eZej{Bc+mcwsA8Y06;jF<|w@NLXD92KD5r5+a zcb#MnVG0+ZGVVx>>0_a~h?!kbR@M-t#$;I;Hzs^RSsAxG<6)&3=JPTS49d#5@qRr} z88@bf0V;8px9*X^U45e>t~|rh8YAjWbrF-vpa%7garUOVh_~)hR()giMbe~o4l?x& z%BpWv#5dJNyrGY>>Kh|9QY=ZmRK%5WIDBLLu9U-xl6|UckS^25O?Azkin#IyXAPX2 zs%vmjn>DC!u>#0`5AG4WwyNC0QE#jZs%!Y|0PfT&Cu6lxUBhn&P*%r{nz|AQXKSwZ zZ>nqf?Eq@faigZL9Ku-xXO`+3TzK?;P+fxrn2xHh;THw?l}YuDnz|ARM{Cs7l}R{J zj+^QlWWi)>%1LVCN+z5&aNMYCzT&q9;*8(+`GW4B*lgU!Z+_DN&vd?0Q&&FXc!F3n zRTuF$hHz_A`^IKTyaCm5k5yOpdytfkBP{zp zKsmyyi}+zQZh7i_nk~NqV8K|Cr;EyxY83R>NB(Q6DR5;j36vAgKIp3 zu%OiS2*QfGZwSJQ`dbfzu%fj+20ux_y;dDJ|GosM&R4%<2UN$6il8zTXT9`I z_j~w#32IQ^d`}Ok`bPC|S6y`XJw0U0QQxR3@R^i&>}Q84tG-cb;JYI&%W>2Fo;%-q zDra%{Mpc3!tTlMl!8bI5Qma(r;i!RcNch%8%W~XwzvoV^f^SE(tgjXb!itiUy5Do> zo*sg*mL(@u*W9_MR|$yodFh+(_h8G&W_P6^X4!X)(4uRQlNVPSz3ifEp12Cgy^G31 zj2avzQx{!hsGo%4s`NE(Kye9~^NPaRZ9OX`lSq8y(~7ZGJYUb=`VTf1}-QMPvN z_c%(OfzX^hCCb(=T||_vUAl;9v{q{f(P*v4-B4T-_kOtcd+s=7 z$nD#s6LzL^yrE7??&#wDDj>cGA zx`rsn_|i2**}s>rag?eAq50|^8#cCf=^8IfRdT;{4bf<=#*JvSRGVV4aU@Pi9iQREUy(cS+@$nDqb>BdHDNFu>_KLc1mB=`1VEhI?s;D1Ezh4a+)9u&*X%Ib$oG@$rwBrIN#koLV;OE#Gk6|G>+j@i#IW zYy6HtZ1FpbO8oX&^A*2Q6c-lQkC!gOM+8Vf!9Krqk)zxh!q0@%)1oZ%q~W=)zA==M zy3!)sgBi-+y>t;#`g`djqV)IDMMU|$mo9RYk-BmtM{9idtK`UuMr$?htRY%c-?&qV z&ql>#zN1xwq+;OV7{ye!{CDpztmL-66!MJ&tKE?q>FtzG9}j&e`1@+C*T+_geN zy~PWt2hQSazXvq{C8I7~M3lXL=^{sY4u%wAQZM&sAJ;jUmyLe7bd95Y55Z@yQUl*O z@R_TiF|#gRV>QI-z~j<2j&gVDap@XIxmW(UbPZAV0wnm#w|d@1yLM zNYU^@d_x93YYkUpq%3bYKwB&7BZTW1ih8}3b(#HuTz9Njed9hVUL2$bzomy~kT}cl zf`F=T%kjJ%V;riLSx#!tr^p{T?qHoD^Myvg}{cH9&opM=+7+198T0yCSOb+iKdz?@#blbP?V+;< z*^i=&uxrhkDY^)|%Ym+(21?&V7h!KW(A77fWRB<}?Cb`*`UaG}F1jdkN1@ZjH*7LG zr$rZGS2fUe+_0-kFRpViunfDOfr@X;*Mip8aYITf`X;&vD7_F}gfII872o*1KS(0u z7HcU!cVEYiUq++s8jG+KMc+gh;Xs96h%UPGn=;fOz9E5~_t~}IWBrhk`r7XyN-sp$ z++W-`z~}Dk^CBUT*DJc_j`TQ0#kY+0*M5(+hEy*sE91s5&5-AOT_3TL8TE>9vF}ys zn0*!^Emn9ToWypnwiWvhoT&4x5;P|ot+{Vs@!JA%#Ba>vcK^ip40rJxMdP`&Zx~4`$8$b`k0rkJ zlpf=IVr6-bvScqLrIh74%^|wze)4VXxX!_xIgAe2H_{sTyt3be0LAf<{T|zX_ED4l z9-!<;(M3R6ujnG6&S~uNug?(r1s~!o>g>gb_<~Yt<3oH!`Mjcw?)Xg3pZ7Wkvwq+g zHCV8O(@cpBRK_iKt?(hfw8l5LCEc^v%Qf$~&cTSXA4C`3Ll@yge65#!5M6|_><7_B z*xB`{v!r|W)~KPDbk9WD52A}u1Lu|KBA|R;(M5OW|3}sq9XINF9FmC_-1l12J^M58 zoqb97Oq6`M&cPhz8gj^f5B8@y#Rsm>Q1*l98tkhED!ySj z{mDevV7Hae!2O;czdaB~{Pv=%zip&;@f*9Ud|J^(kY>@=I$wd(U(rQC$!XC=Kz)tJ z@!K+2?A9thlfJ>rFHsqHy!;ZCzQH{&M@1K*UUEcq5m3$*(M1rLe1_{B408}DpFwmH zP>#>_Js8Wl`dJI@;wvvXISz* z6ZL0U@;wvvkzevXJIa&4CEqj91Bnu?cV4pJ1Ju1(@;!T5a&pP{jN27Gamtb1*~sWb1y9p;7Om9f0!03)`M6N09WA^{Q`-zDvGm ze+EY1CEv57jJ|mBmUY~>_*xZ9o=F(CLpA+T| z;wzO=!V&Is%co#QsXPlSm?0v?QNfJCx$Bc~JYdSBf_+7N<#|}atRw|a!aU!3<<3vR zQAvcQgt_B}kVD24%;!##lQ7?15sI`lH?b;xbCr%tVjK(RbEnV=7zZZK{!}^z^SM*% z6daX=j!T#yvQ`r3f};}kGNcjm8HqcgjSvDA=8M`Z1y9x;^vRn)3C9wblBZxkNot;g z=}wBCgt^Ov0IN^JP2^X~o-7^oN!?R0harVe!SN)`OK$U2O6M#ctm2+fi}4KB|N8e* zR)f6tZwvBO)}NxXLKp1)QE8zQ=DSAag)TTMY2C!tsxm{r{=r7@AAUeI>Mk+>#(^T= zSSmNPm&WTd7Y6)-ZVmx%tUllPD8txV*`ZMyiA?d%?R{P8p$qnySoxs=BflS(Q?Da+ zRG?hvD?fCg+#jm^(226S)KNt7a{{dQauBWIx-iiqyFCMcKLP$+?itE>U-_YZm|DVJkoM z>mU299tUO|y4)a2n6a%gL>qjAf{Lz4DOIq?`^pgw7^m3GVi zg2{{ACrX$vMwKYK;HacV(4QneL#$NMQ5v5)d9S7>3OLD;qNrd#NvfiP*@L-VxO>tHUpA*i~#Zo*sN>e^m%I7T2H?qq4TyQ)|ykI9xT~S$|3+6DSJSv#8 zGxbry?AR1Y1+&RiND0R@NQqQ%G}*l5CVeG;uF}!uI+o~@m`o{?vNZQ@D*toB6!et< zx?t9wN~vJFlUqm$N4%j{TH<|%TzsKn0iR=r!W!`H`M&~wlx2tHHdIx?F}T!Ll#Yk4 zpcQaDwDwbM?o=x2s2dk4ob#93@=9XU2N~%yoCVA#FSQjgo4nK(VZI?$KIv>S!c=Z3 z6-+NLwH1{_lj>#c^1Ls##nOCvsHD(JOGb@KHC?6M%gQxf zuzOj_rW0n=h-}j}hnW~1NyzK{fL?Mh0=JZvJ`^dHFuLlFCfmH^c0{F&&eBu^l{30v z?^s+a)+V_lfm`=yLrtg;{;pR zBIXANtYe9jO$$nuK04~g&&(25FPS17rB_`65ya?I8KkokMjwbXaRtXsXrCsTx# zu?Kf9PM)sG3gFDZ)w^p2u)?|mSSRdP0Gt`Jz1B~RU-5r7HrezSe%90J)AZND3+I)hIxC6zm3veLb1ZX{s^Dm{c^UDm=`Vb(!Y65r zrT(f!)meAMucp74lo~ft+RTplb?sI8q+>fpERJv&o2GO+DdYfTfkOuZUmQ zUR6{QO*Sth`s7um7#;lRBI|BmM)Yaw30w1Cx~ZoZUun*!o?a1s@?0*PqLczncDfQGcCHf8lom`6Rj)#c9o%H=uH8 zsJ|+ub-~_GmD9Rl_p*{&C(QU&S*;6p0#;hP~~{Wz%2yVL2N# zTxyomaR^jNuHymY6zJ3RR~!RXn(HdfCiDESU^dzGSDX*w<2QX0#;;0sos}?teew*j z;Am3EGER;v+jW*^{Q4}rSGH|}O#<(erk)@Q9A0*>Sei{X^@P1ImezTRO)kRCOUAEC zTAdF_^@OcZ?GwhYN?Vp72q%_jlfTs?uz-sVDqImX~h3 zSNL9=a2rcz)~GzzSvN1*RwC zWc+~D%lM|`)AUzd6aF;)6*q#BJXxH_>#_G>(_gq2Nmx10r2e@5t6rYWRQDw`{uHu<;rOPgu zUbfvUyyAG>ZTBj^KB|n_RS6l})DwR%09U-5mpnrJH1&k{4K~@lG2D28%vL0fSZ>deE_$4>Cp#p^U|Xa;5L^2 zMF`;LrAHs^1vM}Ih#YY9(hnU0H!nT<0B&CT$t7U*lD}gBSiR&WZ@}s$6edOouzJZ0 z-GJ3g{_X)__wsuMus~ibfEAW^0B7!g1;B5o_+#X?@}MifYQu*E+E2Xd4Osh$U%LU; ze&Ww30B-%{_rL(Re)9MQxb>6AFTkyzJbnRg{p9fru(E-dyRikRY~Zgb0B&A-`~uv( z^n*XZ%}bX~fSZ>dr~o%FJx~E|UV5Mc+`RNa1-N&RdEtr03#Fo0k!&boUDBki5xC(9IPWus2y5x(jwMD@Aw0 z3{;h)yI}Vc*Rog9j9g36WE)Ecs!G#cl|+-xO9m?BF4DRgsBk~4!E93XSLAued6~p7 zz-&_W7rqYivBdRIshgh?p%V3yx!wV*m;A&Cu#P1!lmS*RneH91dWlCc4&qaLeGNBt)k~&)2P{z<8LQ}}swco~Qq>b+cC4x=z@(3=C&27jRZobI(PZj2^OEta5^!fFlu4C=yI^{$>IpgBqscawj6RiwyDFiVs-7YRJig^@ zUNZXJR6WHLqgc`Vcq$g`4|?mGk_p z2lo^-ejy1jODpG**MV^JGUAu+Ug2}gXtH@3@vG@CCbzOAv)Y3ZzncEyN1I3pq%)ft z#sN1kBYrjg#k;sD-MplFLcR-ql89frdxZ~0>19(-%+Zay+gMUPRqE~_8^0?>={A;B zPq(I?kjmAEp{XZikRaT~lIjVQLfwh@)zlMGOR$p0*oa@cdxbAwqsiuFM4zUfkOqQv zH!m4|Dvfvc38PQt@h;e#tVG@kGx}5}?}EL_O66U!ds(@>3-*q!WZnfwlg&#;AAGf^ z9n0ub`Mk3dMjw1%*GjWVs;BQ2zyf)#0C$8ceFrd#?pFYO^=+Mv@9v~Mym1j$HsBS7 zuyP)XoUpP1%9gOsY-m2h+E36j^h68NVt&c#HwQ z^=9ejCF56R2(Qx7Wb=~ot8#>AY3i>^5?*jL*}SCw!VPX69qO;j6JC|jOWnPC;A3TX zM|ZCPv&p8vc>NoxBHCCoepRyYY?5z(2&npij9-;5JWEr5A-hg1&9Q9y3n?4@xtsoa zFn-~?2d#wht8#{ClZ;?mWU8 zeV8c#b?aC%RRHpy=)7dE0Kgi3c#RyejwOBt!JBNmSNI}`aPu;v57pE63Sfb}RsdwR zYb${D&3*-7asfPD>!*lcO@A@H07|!hqW(g%bz#~w;#bpO&xl`5e<81&d*1XHvk4%b zOk+dDucp74PXMJG8zO!+{e^r?Y_hQ-;#b?fLJ~HXZeCJ1A28xq(_g%Zjzm4pOU5s3|F%kenn5r$ z*gF=xs|quIK}R&$y~K-bgFTo)UNktGY>Z|6s;uMLCyZZ}cD&$dvU$n)g$*celJToD zk5?u1vZ*JW*4dyzx=1BBwjr!u;sk)OddZ9Es6@TwMRdSAme`NZ@e8W5!5o^V zzYfN)%1)jg!uVC`$qQybHT}hl=t!#D`ic4r_Y?I=B7Qafbx?m*j`D1h`U?U_D~b5k z^cOFpgKv!u)L)OLzYgwRRig52GUAt>9zyPtfK7k#B08FEUPkae)Z0axM zsTMDJ5gny%Lr6WJ-s4OHTA?B=SL+V z51!yxW89slo_JXtrQ2BYY5?94bciWV9!)(lcMd9PUdFs^>gmPf`^rO}4;T}$sVC+V zMcvBS7q18*)44t%uLxBx@~oRzgpjqhmA30%2;t33-UX_3N7qm)qo|RCL<5jPfW>>Y-L;OUP z_h36cdlo48SJF1@ChzU>sT_4 z8uDl=WARZfOY2xNF)&IiW0?aOuzHE_VZD;3o|qdLNjh~b@r5f(>&!m6Zy6cTYYyX^ zRhDjEa_0cAy6SvPskVD{azR5%y;hnX+td?2|74TR%b1ri2+FWq*p@Z~1q<|UPP z#O`dhuE@_sh;gbyQGN%JxyL{m>El{a3|wQlNW z~hJGQAO{$v<3u{JLw%ry0MMwn^piMgdwiF^6I0$3ow*aMNRD}WJtzXC83H7ahL z=gt8(5Y$EP93cBngE=%!f1MG(n*PEs+W8nX{e_=tB;5Kb;#bpOmQUIi(5AnbI~s6f zL&UG9zs?9$O@Ez~3HT&my`)U2OzFvD$^?8O-b%YncsBhNSB9TWf5nyIXVYJCWw_F% zN0V`77~gHhtA6RxbTZ#7;L5KWz%2yxXVYU zogRL8;rH3}7e3cwX`R{3@Qf#EUh=|krA|*T{euORR>sDKU)&bg=jMf9Y}ho|1q5yr zH`u+zK90iv!2;6tD`W9}levTKomSet#6`&lN0ZG<-UG&sIW5h5z}O6GFq>?7J)fKLq>`c+98I>dWIVz7fIcAg5O&EGX5gsA z=vg-dM`cDYm}Jx4E4)DXl)_G!)&0E!SRl_8zzgdNU_H5A0Z^9QQT+v&yj1-KIG#?} zfcKbqI_W3CQMdFHU`_=+Jp>$03L5}NlfnkPBe6-^zId22009>zW{UOReu5I$m{MEVAier3vZOHTlE)U)~)&r zFzZ(R1(@!r{sJ6LHpX&S;!ypCm)K~sjU{&_@Z-!nI{a|yQ1ygL*rci_yb04wRZoD~ zq^c*t^itImV0x*$SAe5Q^%7T+JvOR(!pnCwsb1o8F-xnLxJ67@8H?+}4y$^?8$6rT z-7COsQq>b+HmT|fFq>5M1UQ;(UPgq_-79PwM3c=+s*po>uTVOgY+h1@RATjr5I3q2 z?A;0>_(MwAL6@}%`xNw2)e~Sgsp<(Zy`*~jS^+$e*9u^T*A;-*r}irVF2MST>HZhC zOW04UzW~QWH#YElrbG1?O8Y}slJ@K;>X^#XUa&V=Y1#|+CM!>S!QM}msJ-B5vax}I zs#3LQX$Gpw)n0Hk*}UZUOqH#@O0!ATU)U@1j;(y{S(@>y61Er2CiV0XmC#GoUx1^@ z<|X4-C2h~T8NaYmuDoRYspXZ)(f?OB@ft1`D2%(2wdL)?j=mwI{#m`&>G zAz*r`ci*vp#*S6}1vr{iFLAxk_+1Iy;{kDxkFa`)3wsVX{e_!(gmq@)0v%zU*>}dT zS5r^O*UQqXo{$EYu#P3u=N~WKy~1{2G}*jl{Cer`6-q~w%}d5F{N}t6g1;Y+pDJly zdK!Mf%}d5FB)4gmut`1p!+s^bZ0hOG=u;`#b95MeaJr#&Gx}6g_9`7sHZLRkH1%|k z=+o5Goku2>m_6&}*ObVHqfbJa^lIwqPML%xIa)fRPg76#h(1j{;i{7pqNykRSQ}yY z@_PlaKwc|=71kBN#&o{|+^N4_O@HB@legFO7k{b&5~;C)`U@$1)bog6O@HAg5$kUK z6!EL+FJw$+>BfeLUrm4EN7q=ou_5AD(_gs1CMY+mvW4ZldJ56Cw(BtdF0 zn{4_EH#z;eoBqO03&I+u<325Z9z*Nq8yZdv8yrnGFZqVHWO=8Td_zNmC9Q;SXiJiI zmgc_nlB7LgZ*ob}9N9ky? zdC6U%B}qF=^HdDynffH$RbJAxM`?Q5)KlE2eK+-lpYgUK#zCjn&0V0k?q1ZI;%4!pkXPWdq*k9B%pxuRny94RIs) z-FB}ak6BtdA2)K}dU}XoC5|SQ4RIq^^S;9!?l9p8TZB7tpH}m}TWNZ!r-wN3W0OsP zJsH37voBgVcbM>ts12r(_e9)7QY9pr6Ybd{T26VTi$p4gfG2p`YZ0! z;#Xx`-So2UUg1X^+{>n(;yx{Y5=SeE_|?=Cj;vToV=Q;E-%UN?5Q?SSSVsJ6>Iuh6 zEZrCz@vEsP92Bv1o0k#4ntH+y=6dO-o^ZxPxQ!)G^;_0M8EiA{UPgaoneES=&(wn{2yRai8|x z)Duo9ya(Iv6~4S6>|^=80$3og6~GGX3SjKouK+l;V8zOL98VB#{S@)5>94qv`)>Lx zZsfk3{=z*uue<55xRHwlaoVd9zncES#SB)WYta$$tLH~{dF*YA>W-g$@taszS~dpcvm(3bufOlyzjWJ5luEP8NYB@Mw?{( zYI)yLNi^BKWc+G*-?56YNj*IT%&~0xEAG>_yzeN@CYySS`?R=-BhMZ8X_1hx!O^68 z8TV~WcCe_QhPusG#;|2@8)VuG1*<@2sai6wjZAWP~+0+v@{(Ok_%R$_Zi6)zu zj9+I{PjSt*Wo<_#(PZ1eWfN%eF#^~AKyM`UgPUI8qS*9u^Tbp^1Xx?cg9*clb; z%zj1uYWj!lvb6=#Lj@#OD1*(tYgW<&VbcRCUyp_@e4oT=EKnRS6p?* ztuF~{an<>3yH{~h`E2?tE-JUY@Aji=-elXoii^rE@B1?@D&y*yK1sx{roWij8K;EJ zORA@{ogOl=GfFovBYrjYgr6z%=WgnWKNyN-P3I+lF%+?do&Dhz$HuZ{ZAWPjc({D0Pr~Ta zvbLi%y=>|UzZ=cEeJsCM01M=`0$5>P0nBQ@0^p5>6}Nt3{AzjMpK&X^<$VVn58c>6 z{nhfmkD94NZT= zt?-Y2dx-aP4o%y=id*5IroZA=I4&uQmvJi`KaksCdfD_Bei+#Yt?4glRKm?m>aUjf z9k*BMWjj5LTj8I!dxc;B@w%J-id*3=?>nx*lJiY}K?1Up<|X6Tr|B>J6c0;l{EA!Q zAHDmI>$TCO#;=p{>(h3x;#T;l?Os7)d6P|l#jWs9+r5I~Vd*xO5x<&xid*3=?>jE~ zMw89Uh+j=T#jWs`wH=kPW1D(9sh(Qac3dK6lTAHABDgzk_bTpmx2)}`giSW}6nDBm zO+8^5XWh-qh(2xiD(-Z*tnIkv98GGJ#!}0=HTuL=?@v=tSUkOSQ%_hW3AeF~=+o2_ z);*S1^@IhEuzHD=jIhp2ELnt=u~?f3tCv`W2)mcxD}V*^S^=!Et^n5beg(jKFZ}8SOE)hgel`7t-?3on<|W@BKTUrj zLZLLSUg;YHeu9E<8%vL0DBZmD_yxF)rRy)i%}bA8fE(vMegSS?y8Z&(IPdWbaP!jR z7hs*)ACF(yYH412`~uv(bo~XmdFk;BaP!jj7vScl$1lLmOOIcGo0qPh05>l^egSS? zdi(<1yma-1i*e0Mk6(bBmma_Dhk->vd^~;uZeDu)0xVwQlL^vCcdr1GKDv7a*d_@o z5ibE7V*!hofNhch7B8{i&n8tp0cMk`o&d8+RZoD~q^c*tY*N(|KJ|zuWh?@ep3B~k6hSKdV33SJap?Pk6&=H^^?ahz^$J=egSU%6!A;-7mj(@r0Org>?hs5 z0?d9={RNm_>h2Xj&Y_pOdj&X}Y+gqE(%mbRjwYLz5x;cz3dd7yQuP;LHmUjxFul~% zL%?iO^%r1zsrm~a6-ASc^AW#Pf1z|V*~T*Bm!2M4if^CUx_gDwHa4mH3oyM@{RNm! z>h2X_da3#gFq_oftAn|uv3;&yGM6-99ZTkt2CQB(mo#7DQmCC!8tL zOWnN!OfU8H5HP*e-7CQKQcn*p<+S&=swcqFWb-oOm#Qa}jwYLz5x;cz3TL5gQq>b+ zHmT|fFul~>E5K}0)e~TPsk>K}jM=?Z^<-I>2{$hz`lxzB>1eWf8PP}86OMMh$(FSp zus7MVwgYxATh?~K-ek+#4%oeHS=;f^Tr}Aj%jnaxwxe`3>5Tna0X&e`3SfnG1+Y%o zuK>s}Y`xm@zFR6`!j1EcU--Ub)fzYgXFsX_0?db2{RNm!s{R7ZCRKmob3yi#>My|2 zWMc#4SIhg3($QpN1LIfA`;Mb~HmUjxFq>5U1(;r{{sPP6xugLr=b1|yu=W#kNds0k zFqbr7uSdi$Jv~H1ESj$joQY-SWQUCYg_A%li)4n{0XC0sC0CyzhX$ z$(HvWS?Hq4<|X4-%lnSf(PZ19(-y!-xY zS=%k+nm5_h6K}4gw0g;#>wwiu-dqQ)Uh?KTV2wV!xei#p|H@vEA@$UQU-t-r)gZgtf{e}CJgc} z3!gn|lM%m~{=$i+cU9A0y!(#2o0k#4n*QS5cU)a;UQ#`^yziFC$eV2HiFe;oiOy{7 zSF^NwiMM*f>LvCw3F}y51CX#X7CUBy)k|zKIo#9}_GAdFm)OK0tnmx)?1XhJ@sdlp zc}exuvbG<*`;HH`o0k!NntI~Rb(C&iQawRZ3n7?G8W&47`tasD;O1pSpQfI8a~-9d zml1uMdSWhVlx|}g(Wj{=-dsoNHkMRR_@Y$ZiReT1^t}RDAg>hw9BeCqdAnZ$c=!F) z^1kDayR)I?eFyApXnEg(IvZNvcfihumiPVO-FGzE`ib!i-@B^k)L$*{J1U7LTR%~M z;l)8KVf<=&-|^V=vgt40eMget#(C;5yk@kz>1ESjy!(zynwN}UE${n*ORzR^THbfS z^s?zM+`(h%<|X4-%lnQ|pqEX5@$UPp<$XtKHraNsc=sKpb!OuP)1Rd2FW!AeX&p=E zk_N1t#|Aqq(XnJMX_QtkdG{Tcz;$M0Z^c;nhoc!n^ONyLrj@6?xxl4l~8{t7UD+_aSVusVCljN9pDz)lXm&16Y^sR{-P+@bPW>iwT#jSYNJYoL$jwah!Mxbi? z>rJ86^1h=I#>S?<@Da0fu<3my}7!vDYf`bq+fm4R$YE)^_|@hI@&P4=v63)v~suw9iZIhqTgcvZ*Ita>p9e zykzugS=$d@QAg?KC8JNv+K$rEWb=~I=h@T~K3lc1#9oU&H=|F>+Kw+9>19(-Ok9ps zNo_0{eIUoBZeCGGX`Pq6q7GQSN)zUoG!DN|S(1 zfANw#DpAh!k~`q$CF2)9!B=tL1&i+Y)DX(_g&gj?`n#%ZOi1fANw#N;k%Gn+hL}YF9=4YU+uJ z%TY=5GU8WLPrT%g(#^|=Urjynk~>Pbv5ffD)DthcLzcIB$=5u57N_n+{A#;byyT8b zl(D?z4p_b9C3nCYzj(LstJ16D72MIEq? zLml1uap1xNA3*@x| z*nM_g0c`O0D*(O|@+Gn9FJ5xTW3+yv{(83kFJ5v->DEsXzncEyC3lo={Y3rM^1frQ zg8kI=S6p((MPp&{hx!Yfs||K9Ti$on?P3Ufu3DP$tL1$^K74<~R%|QnA+zOuN9ky? zdCB;7ZKb2h zHnXX}uqoV1vtyh7`cQviuUJbnezm;s*mq)+O@GBDciahTm9RIpF2p?dmW0W6T`3gCrx1uzC|R{)gd7^waN%nnigg$>(y=+;jWs8oNU zG#^^^7hpE2`U^0dRQ-k9)@)Ms7hv|2>My{Scl3n~MjZoB0t&dX!IOXjZk+cdpn#i~ zo&*$dp%=r6mw%hKv4ldz+-ddVd0 zfYnPTVF#>UG6_3i^^!^0U&x9i9eeX6?0}n>p2Qe%^U{+T18!b=5@W#4OHX19xOwSG z*a0^$J&7^k=A|bw2Hd>#B#B*uW7ml3E`J>h7?>4Oza$I_D+BTI1e(vuhiZeDs4W5CTz zPht$XdFd&L0XHu_1u@{}rKeB_+`RM@#DJTZo`M)~8%s|?jMISTrKcbU+`RM@#DJTZ zo`M)~^U_lg18!b2`k;fgV;Oz^H)n6qD?73z>FxC^YAJ1|Gs#TmxtrN&Hi7^_DD`5b z#w-L(Ll7`(32OC1|6Xp+a}V7t%vkSf(dbvV?pGd+_`(?s4>IMe?NxKa_kim^B3F54 zpE_J9|B=4w6oo%t?f{+0f9?SI-a6j_(82x=aDIfnKKIQ1bbf@r{+Rpe{0MvfG56E? z5%&6H?x*u3?DfaoPv=M2>yNpg&X2IyAF~bTN7(C+xu4FDu-6}RKb;?8uRmrR&X2Iy z*Q@0!&-_(YH|p&3Bkc9J{CT+_%4adX_&vuabAKN}DsJtiQJJ{3YwJ^CRq4=aaGg&R??rIzPf* ze_O8dJAd)+RVjIPtgN2SPpH>_M6U8Xe{uD&{v+pA%IfL-g!)l=jr-&~f640U{DgY_ zZMn+t{3WZW^Aqazx8*9o^Ovli&QGY<-6+P?Fbte(zKsMmi(j6GLRhpe8? zPpH?2!gyYupHQzq=BqqEpySv#23bATX^``ec`Wz- z35fb{v$1u)CsdLyTM0M!jHK-q_S88SiH2fUpTB4r=yt+xbaAK-Y1lzpf>O@guya3Ms>KHzLrfU*#n z!vV@h;Pk~-%C745u8lVWj{8WxrtlCPuVuCgl!bufp2dnjj!cHBc*h<4mV*@$-BL)nOS+(X%javZNs zt&aDS(05)r9+>O{91l?T0geYK`vAv1)GJYcNpL(s*#|ftpzH%24^Z|2jt40F0LKHA zeSqTu${7NV2Phi>#{-m&fa3uYBhJT;ULKa?*c4hF??w9%Vld@+V8n;P!0`Zy4-+cK z10+67s2mTF_%NYzJV4^ZfZ%w5#D@u$;{g&MCRC0GNPHL&91l?T0gij9pKrVna6CZS z2snA`A8KkFJ{#7DXPCL7EU2{vMKPJ0UFmJtTuPpk5StNT%(Cu<-YgOxp=z z;qRgBLobRvlzr$$k%zJny(scfHUg6guN$oDX&xUP(Tk$09~elCsQFzR)4y*RC_))V zgcwXY9vJaqFmOCT;=_c>@c@Yr6Dr38BtA^291oEAFd#S{An{>B<#>R^hY6MA9?~>E zAUGbN>;oJRP!jI!%0^Tk$63bJ@vckyK;?K~vJY@PK-mW@ z`~#GIz{1}{T@Lk^goS^AvJY@PK-mW@`~#GIz`{R3IYYql0A(NGcz|+-fa3wmM!<0# zLtEAB@~;oJRQ1$_i z2Ppdh#{-mofa3wm83K+6C}#*b?xFdu2`MhX@c?BbdaY82GZx3s0=-ucj)zFu2smDS zVFG0#;J9b95AC>zvJdUJhq4dtxQDV2?YM`s5AC>vUVS8HjM7+9_5#N(L(k*J0m1^u zLo!S=5*9cfl5rXk1~x!4P7}fc$48QJnh+K^9+GjI5R!k@!xvxcLld_Tsy5PjfZBI? zO$;23UILw`t3887yk{hjk1Og$yoY4gP6&&54`m-PF#?o*z{Chp_Msj3&C5O@`G-i^ z2PFRhWgpsc+dR$Vqsw~{?;*+K6N2L&%0~18r%qh0>h&=!uU;?WeWYvz7V&i~(geyv zU=i<`>_ac&J(PXuMZAZy550)@Q1+o0@fOlU17m36xbFx(G%z77;(es-Loebzlrsbz z50SDDy@>abvJkz9_fR$hj)&%DBYF|+HntM zBieBfWh3CY-H7iqjM;I|WFOjb4`m{I{1z|GP@o57siTr|UGSw&89iy}MV(Nww7#*dH!DI@Mj?#c?;U6F!r2*B# zKR`N41FD68fOOhk5GGTAblMK67DWNlX*-}=_y;KafQjLuC5>N^FfjszzZ7(>g@1su z5pX;}*$6luplk#juT#$JWa?w={*vIhXR;6NxQDV2xM74y*$3P(0+fBg4Z}l{#_J2w zKbfc_a>5p`0O*{6q7y5$(8-l#OV|J)}n9Kk`$B z#VbdvSbm;5ln@c?BX;CO&ELtM>+;~u&>Gyuo#v7Pg4B>tMwx}}YBmKX`+(ULpzH%?Q-HD$?YO_B>;vu?KGKrMuMTg=ZKNfS4+xHXDEojrMu4&p z?YNJWeZUjKBXGwEP&T3+_t%t-faCQwgw^r>+(mZWGD{x6hPEB|5dM-6 z)Q)>7`_PViDErWkdno(Rj(aHkfK`$2@&0~??}&EXN6J35;~vUBwBsJi8KNC`(D~I{ ze@*Rp6_ytD&Try+s2%r_vJvGtI?x2lLR20PO!fhe2Ppdh#{-n}0~`-f_5qFuDEk1% z1C)J$;~rWL4O|%lK!9?Ffa3wmKEUw+Wg*~rfU*&AyncbusMp_p$&QCe*$6mpckla? zF+LC+_e}Po9rsZ7p&hr-a%kZ4p&j>7_Msj3Q1+o6_fYns9rsZ70mJIMyuWYbhp8R+ zk+Ki%c#Zv<^h*A8zir1|r1Q65Li6xQTqoI=NaruZ1gIv4-S##PHBv9o9RA|uAE4|5l7E1*5AC>bUiJaWKSattwBtTf_Msj3Q1+o6_fQt19rsW+0*?ER zxH&b@j@wApt!ZMw@tW_ezvJdUJh3-xb6yV26zZf%0%k8+2lruy-?xAc%JMN)uL_6-GY(zPZU-4NT?@!}J z#{-jnfa3wmKEUw+Wgpg}}V<&~j|xS`A=c_{vQ7p>lsbp!r2+M1vXG|n31KpMNQP-b zm`om$aT-uh3=hdTO$ZaiLp*Kqmwq3N>51VXnYRJ+X3~&@Q}>g0bydeh1B`W z)ZQXY49{dAdSX~e)A(pyJMN+EL*Fnwlzr$MhKI5b?YM`s4}HV%Q1+p37#_+#wBsJi zK6LW;P|gtTxQDV4o%}7Nd3+erj(aE@QI6vWo!3m+->;312PXRf#{-mofa3wmKEUw+ zWgp;pfU*y8JV4n8I3A$v1045|9vT=v!0`ZOAK-X^a)yB80m??S<8BRqF6_K|a6ClH zM!@me__}MsyrT;>_a>517#oDaSvr5+Hni%p@HE;JMN+ELp$!F>_a>5q3lCD z?xCC^+HntMAKGybz8K()=9V0+8P7|ux6d)O=0bw=;NXBVE zm`(MudeaXwOoPE}@{EkqV0t!L=q`_AHhD;o9c&{Rv~WkIPMssdD#fuG3qDxOe9U@qs!sAkJLYuU5)js(Yjq-;bxUIT0*Wh2^gA1NDAj^p>4O`t49IUbno z0~`-f_5qFuDEk1%J*0;Q#O{91l?T0geYK`vAuSlzo8X0m?qW z@c?BX;CO(t4{$s{*#|ftpzH%2_mCbM7(&4D0A(ZEarZs?O-~JsNN_wv%0|HP`fsdx zynpGCzFR{+D-_Q$*nr-XISIl_GI#r zvJsd}yIU01FT2J_J(=8^?Ww1~#x>PsvRj+a{wc{ZnFdpi2PXRf#{-mofa3wmKE&;oM4kRBQs zeFw(_lzo8X0m^p_I3A$v0~`-f&Jb`sK-mX4{;c1yT!qlXU!(Vs$3vuS1RQ_Xwu_0B zjez5!d1Ay^NtNUFkpJ`M)Id2N81Z4mf#U%ZA0||e2S|LFP&pnT@nJ&cc!0!*0m1PA z$qHgZ<#>R^hY6MA0TLeu1jhrEeSqU0x;Zoe#{-m&fa3wmM!@j^Wh3CYElB9621e(> zanEEQ+HntMACSjGr0fIoc!07G$m0RZKD6WZnr;paAdmY<{R_b3&N+GbJbK&5135> z%09H?zQ?l9?Cwn;~vUB zwBsJiKD6T=%09H?9?Cwn;~vUBwBsJiKD6T=%09H?7ScllLx^_VL)i%Aaj%h{8W@q< zaUUrg0mti3v#wR@*A~+ZgyWvcKD6T=%09H?9?Cwn;~vUBwBsJiKD6Twdg`HpF-q;Y zhq4dtxQB9vXvaO2eQ3u$l!a)=J(P`T$32vdXvgb&u8XhFx~C>z;dm`0lzIQU$>Rw0 zF3>=EnCkDp&56U*P^{55hO9QRE2 zp&j>7_Msj3Q1+o6H&FfRV=f7{;~vUBwBsJiKD6T=%0A$R;kvx8Df@sMMu4&p?YM7V z&JgXmhq4jvxQDV4?YM`s5#{)+rhqzLKeC>MD8~bneSqTu%09sH0A(NGc!07Ga6CZS z2RI&}>;oJRQ1$_i2Ppdh#{-moXvf{Jo;+?24Zv|9sdP+Bm3G|y*6riw)Bqe0k+KnR z+%2RYTNVP2dnWtPj(aHk(2jd3`_PViDErWkdno(Rj$7#F&_Fxxq3lCD?xCC^+HntM zAK>`Q{rK_Y=FmVp?jvO*;P|WlZ%wZ^rv}<_-@I%D9Is`F36zC^_aa9)2MqPMM71gkkoqv7noR*x9|lybA`ji;oJRk+KhPJV4n8 zIPRgFLj%2oW3TDv&_M6tcqsb-$3ye75B+rOBV{4rc!-pZ=%-ur*6sV(&8Y!69wKEU z;CLm8)$t<>0mnU)eQ3umba!Z=^0<$rhreq5{j(~9<33XMp&j>7_Msj3Q1+o6_fYns z9rsYq5bd~!vJdTeNwEs?$wIW_K2kQK9e2>zTgM6id@gwrX|Frs(!8&?j?;i@Rph=7 zSijPx<8(ooO?y3On@BoN7gQa$z1RKF3Z%nyF*Tb4qoZ^&m`wrFQ5sOqrU2_a zBV{AX@n_xdR>w=^6sZ1HV6qQzJV4n8I3A$v0~`-f_5qFuDEk1%J+zz}xO{-)0m?qW z@c?BX;CO(t4{$s{SqL~Dplk#je}0+u*Vl4v;Oad%9wKEU;CM-~I{qsQ0mnU)eQ3uW z^lLdaa9vS5?xE~MJMN+ELp$!F>_a>5q3lCD?xE~MJMN*JA=+^dWgpsc4`m_RaSvr9 z+Hngl#|ADV+HntMBjC8NvScCPxM#8t?YM`s5AC>zvJdUJgFgD@uUT!vbF}$wn)j!6 zGt&JGkvw#h$NM?jMyk{6DH3K=h?Iw^pQC-GJWiNRAyOVE%%%Y4al&j0(9OK9J4S$R z=55_E-u0u!OCtZ=By!BAcWu=hC>v3;$(5tuUpI-oW>a9Y5135>%06H=1t|Lf#{-mo zfa3wmKEUw+Wgp;pfU*y8JU};jyc`cu_5qH2=q8WjjuD`21nw9C%0|HP0A(ZKcx@#u z^Wy87g@EIp$v(8>9?Cwn;~vUBwBsJiKD6T=%09H?7P>h#(2jd3`_PViDEojs?#F4% zKD6ULQWl~e_fR&X9rsW+q8;~8HUf@UI$9m?U397_Msj3Q1+o6_fYns9rsYq5bd~!vJvgLJ4pYv92>Zd zXvb?cyZTz|;IyVJ$9;v+6fU*y8JV4n8I3A$v0~`-f_5qFu zDEk1%J+zz}xO{-)0m?qWaSMI)7;l{t_??S-Hr4mZ7xO;#;6WBm2&*Cw$v902t0E7{ zI86vEe>Vj`n#c##v#H)1HrFKMG$70-^ZN1oqltVlm`$FMQ5sCoCJ)K9oe*Y|hh*MP z2(!sUGH)k@+2o<@L(e7;WgmJrc_{nPv&lm;Z^t$DZ1Pa{p=VQVNUjoT9-ooQao>BI z$0vl9zj-aCJ};h)XvfWmKnu!7z;W|JK`~hfIPRJ3Lp$!F>_gu%Jd}OtJBEj{4}Hh* zQ1+o6w~*%XF|_TthjNB!$32vNXvaO2eQ3u$l!d^m$Qx0|0^%K`9N+6BL+fnPV*{i2 z;CP6XjVQ;rdXP1NvJmBXV6qQz+(UY5U|bO#4^Z|2jt40F0LKHAeSqTu%09sH0A(NG zcz|+-fa3wmKEUw+Wg*~rfU*%-`EM_CnDV2?28I!Ee5>`@>g#g;3xj<=K$hdj!`+(n zhi1kGc{v^!@nJARy5pdidr2mv(l>A2|IDXg#PMzjSP&w}F1YcQ*YC~YM z4{+Q<$4wsZ$z+b`9eRj&%=B|ISx5$HLOq!*B!e`eo=g^!L7GrcCJV_R4d|Rq7Lrk# zP){Zc$uLc*CzFL_+71XejCxUfO|D02OyW%_O{U`}jpK&lBWW6+k;FcCzHJ< z{lTySVKTWxn@9aBQ|bpyCeLIadNO$^`+!AJh?IT6q9{Pw2P}#Llzo8X9?~>EIvk6l z07)93P&po;oFU+NfO3Yw4I@BV2sj>~Yy@r?0m??e@c?Bb;P`G*24_d+oT7lznK&J(M#< zJMN)uL_6-FkDeMB*VK-CC>zm>B2&8k=;q;~fU*ymO##Y2U^WFP z`+(WxA7_Msj3Q1+o6_fYns9rsYq5bd~!a)xNfJ(PuL$32vdXvf_d=QfmgQT&;W(gb-P_%n-u=r{uN31AATHNju{vtWh2^g-@I%@IqrTX{{60_8)-6?VBT*8pW7plg7#570G0*$3zvpzH&54N&$0 zx&|ow09`$#hX#fZ&^18W2k080ECh57P&NW7`L&sfYMmF3nG%lg?z3+1`Zc1IUg5a; zN%i;plZAlep2_a>5 zq3lCD?&?PMsi!DWJMJT8BjEV%o)+qZJ26r_?jvO*%5l4S|JG9jLx^%bFxdw<9-!<4 z91l?T0geYK`vAuSlzo8X7JA8-zqWed`F%*Xn`gd9|Hcc`GZPVn=2=Mc_=LK79^!E# zsBSYM5|0x>b(;wgkJE%O1p~z6L{Qyk0>tA)P~Bz%#PgP*8jb+*yqyrHV1RhuP6$)b z&GlDptdbCYfBQLVz126(m*v+>^7xE{X=~$rWgU9jdMNAA)7C>-hn^Q6$~yG4^-$KK z=Y@x|4!AXi-pe}R))b(uL(dD}Jn>;<72KM9B+27b2;7FV zd+6rO02~hx`#_Ou`4u4cfuLI71c-f@5F8H>`#@0T@c^+81XUgn5c@D8I3A$v0~`-f z76Oh3C>sIC?JG0yn^OaDJV4oqa@>~*`dys7dv8k%_4t+M1so57vJY@PK-mZ6@c?BX zkjDd*eSqTu%03{E2Ppf1Jno^JGXrouK-mX4ZlRYP{+jnDMB1GZug<2IMFdr(0P#2x zgiR?QiN}ecnoYYaYrQ0qPYAOqKs-(ps@Y`USbj+&pG@5`_9_vrD=9hqH5tsN0C65C z2pg|H63<(Lu<`04p0@?&8asCp>-&=0B}%09qx-x0N4keb&Isyzm^dng+L$8Ei&zVVz=5ghkS_Msj3Q1+o6cM#Tbsdb2CKc=zEhri~~B2s|z zC}B1QD320mQ-Ja)VKxOQ4-;lnfbuwDHU%h;6J}F@^1Q`t3ee5G#kP<8-p+oL$K$ro zev`;Cn~r)`T(bWzKNvPOs@Y^eV6xYN*5qr=rodz$;CO(t4{$s{*#|ftpzH%24^Z|2 zjt40F0LMLalgHtBfNt_Qwtd`7DZ8Bhnm)jBd(v|+Q<4z4V}wZA2sm!P%fH_wayb63 ziL*K`KNvQzsapQ378)iCQH}>D`vAuSlzo8X9=bU+0LKHAeSqTu%6AMn9w6~S>fUZ| zZkMxPbC57ELZF-=FfRg>69jU9fU*ym7XivbU|s|$3xRoIKg+-0oEpHq2v8OR^P=91 zU*|=MlBPQ5g}ujgncHvh_Pp>=P7ggVJd}OtdEp`PL2RuLNt$lRI`mxffwB%gmpqhp z=y_qGn==DFFFcfW=y~Cx>_g8B4@rKQ=O8dIJd}lK#jUQtvJj=XtrG0=p@Er+O7Xzl z_<)T~oATsGW@aEL9-!<46t~dp=F9+e4N&$0x&|ow09^x=eSoeO+T;u`&hh;&$~WXT zy3fBfQqh3mxZP6UYVauMO{j9et>$dY*{`d4$o)Q&rtujG1uFMjMVp@f8W1K%h$Q1Q zpqdz4iM}Mg(@)=d_4flKvv$Nm?hla6+6mRf2#}`ntHUud0wnWxMyiPspzH%CMu24A zj!2jo9@0EMp_&*0l6gBII3A$v1M>LhUew+6?AMG`j)zFuh~6o3hx|9q<0BFr56#O) zz;Sn+ZIchi%&Y>(J(GQC$32vNK=QYdcFp6X8`^OfX|Inuru2p6A0lNR+HoIA^7v?8 zJMN+E1M>LhUY|XW>G)LCj{8X2hj!dUIYabrsE4u_a>5q3lCD?xE~MJN~r8lznK&Z6rN2 zFnnmoJ(PuL$32vdXvaO2jcCU`l#M9IpSAv39k0!;8^;5aeSqTu%09sH0A(NGc!07G z$m1T;GXuj1I3A$v0~`-f_5qFuDEk1%1C)J$;{nP-!0`ZOBj9*|vJr4RKw`vrBreB& zm7u=zNsbSu^0;NTXC9@B^R~;pXC9=A6PP?km`ef5V}!XBpgcyHO99Gbgt-(T86%lX z0g^$QP|YO|-DGjhr2yqwi@6k_>;vXffU*#nO99G8U@iqH8-ckLplk#jcMD3qb3$`z z3=uf5I zZyoo|%SM#re(%0okOEa6_l%wx7*_3aV$1U`% zuklfj_XvD{dmK;E?gZ~szWcRJs3Lht^Z117ju9XkrvYI$1xUteLU@X{*Q9xTLU@Yy zkc`uW>VF4F#%VzP6zwBPCLd7Ere{rpW!l2YG`2OH0+W5fYzmM}+tE18CJ$*IpHQ_t zK-mY(rT}FhFq;A-^L8{3vnfE?2i!3NlznK&?bvD_AI-xZZVe%D@*T-{L$K}VuW-5Z?p2aSz>{8K^uSAn{>Dg5v=aA0||J zJV4^ZfZ%w5vJc4P_KOD3n==D&JVeSy!0`ZOBj9*|vJr5+-ilxIc%6-)X*)Wv^0;NT zyE6lD+y&b1&J4hD4`m;a#{-moKpqcJ_5pc3Kyt?zo!5^0Ysx+#kB3Ovhj!dY%09H? z9?C+r;}*I(GtiEEC})Uv+(D0$l6;-_ruyHzdnA5nA|DVU?QU=2As=v@E1a55TP4M1 zHa)&%m`0##cwl6d27^0BfMk>=RI|xLn#U(pvnfC_N)xKt6d;+m1Hx1 z*$2#~0Li=^kuaMAB=dGcb;k%$76P*=K-mb)CLCQ`)zd^ing_>iq^D-`0m1RZt^75S z4+f5VCi~Eidno&Wm4AqoeZU$a?EpXa#A1NCF$LomBI+^Nw=_wE#w~U?|7(TS)9?Cwn;~vUBwBsJiKD6T= z%09H?9?Cwn;~vUBwBsJiKD6T=%09H?9?C+r;}+6W1H*`R+|_QMdTd}o?YNJWjVQR!;-TOD8lwbV z1C&Pzx&|l@6Lbww9w+D;pgc~{H9&dZLe~K0aVlLA%=CDkww00tlV>e-_0U}&ucdW> zZt{5P8ldb0bPZ7U0Vz2^;sd{QyFXor%a4W48Hh9<0%aX~Uf7}4!(U@E^}O&<_Mzv6 zhq4eoFD&#IY20_jU!?H>Wg##x+#$HfeHssp=rB6G=Y;FFYhZ$h@$-Y_g8B4~Y*v zFE)4H^`S?s^*@vDN9?CxSyzo%=p&hr-&5?n2+(X%i zcHBeRhj!dUS%`MrK(Al*nU-V`bbVJ+)RbIM9;edvU7s^IOdh6M_6H`95_I*@U!?H> zI{EA z`qhqGUvJKSmE*7azt!zvJdUJhq4dtxQB9vXvaO2jcCWs zD*5$GKm0XTugK$JoU##cybAs5c>PpZzG1*|&txCkaSvr5+HntMAKGybWgj|^dno(R zj(aHk(2jd3`_PViC})Uv+(X%icHBaDM+T6`J(P`T$32vdXvaO2jVQD z`vAuSlzr&OM_ZPuidwpz!SN6&`_PY%K2r7pj=M;&ui7^m&D;BDZ?E^7w#QnEE`|n) zm|EThh6ah4THOSO25B;w6oH{JBBs)JU}%VlsVNZ{8X;mTnFodjXfl`(7$Z&KuV0+N zCsXs`Sxb9^&}^MZO^1L)3osi36D`1G2u!p9b0IL%0!)R#L<`W%fr%C%*#{*>#zP+FL}*?1 z0h7W*cgF!L*9RyIfoTz-ECl97fU*!ZFW&XVj386IE_yR(_*jRNnROF!F&h|O;=*@!0Nj?T7cOQ7@Djz4km+VICm3+2UgoQ zKFLK49#}mSJ%H7Li5|e}z(fyVwafKiwV1lG+9T0|w%Rk%0wns-v}ghHd|;vlNb{cI zj6FxRt+v<02e^oV)t-qKwAG%87PQr#i54Kkhqgrxkl+IoJ!q?a+oA_;wP&ISu)4m( zx~BK~rN0}iEy4x=IihW~XW}iPt@cc`psn^yw4kl_Othe__Dr+@53Ihc`71B8-`Z*) zCtA=}dnQ`YR(mFD&{kW9%lz|t+G@{4587(aL=Rwf{l52VHJ{a*O~VSrBhiAk+B4CD zw%Ri>7qr!$i59fgo{1K;)t-qKwAGg3;{NRFw%Rk%g0|W-(So+xGcg;q)t-qSwAG%8 z9<5jg)h8!w%%0G;=t0e%cfEnLYEU}^;c~E5cB(`V0B=k2C&*PU%6=CRzHkxUv(TN=mx7poah0pu5MVY{;EF=VJcS#BwB!69hhiA zTkV?`EoiGf6D??~JrgZxt34AfXsa!gi}r1`XQBmdwP&IQZMA2j25q%xq6e`0RoQCQ zEm!T^Y9A+hP*(e`bUtJ?Z>42*K%xb(Ixx`!SnZiyw1?G!i59@>z(fmRbzq_eusSf& z0$3fGm7JQrhbCSp5Fb`Ll-69!61%# zulMz-&Phzg+074S79-;`Fc*+l!&O|6ydW#p4=%+x& zMQo2%9vOqFNF{93FdF~8Cf4tUVKDc@94PtlnW?!7u50(>pG)sR$|%z^8vYpt3&2k; zHVwn`f4pUYd+XujkN`8zURykYAp?jh8$6Rs??}>z`LM+6lS}V-tU1hYZ52Zj%(hi` zgr64+ zx%6HW!S9rJvba{WL+ZL~1|&Mr+unBLzv7SN!J`wJcI-4d&-z}%Z*hA2w(2&^96dNk zPX-S(Z_m)^Oa{NF`L2ft6Ng4;GTk=IaGIV>x6LzjpPo#&t#0FM+c;5ArrYKj8lTB@ z+dM!<-3j!p(`3rzF?ZSxH0>KO-j z#aBJ#uVc!&dNO@IRk<+?&C|*B^?2sigO_J+J+QC3AN`>DlXjN2Rk|?ObL&BfbMHZY z4b#NA_rNpv9$1F6_3VQl(|QnC+s5g7GCiiAi5|40o{1j7(Ze(3!CdX(d9n;Pmm@cA zjMn$c^;fOjoCSJJ>O!9NEFBoKV73gew*-bPm<*8GT{Txj5=^9sLS`u39Y=#kUpJlT6w>iZklJJ2HgebZT8nuPL12*($$`c8X(TwZO9z_ zgDDy(Fj0e!Q+a1qM=S5#Z*R{;4LXipx@qbCiqniup;~*t7>w!LGqgmU{nl~JcdcJ| z9=sXTw`ZaUh*NLTn7;lMJ;3z@<~AL?YDTVOTF)Fe*Ap0Y?#~ir_8Xe!_T&%#;>kq1 z3+m=v@@ifdDA|E>D?t1K9o2%~{$Ci5V3xYRs>?Zsn)%QM#{r&WZZ+n=j zebmPM2y<>>evM_)W)+ev+J>Ag0J zej~ML^$X;>hmVUNL~!KHVNQp3Eu+`G9y_gz8iLn#iSu~)=>hViIn z&%73_L(Wmb<>Sn!0l)1swUFOz=N8N;Pn8UrviyxD{W88;hkJ?eaW9*YCzXw0B2b*k2 z!>a=Im=)q+lg%?+gP(D*$rcz|3rq%m{dVi(L#ptjD1z(3l5xErDZ>v2*AtlNL2tVI zIMIV{n_WY3cAoc|t?p;L`CaYTn~z2jQ};8^aDJXlpWd@^I73fntEKGjyvC#RaEBPQ z%`=pwCxi1IfuZr4Ot-C;e~SliPSeDoZJwcdI+<>pXK0>I25qbLtZN%(YGSHwfuVUi z8MMtaoU11T-)w>7&B>Y=w9OSo@Bke==rhosi5{Te-tLXJH|J|@TVQCOP6quJnCL;b zt(vj=R>>vw0e(&vO*c&Rpxfq|=s~y59b|ZOzMg&1ZSxG}>&bN6JQF>DZ-=|j;a+~z zZ-I&V0KNq#dVqdA>Wt7jK3tTaeSm%oO!NT#7MSQkx6Ks@al^dpH_LEQeqK+v%`?#h zwCz(%jYQ4#aF2)F%`$JLh3OuF%`$(>3bXI=gC~J$KOe~DF16{@T|qUAD`N@m~ER(Ew}=6 z>w&+M)K=Pz(+rybK*@8gZRz>FW$-pRAftDd|=9GRyhPAvwXZl4Yn%GuXr{*;L! z3}@@9TZIXi!_#y!m_EK~S%YFioTvc~z56(tu1B|3cJXmET@R+FPlzMwnwbzs()Go> zkP>abX}V@6#9+CLvDr;9YxP;d;aa{Ns81dDerB&pWv= z|Ezjjh$9|cakiMQb73BgkCO}YVC?ekl?(G=>{9HN3-e(7EjSnEH{2GATb83QK1MZ{ zAz(ruhzFyuiwS)YJwTk$2a*psPUwT|0q#Gx4{~81)fnFgxiF9KWQRDC561PRC#L!e zH{G_!auh%R8sbPkxZ>rlRc<@!^VqgTlS#( zEilmo^qXaN&e!`m0Dw1y>^%$brjGF;CT)i7oUfI!`EBQXt&GiYJLhX91P;ur3iKfk&C_{3U_u<4r^KYk`knK&GCmIH>xFS!H9H@qS{OHf zP!&u4R@bwYCd>TU`5=|X+1+O{_L^8XalE5^kZOrjdET@wdr;S7i-0>Hq|!LAswm`mWn&G$JZu-q`abf@Oj&VgMd?D(Nv0F8j`0f~Y!U^9{xnXP$!DPH+ zTp{PhC#kfr54%;v#rlP@+b&$JR|a2TS=@PXv7VT!KiORM;$porHix{pSWist7KJ$3 zgJMD)@?dUPZ8Z-<9P(f?{hVd@5BN|OGwC^tzdAJ;JeSye@T>mC@Qs*0IBxRJtM(WZ zgDq8iJzA{4p3%431@(PhNi4;|p(h`Q@&R2>F(D3lKunz}4{@>w#e_J~18k3acldDC zY#Y|sfuZ}tWDqB`Eqbu063dGZS1obeJ@}=?`pbiUUhquz0N3O0+b=#`HQUy4Jd-`B zIQG8%;*XqgoKP}))vIR7Ys7H}ab8-i=Y9*!tq1mA|KcBs;_Ios0JlEC2H?&0*!A^G zi}mw*LJ90u$>k=FJ%sYoV*QL$Wf{9ZsJ9C4;<)v}&iT3v6yIBE6~dmg-Z@>*TNbjL zTT!68F{~uNpM+hQ+88r&;^Gb0v%443@HBnX2fKS?XXlf!OWWMnyLL|2%Dig$ z*6ZP9y)f?Wo4t4Je>Pnf#+@A9Iax1EJtLd8-FjdT-S3>NHO||;NrP|u+>FoLoq*gq zSub(iTQPg@w#9z4Z^htyP&aMEVQ$lJF(1Iu!@c3MbF$X!IoumA_zA+hwz<~=a17{% z@mZ9UwYJT^t+I2io|&+S-?>(=j9paiT&q{c-WYeT)f1CW72%_psoyHa+663DGl{8b z@2`hz_0+av>;h@$TD>y954cvZjD2w4xmHh1{VU%Gwc1R5P$$JgALM*cOz4ArUnnN@ zLB1~(6Z#-~fc1fUVA}hubUyFYCXMfd`VXakE5`Q$7wc(!it&BGXRDO)eNej{scm&% z^nFkZjljer#@QI#Z(u?nL=V7(K8PNG34IVfz>+KULCgnxJ%f4=xL7~O2aN9nF4imK z`=AnE8lQ^e`+(n~r#ST{m|rXNTlBM06oWRJ~a2&e{uxYLyOvMR| ztlb7v*MsHy+Habx2ZK1Ckz75PierDzbJJWsn2HmaTMxF{qc+!r|M1A~62~*-0lskh z+Z{N1RE@{PArIQvQ#T+ug=rAdpy$PfY_&_jBAlrOdDgCzK}Z69r4dCg!*C+F`m7Uy zjY10OJG$;P>-k&fKO>|?`${HurFdqHu``GQ6D-dn9z#1;g=G4=sPOi->;@+L!xHK>Fqd>D>3s>f!wV?Su zmL&{+Tf!i88<+^L|5*cN7#e=<=1;953`66;Fjb>649$OK{QT!HoGgsnE7e zxwUOvm|vJ0VZ(3=5Iyl#SHn;WSmL;Sri}~plPL}Gtr-(WG{8SzGw1ETM~%ZcV;amb zJ*VBrS2nK8D}y6orfs(x*iTq(T$f+s*nGnuI9V8X@7TF6zc6-Nlk4&e8DpZ50utqIH{JR5c}3jY zzqm_|PJVVfuY?14mzLox;x`Nq46KagX^Dda1BRiYdDi=2zms8FcRl(1)I`wHA2*y& zNz)??n4_KoP19&_G!DM&WEh^G5(nQ!GYsFZm8mm`hT%M|Ole^l(ctRp7S#Ph0}jTM z2Ew=>4c;VAYuoI-O7gTY{$3?{S{e6=#!d3HGWK32d0H8Fui7L}D`S@ulBbn%XO!@J zWH;lp*JI`4!6tcn#HlHV0)s(rH9*mIWjIgcClh|p?4G7hQEb)Gx-T3&_62~ga zsahGo+Upy!r*eSJ~52k6qv!<-Y}94gmE{yt?tS<*R#2s9DX?Qj+w3Io6R8g z_04jUKam9dNdjf?TJb9UI&R(qD?a^!KuuiLm3uZX=Tc2j=ty^6R4T2OE_ z?Afc4@z7&e{Csd~GJDm4ch5+ozBV=SiQmA;1ihG^Ij*De3##FIx^14J!I{^CIQaVE z;@VRa_0_gY1e^P2{!{bxg&@r4HW;3or!$>mV%fagchPk!CzUukpME&eX zpmDdhmm|3V9e+vfcTG4`&oG@oT=D72sd`~5kFH(7Mfinr_qS)?1b%J_3sX7C#F1pc zeQ?y1mtjN$VQS;TFroo7wkm*MFsJ-3jC+d?6{9=G&K^EArTzAHML3)uxr^f#$WJ~r zrE%(K5=_5wA$~G7v++LG+SPn!Y9de+@2DE)Rs$SkG|a6A_Kd)@4{bz6afsf;xzzx* z3B%lK;P2V|J*v4UTwRSrEo&Gq#7_iW?K21;nOYckjOEEkrj$8c&G*SirWVH4Ql5Nd zYGG`G=Oa@KW0yL7WNKktE#=Ae_=Rzg@=vMA%l5n9ujU^f(Kvp;noIJN!P3BOhd#L^ zKaq23;B@1fyfQDh*M#4{p+tp$yl!&!xaaG@kBx_~d*2JR*dydawpn==ddek2Vq&0BK;32tsgaLy?MQgW7vR20J zPdy}A3xoV(;$WRJ?s5DaXP?O)nyiI!&twlx*38&vvWF&XVcav>LzA^I?wRbN$yylq zO!m-ZEsXmh%0rViGj=`k&}7ZbQDeN0sb=eo!B#_Hq6gRp35;axD^A}PY}(OmeKBYo zehkT652u5%6@<10MwakboNk+2P&_nUUre{nGn@~!ZJv=wxhqb$%`N*MTARO^ZkuQD z_-f~N_nT*;2i-QeRrk>1ytK_TvWCByZkuPK2i-QeLGh47RAYR)-#o*&g~4>&JQF?W zwz=}vLyPlQA9ULs^VH(}#dO;|6ForNUUosQ#rZ1^+7_6Y574&2L=U=c_OZDxGI-r> z^GwVK-8Roe54vskAxn$%(r=!L`JmfonU>Pd?QWYr-=o!eX`5$aKIpc2CVJ3qbEgf? z(>eBfdQ3eNJ?OT1CVJ3qbIZi1R_CSPJQMRlx6L!r1GLTWg=lr2+ZLFZ574&2L=U=c zZe8`%^1QUoF!hU%JU)G<=6d{bhaHRId8&+AlNZC|BMgo?>pG+u9v@}wI$rX1pZ7Vh zryeovKFveUQVj%M{c*3eJS1H!DM@tt(9>F z!-t%uQpRP(hn%HS#;qtGa+XRMd(8YHXQ`BN8z>JsOEs8M6d#N+-FB-1KAvtENe0)D zVjrM#qCsvgz$ZyoMshV@4c?YB2&d|SV8YqsFppZFhI!pgd1d0nTma_jULSkZzqpBm zJwp?RZ`pD^*l{|oTL&4Kf!Gn(cl_V=w{2)52?^=99P78$Vn<; z?D3X|ROpqlc}gnu%Ghim6?$dr7P$7Cl<0-A$Auq!mP#GnT@md<{psxcHJ=m+S0~0;yzBbFM>g(u1_qBQa zD<*o-eeId(LHD(tr<|{szP52VUr(m{+B4CE?rYCbK6v(%_xDPCY7m%P4FW?N%&gO^Ub`(SW%`*x>T*nFzb+Hk>@}#x zHGXPp)lI7OBMh4MGRKoEPSl{8dKNNqq6T2lC5DL_G*j!Em60mFTo2yhH%!z3aqzJr z!-xh*s^1CT?|xc zctitX%z2`UBN{OCHjm9!AfPp(_O*M@rx?-Tic^n%_|f>)0(pU6y6t7&Z>TW08obPx z8j88qz#RcD=2ipzhR$+5UwWnrO}!o&@#9p6k>Ozmzo5M`nyiH}HQ+i5D8nO+TOxkR z0obmyT-W2iVO??XA0Am0UH>z)@v$azIWjzixUV%-6d9ch!Nh+09)OXyWzCoa=M{%0 z>2m9-<$<{znxvDdEw@kYv>JpY5aci?OzT4~G({)U3mvy;`Qr0b#9+Pa<4~raOs{u6 zLk7PkhAG*O+A>{R#)*0&ZI0PbuQ1U9ERhe-WDBat0+TI(IW?;0 zdMFpn>%rHmLY!;?o|OFcL<{;!$ult*^plcjVlsd^wr#(-IzRiMGPqgSXvE=IT7fschiBJzH_^HSpJS zuYqTx2I%Wo?Y1x3?H7OPWL^(4ulvSr{jOis0DbK~CsIuG09%MJ`mbgFFEuoUHx+VIbZTgDrMY$&KD=@rEP9M=Zh2d z!njS7FHY18Q?q3CfD^Scbpv$Ftq1P7MQw`Qdf<**6m#nVe*eW>&#ede{UpOsE?D}& zdaXyPFrBVx>Nj*aQEME(p~H!KVeEEBUF2BnEsS|7rhdfvHXq;%M{B=vZGMU47H(f$ zn_rm9WG2q72kzuvUC*rt?&MxEw;s5Yd&SUAL9Yj&nlRTxcZG$q`G9Nl`eAN=ThOCa zeE0p>+^a{agfU0Z>c_k#9|+^h7GLtY6WKsK+#>8tk5X}*!|ovJQ7U2Tmb{Knt!ioh z2xGSz>JRT2^A2$Jn|L5`Y`*5&yn67q`I>9<3**W#UwW2`+vYxRSU=E?zcjW{g)wKT zijn)m#ccJ^%q$$ zeXSQ*=s|9dX~*%5+!wC4q2KTWpliSBJM+6g_xVEEDI}1N@M(VeUOJFIZN; zMGtDJ?3XU}FK#Z!eLijfaJsI;q;qxfaYg12XKO*++v635ZrX~Nm&XgCu~Edmuk_(m zt%y4r_u)*fh#Qy>Cu&8UGat^=iqx~$qRfZWv>@)|u+?KUI~A!H-q$Fcq!n@b_QN?^ z5x2qr;S@a(R8`IEP&-x$!{kBq{CD@y^S7gZ=CUGgse&(Y-b8UvE+5X$8pYiR@xxa+ zihXYRaBh|;yLl_2dhBlS-D@+ILMStjC`d4FE%fQXlls{G0CxqsoB5ktb$)vHAx5#Y zg^x^)OMx%$ca5rHcZ2`5vxQT#MyY>ZCm5&X?u_f<%PxjLT!B}_J$HO68&1WpN4yGu z*jdcwcL{R1?XC}3-vx2sVXNP&0N@n%sY)0gl@=+xDECXm)-?&KWSA_ z=yt4#dC{;Cp7__*LrV8$v_fccKB8bsy0ZI+zhg3p4&sh$e7NjB_WCM_dkc2|aM67b z2;yGZD1?XpT8%a0O5qQpBC@`P3ndnf9(8 zE0JH;mnt)O0#?3k2IrUHrCl%c%XHOYJn^q~brkdBULkjbUuPGL`}_vHG^|#GEAqu9 zc17%V8<*Gzf{f(u#b3SCeBHkJ>NhtmUtC`wLC|6N`OanLADoYSS$5r6YsbPNG%UR= zJCT(4uu(H~8AK5^VqPRsk^7;+p7U2iyV{MpQgdd!b1;Lq=btE|-E8_O&56;JmxQgJx`FJ3h zU#?<(a5^3Ye6jC;9h{C8F|SYV*w@U%vQ>s#JB-t@M8VHO8su*9x9S3e&_Yb3xUIW` z^RXi4Ey%k3yP1z)%`y$+nUDX#eR{z`z1hbX5!1_u({Vp1UTM!S^*J9aVm`^ae>fix zq(Xm6Zt&~T$sv5l=aFgY+Lt5_NyqYR+tV39Tz#`f`()A1mB-CiZ4MdO3hu_D!IgP`p{Pv45vn#&+GBL%Uq zJK@)ka+Iwe;7t_17hheB%ir9TJUAVnMWM?V_j~PiPp5pmF!php6LMFD&M;}};{}F! z{pi5aFt=HCa6%qI`fh9oh7+=ic3?OmE8@)I3*9HM)K|6BtoepU&`A~F|L1)AQ(yaf&cndO1c{>Vuyw6--_6b%=K?Y z+!NKo^>0CJrSahUw<2ySc5wY$5u1^@{w@1^{^rW{Z$S>X?TSw}%+ZI|*F3gw^5aG5 z8^mq9;wke6sn!2FUAO=)*Yb9cAx9_fEAz{>*tJEEL_}<^?4~5-<&I?>z2OoOx8Oav z04|sRvI(XiqEeJPx@0=J*M$*r&ml*z@*J|&V%M%kkFLo%WUsquKzPk}4dtsIY68Od z{R`>Ug}uFU1$=aJUyDcZ-;LMe5l;M9!}?l0LQC<{u)Y>oayhsHK9If^i*N~iAU!S~ zNprui#Upof-~9yDj;~JoPVQ@Q`w0iv!1Y=@!mB-xwSZ6_`N|~ zQS&W1nWNa^JZcd+O1<2&&i%LKWQpR6ns3R;g1DmQTXM1>=Ci^3TXM1>?pV&d$NQR< zjM%S~y(K3L;tp87B_|8w)-Z3$$%440a_I*?D2y2Kk$hC@EvVWWvX6Z=$%@!roFB=P30ZJ~Nb37Z=3sU*qVOZy0tL-J1E$ z$CSo&0bxf)s^Z+N%_a+}iVNaCV)y1#N~2wvlh4X>i~5I@#WCGRdNGsbkg7NnE>#@0 zzMUO>5op%Ot$q(FieCu!mh4#|DT-eNg5XtjbL~9vg1EaS_RjL9)YF8E!qZ-&xC4!c z6vYK`l^!JA+%WqRDNZ@wAnqMf9FV#}+_ZmjI{xaXhhdo6y+*-oL{Y?*-jUaC5Vs%m z;&iN0YW=tfgCFv`iQ=}EU!0C5ihZFGo0>U_{RSb(d8^kSR@v8whNbPQ} zJ;v!+FW)_3yf_^z;FvDp?pm(kXSi3z zq%@9@*ZtTP)8A4VC&GPm^<672->6z3;p0E1Q8e(pNbn&jRR{r0B&IbxgI`|cqLp9C*TotE{WRQVqX|e#o)+Iw^-Ua<~QfyX+pLGNyY! z;o1APl*2g+9vRnbk#aaU3Ud~qID#9s?#Vnu3)z%-1;MG^PB z`r;Exiny%`)bMV4%-thiazd#W%0J^`UlVw7K9+_(U9IZH30V>MJ+~JpWJRiAw01Hl zWJT3AthRTeEm~<_2*O()c#+4PsLt zSHtyMZ0h4`xFR<7aWz~KoBFsKE{J`Q#=<6Fetj3yj6N5`HHuAr{EZGpZ0f6J{Y}Gc z>f=hdMzN`{T6+`4rarENYZROMxC}0ceQgszH-`9R|5nQ9#)WGDU5u5tB0IrBleO&)m#HKzjfD7_;8-n-(TOOCE&0|~u*C=j7@WlmiMQk490=ObB zkL{e3&$IA9t3E7=m&}hnuW|W`xI9+X{5!@{}3AKptAyCaCQWkAv<41O9Q zBW_Ot9iIui2~#fz6Ver{ACYYk&d7MR^)D};Gx9{@#dAiUNZJ_07eeOMkA_v0aP_nn zp96`8xm_51!eB(1$s&&ZZAP}+qV@5d6r~#GhlR#|wkuw}BxHWrw?QNy4h;*PS`uT`aMsmnjy@tXP>sXN5?7!UsF zF+_2%U*pZx>9HVnPgw6@TmhHMx4#2)&fA~ls)#%IgL~9X!)o8sG>m4xMsc45!LjR` zDAgM#3eSA*F&rZ^$ld7MpNpyA2E1vQJz7`4i+6|E4ajbM8W;PG;TKoHrIX)w1ClG? zin!BwFRp+qV)rb$0xpO>So*4^QyzW$so)n^z%`29v*b^8C}Q_4xdN_;-LvEhxFYV= z%@;wfzPJLeh)aDhu7C?-PZqwo0ij zy(&yo%$|9~x0Y|N#ic%cuk8kLsc+*`N#`3aKTAG)UUuJ#h$@U6!);ZaZc7KZl7=CoGggl=R?&iH|*t}k8uw6264~Fcth(3 zanHv)=VZMW`+UqfSrPku%sE*R`+UqfSrPku%sE*Q|9s3jSrPku%sE*Rx6ik8PFBP| zA9GGt#6BN$PFBP|A9GGt#6BN$PFBS2^X;6I1+n{lJLhCYT#4g+!28L`inx8gopZ7x zF7@r4lNE8PZ|8crA};mq)$@5GsrM|uHQ@LSw zYjCgD-XJ#haXnlb_Oz*w>*0#n)W`L3MQrM;*aXYUa^86VltQQkJeay?w5*aTbMcoW4YSgwa_6q{hV9*b_*Ux;ViPRa!?j^9^=+J! z=hkrD#cbx^I43LO8n$sxR>U@pb25IOb=+QU=HECcE8?TjxG3T>|He645tsQl&dG|n z%#TlAjnNmxX8w(HvLY_?Z=91AahZSPoUDk;{2S+FMO@~`5B1$#zRUa@=VXoIGXKUo zSrM1{H_pj|*v!9iPFBQa{*808A};gecXe}*;VTHs9eLxNtWjL%-#8~L;xhlnIav{x z`SHUqH`n4a|He64qqxk!aZVP*X8w(HvLY_?Z01A$*4LCW=jcTo2bMHjnWcB}Ht8;d;0r zo1Oc0tIpSgIlIn%u7_(BJCUnTZlc(U%ms0cVka_J#1*j@%5m&@Vq0v{wrVB2KD`L|H*TfaE>4IzG zg7}pZ*TfaEDW1SpPZ8wanbYQCzhe zcaWPXu3G)%oUBn?wHm)eIHT|(Et~mqfj5ZD{P>aa8^mS)C+B2&-v7AF|Kyykh|By> z&dGw<%>U$^tcc6}PtM7TxXh13i@C?_?&yf5*`u2Ed- z+qfpKh)aDN*TfZZsc)-!m#@V?BXdn$qWEWIu8Aw+Qs2fkaYgK+w_Lrs7MJ=qu8C_D zm-;rYi7Vn#-^Mj@MO^CJYJBsx*vk9HHF1ez->})ZCa#D}eH+)r6>+I=t3J887MJ=q zu8C_Dm-;rYi7Vn#-^Mj@MQrM;|IW>|*wn{0af#x06}Tp@h}#}~a!x)M8|V44{y>5| z2#e2OjEh;ATCJ}S3Y?V}rZzSWLlbjh+;BcQFQ2=g*Y)@{DJSNIu}@^2nHQ#Jh`An` zoC{N3WEeU{sEqyH;U_2Og|QC|oShe@cEs1Vae7{uDpVPU)@BRi?oUrn&e&O zQwE<&H4IJCg{g;d!_brY!niE;-l~cSQclC38jW9wnE|6|qyg9$;>+ z#ok1@WUf)%5&0*V%oVXap(Vwryz8&=BlDR~&ZwEcOWUh$aZ{?D?B6h#E zZb3KKV)t9QWUf){ek+&E6|wuRTryY0?zdJR&DUbz4tjFQT%y>wgYa_+H&N{JiA&}h z#qPIq$y^b;-^wL(MeMqPOXiBWw}YNsGFQZ=J}#LHV&4vWa>-l~oBFt9u82#04?f29 z><8EDao}*N|G`OlVce4L!C84>+|WEYEia6_mp?c!EAw`DzXvDgg>jqC56;XBQzNn5 z{~ny07seU=;M}}0wX8C6XnHP;+ZTCoc2>q_jt8gbg>hepe{gp*UKqDM^x!PLFfM^UI8851O|P}DIZrQ4J(d`T z7HJFP_D3I_sg<$i*9WKSg>l;|56;yKs|ale=K;F7v-VcG4dM>TjXKNiNVq#s;VUl_L? z_28=d!np0I2ba~AvD;A(uB$JMTTeZ>u)Z*EJLV34^UQ>Cz!-8K|)?O=up0EdjM0J<}ErfR06!GoiTlUqiPKV|$Ur}gxO`_n(|ID@U z!cC%>dq_p0a*IYWh227UeoB<86t4}FG|dQpoW&rtyCw~LRT~VF2EVuEYei>}yU};Q zQdVD6xEuYKsh<{t3;ubrPOrrzfI{v@-#vyDayR;J7r&6Z(RZ8ph1`w)Zq^+2F$p>Z zC6|wP=htzO+|0tb9sG)NH~Q`e)C##9{mtAo3b`A7ysKrd~s(O1McRtSy0BKBd3>+FKqLxT@4vnyimV)a!R%KVC$ zyI3K2qyM(TaE)D~*ykWV1f__pt2{c{{bYVYUalzr;6qS~xU+c=uCFUnTY);oXz)fF*onEE8r-Q343x90NiMjyw$401R6n_2YMhnMa~A8#M5QMjZ&E+2Jk zdnsH{pPN*LeC&Q;t!l?eDq^2SDuo0DYs-(hBiH5geP2s4X7bl_9SzL>>2=We?Xn&S zUS^gRL_@PcyV*I}esN;nJGYJdvU!>>hBEUS$mm%RBui&qdUL*80Qz zeHxtW@7EK&`T$v3&7v`$@>>j5#0A&3!s4~;A3_o3ZOw} zz@+c`ZXV4>2JAx{XJQ6Sx~m9br0b%OdN^4Jjyu z`fYB|1>})_+h=UfwtNkhw@fePk#_sJ)g=5!{hY>c7@G)rq+h1ERT1(?<3~}}2wWYf zi}srrl}h3@n8RoF9n3t^_(rH{QXXl19@hYQr2RezpH-rZ#`n!lggnxI!-5Op9HFwJ ziI7LSc1#?QM|!g^as8W`v{{$9_|1TIi7Vd>m0YXw3#Mw1`>=ZvG^xj; zIWB;>x;+TQu>Nsvn+dxpU ze*5AqUB7rTW^MgAt$PCd;yg{vv&J<*v;bqMCho_aG_Kast$BsqLhOq(_5vO5(cz1e z_6k+vUx%sh24iN7&cnAK4tFE{s?;`a)oUbkW}!MlgwRNCHTT6Ce2wHbVZJztFVNfF zRlhiouaHdP_gL26y3Y}|sQblb@U?li_qY(gLZ;}peQ_y# zf!vGH1>GBgEW36Dm&4acw)eOozCxy4SLb4^QSzob98T zTp{=H|ItjYkn4z#W^#p0MXQ=8nOq_F+bA1rrOs-X1}`p zR^a>z<}^f!v2%wvVQAg?#Vb8-dR_FXQy3xm+XJZ4W-^vQ;Ssnu2hy{_ zGn~CAgQmH&1s^_DMTZT+xZ?#MK3JuU`|?92S)QrVwztbYAIaRxxD$#WN!-e~&A*T2 zZDHJpS?Wg=M#@0A)dG?Wnt&CfpeI#EGrluTzh-d9K$<_nGlnczQ2DSKbabh+=w{5Np^N~XW zvu$8p5&k1*1eCG+X>vqB8FvuvqbCHq0DIl-J;UkXGnmeA9+H*ZgsKO~7G+ zo>D*NGvHRS2WM#l-flnc;0(=x?N-jt1k{VWYns6Red<=bJUsZ^7Dd31E-r}(Uk8X;SCXnx(Ro(35w+y?(Lg{6LEc}7U}Y58rf4#2j}61u`T0+T32`BT0Z9G$L-)8 z%*0_W=`F(_52;-UV^6$eCt!5h3b{wbgEQ~~+2ZQK8F+=PypXw{b;Z2v^QIxSYQ<%$~75xSYR2m9N&x#^wC0ZFM0} zw_%MhmyJHVfHu1BStbN!fVEw2DT4R@Vi^0pe{d0>!qmkaZe#M`(^z~lyW1>7aWJ>b zs?61UZI|7Lz*1&JQO5l?Pd%82yL_8$K1E!_O%A9#-rK!Aad6?DnugPs0lHD33=Ghn z0ye92)qW1=uRrYfVh%oiHHd1JB|eoC#?TC+ioKh=DdQz?PgD&1NtzE=>Ny5}=xUi` zs0!p5?g+t$i}W;(HC?bTZlciKpIfWx&6$r>=*N}~qEruP+IA540A=%ZEn!BiaI1w9r&>jvpH`^MNuYx47C zUs;APO)uk#4?T{qCe!ejn{XJ9&@ktY;`|Jg&Q;wlLlbno6?F??T8`fP8$;1NJ(J1b z&_GJmy=VA0Kr~sO{dn^?P?V|9Pn`b^6i?aCUw;EdV(jhk-$0QUq!UhIx>JF8U>}1; z41PT94{e;a8E`fAgU?hkVD}_AWfQQQ4=Y#C(y$ZYem{0QI9(H9KZkN~t|q{K z((d3yt%Ggf2s}7ZD^sui8mTx@Uk$^`?r=4>gY)!7#0kR1_6sPb_Ilu1MdwmG0VrG> zfF?TuSh^b^54b%9ad3&9x)wcUzhroDk$r_+SsL3xDVX}2|zuDcD+mTwVS!Z zPEp)91MAHmy7^xKLIeVT>F3VR#M`Z#@c|f1q-Ep5b@eMm^_5*SA6!%?z^#}ME~wMr zw~NJttLZct`6_726H^yrZ`52&SHxZl*U}a7S5r+M140bO9Y2n`s>Mg22&&83T;=Pi z1<73?`=$<#wIm3W#T6*=jpD@MitKq$e90(5704czJ-D8}^nzVZ@C)|~W4C5%J2kZp z7qr(9u0zD-bPCjvJ7{)rIi2Q$dxx)fo5%S45C8Um{OdnGs=RY;Lx8|N0OA?f?02|MLITVV2K7{CEHOAOG>c-u~V5-+lfY4l|bK9R^|l{Nr2`{`tqR z9?w7jcs_b(zy1e4OozWwzrJ`zu0Mdq^(T9uE2{tTI#>9(ZS~m$)28~t)N14Z{m*FL z_r?BsHB7Jl_fY-O-Cs9^vyo?8zrVCsA7wlL`TM8r`f;H4#SYZ2hmkwYc$mQrAdx z&Jp>RrkitqK?-AaN^u?l`Lk|MXK(%ff9EK5@ecp;`ybE7biwWKZp*h7voT$Wt4sgg zlv9@T>9VXk#|`PVy6&&fnjZ)63bE^EYgJYIMA34&^EBp%$Ibu=QX5J%`TTfA;#iB7XPNdE5nqbhh+7l!AZ$ z-K{Rr-(uDO_#WDN1M|B{=Ud^0pPNyC zcb}cPjN8^5$<>nA?;d#lRs|m~>#lh9f*yaT4}#YJ*uJyF{@tT{7Yy+?&IIxIx2&&v zwhZt7BRZaC&Q^R6<9@z3Q2*i-55IPC{)H}afH*s@PHcbw7rOiZ-ZmkX1DuU|zJFVP z{MH^{!S3*%-~Z$5yGyt8+rEGPu|3Z5s)f_HZ(BX&H?{4(4D=I{QfEiGGWmB)X5)T5 z`EAhmJUD+=ull9Sc{NDCvs72a@z38K_*=Mh-uz{Mb+3*Von1mLI%~}p`5eRj`||f* zR-i>ckCcO!^^NQIp-hGxxVYY-uLktW@hab_qx~bj&-j!GjL`i7HvV={vsOj z)8D7+_a`agHU{|m(*-$5fL|zx3pB&W9V8DSc;NOh#1JC}jSp!vxe*Zk+aw{7@;jsW zkmePfPlGKX#R!;RBlyCHw0qo0%yVC0UI@O87tp3i0|Y+O0aWm}NkU-uPm&Pg#RuQ; z0*nOWVRDeR3&3BT5nM#+15d`Msm zA_2|!S2_Y_d`N(UG0^{jNk}pNog(Bj*T6@xk$pxY3H&HFd>k+IB7DgnblZ?-7^%{E zF03TDZSg-L387H_Bnbgl#0ETI+>ipqhlCOj&?cNVZlrL6A3gwS{Y^K)?|I;HkG~Bi zq-y(}Bp#&w1Aaol8K@f3CJ)k}06CCUBN+z19T6mo2jN%(?kIwBJP0@SZ-WV;X8#}x z9;pDlJ9t0{aCDKRAf7k_(-A`|grtBU*$IARK7J&H{QpbW_n)*SXk9>z{6P}<6al=~ z;MOzv1tQuE@By(+1h_yxx(Y0(UT{?yX>WKDUx@?s7UJv9zy%MY!hg%I|IWJbASn5r zI3eT&f&Z^FVl2T=2OvfYapp(*IEY8%z!5}t1s;b1@8Nv?wAJ&Bj!IIx8 z;{U5g1a|llH3Kamxd;!J04*Y&EgpDO0~lGP&g4ht<45HC+Z6q=5`dgcAyCSXYzc_W zBXlG`a$3nFZ~=Hk7z-dy;DS0txB(;=DJ1aN$p0*V|IDaAHWVSrLJkc)asn^_9t;6n zLJSS~PGxWi{{kEGcLC&Nf(JQq1|PNr^8IZ_{jqTtP7z{>1Ek;r@JMk-&My&6F zVTlFckJVbfWsq%#4{rL58flth6wC~_sAX~0fdO%gX!?$|GPXw zs*~R-5l4}uU>~!%zH8I1TGNTl$ZOjD*huifK+Y269pt) zw2sgNh<9>={YJnV(3D7OkQ0InAw;}V6YK_3;^4c4{`Sxzwe0USfs0#krHGspfSd8b zdtm$jMNBeWFa%~xQ4 z1kVuL9Rc0}p+Ar}|AwSLf=mcZ{=;%0yZbvyh~1Dt6Uc50AYCEE3$(y=1Y!{T48eN@ zX~@7QaF0M1;@w$)pCSa`f2RoeL|5*iNB^z7v=&vfV>y6L!rOGiyS%Rh$3F%_4nC?0OOw|A;ktM zU%c?8aBx;2fQR(IQi=ZpC4^M`LD5Cj35Yr%W&FZJ zyLe(82uL93xfk!!g8WxN{#~^GrEx%}LA8o(UDnN7NtRhwhZ}eU4i^g)xb<-XBGBf# z4&Kf%W?mi+D+h0gl&6cBj*q)Ur5F@EL1f%Ky+ox=J1?coO~=$H8&esOK%vntOzi-1HSzNe#Q!TAvpK#->C=U z{meivpk)}$8(E!$o9jOp1U}x*Cjb=G@v(A*S$i|<=&JGZC<^lN_$xsb;Iv(&@WNAU zV7?9jeGni@53#cJg4saaTp`}}Fo?Z_ojr#;K)#!&i>0eI3}jg)l24T&bC8_WR??9H zIt~JGk0GEWMH3LNJgMq6<`rU~we`EDO(X3oyjT6Koscs2ifByBokWh>t5U zL?+DgntCQI5I=hdYkP>7kGnfCMqUUqY}|aToM9a9o-k_%FMta`W+gc}IUsh*2M&U} zvxB9pH&7NF3on48Kl0iDTm*``0o?|F14hZq+tbI|8>k1M1tFW`PQFPJyP%@!i7qxrAZTS8o5E^eLy5P$}6V2nWekv&#}_ZWZ~%+(&wbW3-j zFV+BWfIMC>YadSs?*L8~sFaVlJ%A;EI3*cv4LMag8C`7+btM@c02lx`y&Pu5)q$XfSX9wqyBunXvr15C(S|ka|J`z9uCIRdsNM zS$aC$0ie$f)dlu+0UuK06@bctJ9&Xe2B3<@eDF=pfQ~YSszQM0>Va^IFjO5}ar@1f z0!j!pL>HC2!(64{Lu-7otOYeRx(#2h{Kp?tz+te10pbsX>UqI5e7v0jTD;(is+Iw6 zKHg9rIC#NaP;lJ5K$;Q81F8n|wuB=U?ovW^;275Rgu%4k+<^5qq=ST5D3k#Z4Jafi z$S!$6pyAcPjTrQzRd^EISU}Y*J)M+XZQaDg;FkbnfVyE45=g?VV9szl5Vjwlh`5KB z{*xu3HM!8sFfU+y0B*o7)jzT!`Gd?Q;|AzVU?9Pj&uoFYCZ601RJDWUEScVB6ceyYC~Eh8BaHNX*Yjh ziI)q$AP&qYz>$NmYa%#?U_K%Vz#vrH%^MIk2p2F&HV&4*mE{B$Pr%~;=srjSSP8QK zQUKF+pnBR$;K6L~?d>iCh5GsVae8@k0-6ngGpO1@hI!CD9AZ4A|vm51uW{Jl+~@&KZM61utq5M-nlxaI>E1c4^CflD4> zr4D{64}9_qjvqulfHm`WfO!E$)ntH22Y_LM69U`;why>NG_NKD>_ZR`MAoJz!vnvA zS11Aecd;!LUNN$R@(!L}-ZJ)&80}PT2m66s^ms4lc32?D;bB6ao z7UpH`>EI4{5by@zbRq^HUO>*(+RX+uW*{wa=tFhg^jsZ)R2V!BE(B0j%Zrw|`Jo05 zHs1DL#^6)T;9@sFuni9UTo|}>3w)Kt{|g8Bp4N-w{{?#gZ=w<0w()-xJ%5)L@X5Tt zTju{%en6gnQ}J;9@-M>A`0tS90#q)r!U8r09)f@+1P}Nj&xs>j3}){|nXwD2fZhL8XDbhPQTc4Ym#(ph5x9V9OWzF3un` z;J*X)!qb4fAaxf?2s{I&;p=gTPJ{P|f52|2i_3pKgAHDAA3+|N=f5q2s08tjqu;MV z!9eU@0#o_ni~ishSUn=&fAaUAd-LDb0dVzq_=8#h1Nf^W;Lk4Y=4|utY#_q*`a3cJ zyzSvn8Mx>KID`lWA(#Yq7K8?P2cCb2$psYttMdh$|G_Xge1R4&&VNA{s2NPVs2#ip zq5Gdg9?>$g2Y*2xtP!O3;tc){UW3Q)eFLxIx&ZmxMILamA1r@S4!j11^{@W_H>keg z@4q|q0zL*<$G`jSzfMEI9f0_600RXb#FsHtNk#@#p*C=r2jRV6cwnHrh6JI8CdAg# z*$W1Bb4OmlLG+h@3Dr@9aB*_+Ks7Yg0B2rD3X$s{-rR+gg{%)z8tH*SWgTp7VZht~ zm|qwJRk*M0_NcZsB$2(;%x~9!Xdz49S1uXOJG6`^#Yw;2%j+2%@qdq_HzSr z0n<3Z85M$B0l@?ylmG(P24)AQ0;|+e7awPD2Y2Uy-*^C$bioI}WxbdqfTVHDK;<34 z=|5E78StKEVW3k8RfW0QdE0|KuJ|P+fB*>0(gnwUo?RzURmbmoTdF72$G)VRUUSO0 zPR@hyvNQ8lLCp1#XAo3N$QsTIL$kZ|NhG%gX!ah5?j_MPN5wpqiz$~*?4)X}AczaY zA7boGC-3d$5GB3$v!<5)=IYQ%+w!UBFzx03%`GmfexpE{0{UZxZ&6ydB~f)#Nfmro zO9W@fji;J(-EHpho)*XL5Ih&W)in#5$9jV-#vdiBcqmc!ez1aTbv0`UpzmD#x6E=Wo_ zF6xmrWUnrrYI&=+OjuCySyDRtS^1Ko%|5JO5c^5ne5*Myj=hgKVsd^sSTa^myU6%` zVYk!)CE6RdU}vUi-xEURNLrDo?1;X6vExaq$h%U>awbeBBf{4)^gb0hXPE`#98vEgs9_pt1 zTbpyDV(!P$B#J)5^g2(huF57qukU3dHh-DI^Hjqp7$YuLW}s$6vsbIv!@=ssfqOx9 z+d;l!zc>Cnk<=nis8z8O=RJqTj`*V8-r)5g41-}mZ;Zb;7UFpJq=AQ{xRS0e(0rJv zN19XNr{v&jZSJ!L%pg$=aY1(mt_r)nlg&#)T=x2?vp;eJ*YFRm+9;#s{L1hKw%R@2 zOEa za*kQfHHo!+wW(8CEN<)Q|C|A}G>9@+GIe zxM(6-*2VUr;TO&_f>b+qV>ax&)`88TXzGP|;^q^I_4-6B)2w%zJ~lhvaSVL5?np0_ znR9cwI$QUblsWg}2)Zif$B+Plv4Vgw8pbOfH}?jv);@S}S!h5ujO30c4<8|fE_JpG z0mi>^!Ivu~WPlrOz2p4V7U z%Ca_7j<=ufe^06fQ7UFEE&Mp4d1goP!u88t>xt@vynOjh$NfEiwQdUKhrXQzgN|B< zofWed6HE7@d7H#&AM_>cF89;C87$&?tQYoKsK}3~Yva*$bVm2(Hj8hxIU{SrKcb7% zJsd3x14`A+C1=nZ_l`en>6u4F2M7xul~~|^2(f+d^G@SlN%QRd#*}UqZFRswkec{p z_H$A1ABIm;onT_k2d&EK0=j7nH*{E&JmXFBHng>+>yCn924Br9*=e@Mu09U_*b;%~ zuXbEpVL6U^W@(8!X>$I22V>)4;*#Jb6Av9XE02f&V^J*!0S)&XZ?UzmJE0_9b!X1D z9x<>dHnzW|%_yUtfc*ec^R__%tFy1Om=aI%l6F`!I(qqMTSM50XlIWZe$eJC4z7{9 zjAj>!9X)=(lii(9zoT9}5cTFbHAlrn5gfhe4H_GyF1>gj z6wu3{Z-F!w;4~iG4$lPz0{}pzf*GOxk%}H^E-v)@KiiEz>;uw@Aj|^7m;ljVOLs*W z5V;3i(D8=3=tG40;l2}K0bqb{kF*j%+yjgtfm4Tn1QtN^VCMzlgC|RaK`Rb$j}!;E z3Jh$UhWqb8U@SBq!o02Rq3Ym*6k<|rfPgyS4gLwQ-{PtN5QyLU&drAaIVcqH z&ch!Y1s*&9FFFrwDB}P(Pa`|eE%g6q=l_`I{D&L>g5ke&01-L=9|a8DD$V_uh$%+5 z7#KTI4U*r@F&}-D(UG(s915dzg5sje5aR9;>_KkSawHU)D)|nyzY{Jg4Qx`--m4~X z=JP2nEo<92X>0nqw6wofvaz&((0w)4;QZ|H%ig=`9JlSN95>o;_kQk{9B=!kZqvqp zYMcKksgX)Me-f?JzV*>tbW52_LW9Zp$@;RiK&M6|fm+%4(%Wxt_tL)k`Ie4)(AV2k zix8yN+&THiqS0S6sNVPL@wiP;jLRJntFNh#Tz~w0aq8k}*zkQrnt#6dd7-W6!T4?& zN!vI4nl!^%Z?36`udWO1Sh%alg(q`Zm}>qXCdpR z*W3a@Dp8-R?HWq6hxFkKoV&!NZ=m_lSvYw8?0C(1xrz^m@D5|WU+@b{{d88w5)t(g z=judRkTpN@$mO~l>GNbWrzJ~kIrPTW5hd)scuV%0K!zd8(0MGk0JK%RkGB(UUlDHi zM@uhjb3$S8nJLz+iM>tuT2FF9pt2R(R?4+4rL#A4ik;U> zajjXdSo95i^cuX?yIt$_gmlqoi~v3UAkmM2&S_pt^Tgc1BL59)9@|dQMz)7X*7g2~ zb-|hL>%XF4#fQ|D+4S-=E(`-zbs;RT2P^VdJ$%MDAsgN~C9PbWH2?OeBhN)mFpCDA9T2 zb2EYkUV@MZICBI(Z&@GU7uVi~xRZ}YwQ-GMLHNGLt&w8eX`>CLYqI=MDtr{*)UOz5 zO&m%b&f{>2q^5}>e1t<6!)44WHrT!Y5?ROxMJ$)VnS9@HZ+!9?C*8D179OUwWMyll zKMrjgf=hXDv*@?wC`bsW8=p;H<4AbcZy0V^s}6IinRA}No4>x7A5383YFr&vPB24% zI%d}!ZDy`QJtLQ{vxuX4C#~PB=jzOp(9>%_s+QFcRK88jLA*g;k(!uE;JmmEVU>yt$8lj1R9rQfzlu87zQCvFR>{z)8lI^ z88R}eJ^ZNX>4dV>y%@99)%w}v%A>hzmp;5p9wwApGEcRQ3~xE4P3+Tg-Tv578s}1f z%u|0k%t~a(^Ic2-oC{uFqJcOhSN^WX8~2#4S7f-v+fw(lDgrY4tF>tHyVf9&H|^eD z7Q(HLnI})u8WDT>f*xb}9+r4VkT#7zIXiDtCr{<+U=v>zck-c}W+SgG7kj)xp58`9 zf^R^5_Evz3(!d|%VM@sLJH^1X{v|(ja-IjHYeHxoTOs3m&WK1ghE|cun zb^bTIe7b224@=*&Enpd}y0z^75|S+UXc~I^rc0ZgKtx?C^G=QUJ!5u-j>utVMQ+UI1$jw{yKN z>w@lP2_2urGg{p_FCV&?ndhxjCwr*=fjSJFWItjtMlI8Q+YXo=-lQwyQ1c(Tl76u; z&HW(Y6BAxIwunOI5@;FP(I_6*l~2?3eEhmJ%hsH{F-?}c|H$NMZ|Jx1JA_T~N#2`l z2{$&2p1>YicXqP2DnvcWWRJV8bl0fOzyyuN@E+d z<*pfOuoQrApj0ron%3$;);N7gco>G)iheL0!1-C9gPbvnC+qBpKzOKde7V+u?xjTE z;NzwEw})un?;EwaUXMAin>auh$_GL=2h(xX^qvvZWE^m*RotxIxN=>c#H}UKhvU8_ zrI@bP#uP`yN0-T`%Ub919BiDeAxV`_1#&veZP(*lzc>oHS#?B`x|>*}j=owTARA?A zvT*%n(4@%Lq(}+=wTG_Jmw%@(U!$*Bqjv%S=9jPK3)bIkvUh4y1pYT}!lDctFg|iO zZW?fE8enUR3XmZ^lqGG=AGzeKMjZV1x`cCDepVViyKE?F&-sm*-t1M&HI6O<)?@YQ z^I-+6@2yomxus;o+0la?%H|qweKQ4j+!d`rJ|C%+Jjq<1ZV1$Ww{gvr7|;3xv@$cNki>B)GL3S z&4jX@lUzlXc{LL!;n)o~GOb>Q;zmEYEX4=9hmW8O zE$N@by|8Gnd^P*p#^78TU&4AKICt#M65-58aJ2rl){*4Yu=Xw9&`19Gm)|h`#PhAT z_*5BkR!vuh?uQok+6ry+1dGxPE!m~yzI?n<1EpABnVNz5*Vr8`U%OIp<<3VXAJ06q zn#=F)OviK=UJOp24bY9PUJ4CKg_#l6X3M`BAv3GGe{H4k;M_v*?g3uMMTjczZv6!CaG*#@%Q<6DMp!_4_<0(9~)fqb(zP}D!+1%&Qm(t zS{H`$@clzNvc{{$9AY)+ta&N#yB+r}?+z?_A6b6%jVZ~IZ0R-^y*qDuwl}JlljHj; zcUZlbIOSU!hcS83lzX}Vi>iV}xv-X3COc@CTWZh7C%^5jTnSZ23nCd++whap;>D=lzp8zG_|dbVhZ#4V>f{ zdr5fhI}PZk?!WwhhP8D>{_0#w%Gf2{mK51O`YvWF)NGg7n07?o_3QA6?IIbMk3U~OyBWo)m-HwT9YU(s)3;fL`R^(dPVq=nYNGM%qX zP`bue$kf!Q#xr2cQ+y8<#SFmyx+cXGUbTi!kN))F?H2paCspb5{go5w>)}fo4_(hg z^J8miuW7YErH^o*Sh0=~xN6q-PCH-mr$0I>d2>hA4NjqllGXNBS=6YUGsU6X>;e^c zjJ9X!X?(6`Yjs~%Wc?KTmDgkOm!T^K)}AE8%HaO9GL*r~?=B}!N|5k#9{CsteG#RR z5W~JD*M7VhUcI*1{lvOXv@SY~($4Ly$d+_FgSX*kmjh39?^Mu0U(UORG1HS-!lgM~$P&<{?jomQojg+#EHjX6;Iy*Sl z$huFiFkB~56Oz?gNGO@)dD8pl(xsuDT35kpn2P7ed4t{)F=Ln4cnU*ikgKM*=}UJ9 zI(!vP7dk@bJ432s%eNdo2(p|sn(vQCkq2gA3h0_d*xohzGV5x@hk`Tt!pK*D_PCPZTxJkW}0!ALHffTe;IKG>KmCGMaU(=dQQRpl1$6Obm>K zb$+S0D|cg4zVnQEjp`XKng4<0O_T=_qnV0~IynAQN>Wyq_SYTkLhqq*Wf&6eq7=sB z*z)DY91GD<4Sm5t^+A(a{1H|BvUTBlxH?Uo7IXVXC-$|r@yoy3IxzE?Hz3Q>;*{4C z$B7C9-{N9$gx|jV;e``>Psp{KQz|synlbLZe9&F&F;+u)Lpqn6%lELtHQFw}y;Qg* zHfUV4K3M!-f2#I~0>#4a)Y3KR7o#>#{~uPeAGNwK&7fSxKB&T-Z*;pBblPmjrHM)? zB7LSP-u?@BX!NF~g|eT1ZH!9O-gNIy#=}iM4yE-C^>S(FJYD^K>eNX@~YQ*qOr3 zPdx40v}e?suVaItT>Q&MpIH}vrf0nU^mI4Ae`q=N>!xS0mqcU8vm&FS4?9vC)6VS~ zh02F_$G-)bk@QGQ#&PW)7`cswNMWQH?}bm72=3=Oe6&f3$|Q6|OL=y8aw`fCyPopw zB*Z`rhuBj3nHQFm(zH>{>@;O)+D#pSLdcbNaaiD&4!NxGdkQ|dchqGy%-{A@@g*LL zdQybN4i$YMZfRVyS73ffr5PCQt2boU;LT1(-OHb4MRP2!-lnl}g=bNx)d6?XTkip$ z#Mlh?n2xi&EbXJ$`v!b>30m}Zh>WuBS#fW~Xf>IK9G}DxF-`ck({V7Iz2E9p6)$}n zj=pPRZDbNLGMjWlwu0`ge@xEn$!KhScW`>qlCB;qRjMPdBZ+6d)xjtBY6k5!y#R4oKV-4P)$BuLX6Wch7|G?AjakDwb^EMCl40E($IW<#ea}zXEoXG}8M;tl-sq}aKc%H9`&x~$-J1a z6b)A?A9^gA=~)!g!dEC3?Yy$*Plk@(Zr-4>8C+sYgEG2Nk=t)4mGm~uZdRH&C(n~* zp!OHx*>d2C9GeAGW+0D62&Vq<#4VO@rmwgtJ2w0c2ccIrzcUA)h_5O>`cTQlTz}j9 zZLCCoVcMIwI|NVilom5t?1Fm8X}`WdnH5gCezw-(W-z_lZh5nB%1vij$pTtrl|QXO z`~#Kcy%N?Y9{H=(M$Hr7oO|fcm&Yh5#RD&okF5N-^K3;Rt}R4L@EF!sEU4WI#qe#4 zSR%nyL1j7&a`3(_5)*1W2n?-kDMcA(cD1Yn>?u z*7qAstp`yiGdL?0lxNyWa!*~JmRjGN)Lvoru+u>yDXSE>)7fk$S^7d*zWAd@v5P4C z7xD4Y)9_ThnEG(LtexY%pUT%{7Q__OJ*xQ%^HXUm*EibS*3WKp+fSKJQiPenV&66# zZyCg`sf`ubew&Dz#$Y9UR`*&nxb#;^zJ7DL4Uuh`mBB#uucj5T@MW#jLyi>OJE}PB zH=Y+ioJc z(T8W61&<~b-7N|n z)?G3|narmrFy0r^jui{Eg_KySpPzq?`cQK#ynCyQqoX3`$Ruld<0pfjmg7R9`;9w+ z>U7}K1U}g{!Sr{X2)0Y8?FlN*l|dtwFC1~_m9A2rBg#-8#*ga zWNY!0r#N{m5xto1MFH}=XisSS(Duuma(^6TbOxOTzh(|yEGyesWzdm&=PfhDlW1`b zuWqp|L`U=*mEwVRYjZ#m*$UAwr71_f&9qgHH=QfR%iB0V(c|A;w?~JPF-WQ>l<(#B zVp_RETzqQsajq=O+b7EMzYjslu2ky#nuxt5aVhTFd$+{z6{e)GA6w*J!Eo$h6dIdN zwBv=Hec8U6wH|+;3+p_~fVO%v$FEBxc4aIm*(l+JvBz%g{jU028@xyMNO%C@b+>N#bw<5mJ&Z4wm-s%WBG9JTB*wnSXrK=r5 z<;6S=op`GsVbXEebay%K7DJMuc=vgn!O%)r`|+k|^O0gMzR6LxjYNaaLW#8ohS|sc zAM@%zCWnmWZmP2r_AQ~)zM!3=*H{v{*Rdr`$FO@d#4E9$WbIYrgNPH6ClB<_XG@e? zcH?vMW23Kr)?kl4uGkvfwDBlpUXD4awA$ObH(zF>5Wf^Pzsj~Q#9*J199Zl&mT(C> zDy1TEu}(Y;PdeW|l+XEV`_Fsv{JgcLO?J!#56gxd6Xnxmc$pjq> zOEwrkz-XM4G2wjcN>XwAS^VYlW;^pw?S^e91!1QJ^)I?Itu?f#?-xSbPQT7|KeD)! z8;uGPx3mkjTqbk z9jQs}1Zju5w~cDAmf%PP`K!dd+|jjHcv*5iC1>HDw72Mk>q?h|Fj+_x2rGsbQu4G} zP?SGrzrOv3j#&PED?V@FT8xZoMUd$sbNxVZ(#N=ou+dlJI91;$LlsHI>X(C*hDdJp z7Hl8tp?F-Aqa6#K%AxvR?u6EH+f2PpPQM|GWcOovm|1I>vN|?_SHcecV{M}eA;YwX z3kAj#BU|J&T+%+{lV>mV*^^pZak>2MX)>YA+gKLIlG_=h z9zk}`tFqJ=*+(hkc@%xJ0>mw^@@xye%ah46>D>A0erU%$RlZV*C1pZXLz9f0WtKrhTgzt_c^u z^v)r=aQX3^g2)^xA(PAvRH7{sD$O?ntE@_|K5SSCu$na%`{uF^Kii2*K9j=S$w}Fq zx2>$Euzvs}L*vr829we2-=1ApCbtV?Jkfnv*hCVE?rmK$mxEotfLAK7{nXDFwxd_4 zf`L!V-ZSR8#Ho;XtH$cj}5ad#JprYG=?`Y8fKzKy=A zzNr}%N3hvN@kBV>r|B_6cB#!n4adHqD6+}>UyMH$p%O3IB?s*3GpU>IClbjq7Rjfo z2>?zaPvP^P)#DNUOmvE9dCh_7dNu4<&bOayC))yn2%^We`5Uzzg@*}upcSJ{QG0S4 z>9~>O(w1RPw=Kg8N>>Co?zC#_Gn>6}`f_{KqRdtP(Zk`{K#cO9t}FD()R+$Wy8=pl z^Sx~!q!~86DZesuG`J6SICG1LOqI_+{1`@R-F-8aEbgW)T98_(*k;83$#>@V@HlJN@x_OYs6`*IKr<)~;Hn*nCo)H+i2NkT}4wlH{F-3&9xZ zP0;PoB(YD$<@U`Y&R8BoQ^jYAbKdHS{HB}FP$Aqfqm$}txT609V@OY-=F7Qc5N4;C zLXFqXbyX_UqfVR0VUKR>P}3L+mv=Ro3Spg;Th|F+>FxX|<8A3kcs)Y2jjhC2z1LgO z`aRL$qr2)AyOCYdoxqu#)$1a=MM;dn8LKH^uU=$ zN>8by*|X#oe0@y1TRu6&R4!^ajF`VFviO(RrpIJ(67>1Fd#+EK^<=eK;O4}L>nu

    OIn$xWzVk76_PPa9%XLci)kc_{v>+qwV(ypFX(2%h(=2PnbF<8ufiv)4Ed~> zM?UhNDP-KbwV{Q!FI^cvwX2hdTa@6cc8%KYV;!O;qYBENRXLan1gn_oSp0aSP$}O3 zR>4@j!CbYs??7i~D(Ra@ieeRW@Ir_{Jh=qf?Hd!L-z@k8_%qR2jlC~Fn-UIXegHLu zY*|Eih|!gFZDYPLuyBkKJ)1y7KNt5mqqCjG=*nnzos|%Hcv-7J@+xl~*@Defp{c{V z-II8T^TGJ5Yo6~mUk9)#dHGQ{iA6NHJRzBz=-_`d_O*m_RL263Mtn_2;-HTR^Rv&~ z#0@^&o5eiOg?x%|!!0~tF!UQaW{JaO@!3M$_?(q@^UEJ}k8$QmOWmAGU1nVJvExVJ`v=|`h|6OFT1#6KQI zx8MqjN9#A8mb%(^UHMzu!)@2+$-eL4`o zHP*g{=!qj0M|a)}DUwDuvF9SwI^j(Ij@5&qLHReiMCI>rW4nG8KMJ^>`g-Pm4izmeOwF!0rurYd=h3lnqi6esisvH>hJf7P#pDk z6!-L>Qk*}US!>O#xh5%gjne0ts%VgnM8S7^u^krFJ-;Pu$BsKhbVjbbJQ6&z;za_B z6T06g)_>B_E^$jp8=YueiuQd&AoVqz)^cH=nDkeNbYFxA-38n951yop_~Qwd0u^h1b;bwVZB+OAHA<{cTm8E(vTJTk#>IgUjeA z1Hwr(*3`ZGyRVWTp~xS!<%HIph^rR}D~0y2)es0Y3UykoSEotPkPOkW4^Ji36FCPK zNQU%os=3lESlyu_WgEGnfj(CO>+1cWMoh=TE}@_4Z$ISy?AYUSY|sr+d9KIkJ@_w~ zn{9I+<799t=EcwVM=?WQ70}_?+-2y_sGva=3sv;03CWSRQ9{#u@A+WHf`j7jf%b0W zScfpdnz!O=t#tnEtwPEti$w36uj2J_e1EIgn_t7lq$Jw=u1RVj{>FP%HZ;1M?`?Kn z^H(S;CVLEiWO!wUWftvEj6Ry<^*OL}?hr(gZs2KmkfFZcJM;)eaRH-9E~ci+IE0-t z#j-De~1r3b7Cc(leG(ZBUECgHxLQO0^)@1rW{G%QkA2nLurri5(^KD>Y?NP$+@vVYhdBHpL z+(O^JTA$Ev!%|iTO3^wh4lrLw2F#rI(Lk`T^re4YZ`*#SO9H{fplf|brXji~PBq&x z^5Nu>ubsmIF{P>T{Z&z(2s<0+8zd@yM{a{yq{7Ca2bJEqy zS*WM5(7l?tW@?i0*;F*{_ON1MzVuXm5=CZI8!lsfpVy7b?1}uiPS%LLGTX}ukAX@J zmjgYMxu2)_lo`J@sQ;$G-d7Sis-G@YQu@kF?SUNQyxjDu z3!N;deD8@@?>YJMoA!oX>k!?G$=fGx5}8RrZRUMYHA;54*!yh6FxOBtl2_7Z_pbQQ z_|M)4qyfsXx|*Mhl1>67{L&fAvkBKXBxURJlNTb^c1}+nt+6v6Yw6T}q_rVGpCTQ3 zOyolvcAo_8dVuO6Pt>JelQW?k?(*06@syxs(LL9zP@LI$_9`T1HSSuP;kdLESqYTCuvOxw5@h_* zWZq-vU4H-BU6;GAi%|-kR?QRgiICKrlx!V>$<7hbE_9>F!@v zs4C)WfxN8=Jxt}oUE3vIe0_`AM4b($TW(9AAsD`ILf=T;wF11|!(CnPv1CWmFAcp= zi4v!CCyk%)axri+IUpR@=XpdpZql3|ifl}Mm(+5Simo4vL|K(x`OG6JTf%i4?VLAu zENW;LvsvQHchJ>-J>HSEAHY1mGe)Xhq;2v4z2vPgg$$Jzl*z&VRo(3jBwbv zC!n|S1$ip^(ocq-R+kPsDm5WIw|g&#sL{O*%h3;?5_8Qla6Pm`cNXRAy+y#cLqk%e z@I2j&ysTpx-x}vD<@wMRcA_}cu!9QX?o8ex31>VRW;}&wF%~6@g2en%`a(%!(HiJ@ z%O6lfE67*{axY`Z%FUVX2?>6R>eYd zB$uRHk%0)>7>)%r=sT%@Ip(9AXf|RE(A_li0-ns-Y?EuV!$(8j&MiheALhHb+Z|2r zM+S2rSx8Outlb{9-=wt~?4jAc+p3B4@F3*wNPAaiebAtbT?s1WQ4klKq%04IoX$1E z&Xs*-yKEKcrko7-C$=Dy7nvw*t;!)%?_;cH+Iv3pCBKk&IpbSz8tat{Ud3(ESU>6c zfWsN2Wcoea`5|M%{Mz?xVV`7W*04G3qO+Qx9uv#haY>^!-&)Qn<907MtTQWocok;4 z^^vbqRjX-`d3Han`schzlN*ip#Du2AW#MGyGY^8+p{jFn3hFEri&|yA*Cd3xDJ9$X zahD!;41UVh+E!rJnu453)Qy$hm^l$Bov}W29BLmp#;zJk4tRmrJ?+V-K=xEG=0nuG z){!EsocwG4CZD7Tvfhw5mtS?Kc4JQGXIg>e^4Gt zyk)rvRQ%XXo82>1#>Z|$gV&R%rbHfZTddAPIQpK`@{Pa-E*6NqWRMJ?fMnqnwM&s7J zI+JRFmhx$5HZdfTrYs8I=65t-9?P^_Ue{A^&rjjjs4g@D>Xoh{pC))JY%%fl8bMA0_w}dr37(qhul%34bHbmXz#9F z7uY{;Bo2#7z;1jw0=K9B_!5TqFj~1C zF%pj@OnyKLhH=N}j(bGnP+2h!lu6Tr;&E@kxK^GiOR%F14HSdk?N(!_BLD#eiPi1BZEt8&zgR9PRFXN zHpHE4k*Wzdrt9$9N5-ESTv=HU^$e8BuR3*jQfL0uGwsYk{^wU``yQbQ^2^gK?ldMe z&gFNnNKQYGgj)Hd3YXF^G>1@;w8*_ELiy77X;;z2mT}Q7+*BjAnv!%)wpkp9v7jnB zdU{;A@^MB($HzDf%=)XNlkH6Tl%wIjmAXAc(rq|ZY<#xfSEJX=99j(=ZZXry%PI3) zhN0XWAS2kBvR{fFlZLjAoOf2tSuxL(+EH6C>AQiCHcObg7LGB*P!V1>|AFsC!W)vunokmk z$0512g%7OI@D~aA1({5L1}dAatF13BjDI#NQwy-3y~-otX{{VFL_}5a1*PC9TQdH` zliX#;5ZU;bLL7AkPtT2&SrtDk5f3RPDGLi;s#f;BuV>LR6Cz>mG1ht~Lexw}Y{V&* z`)&kB)puu=iWbqhMD=0R$11N4bhIj%g8^7gE{@V^)Kf*m zycNmF+Boi4+8T~U3yfkt9fOK0cVfd{)PCi^ws9B%x%u3G;eD05FzL?gd5%GLW;VAO z9nB_#=UhR}6n7tYmNmrA+r#)qEjk^AzTRRAdzriZ@+&XPYIj)Q37ck&zkbU$RsS|x z9FslQkE+fY+ij!R2b`#VmYr_7eGJSE$@jblmiZh-uVy@fF!B+^Xgb|`6>BD?Dl5%% z&z&+cTBKPYjT?(v=T||?wM)`8uN|&=cc3_1&|)QrP%Kjg304yJR$VH`&&VOG_o=wN z|2Z<(!@umIbt%b|F6Z=;E>5J|{CC>j5wxq4w;8Anc{r>e+EGXs8#(ciQ_Z zlY-(#-(Y;t&w7bJ>GFEV_An!?4^k`-(xdxF*%l$s8uWtm7c==?If#B4;ImLXMj0U| zry!A$dHLu{R@W&j$uBzeOBRWATie?zvJk8K`u3&AF#DWGRh3~Ldrregq2`aNU7u`z zo>^}^2!8lsId_M`8UKj9!Yg>R?3aa`qLb}N&I73X4d7rG~ZmP3VyAP z#mAn`@6?$6)cDVRYpCJ>(WX{dmZI7jwh8t`<5%*!ul#o}+$a<;bA8O9tGJm+y0=Q)BbK z>^qFM{lWHfjVAt8y+6rIS6PLy>iMVTX!uheRfh|24f7f#esY#`by{8@O`!_!4&P>r zrD{EkKcla&b|xUf?vm>&S8R-gFbYiJq(~DDt77){e8eLvxE<`RreZbm*zjATP>aL^ zriHec)*E-Xq`%Nv&(v}zuJOcCG@bLYhUinw4!o^J!4{dnP54~8RLJLRa<^-)3WS~x z>fW3ubvV3^btNz6MT6^Vufy@&fU02>73E0erF_TjJJYjl4_L-rSjOa}PPIsWjTeyV z)F-Qm2k`2c@-CWAB-0ak-}_i<-{aV9Da7Yjv0oX3s_PLO9z1D7UTiE+d@b=wLUf>? zZg1_&RZ6{slC7w=QokKkTGPFa5IZ4-@N2ZzsX;$!H(;KOL#{HTt>yms-WaQ$*|eUw z&YVJ1V=u+S-0| zmf`ye-)WOq1;hDhmP%qi)1w6ve{0nhjJZ0WrI`0VUwDz4QBMemv7dqI^s;h9O0*sE z{I6K|>(80GcAb>zy#gj__THA_^$mVtcR^zdc;1jG#@H{aNJ0HPVUy%>N+Kak9q;7_ zseYpSNi^#}OsziJ5#P7VN(idVJ97+u@^ixPIKd?5+i^m8g=0y@j!ygJ3*)Cr?7o^z z6|95YRNAuk*+*zM>iP>;Y`CPY4xMIsa`_6wm2i_gZCrB$#i~82$`9~_jf6Sa+CyNM zWBB$YBpS9)&BkRjN{r_}lq`Jd8M2OOF+cm@mEDTsVB|+NUPs{dMu-Hhjt7_Z39hzL zA-lfHZS53}n{{EH6#Nd~?s-w@%~SM!eEhX>%4Nm(h-zC#Z!uPBAj8Wfu{Tm&YJl`k zlxC5vY$Bm`t5N+oLFs3T@)LqRnp}6dr&L*i`qZSJm$U`fXu3r5_IJLlvbDIN7kYfE9$6X^TN}ROTtgj!?pJIo4^Lb>a*nAsxQw5Jkr{%x6iIG zi)rDg-gzcokU`{rdorw}g?EjmlArOmcvg^$`ZODg0nYAs{aD@rl;LGm!${QJ(oVUm z{imh4p@;aPi(!(Ll9SQzN4JG=YJ(#+;~V9duKK3zB}s%`p<|~t#mm;I6W&}EbkMJSYBI}FuP!&fM;mbb!myh8Bg>9OeiIs=@D2#XetWm70+vrBSC$z(ZwuC+@o&!4dj z*Q*p*`D;0gNCvMvan4~iM>+HjG@1r_@k=VbG zU#~cOUsO|LFUv@FtJn6qAqR2UpmoNx2o5rorTLDnw_ZYiur>=13i-Xb3MapKu}Kva z>KK-}S^s6LnCw{l^O#uTaQ@UU@2!}E^yNs~SFL;spGce8JgIhG5mNu^|GHsK*$d-@ zmAdTzW9%H7gwcX6TefZ6wr$(CZQHhO+qUZ~+qT`eqbEIhgZCRw#6B63d#!vrYt!3= zN^o1oJcJlEYSWOhj|)(jppt$|4I=o)2Fqo$$6hO(0a~TlMA(vVloVRK_KUHZKa^H5V8& zAsaiUBNW$i*#3%|(Q%2ff6&0ibN9%n0&g3blKjBaY&V*P$d?`eu8eZpueBlAjT*b& zSchlBqdkwwiw)^3~sG>@i*EpfFH1{wm=&J6oAw9j^Cl%Z3l>;f^IG5kL1=h<%yQwZa)MwU29P zz<{SY?WQI|%N{rSWJM#?A0r8SS>0udfw|@EuM)ri#c8>u6fI9IayPL7Qz*`OtO%wa zYOS{b!2C*$8BS27lw#h1GBbDPY;QgvTV1^aXE^0IC*@a_k<4}KT6qtt1{JgZgNkWb ziD*Gx{rby}(Ize9{z^A#sd{iXVA0u*m8`g_Z^*c9T=$P11t`Mg>}a4vjWV)JRMPo3 zYl&4Q6FtENFLDZ;I84msEz)L>fJyMAP%>_(Q;U_3I61Zyr;mUHic1&8;?ry~_$H{N z0dqSNVyex>CM|*FC?&xlCzSOT5nIb#+-L(Rso??L-aV3!OBd#pBv+HuvV98dpLShq zbcGt}fu}cfJv!>+u+)OCA1d4hOhF#9nwtF0Lqii0jgn&h%uMl=%Pai>Fr_MbC!h&; zrCv}oE$RTab(y;W*{58cp#+OdFNQQhj?BMGTT`grZynu@PtEg8i`%xqeaDdNya6`nSaW5D|PzU8bc`E( z_w=n4h)xkQwl9Nwj2crXf)aC80eWm;2AZ8R=maAzemLJ+?>3XJz7ZhDu!=A{@wg-a z(&!BR+ytH{IdVUGHck+}8l;!viLrX3J+HYeCJ7OAEK*gdFb#*oeSaO8k zxRKH}HyFnm+OAEtBb|cch40nk$stu>>%-tOL z&UhY!X*{YFpXc0nYJrd-{`H_n6E08Bff#+-9{8kNQ-7GtDf!=>9C^JR+}L2d}JLVy)K1F#2zYYwUwO z8)EtZvCb?DhBG=IGJLXTXqhjogx0)P%2Mp>H!1#*_9yAAaruNIr%VA2TSiCLTdlC; z3d0xU8j8zO#0%%KTK-pc!u?Y;aLs@k1otR7&$#ur46LRhJJz}8ZATSlDLE_E>S7(k zSW^`RkGrMk6o*>O>Oq6lvadELtgH(Q$L+o0ELS%4H6sbQ{HT$q}y^K=Rd zBhoDZKWs!eaWw3mbiD-IwB4{L&ul~w8|b775xAp&nBW%GL#F>YW?2R`+PVMrXN!*F zOnDeTH@M!AnVm0uq+BNnom{EMRYiEloUf=jxF=f6CkOTDAkDFJ@Ql-$7%W{>#I2P> z{soT>eURw;qegZ5j4uktk)Fc)(#&-tJsBT*@%q#{shCLTAi&Y`hG|u2;m@1P;TaUd z5Ad%LpE}JvPNZi)NZwnT`9QBF%>*wv&evmzd29Owo^_fx(3#b`Lu#_EgXil67SVqp z!_&aY=db8o<`2Wsu9zipJb#4hQo^y+b3vo+kylq8`EP%(QWN+9BwzJkS~{3H@4s0# zkj%Wdp+JI3o(iyzNCEn9;i16^_!TlLTVQbwRiQ0+_ET`G5w9-#=rft^ew{8z|Nl zTTPFz5p1T$EtA?e2L*R8tY%n~LF0kDtOa(Ly9M`@r)d&Y`pygPj=2-J|0rjZ5pcLO zEG4HTnoTU>M_6^xd_2(ec?a=M0{BOu03t-Tn5>A^$LWCL%s%}3eNv~wT#)X5Qk$(O zOqe8dXI`Kf08-36eg7xI`u{m8|2K>+BisMS*oLTU$K9~OefL*$>;c9VhMP)GPheq9 zsxu9kyuyc=B%+)g-424izVJPY&X*1$jAue)M&fbt8;D{j z=S!ELUEzHDl)h}&OIla;(>6PcHh3k<|dm`;Fy}q`Q?@Goxy6&pESLNzDiTV82x|h*RpF<2^j(A|*026qgp5K`v)45oW2~asOFxP5D^0a7;VXqUjRVZ z)!P6}K5f!R^rB(64|M@q-ULRAi=pp-iEI1YV0OzaFC1x82`+5qaok89f_stp@j4+U zWt?WDXOq5JRI%rsyAqASX3+A-eX5y05-TN$a~z@|M;)h-t@Q-O_xG`-piV?-a+*XWv)89YbKI&=%uzRnhG3t$g0R~*Aho}%#^rR`PPae24_-lx z$31H+s=%}0|NHr)?)T9D=lgTE=6^wtZ05Hjv`A{zGv&!*rK9g3ePmx>fcp!5Pb+{E z&^`^^+_dtlCT3>I^L9SOgrPbp zT-U)k-W<{WAMJ~!2|}EZVu-_1ZXZgJ%Ym-RL=~fh8L(@zlvCa5tiAF1bO~6@*X}#= z&uy8EHK(RFE>2maftEISY@hdieh-3Ka{oRuGg?ECA-O+1Mk{QIg*adu{8~E1cSUGN z$6&q}E%u$m&bS${)f3G$3XV;|%@Fd0+uwsb>R%5`eS6`

    tONi0L|Zbq&<89V*~ zN92eK{zK#nA7s5W&Hm8*8s$ZP>iyF^ehb+@6%FkO(B6t9Ym#$PI_Q0gPXuM-Gl7F< zyVbEX;BO9UvRoVlL+;Y*(uE}5f|BBSy3jnbx6@rL1K|@Q_I*<3ha{=VGE~jg+2lT# zej-}j5#JtdyD!w@7G=4A25~QbkuI`qCp6tNF?XUZ#9VRpajHy?O+qZdWGM=;dDey^0 z8Rn07L5p!Bp;U+^#8T_j(hczvZOr~vpL4!oYAXGd=~}$fmAZYf$NqC%ID!6wGXw@0 zpxKIO^4ioPOi+!2y(oT%YFp|Fw++?!=A^fo*e~u+ed`iyDCx!NsEW&M!0JACoLPsP z>bby#bno^=;82+2Rr#-SVR`E?h2iaL;LPd(CYz3VxUm$=yuFkwFAgf#e&U$xJs8EK z-d{K$1KWpvs5#HW`Hu7J%t5mngY$K)roL?vgF(Wp^$dhSJALC@VGJ0w+8fc%F-`>@ zz*zTEV{PI~psGvMu}BIioDfEZe5HefV*z{D?cA(LR4@69MBeUhNiO{*YVdj|7U(6k zI{Q#^<+HmkmMA+pNn${Zeq1)ITGX!^#T@rAQToxgk-YE7v^!7T?}3*iL-!nnl~?pM ztr{m?x*2qQz^VKa%Jv{DvFc!=3cvLsNmR>7u!}`2fy(4AcS3rLNOi91vEFPjaUM08 zfzxYmECKet#aR&gVJAt4;4ROpv5jRTr6L;a{GhqiivKE(I4`T!B+KYA;Ra7wsV(vO zqQy*jk(ux{o^M!KrLsfPig<)iJ_*aeSct^Im2m7ibh#FQF|^A?)bUZA&hEc;4dHY! zh?;)%J51Hi&q14d+iF+NgpN}e*y$-ad0X1a9y!1SI`5(8kW~-x>KT#N%t&0Hzo;M2-VdcyoJ5r)gwu2Y04rok2kM@7Tl?5{~%-C_OFa`<8gB?lV~@7BmME9Avk zy6YY|uh1q8*?pZBSQl17eTq}XTF~*VJcS&6-dye<@tQ<6kE06$YZ_;M!wm67m@x3B z^ADz8quR^TU~^{9(QYIl*_e0NxxGb+QLRC~MYI2H@(uyLc?SGD6O>Ib?i{CgiXzn6 zU@6$1+I~u5S^N5q)8breG?+ZU*=o<4e=LL7LJylAqiIOVcaQ%q!L5A$D#DExTD&I> zw&s+3*fz!kPz3tDZ;2MNxOU_%pv}9eVadn)5L52z3X$saVhwzWkM|u32p}k;AQV8e zGcvv_2s`q3q3JQF+FQ6mNor3muLZQ)=4KeaHJYm3RqJV|Ur{hvCu7dOp?3p6MY9(pVx|}1ZdFP=s0|?fSen*og zIn7$Ua2}MlTuXRw*d;WtFx$6Mlm3m%cFY?a7{;VcxvPlGo#E$Ns%q=$Qlb#D+S=GQ*BJ9Pdmg(T@EMi(qW(+~D&0Am#FYhzw zSDbkWmKRq-?*xb5bSpOKCmkRE5@{FqiKl11@`Vht-w5u|2%5al?23wkpibPj=c3HFXb+tJPk;*4YDB#-3?oK@}=jC0~tFz*20HTZnD_ zw3h57os~Y9LXUimf@Vo~<}3}1)11uQZ9_(y=qTC`ek8W4PDmH99sMtE-w8$~%87b^ zrug0l*r`FbJxDAOx(cBfcWH^eO{RPdc&2BS=Na-_Kf=|DH+#T1crP<|TG@GKqI>YE zE3_!aQ84YYIvHnQo{|#F7wi8%g3(5Qz3?L0jz&{M65Q8OxK8NM3xRHm6eus78?H=- z6Y&()_j-?U<6M*0;#^l~@a0 zzS-N2kK%53hzN49`rwW`+jX&XS2v3vx=9xz;5=i?n)OsISP)K*Ic40KJAHJGNb zC{L)VlxHdeJ@*O?jc_81R;t7c@WPOD^Q{t2Qvk83E}*}8xi$wh#KZ@$CwLxCj7!td z9hJ3sv5{;2QNWo})z_LYhj3t?=@^WP{<2^aa#`iG$JT2iQ^Uzp=m8oi^-(*%A4+%? ze$moQf`})v@cdEwTZy=1;ofxJtaM|+3>xf6r)%b~Er$i!Q+v8f033h&pQ!-nz_q-I zAjbj!Vg~Y2pn2v$MM{S4plTg8d4Cr?b;`LY9CJ=jn>9ysl5~_ zT}V=xrr3Xs2n4cp8fW%}_-2*Ls^Gl`OcRDF%sPh1x=pDcxP@7W7YJ#&=z};GlDt9Q zEqhzBr7~4>y|W>(R*P{CqqB=EH02i4sdoJ_>(fZK98o#^t1DntLTNPd>(9jO3*PuW z1b~2W*JAT9iKmfBB#USWE@explv8d9?}~-Syi?~mprIdJDX#T-_v|E-8G&!+{(V{C zRSl2U*6&9?29UF`iU9bxK()P=IFE<*G9Xh}y{5O%*55y@upOosuz#Oz2Be)GW3@2FvzA)l4bAU|x+YGhNm}U|%#5ybbnd)82QpktsicspQB!?_;M0RP8q&7W z=ouKA1^{iT7XdaiLC+v3|AsV@Z!ODbsCATbP{7@w7}M`3xrsy!O{TJJwCo zkOD6BDpK)US&gb|uY*Rx`aNidgShS@`j*}i!HrzX-a25t==u6m5d6$}4>7$e+Vh=Q zt)%TgnF~A>W!fbX>h)|I3;09ES&N_`=vZdEqjZ_23%{$N;p}qrVkp^@NBAAg@O&D*Gd5mnaDY z2AD`gEOmG|P_C$<+SkiuGv49cC5l$NkW!Yc(v7!ayd?SX*z>oL9q`lG zg3OHbRig8a)C!!a^dWgxxgb`ZiJnRj9)w;rs&xhcSZ!nY!(7bo^NU2vTui4W0AL`x z4Xl2d_SFA3)c*5{ctbMwqr7GZ|0XX?ocOTdLy)|@_LT=XNwupjzn0@YM_lbTd5cW* z#0WHVsbV-QEYt_C(i{Y;e+D9E%?7W4zS=^a0a|h)HJ$dGr|`2M6#e)gnIG>PdqW#c z55p*m2y0HQ?jlifHXy$C65>%7dWF}A@TD1*fA81UVf7CJW~Y!~kJ*oG@-E{{sox_lU`sa68%S4 z3-W5)wDm~{P8V<*(E2}^^Ej#NEyAH|%r%^M|H;MxYjJ4;wWbdQ$~Z~keIS)ntU|ci zWngHNzKO@|qE z(Pvcm+9+uM<7VjQw^jcq|BEE zH;D1nL*hPdrr!%QN^FPdDQ)>FoJ2+rTW9+VqCqE;?l)fa{2pmtd}sHw87f|KdF0Dk z)3zHP6-sR*N^`${uTtK>5V!!d>dF8;<1JT3R^q z0hH#6(9?`?zAW;I?jG}$si8r-4JL>-L=W+Nz!q>>EN6WSXwqS|RzY6tPzWd~{P50$ zGNP0NSAQh>Q%MS$`Xl6X;-CTa5sP2$&NHqBHj^b6p6*cQE8yWGPE34RXqYM*91Pc; zu@J@c(zZD=5uwNoY? zG$-ax;wVdlvusg`jB-$D!awoa3`v^S*?r~;k^*Y$Uh#%APlZcjrtdY+1GuKsXo2RL z(ZVDZ)yJUxO@yG+vsCjvIFM=>05T?E3uCKc=?$>ndnH>Qo0_?ilnQ{mH=VBfAqq9< zEkZYj-u*BZ=WeST<%E$;lAp)gqnKyesX5}I{)nT^x9dHQBWjfy<0 zC6kEB`dI16;5rF&!`HHuqNBDwi!ik)X^?+1keUs`An8D=W?^Zd3DzABIx{n60vPa6} zhK5^r@>fkZGRhy{&ko5>_MaX36vs;4R3`q4dHb(nd{dpWG)*q<6bT07ZiWyD@l`lT zdE}BV&n-;YtLXjDScIf#jAi&~aK*pd;m2#{GslZHgN+YIv2Ls>?g+bh-o0h)Gz<(@ zQk)iT*Pv*xy!fik@v=p)4Q)e}Qd3LI^-zOW@D0{b*F})2BcEABXt1>ycZOT~Ee#?I zwR3$w2UkOSbWktH;pL{}qX&B7CE0L5o6Tf{Vu{F!INm?*v3qRAhsvi5X+6YR@$gb+}!=_8=t)1c{QW3&BpnkgB)M5vySqR-%-dSS8``3 z(G{jzf5^oNqgNz#{hh|tAEO%;B2+~fZ~21Ob@ zZ5~VAol$KWMuFTTj0B`5!0L<|W{z7MK!|YBLF#-XMc-Vf_c0QJN#LmwSxn*#r_hOF&1v*fdU@nfoccKl<= zIa%18tKupLE&c;Z%-(i+?I3&F|MDQg7#^jX0oq)`Ve8TE(gQQ@wbxd2-{xsis_S$R z?CD0WJBRX}LsK2WnIcU+KTqq3WvdoL(LMB%EP&rB9Kix1jZ^4bJLW55cAklZG%jKn(Zj#UMm_bPm4a+iA2Jj^p zEY*>sd!yjGdVbm|2YIT6SUXKpn8sjT48^TviVdMxEbQqbnp$mAbO`$&F^^Wm;>a`A zA2$PxvYr9i!~~@LpEe}S=gRf;4W~5JnLBfMLK+S>y)`Eno;*aR^5If)xd?!6K*FOf zM}~-NN|$DJyhN`JUAtxTUBvG3JcSon@fl`9uRB1G_36D^&=`m!m~hU~CYwSZQw8J0 z^8oNj_uuvK0OfpXTb28s6$+?PVDA>v6HtFb5x!p0LjRc0L0)^R>^1~g`b3}zVA?J4g$|5+1n)mn$5WQOX}7&0F5TZe^!r~KE|%ib1V6LFc!-I}xW zT4ha=`Ed(xeD{CXwYk6=Dt7yw_dvy&tUGG>5?h3vNwJko!=10rADI7ZAQDt4x%TT$ zuT)e{GerUs;MVZl(NJDxoCtlpNaOZ23`23u;^=1<<}%vD&r1GBtcZ^8h#fML5xs4p z)aX#$QHqJ;jCRh>Y={p zzK-sxl!Fi&p(qi<{R_6WAJxI|>jjajcHNDNSG?sAceW_b8FxN3qu%Nu$XvAf%%9>* z4G_auZ9#3A%PAlCpCD8n6waS)^Z0F+k-s)F2C09AKz{`v`Hz?0Z63i+RNba}r%Rqu z6R!24C@Nd7Ui9Tbg}gq;B$M9@7P_>^7z(_$Z7Ef6G-DbpIoO>X9xsqRKGi(cUvfig z#)%l+jjm);%(EPy#XChT-|FN8zlNZ-aA+jzPzAh8B?0D+j-kc5kCQ}-E* zJAQWdKUE$SWrpDUF{Vnf%~^uZH4stdxJ|!!OFLdMj_+>KPyzyO)1_nkuWGY7xP~ItDvkc6{sBb8u|i*#!$#jlpg5;L(X{CZ^4%3L>0Gqi})_ZBTf?{ zK%d;vB#@8pYmTA*f0*7xk7v8q(7;y=OcwtcgS7w!1YOS;N@Wz1`gGZfc&?U?VO>+N z4+sW>@l6K*%g&e5vGAi@sk#Tgw0csNEc0pNHhF!!il%r5AZ=q?{$3y*&5AMhHCkQ9 z+=z_+FTUR0o|4CLtf~Ak40o;Fz2#7Pflc$G`AqObUUiGStX;s$XQ;y1fy#oAfOx^~ za_PYDeolg`b-;wwgTIh`Y!QQNFM_5x86#)x-opqSd)`@+EAZvvDN`Si1YWcapDM2( z#`hha*CyQKxb>WUmee}Q9_O3VR*kc(zKOA*!2Fe9f?44qM&^g{`kA&)cVs1cWO$)^wF ziuB+3=j!(6+9H%!xOMd}-M4*TWT@%j@37fF;E4Igpz`ezx>$vesj9QPPUELVwe77{?f6Q%PFDL-x=|LTx?wHwH zolAPIm3^88(#iQ^H9lqAu60A=0%5#F9KP{1mXeBcEoCi~J2=U8oGI^XF=y5c`w@#A z(@`mJwlo*B8MSNYOpY`uPvFEQPJMXQqid%W7{bTh&q)gb{LjECAdPevE!w!Znz zB0s%z=|5Tfw@%la!R|F?SZK!qR&khx^O|*l3!RCLyB2Fchj;&gG=!{Rhj~gs6dmlo4fPEzHpmDS>QX5smZ^?Ztd+lg&BfTu z!=AG_6$}yIl}O=!)Kw1L)Xnh9W#Y#qc*5qy2#%s#Q3@22+JG&1z?Wa z!!`#kyx6vIYlM+puwO8SMKaVjNMxLg%wL~!TDSG%1T-PTRaQyCx~_73DuH^t#xz&fH(tL9L_ zs_?ZC$=OXHB9h_T`4aYKU!&lyhF04F(d8y^WMlax2J_V7Ky_l{fT;Zv9Ma=$+YZy$ z25KlKPVsM#fj~3=PmVBM_>7JMVo)s+m-NAYw4ncSTQp9nWFdUFRRTmB%AbW3Y^CdCN@?XEh?4}1* ziw-$B2s+;6##2;G6tgv@K9NF=Jyk3g4jy3OZ}aCcI?s^l#_#Aok%FkTt-<#DkwCn` zi^l=FDr)OnqGOcOnB%ye-D4=v)eW2%UVM)U0;l2F9Ib3w-zx2@n!39s2{eTh ztP{qlSLRjl1}?rmY9OLZeF*9pXVc$r9-c6X!9SdP^T*OmGxBx8=ja!Uk~py)m&N}4 z{W8Cfl->8b{+sqTT1R8aYzpWDFPiQy4ojg%vC_o!9zs{}R4~XJ($Ev^dFSiXvDBe& z6)8ElL4ex@Ni!?6CUlnGsd+|vRBy!q6} zJ@)(>cI9z$E!BL6&`a>njgXoqf}J!JCgMk5OfyIr8;} zt#-MnO8D2~J;Jn8PMwQM(XVbx*xXxFP0M4$4?Q@OMa#)|2pHk%>TLT-{12$?2gYEC zuLx}9LNzfIRTYcr+0+2&Z5PyC#4C=pf_Nmh!@#cQP1uDM%V`UL$I5-TRmQq|uJ%Kc zL&N)Qf4Im@z&8+{B-nQMU0j{x^RmSATM@bpKpsMSQ4~hK;MBfQa zOQmKr#^9Ut$1a<3uF*^_lOY^i#%FP)2+WAi`eE zkER>MdSXo0VG8>4;uJVc3?*G2IK`}wLnq(WxiIAFVz|ZIVw5xnySEbunCIxbGX>K) z09z3e=Vi+D8NAp!^Gufzy|Y5<=>z+b+HnwbY3G z+BqklV%PwK=UZR1V86Ad4iRuXS?Jj4p5DBCP816mw?x10j!yK$S3^}}H5{4h zIO~V&|BBc|ZF}Ybi;iSInGmmwhuq}ybT?BOOgvoxz@ zgqsdhdXD6I#B-|86J9{mBJwF>iIcX!R+DRE4ed5{>14&+EzOM0QYznnX)T9>pas1u z2j>03V>dtx3|pYvzVY`*G6EPuNt6Q|)P$tc5(+&B{em*@@Lr&-(44xG^#X{d#KS-k`CV%FsjiBi#|JQGamJk*{Y3s z1vQ_HDv=i<{*XY|e3;0%*rYs|T-KLV(tb8#5Xjn`E_ZRJ)Q% zGksrRZdse?148c&cC%)~HlgDO=M0K`G}2t8*!b2n$4YR#(j^RH1;l5>kcKO=yZTuq zT7u3Qllzs-`eoyO_|S6t&-|*NYHG#LEN3^528Kv7r;G8o_dgc$+s%g5j;Sv#PWC#CSSOUl+N)x*mrP$yn8vERW*ygBb_Q8`p9&u^s|;zO0W{ey2TJNhRZg z2dbYzyN0|pS3SvIft>&1L6H#FZ{t3wlqZ@%B$Fom1OI!8WIAcUqr}*>1vr9uM7FmB2M}RVETIy}y@@!sZc4oEe^Cp)TEV?+^A3M-e-)ab% zdhEIM$%F){x>3`5D5d78x|ooCScA%LZKNtU0CU+B1y>`qDz&15JP)+N+7>rv7TAte%C^Jl5?5W%W+}uRi}H;W=}Sf?bRIX=?kFra;NM15)5DitoUf zTMn%BbBLiDCovI+w@S6_(X<-nF;qwsXHjc4grq3@_a`20w5n+|x{tw46_>74MQf4x z$l`W2)a}Q13NW(G4iwYS5!A!)vGKRTu5?d*<-F+V$UKUQgNIAWiz$~xzV*;@oDnxuC5VYcC4{< zcb4@Gk7xpEfat%)mi>z@>`hSw;ztYF+Uk%-gkB?KJ}%X5iN|8*cYW*t%z%qV8u{f0F_-5SC@ zUDVg@wCiJ&lC^w%&eVu*WnS;5Co{bkQ+A8JU32@z)ifw57_A>)PAb`8h1D0?$-=p= z3*@I~0}^eO29KFhDKA+dyX$X*nO-k`$j0>9R#|OnDzz8kr=zSrgf|I=`tz%_nBJ8V zQDofl(|nHaSn1Qc*X$dkbItRm>Jlbd`w8)T+z+Vofn?&2{8-fW`rE^wy*uw}RCw1Y zrKc@JZ=LzK?Y4@3Zg!VU{>C?8exzy}3?u)=uiPCoLq!EX);(KL3$xE~SmRovfMSSq z_JS}yn{_LLvf_EQOjL*x9wXnc;#;%P|yXnU~|y%eSR;Ip(s%0td zj7NtL__F1@VeU|MN%q!PwfH+1)>lCLj)2s4p=@uHMpMq(yI2t;+GX)XzcxrS0$!g! zI+A;^-lnY~J~A6kKL1Yisp{?)f;t{lXcAUWHn?KSLxw3Ox7`X5i%`>gC!#RMIcQ9X z_*&M}n!BgU-8@Tg2BvJB%s=(maL)5~Ph|hj(%i|8U;)VmuwB;C9c6lXd~&W$jrI8FDp~$AETYhBTWT_n~V2deqZ55T4jFWg`Ix{)!uvd zw$MZ$p=l)ZoQ5susNY0mV~-(WU@&NlHf&2w9Vn?&hv@rY=_LQr5Me7=-UGrM^`U`| zj352#;@!gXV?P~VxuxsQVE^DB5<+#{*t)(?k$pY852JdcUwJz-Htx1l8F7-x7$k#5aZ|S|1BAHj~qJJ&WKI7V4 zJvlCN=%~Igd0d6Ks?e|RZ622!9O6B{ZAEspA8QmbMn+fgy&G%Og6JTbDG^fwB_h}+ z{Up@Zw6dWb<~Cwj+0JsC^d?xjp*+1I<(Jm6cQ+<-yF>vcAwA|)Ta|W`d#TIW>SY**@S%z?$J-3gxEViDaTA-bcB(&r zFoCxR_n0?>Xa-$g^eLjg=nRpg*E!u|w_)n>hNI3hPFrEy8M-V1`oL97D-J=c6t?%4 zxOk$E5Ncr}jqs@IziQVefXJDl;sg}%Nu0Yy_n5hmZL1_&vwr*C%$0wS1 zTd0=pE& zozep#e%}#sSr1xQ4i_k{M>G9^&|;6>XM=X1x7aN2z87Q5Qq2Lqu3@q4CI^usP1LBK z!=~PHfU%^By5f)@XH7uCF|tOCyS~mI51L9b0=;!lS)zDy%I_AYF95Rg0_Gfw2ejAu ztKABD$|jNN0w^sW{m3@a5aR=G&FLZaD0#d)M5(*c3*|330q>{NzGU}7YXc}-9#L$5 zTe9IOo^U_#(OAQA&`Wz}Y3oflX{6F|fWIvh7Lq_VEZe@GNu_6hJm_{LRzyN8f|&r) zESiB(Rjm{M^pb9*%tw)CsE|Gx#vJTr?Crs>E6$LK{_y7Y3RDp`OL%yFB91z zqR?*`z6sc!QViY>PFEeSimGkz;UNsd4`MGbcrjsp9eVBoQ&lJwtTwNH>^3-i(QB;` zkRUCE$zs?)j^JXMdJtQS@YyV$7N0=%5TaJd$@CjfrOy{1rko2rn8wq5)Hw$6V*1O2 z1}kMT-YYjoahzZGQ@C&b&6X{Ooqx77ftt=#Z!+<1j_^of%Nn?k4V*h~mJ8QTtyH9h z-PY|Mzx0NS*v7MtS}5?^HY`|rELc&Ni>f*G!b*pBWq9*i8rFFq+oDe8^D=FpToiin zIDhfzBUwzs4GA|mG}9#?Ub$_fyuS`7HX3%yNKZpBKs3+E1LYTS8AU+$V3iQV&-`zW z(ZVClzk7_07-HYk&9|6qAxnlhrTvX3wKLD$l|s(mQmQ}NXI@Og30#%VFXRvFWKzP+ zZ5$L$>U7LN*M;gPRx?*~#_woT2M96lZKkj+!OhAxY^CN%cW1dv?MYLxCk3!@JbBe% z;-x7Xo;%zs#AytBy$`U9W&!)`$P1`Bm4!<_Xj_(6qm$vUw@n1C4lLepC#jlf5eN?W zCgYsE{DRsgvPpc2B3`ds!%wR#ULb@zSa`ta*+kRprt7%;DMOCs!};Ms(H3S=EIBE= z1h9e+6sBKnVuYXV#C9BOoFhO`rOTb8G9EYRnK@ZkjzL<$oSEl^QA)=TIVH&R>}CSh z?3r+|;2}<{dy`H$`#M!WrUZ&=jnEpx0aI;bfqJH`ynQ^ZDqbyth(Ypt7>fVv9^)EcA4X1mKN~~l+i{QTA(;H|zM$pFBA_+o*X#PpO| zo?Xq{x+V!x{rk`~?cowam>xlv8`>~mxWj*lp&~Gr0S>ll#gGHxYhEX;)Ei59`&#CdwwS~Ck*Fr`9S@zS^acbGJCQ0Q_5SnJF=5bYz0{Rf^e6gNe8FiY!)HEo|PbyZ( zZ{LP|2q999=zDf2VFIT@n&MG<5*N=mB!7N;-n*uC5IF|8&7sgNg^YdhH`11W8LIsv z)z0r6x@c>;B?}d^+vg^iqbH~D-me@``Lo(ciD*x&y!h9+AMEGN;_#|UHPW+sd7VohI8W_ReR#pQ;!`N0prrmzN?Y*TKht*g26$w>uVy*9$xFeBqYNK zIuA=nH|XMz(IQ$Qp>ueVyMcyz!)AJ5Q<(e6w!+K~2<*<#4NPcFQmX|wWst_Q(5khB=d+kw%-V? zo??h18lYSI#EfbN-`7|VtuGSM^z!cmA$wVmFV~ZJ>vKl;{X>&E4!P#Rf7*GJeyuw) z@ZVzS=*PWZ!tA+JcBw300AnXh0yJctMa{*g@@CE`l#_h@-0Qp!*hw)|5`--RFqE+e zCYe*0<0sO^_tII!?U+h!D>aT08O=&yG3m3ShUgGzx?4<1)w&WL)<2z~ao><<2I3lm z6GtNA6P3`{!X#)TMRD-)onVT|e#;@NjcD%C^eoyvqAYFCkMmW0_t}tFKTL7~8zrk! zZaC3k1(I4`c(SV&o5pfpB|$Jf=u;_Ow}~Zr4QS8Hx_rjeXJGgzP;32k+l2U7W~T{O z8BdLdkMw`w8A0Ie2P6M?sP=zai2n~zEhFc@!ds_*jlhOBaFP+;8gey{?7ud9RUSS4 z*&mIimYI$bh!WM91rtBOMH(a{9Xo?s-Q`qL$Qv|imkYCwp7<>*YXyAI=Nw5dCdoCc zW$UNOmLV%A<<$ik>hG5Zyqb^VfTW0;_uZ9Erx#y+spBnCuh!%}Zn~6OM`>Rl^hQUd z|9s(AW-KQW98S+Z&MS48W;QlHq)HM8dEEgJ z+yR>5#6FFo4~uxH3=iiLRL!2OVE*pLWS0q9pt6Rb?Y8g= zQ6jdulOyeAY^94EqlgKVXXp@wVSU@jy8Y@(5dELK;FAvAXwifjI4zDZ{6^>-x}d9Q z4~xjj=Q`z!%YR?JT9!z~#q~=st`q9}d;@uZuh*LURA3n5>UoC&%E(X>zl~31D9{Wc zJ+uAb$0jr`IapG}ztf()&(d+EO3CBetm&bHBzt4j9_QwUsJrP`wCH)d)q1ri5zfv$ z!ZWmvGmRl8UJHG0!}9UzlBSbuhUi>`-v8sJjG7z~flb)X!6J)00sJvsc8d>xOn|%v zb^#Zs5R4>UB0KqFwI%N#ISy1DKpdA73{R>>evpMDaAUt+F^PnwSO3yzyJBuYR0+#v_yjpm+g4b(23T) zf$?`ccW!*Eonb4pr|B&-p7G4zBn^GjZH*jS+4xOOA2CyN!(b4{yhB01vpl!fhiZ03 zgIqo^TC+r>gVKlev_V<8Eu=(lx}s?PI<`E>&KVLVQz9}3w%6-o127k-Xi!JWKY;}2_gf?j2 z%$l=cuHV;bJ|+}9W;&I2K3f`R4zKpSJ~?J<7aWf*x56*<#=yAfSk?oxVEDsKoCVS5 zt}~Xh4Y^Enc&1~@hO(%szZp_8t5J#zA>`kcciwn!64yt$+)T;YY%m>L9PzvZUGtB_ zd!OC5vslWWgMutUWOP2e9hYawEwZ{PMdozHK*!|Nh)5yhf6;pDP-~M#mhfIp<63D( z9|IK3Nfq#6BoOB)l=(CA!s~u8wi{Qkss^TQ2M5blxFWK)C>vc&C>cywL=p&{CCfUJ zAGRLr6D`cG&3+0f8tzj%d|4I)1@4NTc8kOnkvR1!zFES)F-yidiLFUJUoUn||4rAn z)GgqK`FN(shD`3_*}s2SGMxf93xe(A|GS_@$hvleUh;V$CqA6pcn<{|^ARp~BMKS{ zG~?I)y`yqDD^z=pczSKs?%_W*f5FVUa`G&<3l6;%7l`z_wAY#A{qaPoZaI2ROtrBe zZ`vKUaI92EphN5tA4?5wGYO(hp{s%6(lW$LDc&UiTJn@gzx{I~BjT{rQ`O&h+;W`6&~0 z)mWb|i;PiZUHPFi{7h-A$&qC9>+i{VA=B82zC=hxC{P0Xs*QnI<>^T0WLZGx4{<&D)0Z!$Hf^Hx4ILlQrwrU~zMWRZEu%t8X?#?iETx8a00B3Uh zo^0lzG-3my&lS623MbFv5;mwgo_LU)3q)nDAUGlYI zIiMkg(_GCeQg76KDm+Q==zZxQ{?YED^EE|uD>DR7nu9s8pMOLv=sR&lXrb8D6mghQ zYB%m)1Gx|h=BhW!a-8!iGS1c?W#5KH4rFdB z=>w;rP&>5`aF z4lq!X2Ne7DFW_!qYj-xhVFlLNntfr~z`khp`}0IO;xZ>h?`-E!t=dJ10H%h3v>7tW z=Jy8BB+?l@Lj^ap*R=Xi&)?(PpLtC^vqxD!m(&Yi+ly?W#0Z;vCo~no@7Rm%GaD7A zhE5YUvLwnVO9Nnop`b2&Bqw93%NWKRO0tpTWi(S8cDkfmelQmV$1NsmQkP-5YEP>a z!b&A0aN8L-;voLp;&_(+dH{6v_r&{oaLRgI{{hj!d)ygd6sVY78@aH^(`by?8_#iv zgI&d1p-|0wD*VG5I54#ZZU6&JxXCQLRY(}`v^z|+N~DrbIyAgBt+{x?j!u>m@4*mx zwMyIEnUa0U*Wsddb=X@n{pq1Pr1C-u>T=2m?O)gkb!qga1tf0Y9%VrzL1%&K%)Kg| z`-tgJNtRi#vwjfn*9|IW`>2c5>tUTF%tVrY7Ed%Du1uk?7~6md|6c^fSf;Zopj8Mr zJC`aD z!I&u7&!k>POQPCP=>unx&hz^iN7A7@71CxP1#Vll$Q4RIAS$;5f`OyjLpPG1ao`kd zR&5^n@@W)rJbd$KJP@w685MYTOy}GgO+%$k&1U$@4M@-BN4_l=ZpfOfFNai6r@tu< zp%cfjk29Sc%e-Cvo3|KbvvtWg%A)#j3QDJ%yd-Wq3b+venDEFMf~N}tT} z&3ymjovZVZB<`iMkrUKWZ9#aaz{Mr)8nC|IOF+G{+SN7INS`3CWW_4M8LrTce|+Yl zoktp9Q7gvviuXJt#Ld4=%k$!fGVyQlOx|&yHagV_4YwPVJ7RChOEw6#j-Il` z^B3!8n(5mfV+RS*t+l??x zBp|R!?-;I;&YP^oPOpP4k7V57U*L6_u6@V4WVHokO<9h=FE&4wzwK^NFKhaE4*692 zF@~TpRE4hOx#*aoCYjlQxjhT1OfM5OOH}?K+;$zvg*ALL;Wf6(5VS+_^efQKYrWy4gEuNg5}0e6TW5VCMs6 z^Bcf4`N_;$y^mVpJA_#*aC)cQ9ah+t()i^%ou~TD^ESL5uC=rEA|Y?hinVCUd4wa& zq~T~+bij)hkH5cTFvYZlqzWhR_oG1eI!GYdQct^E)w*R>;qdqp3*;t*eX_)z$9z&4 zMU#DPw|Nh+14St&XfSJuiy>U9#wS;{dlpnjd5~x7p1Y>ol#<|I*NGoSezWT@-;EJ;5{ql1#xuXH?=D z=A4xBQ6bgXC@Zr;UrNxc#Mq9CN?i`^q$?puKfeSyz#u*<0_fV6=YnW;f5}a9YV*Ma<#2>MJb0;g+N@x zVdJz+3t*}J%BXSW=;ErK3VPg0?fEt4ml?w-k?6U6z0T?`xGH`pb`S^R}59(636Q_t2cMI1|T#)2LKo0EcmEt4Us zDxpu4Y#TPdW;h$MJiNpH;K&}UY98z*ZhV28uqfVd%O zd<0XgHtwJv$(Wr`=66+6lZz6{O$$`BXkaP1%00iG+mOZ7W{u7wx=x z_)NvWdI(y`RvKG?J#r@V1~&=i^nh)(jnSn({kX2MMOtc}Woy8-&IZg41P-5?W#x91 zCJNl8kVtop$HHAM~xjbcKAidJeA`SX}#eW{w7$gv2iYq;=1)S8Mg z+Q|#}Vv`gf#YL`pwGUB0Miul5FlbFz?wM+?7`ESW5QQkDaNQ|MWfp&L?18OXqqF(r zjN@tan>X>C`wn~-xLb_ao)PlH7V(|4Z35-lDs52KJ|Lh8;${V{ z-FIyb<+igJWa#R_bBjmXzhPF#)S)DwamN3uf8r~qfQ&kI?>!TIiajB|wJkX%(o&yh z8Rxtrsd2E>{CuzIpjFUSb(fGmSZ=IJ_6fa{vwq(c2$0Y0SbvODTT>%aKCmjXuc z`@&Rqd`9Y@v($Ah12BCw^q2X2J6T5_bx)1V>vlKHJqs};6QSlAv7|AQpXegf_(5wj z3Q}99ySg&v|0}Z(hRMsyK_$Rts58(YgPEMEQ`BYPVca#G%9k3X1_TeSxgibv9QmUE z&}vS!`fUVrX^*76Rcef)I8Jz(=(l}unSzS*@sfBh?wZy(gv3*D-$DX7BPrKuH%LGs z%-g|>tQDyGJTK=-HD@rvQTi-j?|{a<;G=>!+M7e(P>#FNUdMqnhOSG@dRYOo4VFn0 zl{!(#Q-RnHfH4TA12fIBS_-|fOq1>Y6bNGPh(Y8`9*q8xl|^%-d!Qm3QlazQcJY7( z$bV=KSAP$Xvr5p)&;3;HjiDsC2kR;M)YW(YQzO4c-di*lTCOc;i6nPsZjoDhYF#r+ zUyd%n94RhO44xr2HnLql(6KYlJKRU2l*z?Xi(8elRy}HUsA2Wq95jtlFK1Z!g_2%R zRN{i-S!O**ST~n5y^|VwNS;k ztqp!*Vgr(S%AVb+6l6w&@%S1Gibge=QuJLCWZsXTdS3GlEtpILz1bkJ;C}-rEm4$} z_826yL6ui?28dOYD_Lh5XKI;k(*5oTQ7V{Wnqj7^Yd3kjy?6>|C+rUCAwp}(W zsY~{=RQaz*JQh zwAb9C5j!aTC0b@?3iX+SbLWVeiefUbpmp@@40dkmYyT^>ZIL8~ph#)igqfwGdJUQ_ zgpbTbo;WTH6`qiajtUa~vE{YkJ0%PcibH4H+w)D50`Ea=_4pJnu8q>R$Zpr1R|iSJ zyuc>c`}leW2j74cI*eRazt$Ya{g;D4rgjeFm;B+^-wh_!v)1YeK2p##p-B`^0T8a2 z+GszzWDRQHa<&wzmQl!$>nN5grg){h7*t2AX`2sm)^kT0n6s*Yc*lR`peDUMR<@F)~BP*s}Z9;J{83Yrt=Zp+OZ2A1d;B{HR(qCPRy}4@hBr2N3f8fF4 za-OdnBN5;ag@lOPmE*6f6+6kjav`P=e>3w?ORmT0HVe237BPhtZ}`3&Ep@gHN9r#= z$;yD*PrsRg$oIoVl0A3w5JQ*roE;+6k*3V!NdI1OkiH`b&l(TRqxh=Z@8g{C(7lkm z&mb@pcV+GEAAnsFE^StQe7~&9btIV3GOx(vsfw}3&m;$=#KsV^!madI`0Bz}!Y8ox zP0$^ey{%Q}mOaZ!yX*bg>3*P<7k@1S#DkaM{fpC6=-)R&D#rK3xBlT$s;t{(q}G>i z1Gtx40ng$L205ND?sVXN^-0qUX;PZ&sBHCG=)ZnNT`r^w3@aTNpHZdA zTnVUp?6sGh7NP{u(g6GpxX5zws@-2fbTqsyXGs?mVv5JGoZ3u<(Tq?v2KRbA##&B8 z=hxsibCvl)&@_?yLT7Y*!HVGpOD!s2{a(P#;N1jSMM}%cMa;jer`Zj_l6x>2| zpM@iaNp8uk2#R3(RPzaIgj#(8L^2KIfVqphQ0Zc+HT=$vK8p!P?RzK)_^@u zLWD?v(z+nAE$40AjtD79ak}VZ_B48kBtWuBSjng`CYm5mnvnUaqV7l$5hr%q1k`vD zW^+HgXUcn11U4}5EWOWDARU1K`{V<1Qt7CX$!I^GIb$y!n49Ckkvzhsluy;V%r%xR zqbU6F2;V1{|EQQuUT%j$n;+CePqHD3-c+F41iu5EG*C=$P=ss(-w@PUgmC|KkK!vA z+j8I#mXL85)=p^jyKJA6do3wzVl(QFFdT4zx0+Y^qu=w!g*p)6!Ron9rM< zj34W!T8h8fSa<%Y_W*^fGV|2Ph$zW%z`j3|=D1CdmW>z`g(5R2b+jMIBUTYC=+x3I z2(Wtn)PBBjGu{9*L@aS{zg_f$LmN}IhC1&e^M^8UBAY@C!g}cZV{wc+{GT4+Jf=wd zrdkR1tdzqlB{_$vnX#OQyDS)+1%uMDa@k~YO<=-YYEL>~JVxFp2E1Yg^|2{i4);c9 z#dTppXFOi_*o1jo_YZ8o!mpc9^D4E>sm$@IoDnRtsCEzV3)nb1=DL;(L+-8@Fn7_S zTv6;`&O1F{^|Zp69;97tw(%?h-RcIk2Li8)Bt@cI-lgJ1c&871MtFawb7e5G_M7P> zqZN_)T?69cHXib#uIjga;3HkyoD=E%-SPfBmI|izLOzfo_}J=mVG`bl6BEp07UvH_ zQ2d${mr^P(gwg%7ma&CvZAZpn4jRNr^zuNU5LGlBdw{)vCK|4!lQP1G)GKC~C1@c` z@yUtk{=4~O3@JsT*v@lYDNFo6A|hq$q01Zkh@R!0LG`MxK;n5ggc(pA+5pEgln9ORB~b7L(o}P$EyrQtsiql+ zSn5+Vzq%_PS7m&nuXYw8Svblj-XIeXfXefPmak<>1Sv8)JJ8wGr7mN8yDc2rT z*(mZD=!V2d{PKui3?Gyf&!Cm&ciH|{u;Ph`FVa;dpHrxhAja_RkQY5f6zsDNd z#(P?aKZte-4oMKL+=-?X1{i{*FpF0}m8eHy3m#8xQqy}LPD^Ap4!(SL(|HuE$FS(r zRoT}j&SjE7jrzik{uDNeHsN?7_%?w+XiHHv*~sg%^%DEZW6Mi_J?{8z;3n^05#|(A22JLqMoQK=uEf#aE|d>Xvy?DvP|QyTLUV8XRL%zAE|TZ>xn)=m zvFwv|hUZVR4oivRc?MQnv%~N*OfIWqx06@QmoHRMexM%o4~29Hf7k-EW*i>So4IOd*3|#L##!N6ZLV{>gC#I54B74TF1In6)kmFZ zL%=w3df7){!`wLCL`Z67WtN

    FUy ztfHe4l3p6dGmfQzisypKM#6dtH^EAEbnpPPbzq<#6mIC~a+3B+zU9)^BlyL^Wi_vT z;HWzLyJiah;4*B(zS6i)BuAe7v)q>V5KV_=!WRh>ncySUeY{tdsBt!$R>2DCVrp6M z-`P9WjZ$=JG$FfnhN$tOK~4O#>8O>S-E^Vy_m9^ZKSk&^h$ZKb(gj1QK~s7|$%Ag~ z{Sb*3tHEwJBZH4R!}QVeGl~-Fy`2f*D@zqGnhL<_WOr77~3h7WHr?LtQlE*=n2AVBz~khKUhn{~bSQ zBwPwgiQ;Yv7_X*y(W?{Ij#NF*=SvP^s_mLLiD!V_Ftc2VUaqKlQ*~smkz1SnvF-C` zYkF{eZCQlflTFH4zKp<+A<31;6;8i{syWWsa%bSXR>M9^#jK8m|6S>G9J0R|MoQ@fsiKC~gSsiWQN8X{(?@OI|8&o6Wi=TGV@NQAd(3eVT zK&6#cb3&H8e(v!EM>#nR?$tG0@IW9|dxgr#W4{JmqviVhQL(sg^%YNnK{(aAdbr?D z<5JxsuLeoz8wmI@OlxFofaV9mpHm^ zh`xH;0Sn3dO+2~ZRpTH{R z+MDm+Zh8yWvKbEMq=!>Ny;A%|F{d}jO?i6qa?`5otjwnW&HYQtEHhD0@VJM)GNBG> zB|TPY>kb71ViH8b=49KEm)KX^zEEYTENGXMPLh}y>_3yJGmL^wpWw+^%k~?{YK}=z zX&f^MTdRB+Ky+~aDvc1aBq8ID9`88DE)#JdAdZX3rJC<3)dSuzpmd7&>)#HbNI3(1 zEP7#_-Exo=&c-MrM>g(-rFngme63^jz_k6|q_R%n&AD`qSNx8%QIx)s z$wc>VYawIyB$YG-Ye#X}&gcGb&^NM08!R#NrZnZKuV9tbaq+~m$Gyf36CXP?$J6Qf z>b&kd@b6$m<%i6I|CKj2FOnI{uTaChNS9*YwDk(@SZaI3L@1X(12? z5s$gE;Pg&FGFhT#b*pO*uO8}R^^#*|n`i~j+202Py8MT#d@JP8bOKWk5_}#*c{)T+ zo4V3t<1m`iqtbb&SmJLtbNrQ&KdA;EN()|GpLyL-4sG;ch)2?7o>_vf3tF;Mfl2Ab zJp$t+WFvJw(F65z0?C-j*`C?ExAzxa%}hGe6`RxiE3#)=yEX2ug}R8a5Z>Qmp*?!K zCUG4(Zp#?7m{^{PL4Q-b9JJ;P`nP#}XbhULJmG%tecy@`NI@&=rGG#f+Wt`_2qjB; zkjMg+I@(yn#!%)3x-luDC?6E=+7uLJcF!xVMg#J6vTKpB`22>*LbIDJGvy+x=HI6j1sHl?376t z+Y&c+eVi_{5C6?u&7lzUt3OWAE;Izn)^=OrZf6&sMSJ+Zo0zppBJJ#s{ySazm5%?e z9HfY27Ea(5E8Te9@eE)Ec(2-{EY+T^kSSfTIuNAeso?_@ zYx~k&5_ML4_RK&zNl>(NT5dWQuVrNkCnd%;ae9@vw%ngr#7C zLLKY#MP7U&Db9{wmsjK??3F>^Z#)j|G(u4S zX8EyqaXFCk)2_Q;9?1L)3(G7^G*@}Ceqi!P&K@|5GK{jF(F@Fc9d*|5V=_5eX{sK^ zt#nPov!*&)DVWOqYjonV2csNi5g^KXEkjdlYy(DbEU;E4yEu%IRU)c~qny4}E!shC z`^kpE(fi6A7o}Wecj9M(v1ofFA-`Y4Y>omxWv);@@`F>GVSV9%&VHk|iRgW^>VQgl zQLch_`h|px+#jE*vDAqC8Ha2X`cSD!U<|4<>7ld6^RC16K^?@^9t|pc;K@gD^cJVH zWkoJN_p21+%5v$RkY9h%2Pr{Oy<8cb^fy|ir~^Vb2AO}%Z0O8Rwf&wzsi=~^xPJXG z=36H0joTPQ%#lm_W+!>LzN6iHs21@7?Wv9XTE)ohh9XkCSj}SunP`&<9OnY-6?1|g zK!8Pc|EA=Ofy&!vTA5->A^1raQBPB^F`;dVWZ3?@t?XEE10k{0WHs$e1eEaz=GDZh zc}>3(Q@Pl!`J`BiEH3sUBjv|x$)WVxs&<%YMYPCvQ817^b(V!1GpZyK+50D`Lg26m z=7D^on31$0Gf970A~TDZ7(Zq!Tc;^JtbN%&!?TV4VskYpy~aKWz$I%FFWi|#=1ri+ z|G~YUiuH)5cau5%wvUlF*2$rKo>F#q4VVG(c&EyIF>}sLF<_tP@Y!xBkmATxc1=Ov zS@L@lQsJP!+=!HU$zQ3tUH|BL*39DaqE9uSAPy1Z7vtP7>mYADt1mm=N_Y9JY2O=s z<*oW9cO)lKQQ_InEIx1kM5kUpljg(5IzQJ`{m8-c_ROVBiC(`Aare;3c;`O~u4kiA zTVj!&PK16^ejB2lml0v~&-vg4nh&EfV<Tp;8p)1hk-mo{)cI$hD{#RWER5;+FZTiRt6}4te!JYEw2ucC2bz{IEgPUZikK z!1~Uc@*9HHTWIM7>z{4>XR6`u_7BG6gP>%75idSqxUb3f4xi4l2H+cL-aRNq`B>SDtZq~`LtLId+oDK7CnP0M{L#FcZ??^E1FDM zD_uK3C&(3had9=nl$sg`28vIL-;KMJm)Jd@f`4&`sY>vNVbh=84AGl+?60K~{7$Ou zUECb`RNtSu6?(PFp5B~b!T@7f4u#{2JT>}>&!6pNJMwd|a@e%wSBY|YSog?Hup!&^ z`V*xP9jsqOyL@vr?{g9Y$WuX>PU-x0t```oDFqW>JhxIUz3S$8_JsX z`b&w%?-&oz= zerZ$9zj^gq?(wEbQRDQO;+<_c2y=UMX%LVCc_Wm56R>#9Sb4n9T z6`Y!)8wHFjR^5U?7ss5Fywsm5644%eWBftE;gh z{ey?}k`>Fy1j!EHqAoCq^$ZC{Vl7j~3+~mcy^EAS^=aR|wC%rH+siCx4yb(siq{JO ztazO__Pi`*deuFcI}#U0sNT&qtLr)w;h|O~d+b)IzbvwRGp-LbmsDFQ4DHlx_^QKO zqi{<>G`oIHcf~x7()9#}WxXe&ke6$>6OmE%9f#MwreMPTY|dFlQ%R+LI8FTrNJU(g z-#G?^K6O`d17uPh#12q?vug@~Mf>V5^MhlrYql@rWJl>8>f@!VBo^VhKRbQHijucdvFmnq)xQ$l-X2ofk6@DlQZA9)O0x$ zl?dy1*xNVnU%;D>ur14{fCC?)zUJ|X$hR`I6eL5Ju|GNQ^`k8>_DkIkqWnFNq9f_v zoh1dN0=i}_|kb*{_uJ2`QVPQ#X zVStu)u(#-!l1jjbj=2LDNWy@*i0z=DwJt*J27UqOh~|*$v;&kzY_1c}JS~0#Ki=x+ zquYhy)iWc0o6O|3W^Rv9Pg|_?A%SC0anW<`M-NzL z(=HEH-j+021{4XkdM~D;cP}Ayx2lp#_1juXYqnSalua?%Dzg61(vrDnS_-JkmBeN^ z(^{jDsysYb^#kDGcxwUmc#qM40^C=&M>6N;dc~{b6IO26n{Q1vBjs-J ztw%V(-w{dEH6{Gy3o6Jb;RFq;M;AxRYPyz(7CH9L11x#jHKbwF&-Gv_QS>|6jxfyO z!lE+6gN`8g)PVUU+UK3NZD^Mho-OdsBy4}3MFPVYG%`?mdWUMfd@|Mk(vo-MCNy> z^Ku*ZdLfWMY|DzEsILgt)=fS%r1~#H=gL&*CVid`! zk4FYtQe~B_$~VHI(ry|4&L1_oW|n9A#jfhVlEd4FdiqHPBdjs2;O4*JGFH^5JZj5t z;FggdmSXan;csj>oXc1JYWM>ma`Xar+UaV;z4H0a$FEMhcoyiqx9-Z$GBbf`$MidW zoR?uc(h+g$Nn?)zT;?T4v`%3GSit6}zTe|I%Oi{hdg1MQILKXQ+D>YAa}2XU)`tE!<5fuSZy)Cgy3!SoC#-|43PD;b0x!TGgfaMTIMW^yB&^WOx6W z8<~*CEb`f?eug7s-je;q|Lz$6WvxZO)eG&a>R}SpEy65bWKFQIm@R9<=wZIMj%fKC zt&ACKXXHGF++#Su?`yn~fY=?eSbq>wS1H4;4ZjRFdyLa#F2_~#tu=I-Ohv2Tdt$Cu zB9Xry3(VGM`vo~yD98HqJE3+pig!C^Ph!0nJolhvuCQ**0Pw=J)xmpm3 zY?~G=u3Kn{0X-y1kI8NjflPZ2U9+;EhM_{|&c-l&d)RlgJ0}I^e$MsO1ikW2e=_M|*U=gpEmpcb+dALl{n_DB(3tUcc5B&Q0uX*4&LQ|qDpG|hg5ltD~H z-XO88plq&AnipXF>ale@!ng6-pkx20s~Ic^SEW`K&ngR5byFOV^_6ja3uX0ymq+*p zBKM!vh;A%jGGWJG*oc3D2HQRrTYNpN=OsF9qbyezX0p!{%8Hkt*P0G%K11X-U}wN~ zVFXi0PL6HfhaVLq0;qu3j;N9BYdVfA|2HFxtjrHRRq_H7-v#8_HS3+?UHdIZN6frU zd*hyQvHd(=d2WIJ%pxW2?-oaW;{cP_Q8bcx6E5BUxM*%AdjqZB;V>+BOg*B-_qTn> z5?ctPBe}c+wEq6nqr~;CXjL~&q&fPRlhZvl!W1@t@Np-s*&$b-&rL%C$-kbFp?X0Y zGHxjQe;%y1-cSNj`7;rW^$hG5v;;r@y#^VE{8%z|L%ky9VvQ|z2r-ua{jMU)IjX*V z)uJ=Cdrc3B$)57fgzr3P%uzBP(;V8|CYR`BTiryb3ij=kqUXBU5on-rGpfQM3;$;} zYA)ieDFd>_z_xD50-?m+G}u38d91Wn_m@QqxjIVdh=1_YA3dV*VAxmdLm`v`JP1qs zc76*QYn%=fYB~~5*?LU>Y~MG%p6*uyt-zEJo4`ckidj~y#L{Prh+PCDPR$D$rbXVq z;x%?4#tV4^2gtG;z#w5}q5TYpZ(jhm^6cv2UdpE#7(D;@_uby9U#wAf#r+odMAvtB z`JD9a?RF&wtShpXP<$`E7EL#DkfcWciN^`H3&ln7<5I))`T+R~O9od1)ekw?K^zq;LqM8KY>28XVy2qbG=q3wgPj;_ymQP===>~deIl6K4+_Q_Z<+=bY$zX2 zO5BWL7RCq(V@jDXo*b1Bd3^}2vx*@m_*S&NIA(yHp6}ZQqc<|-Hy2sU#Ftbu=^VlyJC<1OxPsI^KG)nbR=&9# zgxUbF50u&SO1Ey#s$N)USn^v!D{GlZ8b~~bjZc1KwCxYvEwuI>J%J+rAE~4nS6q}V z2_;kM4q{=v$T`(Oe29Yx`akT8Yq!jdj8aB^wdF93qIZX=vhzE#`;w??B$u8B3E=o_ zY(~ArT%lM{OW_ZV7f6mAtH}=o!06@qHi&_v!uz=nm5%EAWz1v@B{u5D0xos-{y^6QowK+d3io%(sZOv0S_u;|cc>^TLOTp2+laQTDZ!f5YOmt3qz z4}461jCg{`yx>A$f{f$Hg6pmfsYaqyMIz1{$8`;}VDS&ndMgZKkWu`_H>OWtk$Qu$ zU}^8~(f}|0zmIRrU>A2ZG|zl{wAAZ4tuUH!%^w6ef*yDY#gp>6b`MaVwEQPYXQ_AI zwVNqu8zh|A*&sjY1pA_ctM&m()%Hk6Wi%E6aDmv*G;mzzD;jTJl*F|e%2f6adxc%w z-XGC2<~WcTXkO&F3QL*L=AwPlQd*n~hW;p4d53(_Z+C<#qPK>B96|;2-$&tGJT}VaW`5vo! zt@_c=(Ll+DtX5&kt?nrvSD0GVUy1BM>qhCw;5%W1v95R`PeyOp@$GbK5$&7elCQ6y zr|+hVO4MXbIbnMiB?abM)eEuQBR0}Q+-Rn?yirG5i!Hut)wZr>c4hv|r$W|1^!50U z(Qq#3|5mvMzs-hf^;Sm)B7+b9lbB{?5D7`ZAg`5c?ru84bkkE}FH47Y%R5K$BZw`- z;j~3%kpW-lddj-vz7y6DcTSx)3X*P3s7#4HGZUcXQ&-)FlB z1*NQ7Jpt4NJ7?(rc0+D%{jRIdm_x{d?|W)B9lfQ(Haobxg<>*BRFhnd`FnGgA^~NE zU{gsV0%eXlQo2orAJutf~$nPr5k!& zRL+_I&IfT>`4k7FAelwrzl9wpdUQjimCWNpM@XmC_lz; z`u~90=zlG=v=VS%y_ID*2_4CIv6`VPvkkq&q%r0{^B~YL13TIuxzy5-i&@DI<&iLF-(@z89fsPnS|ml<}7dz>&WqVp z-(M6jiacKGnA@Dy>1TlTbj0+$u!#iwiHYj+jaX1 zM|ZvuiH8H65C8tWe&>S11tDHMh4nSm_wgiU#hlrtqN>4~Ed^*{&MJRYd!As)tI~Z! zhx(y-C8=`iF9qLNmR3J@Ry`Fg6?Ah%Ff;9au3|2H!=EBA%A;)j#}$mt#n#9!dFnjM z%6{Tgn}cK_hDPnfp;WvjSySs+kHv-DL%DjdGU?#}d%D!`a&D0oZRkl*eHA#HwVA@7 zzS2Xi-X%i2s39m~J9_ytzMFG7Ova~f3-LXO7H|Ex6neuX-cO+1jTf*pB_k_H0fh#J zI^wI#<`e;EWD+(U#tNgj1pd#tdeA`%QU|8TU6)}r%4)(!bz-!Mztv>v3$%qbvq|>f zke{mpH`g6;TnjkQu-d&88V6G?a6N9wz3EycOiBXg?PThu5FF6X-t}=1C3;sW%{j$k zh6_(Y=|Bisw=T_DElRsQ*O*t}P7z`B%p2TC7S7mKd#{g*Ah? zEu-cXL7wx7%bfm}7oq>Ej(Q=Z63bo=N6!6w*?;;??N(PeB?PrfQ_ZPRzS9R0rdc$4 zQsmxg#d&9Mfboj0t|9t>M6spfBp=&iDIcV9;`z=A`vx~rxmk2K5Lqr}h!nAJJNmKR z7ID=AK9QGFceu;tYbMsApRq*;9zg;U)$*-Ls%5$b&rLI5 zRXoQ&l$VY(NS52U=-0G9wR1z8+4Ky&fdF3Xz{#XpEyHMaf0AirdJVO>o$gEW-&^Ty;ahSj>XEY>qWdr`Hu1w5A#2G{}-TL-AdkyHE}S zGh0dw`=9P)szmK`knBY?pRCB;GMCz=$>s-6D0mOzy6 zR?#!nU?a_(XDx;ycy2B?8+l$dUT0D>C8Fz~%OW3+;=)-DEgUPu*q-C919KmLD65h@ zYA?v3&G~$x$2C7*l5Yjf4uPZjI}Lq`wUf2n(l`lam7;TNkDW}}?Uso#wkKhh9yViV z=vEhRshtWGrOPeY#H{CL;8EQ+7K67Mss3y$M|H>0uA)H8hpfL3`|z)IRB-Y2RMEG7 z<+zuZcZN?}w!)f7OpE#T;?GxtDoTdaIYv|DqCqjE%2fa~6|a3S1bQ9kUro3432hal zX&9RkxB*%=xvX=uWKbTup%Q@LC4WhBmW#jSuRd)$8oxkMf{%ZAzF~(M`^3KA;Mf^W zk*E%&x2Zp(*Eoghi_Ch#!k}smdOig8{AQk*6USDQkgQ8}taoO=X|M(T#u7ub>nK&D zw_k|o9BA+*U{7B3W=Ossh~dzymJkfMCt>cCVBoi6F#EvR;8j2|O5eXPHz6dkaZjlfDIMFz4MM(bf|4ElhXo2m$};W0;pT;= zI3bm~KW%|hsXGgW)^R|uH&Jk?6L;aEbcaAO3oibi zlA}W9U-(1A3B2lnsgEE%iU7EgM6Ahg$x${FQYv@08eK(7n;Y{kI`aRX{kQv3+C9t^ zlcF_tP)Qr`UQ>j;{joBj-485%8_BF;?+I9ta8dvg^I{1rFnPM|3vy9%qh8RcK+y?Q z!n9`{*xs}KjkmS4Ml7dK02N(Cibv=p7_*??4}K~TPGC&SP+h>MJv$vxBr4Q}dKD*2 zUbEZGCz7r4)wv2pQF3RJ{6V2bIZU2xu1?)1ouCU;*$CU;}u$gpIKL$p^rc3xWjU?5D=^w9c z+cSRob}Jn|Q^EKqz{yUi_N`4VbKvwbsT*|{Agvl0yCQTpOo;(g%Em*Xl2(Vz~ur(*t zGB^u4doBQ|E){TsT2!V$vG@t+YeO!*P(evDLYrh8h_y(HyAFw?2E$Qn1wS#wsGR2K zJM^2(8Ym-@>XO}5?M}H&Evi5cb(??44P21{;GWW%umYtLP_!L5`~o-S#OAF!!bpuT z6SuI{Yxx={wUwKo8>0L0>v%W1Uo zs3l{`Yq(!-AGk*AC=N62|GlYHMGMV)SVP#T3j3l|6NJt;5vft5&Rus?M7D~b!Ub71 z?Wss`TU3fxCCNgH#cHRlSwKWLbr$cG9VeIF#Mg5yaqq~u?6VZjmWZ1W*%4JOvFcu*s#?BMVY%9$6=7o@Gc+F*_T$rJQ#LiR;Fgo-=eBpaM#!&fWnMXReI6#cz4?3 zr(jL)5}3Hs+K4LMP>#O;r?u70)#mwixG8x~%n24wkM%q=GL%DnD%pyjY^fhs=fq!B zD4a)rk6-uTz|NWD96*{&uJHR0i<}Ct2EG@DDnx7Jk{XZii*xfZlq`L|CCWsc?d@RT;>_#nVwl^IQBg6+v*L^J9JzhUU48NIh@i4@3xj@-4SIyd9Ngf_v z4LWZinBCJDH1p@*2z5NB6;g!nNxrtyo;s<@dAw@%r8v@SpX+L%d#%9shcF$Q?1Mts zMjp3&t*rn7eJ0!7V&^~d)d(vd>wcqB%bB2V26M*DYH%HZI#$M@RD-p3WG3hy-S$F< z9^74TmF!G14jiz6uN|Wm@qxjfm*n|m?80# zVY3om&iM(P=9zxK`6BfzD~5b+s~i2>eg#1dmR8>ODd3>raO74Hw!sUcSO{{HDFn-t z60HhE8B&4uomeCM6*g05G*UDu`c+pO(q?xiu>Gq-G^`t#NCqb_1ga~#1S!=+UO+WT zV{s?`u_R?c$OR`WRvabobq`p~)&+mEhO{Z_Uxqs>`meP+C0&SO%59^2(Z$FZo;ANw31L-6DVSfNMb6if7hDWazGlf_hA` zku)>M-Vt~s4?pyaSUBd3bcs%>Xd$h}pJ^>rgA`3u^UWZ7T&w0h?KG?<_}M^<4t)} zAj)t}SPp~@PDPq8==fag#Z$++N%77>3nw`>yg95|a&_%1<$_GZG_6W993od$*`vgXx3kzbpr2nCRSOEB0pyR! ze|7ilcU9vIZ;`tem_Z*I1zjuuNa6G#m{7}^KfF&oQsVm+if#hK&(`&Smc67}MPctE zTR~+kGP!W9Wl8Z~XEaIox15JbJ*=S)pa((K{G!g_;PJb-9JUVO9+BBGt}*|kEL_o^ z-;V}S6GY>^E})WGvFiBf9fVrbE+pxUnz{^0qcfFc{^-71I>KRz$Bu~5JwA`^!4T8z zc16V?AuEIuZv!-19D@Met$7r42%u5PH~j%KwP({sP5vu`B+=+x&h`={9Yhe{^h0^m zIyslASUw+FM?>c6ym!QPVFYNE7|F`Ezb6T%7EJ>=A~fT}K8tR1p^%_9$eNB0^Tpat z59ZZatu6n-b&uJ>JLAknz~6H}Vqsvq8qrus&y0{-7V1A*IY}*q9xJT?VKH6XUET$r zkG`O~bRQ*w!r#paHIC}4xlu;2uPqC$To<>_w)8{fc|fb?Sn%-`os_A89H^kAi*DL{ zfgu(CumJMCnDIQFF>=GX$B>s^2U|+mlM?Jj_jfBR?`a=MFS=eZ1W6})g(%UPj*DTcbkTo&7V%gYuzywau#NF_;6?NfQOgU#TmdnP zjMmMLs(XH?q1Ay#dGNQ$Q@dUK(aJR&Ce5bH&m8gQz9U_$wls`?U4`E>%XyF0*O&~? zit)|wnASbZ%!(n1a7UWDL;(oMkWT@BL$d|Co1qf?LygA*o881X!UsuP&*6KwnkwS& z>U$8xG8cV(>J+%3ZVAVJl&dur>!LGQq)YeuNJAQekak)P$QCq`npVR30XHRz6}<#T za#`?1yM_n_Z$$~RB}$6gOEmGN4tE5S8kMJn#eC{7JEKA^GuE=#6ygZN@i+;OhAbQ^ zn7k^Sv#iEf7(lp@=4Xd?uPPUByLS?~ldto?t7H#;7>obcvY$&B+a;hk%yWWni+pho zxfhW*IKdYPfa%f(DXFQ`RyQ6~II>=bA@?T&TidkR3rhTkqNM@W+M=ft$Wlnk&3lEx zOJ{NqXtW;ls4mN?#wJ?)DQZjcvtww`D+asUENLn;HLafNn=w;WjGLKGL(}|(`F#fw zSRLABUNnXe7uu2Br}3Bixv)t zkHX@Jz~f3|XBtaP%YWt^jY6#Pi_v6+Z3^$U&kj`~=oBBhMxS2mg@ab?juck@V@_S~ zb4UC2zw5gLts+oj5WYMOHgHwZe*-C%mLAd@Nt-P3`zbN}(-c{=jDA?SUC79^d4L#2 zuI~+P$q}Fw+V!u=4Br_HIB?GdNy=UDynNInc^8o-G7_h_-lF1x{^D_o_9ElsIc=J@ z+;kRx*$Lls#vqgHV|{h2J$y>b-fk*b>zC8ev-H?QcM{+@ch;E|*%v zFjb~3&u=Yo8Sf8P1nB=wH>Z%J65t#>nElm;m*|LowlB!i=Iaj#&i*L%MOprZyr03B z&w$2%&|<^Uv6@agZY%)^h^Un1$SzmPlmlp+98%a>a+<{pMskDfo`COCfHlrZcXYJs zieyu6Gk~#G-FcQFmdkRz-4$kTSlJZlDTNN}J)q41?kaedQ`NmoC;V1N=((;otB=%E z;00W@6^QmI(1drAU)JHfJV79afvw-VUGjw$J)bvqZG2IV0hylWDRTXtCfc0||NT{B z-O@C>>#7wbRlW3s;XwP)Yqcn5rZS4ZUr5@Uss%c28BlSdVa1|0E!UBa|JRD>VBX)$ zL3aF>w$hyS_m^4y+9b5T`gDA>hkRB>`rh-BjR*0(y#0AgN`tYY*GqM^vVeEl9bIGf z+>5qeIZg$zV6d`XRWfqA~oNY_OT3Cu4Ne4ir~U5vXN&2 zmXSLGfAo$b$xUEhjoEZ{@@vMPb`&*9a`_vcSNunGF2S%z*K7>5D4Z2a9J$eusTiEV z>*d?xZ~VlIl~^_pB742P@X*9B7Nhl`2gu!GCQ767UznG@aCA&=1!{TUSBh5v+SmO% z30V=KyD{vKGD6@nJl=F=a_!dfwPmR60ZuP1dGU#gUU<`ld}~$(H;EfJKXY{_ad%&Y z_E6MguQU8EnHxN3)W?ggbIYPy0&7rZ>_xkmbE~WNO;bzxeled$is{#Q;&$WcU&Q~? z!-i4XHvd_D7@Ql%gSJlcp|;XfBa@p4A0kFZ^y{qq#A` zcQwr<1hrtb%qts$i|C3g!8wfx8UH+&oMpfkTppy7nMfYWe{)V_ARi-LzfJf^J}WS< z*gSpb+9lumdGGYKHzO0I{%iUESigkSW+?1+9?$N9LGw!KZuka4B;SgZ*(U~AIO;qk@}coGg-3~G^_{gDW}#9M3P1uID)zSX8Tu^U&y{pAATnGyN1-Z`f- zZyU~Xf)@m6%&e5osZmBO!4A44d}5&iCi<;v2+!b~eF;|rpD;nAk&vkzp736Akgk!C z_}DwA3Xh<>1x@s|YdM-oaU|M^HP*PWl!v?(Uwl1vf&6#)-Xd{YeCFaCGbB{V_{Ic? zK#qkR!5eHpq{7aYeP+b&+3`QxxQ{ExoNi8~=K_OR@*% zNa$`?WDe#?|Q| zB7Vw|40UBejvEJ~2Qr{I1D8a)IJTfnWeB1CSYhU&PqMCqGwc&0eDt&|hKY~2F;qIM zp+MHb^5lG5p^Aksl1A{Q-7-p3Mh>5{*Vo3P0|4(KpL;?`o3?^Q)wolE*g@TpO~Xep zl<+-&`WRXWI<%|$)e+L2TsCE_`j3l{q^An8h0ce;l#NrCrxCK17ngV%BHi!dtnM)j zWHndV>%`e|@dF%u5AC{+SuTT}`EpxP>vWuA`gcp0Y~# z{8E2FO6lO4wvgs6Qh{- zSA&T8h#6+zm}2o9xGhW&;aZEmy^(Qd&^#Lk4?jItC&v0CXaoJ%OL(^q7W1V9jug;U zDdh3qC~Lgzj-(Spm4Rfsep7KD^-`XjqHyG_l}=FM*{%t?LHSOi25?gE2z~lI5x)7% zNX0_Fl25aVB$F9+DwYzyG_#zH-gR~(NdH2@y2VRupW~W^q!o!7PMSpq>q2CD02M$d zhPDlCX0UWhF{jrmg&0Vp?^Q`WH$lBQ*k(OjQoGyFg*-hbZ!Rrb=fENLt;RQ& zLJ{V1BhqG~2B}V(i3dJhG*pP*9vh@>6gjV%QitYoJz(av09tiW_|L#0{Sz`eH95XB zE-fDZMgVc3GIq?AL^yYls2Dc^eYQTf_UA+>W}HtS@)JDC-FN9}n`Ao!D_PK~3KJ%b z)cXwiB>?Np0^C}uGUQ(y(i#jbhLlAHl}57`Bmt|1?y6{Lms-7E8?E}GKo?;E{q1sK zSZa3dlRfJzOQCy^ICBOn=0*U@v}=h+_zwhoprxzu-{cI;e-RegL=Y7cb_lVG@O%bb zWY1B7pvCZ8rE(A4N+&zR1B$tSyNb+fdE5u+jZeFyLLas%Y?n(XosUDeld`&yLBrZ8 z=SC}-BXkTyG?H`czsf3%tgVj+NkrRHFaCfBV2!A{g5~0qL{E~$BAF7EF=W;4BMT&B z>;w<2mBK?o0_7Dv-LEvy0Gs`MDudDqB*&~v%EAwsiP*{+4GdLOh3j+Vr-wAGFPB=v zgk<7of1mkHG(`n?jp|mgIgio)geXUSoz#($F05uKe6WZn&uA+6rQ}KNFS$)mVRz#> z%B`no2=q+phq#B30wH6jVQ+%_Zj7f(`bwXC@XetMq?0gIH@$fDI{`(5Y$cqI{ry-6 zPxT(|e^c6R_HbaWYJZR}W4SpLn9X2zrl{s!S^b*7mV_SbJioWi?UF(JfLLatz2G@z z_Es^EKifdt?P5hF>Q20rTEW|4y%mQJ0sXI4YTVYu{Z_&5Nft@&yBa4K?Ydh@$#elZ z@=9>JoShdQ?r`-aMPU`dWfsxEf{<3z6gMAYjrwaJXe(N?Q^f2Qw1Gi7<81<^&$0W* zGbiQJl_@S_z|BRfrLl3(_qpNTS@_WKSrYm|an2%xOI1i_A0ZhC6^Mfo7%(NGMo9b=2owyv%T=xaM_)ec! zKRaefn%mhEgD%D;-6HM>?xjxjc)MksJH12w0Gb~f2&)bel_nt%F}<*{S9a9mk9 z56{+VQ^Z#imn%wW%F+jfpfeA{u^UyE@D1I5(g|ahqvnZlM428P1~f%2$Ql!8)W1bw zsFaD~gbdUX!{KdKWlVS_le1&xx?w3*=u766F3Z^GxuyI1zDrHY(Z+OY&D9W)G8}bw zz#uttlk(T2HY_fts|@fTV+K~*8+XCkS&;j#;tSUJ?x+iPaGV&~mD>>B_K?#tYLj+A9vs;tNsjqRSD-h?HXMr{F5OhK1NUlY(Ml(~5U!~# z%US=ck@IbIryDr<0lQbgV**AXm(U%Q2JF(l!s!V!>c2vPA7 z^h9m?TQ}RJT4hG zL?eN`8Pp1W%ZqiuqK6Ak`+C~v*}|Zdy}V@mho1z|Jp?du1}fK>Y$O?r$*rQ&A$-z? zllOImt)Y&%Ie9lsCdFPLCW846hT4tr$`MhHaUE;auDc@?Eg&+HjqF={974d1)?+`S z+IRK6;^XO-k;V-b*9>q+0xupJ^=DSSnpibA;v+g_P&_kmu$SXM+TlZ9kL%}soVxLL zm1_?-&sZ#czoBfsE&@l2&0)vTKW*cu?c@F<5W?mLw19h6>6ZPg_~3!|y_oER_~4%m zx@7@%tH||QVxy?*hkK)M>>wG6jFmH+23>!}!V@F6P>3d?th-z!;exS&?;V$C*oNLn z8M@GLf~r*-bSa1R-m_If#S2}OZy{vKbe%tmh4HcJ)E}5oK)ha5p}c06xCoBzvU>3l z29~r9rN*?)yo^YLUy~M-V|GEs$Zvd@6n$+`%g%1Y#&3E~bM7WF^;#89@m81el5$xq?owK|FSZS(rMTc+vVp^4RYMUfNn9KXZfSmh%{2C zh$c%yx7zNakg(1{G@b}tU*Re8pOuQ3VUJaDT!y<9cDsmW)3x^>T2qWK^<=HD9owE^ zfem0=liP4+OUBwu#0)N}Vn12*N^#k6vchfG*l5ydAVs*BmGZRfs|zEaYV#U|XCV#R z3lX#RkcqkEzVJ;}FRt8*Xzkq>4 zF!eB&*A13R+d`sza`1t~iv3k1D`QPq!%19)I@_00-0d*=p#SF+fXO z0z74&&~Q)3hoqd%&Yha$WuCFxxqt#`q-fIB+lV?mZj!;%JLCD6&q4@%8`;Xb!2ac)jHC4qAoe? z&2NH-Yn5^2a>y!Vdrl3_80o3m6LqU!mHzZKJO-z-D&}e>XGIQQyG4%jyJVu9`pf#A zpYRQ?)=iLlM1XT@XW@|VoY5|GPA9W$#fyB|b_-K|DOW(5N58;f*zp3c^9?vpH}p5- zczCw|+Jt-(9xkTm}i&6eQp^0eE>lkZPN?*OqRGRo|;}(Gr_oKR=K)7@`bBTu zrn#Z?>;U8XIk*#W61L2va7N3gS=NQNa+l@Tx`wuJN>(9F)&s688X^!dv-mYa-@tVJ z!ieDjprQv*dra+6cHi<@^<_lS5V=&th{0=E?y?QL8ejg%-Jdc?yt&`$k)!bTpraLI z3#y)N4M}flk|%qwESfBg(gTP6F04M4?w+JrxZgw5cJy0B7m0M|Q+r*wqN&PoUNz~8 z3cpg%pjmY?;8bL{0Xc26&`5U~$|f?44BmW}0r}F{6g+}WAbIxYr7G%chfz@;+uhbc zkwD5YS*Qe`=r_u8>y=51;aqg^I3`pN06@Y7aaXh>u$${#Y{^=%I_nv0;cnW)=Rhvs z<`+k?HWbk?G;xgfhPY9avmI;Thzetjimgbog(*r}+k#EV({wYM($&bI%Ufsk+o_s> zxp}iuk-dHiisu7qzH8INs8vRY&~-3iPNFTquEjjo8UpV2IiflwS<$k-2%I9Rb147l&+=*Xw&J=} z%R$hH=dVIRb5dqR;Z{0)KXlI>vgG~KJ>_8OR z9({2TSoG;f#V$BZctjwwWWX7sU3>Hra8g!f5Q?Ox@Hpe3az3TPf!qp~1n5%u;CNV; z@0}>{ji?4pe!7&Y3S=yHX^B`%E3}ac@0L-?f2DJ3K9&B{RL={X{zF+31GC@quZ3J$_%*F{61(q%zZv=D+aR@I@uj%d% z!fv)fJnE9|<;5^HIWyauHuTav!v1U`T?FlD|FnpyZC8i&?km+_WnR|3v)j;c1i{L% zSKfy?Ce{oB(|g*pHoF&c=s~UGoaqSP5;%>Z9c(3A8k8J0gtm5@* zP940HZ*=1b9n9SyTsI%9+92X|vR7MYwr~YIXA<)8isQ%6dXg=1mOs!t99rH(TSX33 z2rj*x-+TU?wC?U!tTFFq(!rX3c0Jn91XRfhF)RK8r1sdd3xf^A#uQ`qhr@Jr8>}G9 z#9)2N<}nUu7KPq0wFTozk!~Cov*_9Rjx;YYIeoP5^;Cf09tK6{`0+muMt!cCW$WU+ zFr_-h^+V0^78(B@s0r23D4@|O{0nbrGEJrYVo5^|*8DS#Qn2tYGNo}>V}ik->6UIv z(_koGDgM`fnC;UBosDQIaVydy)|A0xF!4)H&trmFf!35L*|b!?J}rNI=^0#lduR#2 zQFz{oAuE=om;xVS7Nx3$Q&0whJ#}Y|xvaH>Xi(Xsjic=APh5tC4-`b;q&^)G<{Kne z${7I25dJG*a4y&{!1`+>a~Z-yxkf1<3W!#~j~p@O05_w)W6QKWs~YutomZhy3& zf+;)~t0y=r&41L$zw^+H&5pvU^3i3x@QwX6FhJ7%H9~6IQr{yj2?$?P3&*4BU5VV)I~DCx?J*GB;J3}IqEf25Ql@L@l%V8>Q;q*y`tvR!!CpqI$JR`R`eUa zUT%>4ndOW zqS})6d5_}6-RS9es0rTYY~u-ovKw)w>DW`%bMwErzIOoZCY|agA zqL$Rwdaw<&^E1-Wh!%#&vWM8ayo$b~xa7Ii8;O&xgzm6_mw`^%SU{lGa+M3un_bt? zleC4o$XeO#3D0k)?^qzxUud=YPUV%&?(XZp!VBLh`PukSDX8CRgMFlXCkpfNmT zrurEobd&LC?1q>HuDKgww&x_UP*v}1g3TgM?AB>)#Et5Y%nGt+qysqxRqD&e@wCyU)i-CQ(^T3-3_mZ}oo?Cm6{0@nmU#HvO~MAhLznLA1uRhM*?Hn#U37&= zNqn8Pn3`G{`MdfCh+>U`pd%LJ?YJvji3kVMmo7l}b64-gsu4i}rrm6(xR@^PQ^HI? zJ?k&T=RC;jrwgNF=J*`VJ(;ZxIuQP22377@Q6xDYk|XUBTw`G@Yb57@H0yzL(6fNq zM7;`>WgOaXE4Sul(wqpFfBZu7Y1LtqTb$gDYB4=)C2NN%Dm<71z8y?GlEz~z+nA^$ zlP+^jhk58IAz3(W+&&){ld)`1TT9lo$JOv6)n#Cxm-mE-T-S?oTo(HAeKkSHkb^Ld zaAy*XX|kXBvC+)<0#*D&T6s{(Qfu|#+pC_aRvSh@ zwC_yMcBd~(=bw$-KT>;nxW7!|oRW0BiI6(orr@NZ@uodUG8*4~*6fMZn*tp1igZ=a zVx>5HsTly;F}#Pxt87Xr!(B9y9x{GWt5&+CDL9RxDH%&CX*XXM#uU&KRlcAyh{|zO zOKPwZj$Jwa!qs@Yfk0&yS;eC%R^6jyymKy)A&FUorTj57izo(Z-La=i)a@NtWrF_2 zCEjNVu4CzJL9&om0&e*;`oi<{FQ1un#TNejz_HkS*&VW^ZLnOaBs|71r&}dvzUk-j z%_0{A?Nv*6cqGlDfl{>0uZOo>U(N^GEdR9(Hil{SF`3${!RZ^l?Vn>f^iCCJ`lVhP zL6n432!v`)PE`)e)$K8%u@XlSK-Cdl`EV@#J4=>Fmkp@#q?p?|)Z2r$(`+E(LguzW}k~rR0zP% zw%Gz=r`n~P!;>r}CYt@!q3cyYWTz0g^FSl~a~&I&$SlXSnJ-rUHE%jYzmun2#`J^7 zw<7*vT0~}U^G^oq9IHQ@=dul2)EEV!wT@r|z)#EWi}N5IVS3F{Gyg#rpFuRIh&A!~ zt_f9g=ny*2a7-WJ?WdhTb1)0o>d6nx$6mfC^ujHg%Q$;&0WR1oN=n4xa>bxAhH!v| z(<=BmFY&pPbV?H=*Nk6z$Cc=fmZ@24B-Fh^cu1~X-pUMZAg$~UL)U9L1gqF-FXGpQ z_qlw`PGh)Ys;Q5?k>w$QJ&YH#>U54rhX-Sk4e7~O*V*w=x3KfBvUM81vEH*C^e|J{kk_Zu2<6Snp2#< zPk!DCQN7gCgd#^0cg~FF^#QD%K+U1gF+VWOJ~;?;RG^IOlVpVrdX6<5pHw9TnrAcx z;)X&TtcR$ldSIHb+^cMu-y3M;e!;7uMW_IqM&oQka^sF|L)4xO=z25J)f+lw>fFgM zj)kB_Bh<<9orjW19PT<^~$W;v_o`U~Ey!BmjI;9A=ZF{r-<5QZ&bpQO9 zYY8u$n%Zb5aGIViXJ)SZ@t3yp4vYICxD82{j`q_;Af^HnddTjC{NR>S21b#0pu7*| zQ4(3hGRg?u@KV!M7Z$NAjy@1c$JDdVKa1Nyt5gdfu-f3k6O0UAE(3&=H9_X$^j*Q_ zKOHUi=*t<^TO43%2$GB>HSjsc*kd#%HDrgzrvys8WJv^rUx}1O=#AyxlN|aJG{%3U zgJpyXr;+1DIPL@J893Q^j`ECBCxEXn6VDXfsft1bL}bf31dv)E6eV>GidJizyV^q8 zf7S_HALx0TebT43z#F9VjAFJR)A zSZ6|j5l$zyg->M}gfTkl{?K)HZRgkvCZ~I=Lr%PNDo${Gw>mAh*`@9PZ|T-fwnfyy zXpb;MnAfvlL=^pQ;@w3RZ+hT_JUB-lWS4ebY3`8%PzX;)S(Mvqjv^EorZTQfe%U=? zGFDQ#d`bD?fGj?$#=~j!Pe`d6L0u zR0{68`XR;0(;_cq+hvSQ;zBcobGOZD)y1=wMy@0Jd8*~2fAAt^^k>OL$oBk;R3@W@ z<9hV{^j}aQ6|85L^h1$zpIO-F=X?u#AJBwcSe^Kcmo96<4J52lwR3L*|<6mc-d>_f$<4svQ<&##&}GVnS& z{pn*6o9Lz*Xv|&KA$}I_#=xR&lGlVTP1q%RxF^5ULJ>|o07$s;YXvwbCjmsPI-Of#fWGy$Mev)uPAM zA1!CTG&sT!hN;7J>7j5c*XSw)`)~DLI}cO$O%_9WUPUeuLPnM^0T|2HWr)Yx2Vm_WzL(`A&!9Cse^)0tYNZ748-MaMVserF} z+C5A#uLC|f-+iDZ!;O~G0p=T<`Kv#cj#VVdlP z!Cq#_?|^816#?~xG_bspxwi160M7Kqmh!ha~oUY6&cwFsoVjW%o z`DoL)=5Nk_lv}$i5y0pAO40oEP)^*9T3Qy#G(8BlRXAzZS|U9rbv}Mc85pA26x$_W_t2#LDMhMcM*>H1=P0E8KG{$+S?zgY z|4Aa31)p_IA*Uj9J+EnZ1^`5r#^$qT0*8Yy{;(L1-vu_RLyA8HrbDdCn!bN-W=TWMc z%WGsMnhdrf0H|_-VvJs^z5vIxl-E<}mR9_M-b%D%0|_LvSKM;5`gs=w!PEHlA}OgJ z{_aR@fvLJ{Yxri3HO{Ee?Co6VjXNn?klftU1f#N`11nIQ$5~U_`H+k7JR87zzhGEE zc7w|%|9kqC@&A~9Wn=mO(ytP+#+~q6uT+*cc_^*jcjzxGSeQ769Db>3Q+(O z@$JOv>>5=(-tj4o-cCdh3ey`baLV!+D>BnWnqm_ zmdz(Hx8EWtR(aRe#gRV_)P0MGzV++=#Z5|CU`YiICez|BE$a3DykGL`-CJQAe@(D< zNsj5!)g-08U%u{we09GZ(R$hYW4TDL;QRhu655$9tMq+VZC!>nW@LqMMdPbpJWv1a z&(Z3q{qzWh+R%lvCDzMgPGBEnUmFY`OM%>mLYflVes*V#{O}fPk{dMIV%=Q7xBC6O zz4g;lOa76pr&DW2r#hsDw?xDlF{r{rUb3W>iAm@~I@D}E)6lD4$VwRR-mD@t$~V2H3QbCuIEIoPN(h1Rkffc(cNRqrbn0HYZR}9JDF0Bz6FS@U0Rvb2n zRh+p@ihqe(*3?@aw^pfAk4ZM=Z<2BgA8jF?uleL&k)vT$Oaj^P9^4p?y#(9y=QVb~*WJhr$TmlrUiviohpjdqJfc^*gt z-$=qdMOmkx67S^@t5nRrHl#mm*DdMQ|N1u!8m(GiU)10htp_sw{LeVF;leF*B{x3$ zNY5(K7UZv_yOQ62OyuEZmmWPr!xvUQ!tpnXhmp% z#GRIxeDGpG<3oL*|0~ljeR?gH`v+HeEQ=r!>KjdRyIv6M>*{rj2`J5f_EJ@XT}#xs1`d7Gnx^EL@aW@hLd{L*O59=Rd!1VY7QzRa3-$Cr{jr8qmmc=F(w|o~3e8 z>9Q;~7|MjYdCX4QJ*yIfdww$sW&yipRDkFjg20;a;4qaB5Un-W7B&@=WgqQoGTlfx zvyR2kPaAC3GU?qYIC6Ra*=vYBWJ$SHoxO8QN${MC^i!Ovc6IR4x*luq(OV^TxM*^C z`$b|wU4iKwm#rnsO{2w-_RUR3wBGPx;*nD2Bf zi1CVvAL{(hjg|mxM6cV`FF30vr;%+r1{XAZgs%eJB$ZSN?~8`eV_lJqTH8M0@`Q!G z%3J!Z$<~6X(T=FBJMFIt>#V`ctmfbb`a7UtRDiDOYFkSY7b*-eE#Y&-;3c3UEuy_$ z%bguEiFDfFxZ+p;3Mww?YXtaTiC)Wd3vxva1^y}AcSeJc0^9CtXR!33EoALR3{8#X zAQ5%ajTpa)?itzMoGJEB?PTkd}tJE!JQm?(=Txv_2Awr$(CZQHhO+s=(`+qQ0! z$@e-n5Az$ks;hgSv)7_;FWuLKqvt`16j$qvH9@m%X$+|Sw&*n7USCvQ)uv^YdCX!- zq#~94HJYmu`=*&*!AU`c)o_26KpY7&StYBhxs z+mR34s!R%vU!}i$D}30K!9pw>2n{SbqlO1{@foOrg*6G!XNQ+nta(Ug}anPlcI zt&&vo3fr(QgUb5EnNIB2qfV%l)|z8k8j>(`2G-l2eWj4AI*{98FAU?~b%>z_96jfFhJr|M+GB3l9nQ z`9BBcV_){y9Dg1ZzEik!wzBhtKahYbqK`+bh)sEY*jN9ELWZR%OLB_HDxq+U_)LdF z(HbPdF!<)4%Va3eae-f0mz}G8mJuWx)0Il}uGl<$8Mub9bal9rTo@M;?ps>A&FBd0 z9>SU1lBKk1cy^!39#dJWCANC5ddg$XovVx+k|0|(*2M&nMNiX+0Jo%5W-nTiwE#s= zQ4UyocJZcYl%6dB0)@Jc1a|^J3Ktb4DsO(%FJ>AZ@mCZPg;uC`$UQA&?Xf(Ut%Gaw zQk5(y0|=Eg$cse!3d`ppR}h-as=)hr4uXL%7xTcXkY_T8J$5m=Zw!}ePUG>DI}0J4 zb#*<8t6`o=9?!;`q@EIVVe!45Pkc?-e?NR+%mz2D##-wgzz(2cTfw=NX?3z0?b{T` z-`)pdQM>j`ob97PNji=l^z;RcF_bjP2LrJ{7oA zl}Cy^d}g}D7MTe^lWyqHNUfiJ&m$Zds5`#6rX=H99FdMS33Qz(sM%!BnzhQtoN59y z5*=LXhC)RIgq@pWBFr7?b__1jxJOv!NM+KAPdKZIX(ef1DZ?x|7ND(IM9(#Xe z3D4CleO!59h@z3N-i>1=8aQOi@W*1Z&Fh~6-Xla85#*U7HY%67)A`poHOoN_y|ACJ z7ECW$3)USmm;o5L#65}8 z_%^-ulspa?eb*ntUcj=&MDCiif|@2c(K`MVkADnY^Z9}Yf7 z<{`^#jrU=>Mh&rEA>ULt2L132R$Xa2YKN65LOA1Dr5$E4K}dh&$r1L8)E<91qtTgY zpb7wV!;uSPk;;mYK{C;@REVdog+seAqoZX(MVV3J(&DKw7DF4@u7}v#K(uAvz6ZYF z*w(LBCd!WD6?^m)G%yHFwg)TPE&om6Ru*K~;(uJ$6?fSst{dySKB5g-MI6yZH8L&BPDy&jBRm>rxcs$a}+=Huq1Y;Hisp>>}r zI{Q0Ce7{$hO&KhfU5;^K&M&CqKZfw~Oj&?C++(+m-4YT>I{osg|DMzV<9R*y;`8cY z+iA4ozb;3Nitj**pHQ^J;%iK0s*VE;Gr}pDOP(86)b05FYYDbITu+sCg1qD;>mnl& zSGxZ$Z!`}pa-D75@-(e%jwvH7%@p=~Yx!FO??sa)>BnacsGrXft*@2N69Ry$+NCgk z0uGuw^T^z`5Js>H3+#Mlx%nG3}C@ju45g3gJGbDH$T^VU6x>-?lu;P+QQH1idsP;YMex^c2dRANcG`2OLeG3?d^s#l$vEmrN9^gT5Y1ty{XErr_4iB=-O z2HPuG3dvjRm*lroGf+WUf|*ap<$091gn!c7kzzAK{FR;Iuh1Kyq68tko6Oa9b+chD zKNpYichKrVMt(APUUwzezFmQ@UZ3e47XX;^sfvU5jMj{p3}wg|2=^=;wqW&wlY$`y z!5N5F;WR7{CO88&)Q-~w2i)D^Eo5MvGQ1ln`q%pCCtgA~8WvaZG9&gH!OrYRFvsgA ztP^C3_ytoKpn7Fku}z+KlFEl;mI6msUo&#CUc_>>!cY3k{~}b5KVq`rHh9?v1anXY zrL04Cff~E#P#;MoD^^WbR6A+yzh7@P9VB7YUXD{_ENjy=|B;kIiJ$E%=q=H{``o)2 zbhXMTYJD#E`B-#bzlPC-l(ASURp65!bZojJd9`)&-mJkyM$U?R|goy!>fS&O8?e&Pm*jUgE2B@7wTnF#vM)B~-h zoo(U~8t2Ib zKljE>cl%c1wAdPd{T>>qI;QdJ%koCG&1ZI%~G3^+|c5c$2Gl`IL#YE0H_(#XkIc zu6;g-r^^(UJkIo-u;KpnN)@k9)_`tf(3d_8sD^C`vkS-~ zoLwxs#?zFou=|4IKSgRcgV>A3%V6^PFTm=m#-ib^;R$6!+IeF)uRNeR)rqIJzs=`! zIxyOTe|A~8RUdFrZAF;5$S7K;ceBlNl3v+am3x=lbvm0TiWDZ2=@Bv3kQo_oS4tqw-L%3niula<5ZG z4-|(ahs^Ze5g#{4&k4o35-D(AOPd8XMInHc0*rbeh3c<1%x`N!ACnL|k)~$bK?Uuic@p5=;bL{L0{Mcz^;^L4B7j)CY$#_z)P*=Ucb3x!u zoDnp!LhdX^99Q&bUWHO-v5?4b^Qvh3pI;?MgZN@htw*ZI8Y%BxJ+`6j%;WqkSrgXW z+D2*XZuTs0T4J!6m${%H!IZdegSk?G#@KnjE75JhB00d(w(fj_Jf!Z%9qI?<#`UeC z(P>K7X;nQxod*g8jmaSa7Yfh##aQ3qWJ@|~D{V-bg??R@fpphtT`=7Et{A!VunWz6 z3h_K3nzZ>(=?oaGPcV`BPLlqK36~8;H@1!xH}aj3?BmFgOt+|?MmS-Nv;G@39Mf@$ zWivdnt5vXch?PfS`NB^soy=YB7sa$+I&L3;Wj20GO%HsYs`1dH2$Z2UTdW~VP~wlU z0H%SU>bHSTIXAU0$zsSbw@U2qL|}5|7%`6#;tPp^AVE_$QQb^E^7Okc9&c)kmXhNN zF$TEv-fiB>BB<^H!jy0X5y0qKxX${~HB_SSE{Jqqm1Hv0HlSjTFNQ|K1&4)HGovqg zFe-}WsvE!6n-HWPSBKGMM-fsQOBfL1z6%6yy7Lr3;5o(LA6q0qpiRyUkQxFhxLmDB zsLRm)H~G|5stlJQPt z5UH0X`VO!@h{VYEqy20uKkQJ$S+m-e-ICJOwJ2QHf`I%!zx)vf&u^$A$iVvS zO!CimA&)wC>#S+v(WxHH?ofDf4lJR|HcHlLT>=z6$*N(1uy3+$FIv_8&92kK;Y3dY%FoA0;!5)n1}2dgQt%ieysA8T7t9ZtmhL@UYGe|dQO0@`7bx@p`wtw^C z!`Vr3JuBDmLcg{IjW&EhQBfUmLyDTrPVLT3PpstIhahg?%kTQIO5GN?L&htAG(U0s zZjzAsQ8%O1L(-Wkhq^aaP=`ZAQiPJlm+B$_0jh>FZZBfhbbEscSmFpDR>iKH&HK{= z!{^@ZUd+nrDc1JYqPW`0olAiNpDG=sv}X0RqyJxDia= zruRKOT%%~WT&^U$rwq%NSudg;qR+p3g&@8TgxGpba5nDLzU5Ow1;pNC8DUeEFFBkL zB&uMrJ}!K?@#Rfg_-aTNfN2eo-5t&^baJaq+&UU@AXWgoD+eBbSqzupU{)0ZF>8Gz zRyh=f!7$1EP2P@q?`|5Wx>TLUPUaZoZ_KsA<`S`lX_1uDjz?!1m*qGhc(?v-R26fPwv> zdp7=bY(adL4*v~C?5Ys`aot{bblJ;?%mO`MHd5w0#y_ntR)r(#sMZOyQg01IDL23M zpL{GnJJg}dHSxN9$BJxTI1Nh__+?Z?cX_V)1%h4>B4f{}-Vb%hD>PNl%O#N-Q?n$8 z3f@@NBgbBRhE@j7?Uje?C1;fNh>4rt*S&f@<5J2dD#i$kk(}p>#qNqo2iG7kBJCS0=63VFw!i6jb|Bt!Nw8%!$nG4F4vDqmvy0uLSRFjgW)vo; zuP~~ihibtDfg=#Cw%2-0iFE;Dq+eqnEy--Fc4aQ|nT|f(Hpchq!+Hd~i!0@&HP3}N zrZiBhhPRIwcM<*yXS4)SBZLK^Zpeq&;$FIfrZ&0_I0LME{-#f~TjS^a!!E9Q>_h$~ zG#Q3;<4EqFz;mfrh7*1;1C9v!^DPv_7@&VwszxP?znVs2zX7xi-kH*zVUPIZH_mn# z_=N3TtpK`x{{YfA|FTvF%pTXq@Tjd@^)h7pDz}wI6Y^N3;jnwb?zr?mzbLjH17PHj zv5G?-T%+(pR7j=A8Z}{fM%+WjB5+8x@MiR9jZJq=R>5>_i{vkv_X*p6i$rI3SaxxG zAmZ;tKV9~^{g$JqLkNUWGV>n!A=0J_9DhUd7%@us)&ZqQYPj`|q5z?WKAmp!-470) zo#tb7Q!7SLV^K)+`oOjic-p;f+WAjg++!_%vMci+1ODs=mu{@jt@(?z=8KBIe45bZeR{yU`;WP1I?wkHssX^VU zC}gvqCX4VT8cRD7h{Vd@dvj9$ZTN1M&knbUqmqj6hCbS7?Qvshh6%O$b<9$|`OE1j zKH({RW)YB>syj}esg=%hW`rA_BYQD$%U$1zHbtF?HPJZ)TwG}$bdOYHs@bph2q&%I z3|wM`-5HH;5(V*Fn)WSG@IPITbp-+SBfr|u=+MocoWW-RMbb=>eXA-0&jh}m(L^X5 zC9f%g%+B?ryI79BrCATM>AfG_LP@~@OUs8=Q-}jiE;UaT$3}3WI;kVXK? zCbZFqHdtgaRUp0IN=GJM@Q$5tWy0-;;->jM25Kx(Sz2=|6go8k_IUA)XweI zFsA_cKO|WeV$6n1QI>6@J|GOw`OJ3OJ~ z(u?xnid;N05;~J=_ZJp_QhJIcP@)me4oFea_)W$;6IDy#8odgUz)Nwrz90L}*zr!T z?J!&Cw{y8_OOCj5qmKm!pzy7VJ9;m;HWba0>ij}1RK>aF0U6__Iy@LoQ?XUzDo;F* z$*SAe<~bD68qew6fCoLK*rwA}{FJ&51&or=r{KbfS7=iLuv3>28*4Ahcxh^7xQ$*e zXl@QRsPNxx374`&#BR;CSi4XruQ4!e-!sedEyoN{I-~JJn^*Yrjl4{^93r~7fcaC^ zFOZMg+@+=z8|9KVE_kI|Mjoc-&&Zg%Ok%?^Rn5{?)W0(VXH;gP(hz{WOSXAtQVHHz z{IJLpObUjHgOc=ptM(_1Cwy~q>@#p4qjWnrRXSGjD1w<&P(ni6|-#<8AA-?a*nKI}K5J2w~LBm8iuFwBjYh& z{c_h;+xtSq;BwU@V;cQH0XHf>-d)uFgHM0aKk3n5za3Q&j2FFOTBK=)vt7a(cRz@% zyPq^~hT2t$Kom{8f6|1MTDMxAz@jol>hWM=$ICDMmO-|>wJU>$_K@9TENm3~vlGQ! zUAXgv(s^m=twAJr5WDsC1o@k}tRhQLas^V9yf|7z<9{y?*Y2<#J}+L)^;RGM^oqVrmbNKM7b@Th zXW*2ByUX4(9qDmYxqFQLv#RK<9OzfQfJJoFHV0Lxhq9h6-@}%3Jk&+`Z{?|W*@V#f z?S_S5@=1*)hE~p(L=$1@kG2SaumKcjhfciwbTEGpY9!)}ZSPV+`Y@&<+rM$kbaIKR z2Av^w4e@tUvJ~)(r{dL61i;2B;00|O>&zgbq2gqRPJ#2IalYAl<(`k1(C zPI-nVpVahi64H)4we7lOghEI)i-NG&9Hz!Q&9}duAt6=1#ft-wU}aRDQX5j(cJS$) zY86V;g1>??A^S6&`UQrp;PP~4jjeEUi*euFL|Ri{Dk^5c_|$xesFw#4`^Y@`CX+* z?&l%Gs2JniGNM^px=*;Ut~WH44I0F)4ZM|pKx$4}DdrKQN%ZoHBA(t3sGT-=C)YB< z;|7TJ9h|Aqty-QK|E7Q0JBS-NZxm(kR)3*xEps0%69-X(R5qPL4@!>0ZiEzN`74nb)Z;bMWaxx@D{QzJY!!WB3?)J z><2vj*DS6+=#`%up}uteJu7!gJ^h>}dG&mCn73pMf2ltA2uW{7Rrs`%>7K`U%a^0| z_6*=is)}^gO1W3h+1Ibj7|7?AwvRQiY zSE;ZdL@j9!K_E=wblapfd(-n=R5+JD%XT!CCeJ(_()wu?nWEMO=9(cn;8A6(BX z6U`b>E?n{9GZwCUIcRp0lPS}vJsT4uUr$O{%-o^DApj5o%2lt1=ncBD3@iY)(oxkEe5=bNfXwGrMy5W4afYl!%~|k{2X=8?ByNP# zpfWiaHAy#CH@+~nSC9UPkJpkvZ`Eac?Y)r8cr(Y#&hK^B54K*?jCo#6eza<~nUG>Z zA4RjmdA~1+99b?ISOI|0l|e~24vzr=nGcark()yNR3c;$sj8KWp^YyHQ*cY?P(uhF z0^4#g*Er7wi*-o9pCLTDDna)`u?bnJ9c$u@!~3htOXQ#nLWF=pRbw{tW#pzWqnq$$1{5pnxCnqj91XV0GOo zupnO5vU+SQGH979tf?6@>eEVIdc>^txfR({*dPvBJ!?T++$TOJzj z9I9=sJuWrWW%CE3u9Z4M0jD)(hyN822ts2vd%gea=P;0@FY%(+@0gKbU@Wm)#v|Q6 zyU_eF`nofZf0XhKD3g7GIC|@36PFO@6&Fd@EzXCgt360@;IXY^jXN4wA0%A1%2i_+ zL46O)bN$!~tvJ&HX%j;JdlCP|r4lCIbs0Jyx0{XoLj^qr!^Z>ZZt5OoPf>QIGfW^D zSQ8SbF#Y8@#teGX$79WX#J1OpQH~SGp}|z*(n%MiIHrDBRr29{-b%V(fQP1G@vvwN z6x>J^M`=*##&tUX_=9Nk61ZP1n6D9VkJ4`%t*;4fpU1#Q2178fY5;8c_Rc3do;v+4}%-nC3;m&vPlVCZ0+CS7q^+mTG6Vtc; zcBeA|BnCqvpB{;XR!XyEsU!!hfC~@NP5!hUVxPW*T!IBDpWv5RS_ z385gF{DC;eQ%w{aukFJ{v|QZ1#M(KenZzld^Lm7y%ae z@1?GI`Ei;a+OMQ>SjQ9+_rC#(K*5C9zi6qjaPj)MtEeg~u%x(8q}j47DFNZA=33<1 zc$RP7GjK#F}K9Uf!V`*ag5Re(LUj@57~KnLjJ3*bg6cy|m0173uc zx5@4qs1uj!uOK!HGc?9KBP;*7$r)f%PdpFPSAyd~e+@rV7}1sfKYsW93zwN!gtDke zF)lM}E-Qs+qyLg0{t1}mdbi!|^whCCtkugYo`@81lDy`O2ZSf8tT zvUj%jfo9p&OP?^;|G1t!pgLJ<(GYi-TlH}YF?#O9VM(J)NmV6w!fp+V^Y zEp3v-ZN_GJWP=BS(O3-^Zg6iTZ*z;2*vo&)>8kYWP$T5|Bqkm+g{BY!NEu)qs5zkH zgkkVQ?**@GVX@$qcrBX3rb*`MEkFWV;{l)|Xd&?2%j!3(bx zc+=(-fF2Z3KqRMbm%ZW3!L^gkKu3G_Vp-H|;H;xv$il%{X1ElGK3pljxcXVzA_m|F zR2S?Av9K42hI+`>ZAfN}z>`!ofODAeRn#9NS~wa&*-W2t8M;%QoLpK7cs#mjv>NYs z(pg()>S2EG_E{;Ay6J4Kh>G4EOXa+HdVhs-*hsllpgnZmq+2kJ{JUO@ZYzI`>}BIo4136kK)D z(o;T+ZB%|7>dzwbJ`PPTKi&a5^i!TblJs>IfP8{n`GmY$cID3kD|hhrOBJBq9mAfL zw`su*wP7N;iuNs)m-co@#_c5CKuq^2yNRFXU0YeDj%lau@lw>-cqCu1Dd{C4!Pibl z*$Wn6#LsSfC$5#S@U=!!gkgB^9JKF$#EP2{<4p3$Vk)4x-FC1ouE$EEA4RXMl*BC6 zSeD{rJbptG*~0AoMg&Co??Y8I)X^RF^;ee;-?1QXN89hX3gY_AeOqOhlxr3wRC7s* z+NNTd8plE&bJfMuzg%ySKO6k56 zwF^6I7ohA3I(dt<(Jtv)o8T8O6S!thQ0u|QLNDDQr(c1cF%WBdd5J3w%-QJ=xXEa5)1%PbZg`*D835km&{; zN^8PfXMxctMTJqDWQev;^bjCkdLVj$LaB5B+ zk~K}#LI@eT{ipRB=p!5$=?84B`TMv8)d=mS;nSdDlw_pS)gusGYU6#gQmG?R(C3_B zJ)Y#(GwS<2HN?Y-nRi0l#Jbz!@ec|3iSB>(bPN*fX|xf;9@~kfJ&lC9wW)RMXFP@P zhPv_;oNS~isdohD4rAF6I_p&UPiafd%(FCJs0+M{1?E2Cp=$|QkFLDEkM;7mgWLPLjG;oOET9{4+} zks^thIKhI^6{-P<%#B|d(`6AK#tI>sNkFyzj1=^baMg>7&e74= z!zMGXLjucxt&F<2?~{PrRW7ZLagWgxFys}d2vD}1QDe;Q)8RT0ZgF^e^QMh4W+m|h z7->ZVYJ*U1^Z5bbVnv%heS5P8>9DQO3PlSGn?zgR-0Kv}8>y#pr4y?$9=`F4EXTqi zgTvXv%uo%P#24Y9k=#<~cob$f?6*n-0C>n6X7fW>%yK=@!2^Gu{G5zmmydL3*Zum` z6r~K5PbN<(Tf~PEmb67m>+1Bs4PaQcwiRH}4XaJiPrxDjJw8QxH?&AD2 zi`x>e<18c6+#F7#p)YG)D*6mWR=Kq*2^Z62`j^6wXp~OKi)!^Y)jG`jFZ$B6NmI7> zt>NWl)XTwYqE}sueCLwfb8kHiSNshg4lcuIs;5#uo~Mo4Exa8$9f^~9c`}kbek?g4 z_TRSN^RvL6+N2yXM(ADaAMk*J1#xWUcmuqXtGRj%(tJ(6PAdHsTklnFz`ci!FoX-p znJb-7Mklr>@@eZL-Ptwcau~{sp+kfZUr0Xfs_#MWtcg}L5VPevzcFF_ri0_}?N{gZ zGixZ}D7YOEyM6W(GX#rRBPAuIg=0qB6|YRM#j*Oqf|`)iCm5hl7=~oKU7PwT%nfVu zmfD(;s0t?1$%vzE%{^)sf$kxX8DKE?Bm$#d(m2$wrr>SsSbG0YD3ThDFK4(b_tcxA z4Rs6x`k3$D6U4PogV+Ff)*ozKaTP}AS)WfUQJN{hV#as` z19Glh33DfSusURF|3aZe%EJg@=cB}Ew88?%QDz^Z5>~AC%at(&WX|T`h5feRsX59& zL56rLP@Isqa;0bm|IXKnk|efM6*Ul%M={lS%K&FhY{q%b@fcI17*JcvpcE-7yGJKh;amxrNcva8OlE+9zo#>%1V zWS}%g0T#Qus*H^_4?OA0LTPAr!kbszGg(HLLxEU}%#jy%zb@~I>*0h8LZ#`%_ooT*yjc&r2Vy~FEc)1r))-(OBR**>xj zJXdpW0_FGcKmz!1RaUB+;y?VGsQjyZu9c87%2j<}ShHUqgkO=|Q%PTw^eCT5{rs&# zsnO!0b8SuNXLaOP(xJP&IWIT& zWzXlM=ki;}z5wSg+%d`Twd+S})grX{bmLp5y=QXj%I&i0#7zkhRc~Sk=HcJZ>09YI z@_|gk&}G#QYZ*Nv0N~g;iw2C#-+?K?s>OtKJ%|D-%f(G16-3C(9x>+huqeWQRu}ye zG9?yQj%!SJziC_GH1pm%GBn89)hH_&97NscuT&TnY;Gd3B5Rf|ib9W=JW!;oLC|)| z=F;&;!_voOYo~LEmE##=!-24;LioZ;cLno4FWm!fMs+?h}?XY^a zRQ;&nO;`OE`sWEm_!98~1p>Wh_i(IVz0U=ATKdj4TEN!OAJ9G*j;djmU#Sg^eWyFfBSm*HT2f`1X z)?&YhG$UW)C(`H3NIjqJ=jgl!oLboszFICs17G|cA-qPt-xn#BQIAKh z*z<3Y1%$(*Swo3ag3s4-<79z%^)OEa-y_lCn=bf5*a*!2F}dcmQ(;lTAAgAS2cEIZ z)%{Ng?w;X#FiWVSoLX|Gh1MWmqw)10PNf%Mg8(N!o59<-YWR@8fci+itpQ3zT;wBy z#-pmB%cF%RSjJT08B3b^%ZzU9WZ9Hjj^x(lTsUcGT(koCw3BZg^qor<6@6~0QQ(02 zl0y_2>2D&%O@1kYgd8bx_WGWU%neKL7Bw7&AT~GyV-B zZIoz9e{4bTk^At)*R3~b`1iM$x_K6z>&)#i>nUC+j#EiaHyD12Cf+v+Od-0{boNZl%Z~Of z4^cmZ7EwL=jI>CiCQtWwcnjc#w(uW)Jt(!6cM$&G)Vnl10P&DJ|1~vyw+1;quXhv% zhz>y9{pW_Z<60Vu%krH)Du|f+yHZ+^~f@dXG?;NaH$WsXV!9nlG;C|vtd2LEiL z6J}ji^f-mew`&X9-G|cv#_OTuCHVXj9maIOpIUMWJ}IwGlF)fp`2(ojz>SWUb1SM^ zmSr7Ua8Z?f6#>e$XXm$Dj7&0bBuVnPkbT2I`3(d!8Gx;pE&=i-1xxE$7<72+)Rpiy zFV*5+hMAVk87G`>9@u&uYh>7pW)z5uQY&U9MXy&*QDH2t&+0QHk6~JxJ{g)7kV;!p zn?Q|ygf`+bCwzMAT=#Zd=MRH%ZxMCtm@`0|AmMg+oKIKg&bDDwmj;TMS1;ISR$BL~ zaYP1!ik-N0p!YbqvCHoAgLOJ4!U@X|$=DIH+PgohjSnTXW#Fm|Jxnm9()2n)chc!I ziwP)IZ6IA0*f`X6ZRr19d*NyP5)jfh|D}j9k(AVkeo9}9cJ?tN4(SBXSi5XZSYL>Y z{%swJC~`=WI;wnII;U8|=c8rTk22^BOM??iA;Rv`q<3F)DZEjuff=6>aiiR*D^)G^ z_L`~?_LMAF)k)+Hr>L0bQ-k{ub$SwCy|Tqlp10Qb3TmpHHSr1J4Fk4v@hsg+v#Q6O zf@Lb+#dNt56MgqKnl_T@lQ@DfPIHQ%he@#WE>$*c^9Tti8huOu8_*KTnd+A>lArec zC{Ys__JUyn@ueS?`8j_)kmpwq|X%RH3vlStI5yR1wrW?&(I6YpQs` z!**4v<3pStc(2N9*>g>3MH^EyKs=n-K`}qOKRs*gFCq&AD$QS0;B*EEZDiK_R@#Y^ zl_wIDMSc9G?j5+hx$usf?v%4lHsMS;*s8-FKpQ-x(X@hpxd!NOfL$isu&Q|RIgMm* zeI(I5?uSHP^a}!}jv2gY%oYWv@5Nv;j`XW?`oP-v!w=*saz73bMG&aHDT#=KWQ6e?o8J)D7d2E2b#1dd>_L<^F_) z>w6nc=jq)`bohP$5b+ws+Jw4Ro281Y-mI&;9y2|<#wd)5c=6UqJH`~$){*@+*{k2> z`+h~>d+qD<`+C1${ot$iyJuScs9vT?A*-|T`x5B;X?T&bxz+M4RFceeo}gE?Ls{wf z(O-_P3U!}qwvF`>z1%FOdqf&-d{8oLJ)!=(%1YIkEwIQ5vY-E2=o~%*PiPzVltwyN zY{eiFv&g05a7)?D}cl!y-?z z-RH&t=~_Mk$~gy1@Zt1Cuf>%`8#5|6txiU+dNJ+v(rJnQ~RzO>F>4n&lpf}o`7*p3dX%fbh(($p%^qH_3YgpgSI5^7Ov>nJ9q*e- zm%)uX$b7KNIR=rp~SF|jc$5Z zGA>8}+EFbG?ljq-tB}n&buevH%{Be}IOQdt(XDnPzbDpt=MDxKP4bY9>&@k9P4GBa zU=-PwCCUeZ59OhxZ9V-4j3&^Ws0>z%ujEb217N)iY-!-FXse~UNi(d5{&S!xjihCK zGEMPBpMv|(w&Z$ykm+!9dk(!t-p=JJ$90RYs`Py3`lJgHN%clQm=o6L9CsWG&JSVr z7`Xlg&8q6xQtSC!fa>MKnO|iSew(XqkLEh{8dz9qlmOn4Y66}ZU+ji0lGK-|u_tJ_ zqqI=3;V;eqzR4_%G)c4LJ?^?_4YU#^ZX&&CVh|HEflko*438M(C1$dA< z5Thzs!O0kX(<%+GPjTT!Y>V1iEu;|X|oOsud{g52!Zdw&z5kGjlR$M0IOux-1c zX6i>=0LDqoF`9gOuQ|A_y1+4gSnfC5Nf}lsx87=khb$C@JB&rypHSU56M%v;9$XoWxx~kSRm&M z>a!M#JY$7bK(uJ?-Xt-+U-||Y>M{Y8_p(~mi!1jn@~s(W6k3GrB{jmn3u$1ta}xzQ zrW{HbpYC&=Z!xe8`0&}QG^+G~DxT~LP%||$IK~|Digo%cA-*7^E805*W$tF4VBx}UKlbPhu4$&;@?n;i$aW>IRb6C=TQSs4Er@vD%_!hs z|R-9l|ZOQ5)Zk zZNw5HpuFIo);`1P=@WlUt4_?AJvf_EkFrqu*+0@lntJgN1C>biB z<)y4jS=AAxV-b!Fimb@qG1fFp1pLG=+4-XwoQM`V+<7;B>n3oZcJrDElc!l}R%+&2 z*20i`9hpHtBG^Jz^H3)}!M@8mLQSDt9TXr#Tw*+*U!-};nqNV5t_m|QZU17BRbiEAW8~oY9E8+uSEOV? zZ&I50&S+v(yXSWc)>W!0DB<|xon~U%%lXdYb}o1d$|H7M*Mh>d!qoLZ5+N@h0z^TL z^Q;LfWUHK=tpnqqje&{J;ce&g0#Ib1UVMK$~g8dtIY@1oE!c~t4*HZ;7u-RkY(vbFZ zeFg2TVFm4qwIj5YGURfvX?6{P<5DZlG2g>xi^_uOL^VtQ7ezFsMKMw3V_=hwXl$NThL)i~d)+?uqA>tVPUtS@T+}%}B`- z{e~PQ3Zl5yM~kzNejvnLd>A#!7w`# z56G}FF~!97O*Ljj8#HGOlsD1kvp(O?BjI1D*gJI}SZy7u4!c@Dt>Kv1FSw5FcGw_x zASYFoK_RkDHJyDIBI#OoYDiwB#Y(`9AT~3WB zq)}9*ZN%|s;56n&bG>rI0XqABc7Aa0VA31lGG=NZ%^|<}eyS%NqiN}pFyHJsqV8v% zBZfQoj7cbpJ5BU@Q;9Nj*342Q^OVsVX{|=%p3JODUAt9lNs?L9Y#*U7Ilj! zO5Nlk$_HE!D2EJ_7nSc7met_q^lzd}^w#m>s9w8VdXkpvbdxpk6A{k=Sfl_RF&2n^Xf}H#CH62k%KUVs?XFfEc#F@l1(}5EmR;K{~sT%9{yGp-6 zLh6P?thhv%p?U+g;1(DZc!Nxi6h`L_fNcFXl7J1(i$ctfWU!*^P|>QUeR;?VRayuwEnAHbe9>y_EjU%M(jyRSQ5c-Kr+GtvDwyZ5 zopyIuP?ds|g>HhWCDsftN)bV5$}r1Q##@@Hn{Pz0BD%9H)aO(Ghp~4Kvg}C$MyD}t z+qP|E+L*R&+n%;<+qP}nwr#wg-|l|9FW&p(iyIjyt5Q{Y^4uG@PGy$8-<trQ+%700}(4i#K26>wb&Vd*{}-aWQ(eZj-3Iq1Fg_wg+>1!3lo z4LG(W&$&vqOX6RTDHmq|xzWn+XdcGJGTA0Z*lE|Zg$FuqRrPwfBh^C9SW@hf_Qxa zXlD=8SMd1wd7{KK9+%6l`+ zi@ZJ-$WbEL!p1_bop!aWHp!2V3_@W3*XUKxDJ!;eiAfGlh|jaOp7TX24N=1DCmd;l z3f2R~lBmt|^2=l4n6Ob`yIgK@@w`UL6>33>`Jq`EN~&anv((4g!L@?2z8wHL2bl_; zQ@rsj9VQ-lH@wZc-U)205jCDnV-nO3I^TDOp$ zh~=00I$>Jraz3oEt7t6E6eYo`xe@iq{-oK|%3YJ(xD9m{=iVsx%BK3E;f>}3>h&Fmn6YL|K{>P4wHQGpjR{zN9#HSjRH%&1$O zaggKcU=WhqncRjqgQt7aP)nX1ge*f=YO!*Lp<7FtQQs_?k3}IAO+chBP!^2*V2khS0Vjb?gJDpa3$lwg`qMIB4DU^@Hr8r(qz* z1fZg&8tX!l)ws?%OlF=JiRV5RV({@NNGV_aya%$u2FH(i2z^c71~zN=aIrZ_36O;l z(NDR%a1kil=y6K!$Pi5P14J4+7~=E<{%C^q5FKI#dQ{Ajq+O=J6gh+~D4CEb0r(Q> zYwy$RDQks?4MFCkKHO{tj^F!8`BB)1*;kcD*HJXIqovT2l}ES%ap|c&O4Dwbh-976R1Q_#=1|XKQjl5DnjlvKGv? z0dks;OT=R+r52KN%|V*TdA6Ciz6b4-^489HZ_eM-E7rg=Y>5zf5lsv1`V71y>HgWo z1;n_8gaAH)xV4l@+ZajBSq|3sK)RuBR88mn{I%j*>dI*aw;k1F-b1}L-AT^zYY8hv z)?JH^tbSOW|6yh%!anIv9aCA2FXb>jAC5AaiETQi&AEOAoadL`wxyw)L0~q8o`85} zcn^6MB1n?nP|+p(wunxo`FZ{&6l%SHYV|9 zZ+%4ZFNQGa!`%jlMLb1tqp9O0hTh(h^wJn?`K_B(XrHTa*pcF603^9DgMwh#dJw!F z^>z4C&*8_~cN4X>1YWXW5+XM$Ez6!8J1$i6POL#s# zUmzvGca&`dl}5b1m17N2?MXaMfG^ug{E-}h@ATJ9SIvM$N)6NmG`8Ro2T4Vj78fQy zKjFeCq zw4tpUzxFvo&vW?W5D3g!$i=HfYm^f+=iu3e($!jw3O@BduBeDm6l28vvWe;FgQJ%U zQ!QzU-mER#W@iNM99rW9JVGxOAt)>D3$(`M^0Q{MrX7*2yl8TWNR;V^<1wLgPNW|q z$>5X*ZV%<)Z3>7wD*m(>u33Cesw8Df^fJ=m0?NYBLI}WL^YvWG^Pt+zZv3cS0ReD8 z&j%O^T((g3iZ3uXa0_j{y5FO&-SFoD$vpg;yg@B)jvqy*j;9ph3Lq*HYzZHAlPch8 zS_>4Qa(pq4r<&M!=ovYRj!1?~$h_T;m5LhTyP-1{j*5mwmcNL?H^^g$DH8UI@R9T} zB`V><+OA`f7-9QWEP!^@i$X>qCQVtfM&T(C^isTY4BO(|La~JN;$g}dZ4MI}ri#UU z+0SonWhw_6W(ADkw=?2__6M3G%j=FKSC(iOW+!%^>=ZwBv;y2Wp)PGWrkJGAvx$)| zDk}b*&%x{6$PtM9jQ3Y7$CffbD+xeHp2qj~C?C{MQz!!h3kguoeYo124{d$H7<{BW z(gX6wsKU$YMZUCS7Nl02a)UVgG`T0|C^Cg6)@bdHroKBg==sR%U8KdqzK07Il`}+m z9~`^=v2ZO}!JG{w9dQAJ0%zJ_#8OzFBmo)|knaP3TGG{} z;yAy?yy0||Q^up6TL;-=TqGqAGX6CG36!u-&+BwFIZyk&1s_<_EX^U!+r02drm{Tc z)grUl-dKWoid$3RE}zi>6`ZU8)y&ZDh8nWM(mi9G9SqlK-~`iv8s-o1R)y?v)V`-M zC~`3GnU-f1+wmyP_SMNYgjI#f@#H$ho?Yd-|Ei(e@mOSR5=B)EZy$hsncFLL%2;Q3 z-ds$!OmQeW9eiduXY^?ft=p-C_z}A^7fKgPNjea(pzZOeCgX-l+)1TuRuq-asdlNuk&4-grNb!ZqM| z7N7@&c2edXrPDy2rl}S+*>zZmWvCNWCeMHA^3H8j0p}<@DKC0hl@8RlA-mB}H2pN8 zv~XWjZcI8!!blJ`g>;&%{4RsBLL1N%r<`i}yw4a|kIjm*Y}HX$Ne-E6_gXC%MuP)k z`3|>x6(Ie93qsR4u{h{NFj9hYXf5bC(v;)(mGTpA7)YnuKumi$!wz&z1w|s2aD_nl zI-{>$u~iX6qzEI-(j*j9OsPynTP3nl)`mYa2|gq!W~;>l<|dE~emngSD&8nNhSe!W zZhe~ywRnsY@(xUi)+?o8J*Cu?>=0L<1H^&&*BwjHRcgfR=rqf=ejwG)nfJ+hLRi}t z_rC9wmw1{W?k6C{l)_fG6ejic*?##YfjtY+N!@q{quj&D) zq+fnIBoE>4K(NhLdA?IYEB?ZIZ8Y1PIfx-CD2|8v!u-}w&+R^-du5nc>QHUz0OMsAW1F^C<1b2I0I`h1LkS$|0K;8M7u1mi^%LetPO6(*<_qZF{&Zq5V@2cJ^ggq2e(rLgA^$dnEJPEg zI~*|PBR66%uGTLZoKHc*!NKAow3$q~Z9nUz29C^Q&xrB@MXiUoi(;caIm8i#fB=VZR2^7X8U6G$Zil%l?R~y<#@8XPRT%t=% z<#knp62=&DoWqd#~5c&}2d3U}2A!0i|4UpV-$zGY5mV=j~ zZ2J2wEjKDAymF99=kR8a42UAw>Xt}{PUxoob-GC@H)^&#<3UZ8z&7vz`+Tk{T~|QQ zkuWI<9e47RpbMb3aL2Qj<%9PVNS$!aO)BB?-;l)4&stxv%jKh5M}AGs%8Z%r_HaF- zIdck)yL1H^k&3I;y%on+1b!E?bv>+JZtY!UE(Re@Z*au(-ftwYLz5F1-LGr{tM9bT z%t=-*!R=a%2<&!_*pfH4BIYizyyTsKeDr?rz~u}sOGhUdn_4Nw<*Rkr3sn*PkjfaD zd;>P^$j!$&kIfTqaZJJ+Ow2T2fY+IMH9+mMz;pWrB1wW}hx60N3M=~#l}Q^*{W3sf z_IhKhAjM?)S08xy?3RoS{OBZKb$#%jGxto6?V{m81YvQ%eW-YOE<#$FeMv~u_7Anf zE#ErniYG>ehVnqVcX^meo`UezceP(nl+Zv6Queu#Eav{BU~oVyzXkIPIYf-If^F1? zZcMTgE72zwgIK%eo4G48O0}4(D`WmVI>RD0<9_6s&b`Rf$n8Wc}wd{^tRvBDtm zR=aS|_cif3#cP2;KB0sBwNn6&3=Hmxs9N^47?!N~^qs?~8cF5yX5i0U;WorUxJRS(Qs`>7>49q+ zIM(RHi5LF8LEFIY1~X4MyS?kGy-yOP?#^cWGh>?+MWF7?i^emP?1>*Y$s$)qCngNM zR&K{#A#Zl@9x*b5n2~3DDI-WrB9pCGRSmuA1^JYO&{b+uMUudgl_eGv3hxS6T;S&8 zY1u<`7EBZ|*Q%f*ZZ$U`<&b$p2iLW}b}uPQ2XqZN?vL2ieu>vptG|d<+xE&VfrToH zWYSZ@7Y7Z@X44o>zRUa-0E<^KFfT2lsBA9HnwyJ+DT*g5%Xcth^&taJNJ$rqRhhxE z*Fj>4o0Jkcnw=5d+--!w7)-P=iN}Ug#-Y4D7rRb%_EAlKo*B?bX1NI>L^2Nniv{cR zU6yL?)#D*-5p9cQw|+cGEkB4A+nO)jm}qUv&?hL%o*W~k%0R+=g`yG^K~c&pTqJ~o zW2%>!YTiyLFx(0^+%j1&F?H`){)iN}26PtR5g35j^)c|_bOki{@otTMPqyM~I~+`j zxLvjCNnDzN22iEdNqlNp>No+(Xms)ApzCCaXoECEE{7<-1rJPyUNr1RJ}ApDg~r6a z*a0ZMsm3&hJRYh#mG2T-!BPXhs3;~nJ*-An$DxOA2Naje)d~|>pC}qX55Fk$N5L?O zQ)de(O}EvSw&jRzQX!MDJJfw7&~0~*>-TCOg>2Ggy|{;-*h~o2ndGWEG)n2Xn@{ZY z;L<0AU-tVfKq|4J2MCW^Mn)xsAotKoO4Hzp?u;&ha2N1{Aa!44>roKsD?|WfyPzo! z&J<7CW7GnGaU)ooJV+u2YG}YGPt%+~<1Q6felXuBN=ec6 z&eB*jK~)8gtB}>($@Uq~ROJ_Gsp3=ziO6X0HBA8%zb+MgV%3u?#cyMU#hjWO^i#M* zRMW_YjP5fGtb`C|DK>!*bk*MQm)GM)KJ2a6L^g+|HTa&6jsliVIDA)dp;0T$MXI_` z)NO$}-wmw#Sf-AvH_TUXqPL6SbTg}Fio?JjtJ$(1%+^2t>5qi8!L$lO1o0cL>%Q&` zv^_;_;*Kf?xpip845F)7G5j&|OKlG#@AnI`ee8-u5>#^L>7i8X=i0b!6TTaxqrFva zx+(*8G+7$->K&8!n%#1|N1&ZQ!r1IjNgBo{)U0hdeHK_8;G6b9hA(ao4xQOrIw`My zSK71^hPS>?YR}r2mve_2(V(LuLCZ^chPbs{O&@7-m-kXS=Fbue>tR6o$+9iK6lb^9 zo7njQiqO{3(-ygV?4UQ1{OVNA=fBA*BCUcY#uVvB2&kASSh!k}B&6g5-zU-lQY$TI zK5r-Z4aAW!Gx8|DwjY_`vQi0cnp#8_8V;W)Dy2bMcDW_P&9p~C4Wr@>v1Fd%HUA(!E$##)IP&1`?;%%Bq>R{D?lHii_S400}0?{ zFo0ubcgk6M@^7_}LRMNuF$KYuNw}&fl)|?fcxK#Ut3a%DWkRcy#)aWgSmo+^$<1E2 z>yGWSz%!}b7$9IIM8xnz1_C$(`g|kyGYO}}N$3(-xT92Y;)R;4!bC3L$!;GMKn6CFYKkDW+u+&up?Q;lLe*g%dWu;Zn%2O0#lH zL=qQUr@aW56Q*up^pq{~?At3=r+#2OEfIBfG|ek5P?)_~j_iCY+^?oun=3j@>u2#x zmVE3(nn=9MUK1zzHEf158$k6?O7tE#?WFE9;o9o|d+PQF!=@a*yAbz}l{KBIQtu~9{K%Ad6d9ua@|~?Fs~#Pf#LV>-n3IIV`A=6j zM*c82WVKjz_bGAycKTN?{T$tzM#AcNLlM=&UhDf7??DgM?vd}8U_>FfBs1GlgOzrvxEobzK^x06Ypcsbu-4aqXNS+Q)*nl|a0;En5q|!lZ_DympYoYbLwAbF_$Xk<{=60tHi*MxILR~@z3$JSSQ^7z9;JFyc8fOGq<%F|q#q^ZoGFt5X_ zy@wzcsyky8lfKSTx>B(0Q|3zlQpJ;_XWTu-SfVkjzDJ&cw(hIxc#O%rUd}3yuK;qt zd{ITEAZ1MpadBw8A3Pdpbp2~1$d7wkrl(n50F4h(K{sf>hYy?mufYW(S;S&;qy|6D z^mD8P{aXMJV6_`i?f7?DG}NE@4M}mp=HfR;Ld^oF>}M>OQI-4aH$I;+k|=%$M)&!a zLM?1J-(0SEkv#bGlGhCo4Z;I%t=>Fo3(OW=dV-}8 zJ}>AN0$S8RMnWUIJB4&_87F$O;Z81yTK5_6$!$JP$PNiiDXSo>eHWiuHCrRgvcBSc zC=9#J8@$1HqW;6b0v{j)d0W-YOW#2d4^6uWQa{B3iVpOf{h52FG2o@NeR$-Ku(06>Q)YuDnU~7s<*+vl~ z&_;2>Ez=oR?`G&dGG*c|5;eO~<)B7uYWVXl*}$@%skYJ<85M9KkhC~M$jJr& z9WjnFn#i)WeM5KL9;9Al2lFBlmxHcVpbUzippTH=+{Tsnn1O*;Bh{?;<5NU@4TUPy z*mQ9|;dS1s=)*NysOYnL_|JFBaGzSP4YAkfLUqS4X^vZ5dQw{^Yi!nAI})+xu^7Ue zfKlK!W*&a;4s$L1!uyBmcr>7LK0uzjabwZz3i+ml3|g%?S(cB5?eIsWR}9pw1maVD zG@YiJ3OkVzhlcH@k;8mrADZ??UYJ%0iy&hHs*Iwk*hhj0S6m81l}Cbl^xC&gLS6gj z;H?aD;2d`9KTPAOw$yFVn*K!BlZRj=9vI#*dcR=id2LQyI(MOAjHvS$cg()%9d@oV zj-+J>o_9K0>>08bK&Rbtk9jVqwBa&e$GYj(_VPM9*1q3NZ7@UI_3ww5xMPg5>*p4Y z2(9*%wigjI0EB*8HJQ!?X?594JU$DrsUn6eE7kdkGZ_*(olN=1b~sq9}9p8G070YqstGLKFM7`~m)RCd4 z%*d4lViOdkuO_1ZqMFrNX*llxhumRhzDopHhZR(_q+)Kkgo!QMLpu`qmL zy^CzBt?Lp#1`sqz{Ajl*JC5bfiEpKQ*b7LabIwavUkIsM!4mZSHn z0HF5cPBC!P9+>V9(fd%iD9X;oiDq?Nb=8djmDrJLm*estJ1u(HYSgaM;!GCy1g&jo zZD>^XRW0Kr^E5+RsJpj_Ep{hyT_>{$NJyhG~E*Pv0~Gx zR8A~7pB0!(5`mrmA7&IeKmd=UI zhrQzT;MZQgiSP@R@RbI(q?BLL=dbPsWPWjNfAH&!IQu>OmU@B>*LYRe8R&0w;UJf9wN79*e#1yNxNvV`-yjJ$cX z28To{E#p{qcZLYz<4xN6$)0#-ssmbJ@Nl`#Y_@#py%I^`w}}9Tjd?8v?b!R2(Vp zIg&b@1WxR^kC3z;=7aL<#C4`wxI|J&jA z02l`&hTb5k0LOFqD85hP0KEF7Fz|zx#mj}_I$x`XdKO5b*&6p;G$duNxnHf7tbPp3 z)2l4?1i+MZ+J&^mQ7hhp0A-I(5VRT|34rFl1EwG%he=JfKwRCY1w+cyUfY zLm*O1rJni=hhV?kZ4-A9Vxre*bsM9kY#F6TW3Et&d!f7wPujq}K-%76y&!!ofR^I@q=YPE>8<-(@bFxL3u?!PenP zVeHNMn5@I(n?3~1SD0b7q5E{V$h|+bv673_fvmnNsX_)-`%hUEjiFk8+Ov?KdC zC;<25EOw=0OR=pQ9?GTJ9^fo_SKuQyBE-SlEqSUAPOU@k{`kew;Y=j=TgUyCxY4Rq zpL4%6t5ufIrS4jsB0IJBmqlRP%Cj~op08_p)znne290sy-nccef{2=izL~p8>YbX;+r*0oD8e)jFS1>RNWR7xWhxoJ3G}CC`7S2l;9NEFFnup=@@GDf zXo~l|;{{1YBZqrI1;)0V#t6JyWgOqlS6K*O_%GxY_v!`hruIGXr)To(=pQe*NCt&R zRK?)BOA@ocka#{Vh=u75xwTbC4J0{y9@0@I@r=S#i_ixoh|1kunFJ_ zIE+DS`=RJ+3KG;H4t^GeG=zCwN6;m*Uj;wty^l$e0})X;vX>^%Q3y|AXdjmKr7DJ= zpwGnZall3F-|=|OjBQzW(_sGGu-x$^UvS(s3#OctOYWyf+e0fsYJYWD%dxtNd}Z;3 z(Z+}{iV`@sOHb37R8KOhk*;G(yF!U1e}%yfc2)?Zw1F~9&jSIwRMLTUwN?s>nIp(e zEBL~hcor20sTXw`s_-f-^$4vCc>6_G6-lLPh31sN-otfZgLl<_`+=I63|!j!x>jZi zL!1Bz=CdbQnF!t@OF4r`kC4LAkW3Vs4rcPc{k86H=RPtijhf36kGRGPvfD;Xci;D- zheH9?3N$1C8EZp)B~M*N-4D7qg_rg^F-;;-^xH!NJpi1GL%xy6uZuRT4M*1+Dl-vB z()Gq`JenT02DKHRU}agc8sbgt4jRVtKBL%jB|s4xd89Pp2Jx~%v@$hDD~|cFV*43t z%8c2ZBeMY-D=km^ZIUpK%QRR8BAjvtVV^b@6BEf5TRzd8kz>|fmQ4N-8`C&4%5=|u zXC5I)-(V~Z$3Uc{5nb0iE5W_KrAcXp+l@Ld?azBf026M#BNge}wD_O?utfo{HnZ+E z>W$Q21)!wSYEE2bFOi|T0k>uv^Tj5sFX{S!!2YN`pQgA8E)j&^h}P!Fk#OfXrulDi zsV@)0eQ6ZZPAY~z6bG!DQuVTC^HRlmdw?OT!45iF$bH0kQh38U>3j<8E#}4PF=#lj}XEhSk%GYh>J!dd?Ro; z8=}}P)lY70iDCkw&%Hyl3Yawn0WY}zd!y`f6)pBcr<&VAXL^hGfk2hTl=yP3UsiHF ziuhLhTz3;%uhvSaI!DOh6wd<(W{=Jq^kRjLeAz`f2vazyi3kXZdDi z-lVVwjK=ivHlQu?QNfIC*`5f8MRZm@n!`w!sm9eu?Ui`0W^x~u7K|1!YrIB6Q!11~ z5L0#piUA>HNYamDHmvUP2yM6H)U|>X3RpEEi-5RfE>cnYs~rHI-G#=Q<*2Qgg_cR5 zJAr7jL!u=2@6{J=g9si6lM2@qPywR=IARgIRrb_btg{F^4Fd*zsh{b~vrKCGqzRXu z3EsmzjVSArKf!RFxtZy%oG~L#+84|ElW!A|JM^5j?@o{m$(VE`qI6#j{*)M~uG$)H z$egAS?jz)wTRPDUlKcKTujE*Kzt1IYf7l0KJ-56*vR zbIVFLIo4}TskwDUJ#$KGv79_DCgsB$QAwchz%U?GmLhUG_UTSrR$xsiDl*d;|uO`&}WN1?V}Q=;TOA|9Yo#PwmYqkb)=r>OC;4m z#y6clZ(4>ImMNGP2TnkKE9 z6@(jczd#L!RyMA`B0K_HBfhK?=!3DZ0t%ht4SyOK zcW;>DZ<@M8$TO37>?#P=DO0iHM`+$QoDem92kO;|Yf#By0oVQqJkAy*7>cs%dl6t3 z>^}E&UPC!sJ<3jJZb%*67N~bYY;Iq0pDzs%`09J&ZpV%TOtC}ir9=xj zy7lek%M!Wz%WjGRIEUXXR^JScb^(7{FkpOObWAv))cxUYcH+aB2HfJgLXy;uI=cq~ z2PFTl>@DyfUK~%5GB8zsjdZ;n1il`sXpyrf{>xTzMZL!gY~yO3oFCl!${?5{}KI1 zOxQ}_+Q8Jxm{!@;ir>oK^#8Oab^mRZo{8<7Xy9OCug<{A_AUOuA4Wz-yuUz)$IQlr z_m}>+_4oQ0n3-Arui!s=|LXXcb`};^yuZN8!0-?J)264#WBu0px9=bAbaeml{I$aN zZS^1CZ~8xS|K|HYa^Et4adxJ^7XD)YoyA}I@A3a};C;6%13mqJgXq5@M*GV`*VrD9 zo#h`{XzdDZu~n13SzAoUAJ&i)W;3VQ)xlZS!yBpBNW*aS)Mr&~-4Rm6yV!qoHG^j(Y2N?Y zqTgjlo3-T~w|7-lwogx3^xKFI?QG%m72;00^6cFE^ScbjbReM_gt91BL*P9^gWNp2 zhF*;?X}et6+?@N2`GrJbv%oyoLMrUWv5xKqv|~kNjdfnVNn#ALRYM9p70tAcB&LxWht`wU5&Cj z`NBC(LexqO7I)0H!b8Y=O~yM&N`3C%*=30}TfsV1E9oI72V~LO4ikm|SPF^!-XA`v zFLH5cN{h1|3|Y*TJ65-L3;0v>IEgd|ev9FYG1~1Xk1v5MMwY){Ejy*%3%imJC^h*6 z%Qo_KHT81v>pW%PWZbbs&c{!loKmvdCO&^=^*UuVr<}PznJAzXj-k8EY((G=Sx<1S z&uz#%=WAl@>D=$Iz3)5J?o~oVbZxUzVaQ)jdalMo5a`|A`&$lBR#QR$2(YCB0eRjA zb~!Tu8~%}w_EkW0uQ5lKc`&{W!~z&7u&^u~4~ z2UXgPArMK&i+qw$w4TPE;vVD#L~>v+04&nH@aB5t&Lt~4%#%Yb(EH|kcrI{A0)hDp z2RjX9s3-OV+O&0DRpniis>;3|1kE)p`zi?n0r4SVq7HL?6&k}iXzA8#7HCGI#V$D0 zis3P8(c;Cu(XBOQT~BW0Y0z_&*}xk5*L*(G1Q8T4S=y1wWye`u#}@TrAoXwtU1p0a zs>-Ymb9`H6AVMVzx$a5aqiU+T-X-r>y1B<)N#5M*%;knhFQ{i&>4~0j-Dx*O>h+6i zcs}_4!s4ZZhPwW8?T^{Tf+&#t;?s@}vcgQX0Aw9r;Rb8ZUz)PLsGZfFTN69v24*6r z4vqTwZA|rf<1YPA;ma`}8P_bK9hyr0(;E+W8se*#%|?dZ(GZpFE3YWEzU23!A`1#Rcm#DZ`dwThP zZLWST*iX|Io9b-Oa{rJ|CG$o9M|3WCHSJJzle-=1&5DG-!p;%>Nf*d{me1Lmr8>|- z5ZYu#gz_N$4$i5V_!ugh(;hHNG7+iwlTxgRuRm!Q^srFK?w zQ=f(m*D$LVNE{E-;iC}}%$wlNC4e($!_2CctZuCcQu@I)%iCV96p=(l&1B_BnoYg- z=P%Syb{!kKcF>sAm5G)D@G1_WOD#FA>vE&Ue2daQe&{r}QWs>M#usvyY2dI8<)3kw zZV!li+*pd~^M3&7moAHs@=4e?SjQi!<@LB}AP<>@yb!FdtpO3o^VSkfe~HUz$*7}s zKK|UZ_O)|XjtLdf_U#g03XCtoD^iH;tFn|_&8!!O_!3-0`m!9~s0nF^7(IBzNew9& z-BuafXurglAsSI2QVNoU=h|@^a*7~NR|pCY_w7d4*n}oZIs*hPNh4g0pLITGG^fo8 z7D^_!lQJt`3Xv3BD6U2`89(#dU0>4WfgU&F+V<1Em^T|*tLCLbJw0+xxn9+LV70xW57|QTL^NLL3tf51tm7{`vGcD6oy3xM^LhYI)uvOdO440;q-vcB5)e#^PN+*_ zEQPGUokjNc8kCr(n=i~1S2}0sv7yhO;K1!A?iCq~g1J=j=qWQO)D>HdQ%EJ?#}dfB z^Ypog%@hkq1u~hm-z975LuNflcaGULzISR4I79!?+EQzjcF+t_4{3^Q&NZmd^qQsD z^*Wb^BpP}6EvqKSO;=7%gpms*U41dN9cmG0!BLcOAtK?DaAO4AHy;x^l91x77sAD; zdpweJGfnt7b3?~?0!G4uK?2RZ3K!)IYh4rVG8x36rIsxbuw}SB8!(A*m8qZ!fKYH= zl2k0nIZI?IFk>*^9h?#cOrdV}@q}uEjRlE4_2Wg%w4fUd{N7c~LEK+^DGN|^W)0?k6pr#Z z0z^A(^)&4Z)CDNZ_Q&QzPa8~k2T2$1LH66!!*rPQgq3#~S(1By)5F$f*HMooJAOJ2 z>XNGh=D9+Ix~n#>MA(epz$^PoDMBCv8#(MuyuI*b- z9OAGJl7}PJR2jBK^hLQSd{YqKL5d65q}UyAPpf33NH>w(MASfQOJiIdeJ94J3q!6B7@JkOtInl! zQIijXN0{W?ABA(BPLm~&Jr^eRE#i41`jOv7+bqtnDP6;4t4kfi5Q<@{Di+EhmMu5S zIyQaHaE-2Xv3tSK%~dQzTqws21JmnV@}Z6IG`?#>~gEEpFe^XLK-fS4$a*F(ye~^W7~zF7`N9#ENvGYIt43HU>_Xf z)WIi2rTCB6QkD_1!t)c4Fo~0DI;ukrhU*c7sm0Wb&W#)E*gI4R=cb&b*YUhoSLp8d zdglLm8_I+>rbfMkF;VU?o*TXPH)(S={UN+E4bfmi&=-Qt;+1TAs)0aW;JW!tT~K)# zmCJ+1KT%tZu{_UVBxJVF4a+)9Pq=--Pt|j=gU__l3lx5-FdBOuKxUmWd%WoIrG@8o&gHlhMoYXtcR_{3^~ED zxpI=8KYoUSSUOPx5&$fGYaCEn+=!yf8%&~BOEPzny*7lAVyNI3P1)y=OM>A_ZCNw= zfgP&Vp#2eVP%Xa>o?e!l_V=P>5GFo&r*D3j!EG&pe8lK^uXZZJ4X4x(ldpHA9D0eL z0f6q!V3^hYcFtFyo-bxzSXureay#N8ELT`smuIGzl5`brc9Ph8gqZO5rfzHqr~tPn z^8<;P6<%BVs&gyKy>cIPhW!$_D8I)pAy|~iu-X!NZ^cpRaZh;*3ESB0-0U%J^%47^ z3l)M|BJY~FZn@s18L5wAzr@yZcXd7tHZGCTl;9F7qYY2wZuuI$B~W{JC(2;|DBznz zUN;q(d7E#Y&TMsBZ=BMal&BD`)5+oBrIrJ5!ZqCRXLzdanfaf#aW?{_p2j+`u8g2? z7g{D_s4-t4L9%K~DKK(=myQ&u(0im8LhD#G!)Y4G{R~`PR6k};Vj`H_N0-skb*y|nTYoqUT z69v5>A14pqd~*tjToz>5YfPwaltS|j$t|d^CdeD3D^zD5pG=1;A#+26L_*UDWR^I1 zus6@Xwn00=D}PRQ=rreS&_I5TrFPNbq!S#{ULW*Xj{ewx8>NB9#n9J;ao|j`aA5!7 ziXT50ibUAwrJ%!+NWCV?R)>1%7UH#;c+p1aE+RY)%Kv4TDuzRxKjbF2^63x3$3 z$0it6RIlWbSRJS_teb^q)kCNzpIz*MQ&}Q-=wXjomdWKvruXypmn7GY&tf-0vdCK| zD1;tasMTUoC`aXNtew<~q@ItA^}742x^eh=jI722%f)?fWsC398d?P zJZeUP9TmLrIU@db4_)P;`w6mDrW$#3z!h%21uXf#{%9jHOcMDH;~89Y%RN|j;D>{? z@;o19Y?K9S7x+Tqom};D8kMwUljUrREZ>oy-BE&)M&h$#7>^gomdlYl|yt}6EBOp57lh zBADg@B6)z)bDgutmEzXNb@$?ah7P$+y{-qUtr=yoUdKlbwfvw@zrkVcP?+QT)Q~dY zaCj=`Dhi>%1_699<6t1rtBvjxi3^4pNM=drjx? z2EKFh14wU^1D%|L3>eAA}b?&T|Uo zmnR>-2D9aA# z?3h$UM?z)K95vQ~YDk%o1$sKzK2({%VW|XJ^;ZG$zLo-`pxX@ zugwa3r$OU!#76@5ySEKehFBc?ShR18R5t3;7oJ}wd6E0!PuMwuK+ zH=nascnMqX1bAii4Uahf&VHc9AF%O1azh?`Rj=LHO~NS4jT_E3qSK3xrF2BktYUUH zxXlkOg^|kWMxC|)6lPTB=ekvsY05PiwXG{gAr9ltED(A4Y`PV{h-RmXRV*KGDd!qf zJ7|;A?f^v#i&;ZxiI0x0GNY-sy+#ko>-#2JOHBAiJ|56?{v#?k+{5p&Hc@NV^3Vdm z(1(&@w{HN7fBleP)^~YSxv2!4=Co5S-BDV_6Dw)OR#Xbw_f97*(~_~_4Y}k4t*P1* zer9YFDV$9G1d{x+Q%A?y8o@-5v4=#0TiYZAcAMWafgq)37RIuq^msE zm+vr)v^Sx42N&SXmo8Y2SJpO1+&+`N0e;+Ro@>L<-0v(1zm@+rrs19NU`URu7HQ+W z--(&1!=;OLScM$c1;$%bmT6@BTh>Ib`>v3yjdeA4C0WjaNjc`*H@Q!HZF?+YHe@#R zix`n3!O>PjB`PaMlSZgZD&s#Q#X`cU+3vdXBeeNAE*-lofSq!Z6R?5Ddb2^_Umnyj zy*mo9PDWFN`52&(F%%d1Clcf4CVSiLyyeeHT-XDkNnZBNjeOT$J5koVnYag{?KQ=r z%+Rb+WHDn?OqqfQ3}A@xbb0Ut*z7+F+!7u)<35zYpoC7y2OGQ;yCiDo8Ct#w>7Fhr zeCkA(#uGt7cK=RWnIbOnxiwbf`dgqS*|A^B_qDtgc>KOImF6AVK2hnPsgtF`06D&W zM!wD7Fx1g`-!ew1%*6jBZF-ACZ@H!Z z?&U+FP(9DC!6b{%La07gU9^@=+(+Ayn>%$g^GuQY+LCD$_|d-kElwT2-bIToPh z9cgy7P=MFS`X0R}CKyo*`ece3%9$Qw7bd6!0X*pI)>H9HeaO<7rF&MpXU;OfeL!iA z&)ops`s(u2b1=S5UeN1W;UCg5WSe_+c*ty=8(*bq-_>{@o(P-*J7}N^W1Y?f@blKf z+WPSv{(#FKwDImH$d*F3Br1ocPF2!&SX0igD%N(ADVLTCu_zyO2$hpD#-L(gbT^D8 zl#}XJzc0}|H#;3%^ELEFWQ6y8rC^5l8uqZ2Zs<9`)OlOVnM<^_x>YQm*q9r~9^JRW zOZfs{Q4Qmd2`|Q1euySwWus11-|U>)Z5;i^t)uB|SFJy5tmN>4ea^p#{3No0T-`Q= z)!_~02v$_^tP(~D=%dA)O@31;{tNASmDZqf+dpPc1bo&;=#uHPn~QgvAR9Sjn*6qu zCnoR|t5LR5RjOB|!N{KE5PZy>LfOnLK`X2a5shFh$t#RaX^cowzi=U&sfIf@8T_q0 z5V3P$;`+!y+Ti-G%ziF{h!$R;=txV4z&~UqCe^MDgB7a$%d!v)h{p8^!fBVXtPUJN zut1=9m^0&?>~#XFx1pO$p9lrVOO!bTjwed~{DxUY=2$jkhJVQP?TD98y0__1PA%hJ zgjK$HRr_v&w&y;uAfwNp9rO9_GIxG4N;hN|$^FN+sQSXQa>p#7L3z5xTv;fG4MwM< z+iHU4)r(DoRse%8$$O)(PojZEGcBU4G^rY;&}Pkb63mfP8a|OJ6&fAV4zKraExr^$ zYGvdt*D2LvubDiIB2f=v#1UWHRCTHrA)d?b0xvNFWqrS%I^s-G#AvYMPK7;tasGU> z?A&$lq7%_~*?MQd=fK@Ykv7nA;XNHA&}wl@<#+Vie_wdQnwIv}RD$U=DG%~rKsf6^ z(B)Bosy^fc1==)!9zTP(|A^*P+AR${&N=F9NXIx2obFt|%&#~wMaks)t|Y;{|4gEc zVv2mUa04zfLfRj=)Lg-H zDCa$ueM$9SSj(v#f5DN4^xMz*ocA(ZQ`KZ|E68Og2BkCf8I?E28=dK-(|{65kT*-; z{2Ud)2o}6@O~msUu7rG6sVMJ2PG^f^o*3Zse`>Mw ztZtB1VVa|+!-?j<6UXzcOnjHH9CnI_8?&L_V>U%nyNAf+$Vt@lb_ ze%)QLhv1>xW;I`X{_u{x$9I{}==Xi!fd=GqzKVLf6{NdV7}1BPX}&62{ZX`6n?AyS zA0ngjU>l0LOPGmq-B$8m6)Xmy4QYz2x`E=(P`MLXD(WTUX{*l zo&&bZLV^vp|IQ|uLwe&aZ%8{AmxV4lj0+kNsy@_C{_x=2+-Sfz8lV&;0U@~%?d6RP&B0PJIL&HQg|Y^BJl378QA0Stm1#qe z{*Vh#LXm|AABv-q6&0f3HLO{5mxSj-onNuz+vn%BuKv6KCH^8%1NW0UM{}{Tl=9NS_RJJ4>LY5@~ZR13w3gxO4H%JTL!W!y8qnnA=8dv#Re{w40Y!JEPlg zxERfGFQ@G%qNWR5_89A1=0RUWfj5s7!;aFM>lwPm2fZzw<}TSz4L2j){GQJ@7C+}7 z9qusoEh#v=k6a9aY9{#Xa2~Yi#4TrELsgmsju1hf2j7Q57vQnFnax`|DuC&Elo9r! zHt5QLeY3NM)$k%0m&qf2e>>yAE&=j@#EDH_wudB$X7_Zc7t!U)j{=ACK|O!Vo*kmI*vSl@kT zE(r$H1B|Uw>Xl+~tAa?@&;`uzQgo2X1?cfYEB~94jLkS%mt7xgNw|f2b(w#A)BCf= zaZ_F^#^{oe6PF6#>4!{lyu#TgrFp-}KZapw1sp~k&O>-Carpf9S2CbtxoUgu?W5!4 zI3u5eA?4}qvdPq5BNmfy)KqY=UGi@1JW50K%!lvAc=D-|Vnzc>nUb4httZhF#iEU? z1`!txfxd3*K#NZq*y{A1_4#^MqNq@AI|2EH`Qz~?I$Ul4C4pKoz)StHLT9_$y8+C~97N>nh zElg^hpuNa^MgF*T+1khlPhUHR=A8^T^HgR!+T~ggDTIGf&e2#fR$3AB8*WGxEaztT zd!|<$Eo0U?x|FilHC6JOH_yryZdyL<2yrgeer%9-Sv*zc1dit9i<6eoYhywA06 z6mtV}OXg{=(R^i)ZyJTC z|GYNTOIwIkpI85d5K!a^m4Z{;0Z2;E_eX!*$@AJ-Vsx(;RVC-uVG-pHL&Gz143kld zJ_5HFV8X?HS$j=YI9A(PCy<7#CZuvUCtNLT8IZudVeU8uI_)h+NQILg3 zsM(|xXt&vjoP%STKe-5enN!C$ZC(FYmc*;0OL2=9zKaF_BVL3SbLQZv-6?2)(uTgj zCW-960s4Zu6n$O@PhBvM8=n{UeYkn@82J#$(sTHt$&s~P9p>i-J{|iH{FJ!c^6Cg7 zexvkf*Mo`EJI7rI&8=PDv{by_)frl^5Pe?#Jv%w9_$N2&S~6!DFY8hlCOkQE<2L_VYDpOP-3$oyzlwvTI*jJ)LHyy*l*MbkH|v}JeMoq4`7b=l$n zNe&d8x`vtjEM3P+FgJi7$u{HV5Go~*OhzD811-n*dev|sMwLyMTP?X+si{6n-}rw5 zzZY5dD(p3N<@9=jSMx7Us<@r*-XS6uYXQ|CY7hw5PKSZM&*01}JiEwH6GbhtK88k~ z&mA5NN(G}jWPWU46d7;f>Zf23nljE(xZ=RfPYu##r_CL6B7NqAE)>sy-hl~*KooBK z+P+S3uzNI=06_YLUFdtsHznL{7jQjuD_ zbNR>B-C0CuQF;a3L29#>lw=|I?Tj6PmaOp>1O^M{B9xjEC5)NtLNS6i#RA*F*k`TQ zj51cST|qTM|3L_3-A&B;;7hsHAv<`)y2u!MBb?dFg1+vXq16CWjJxH>2jFHg-R)}m zuWAX=NR@nJ>-c!B}aK4y+s|bQF}qlLfVEmwK0=X%?lLVV4%7GTMRVR@hOMR?N8=F}d~(K?PXn2EUkX4&b%j zH*S8@Y`ahHyiZxM^h#1K&tn0kS>XP=TdTLTjKppv&^bD3VuH;J7U8PEU?ZjNF@+z% zZmsJkbMC8&Ug?%W?@A}2?iQ!*#v(jbm-`hLF;Vkyb2o|OSVhX#QOQ~R>9p`8x_I7q zMTB-Wkui~`1m5aT>;}fT(DQl@uyoHMf|D~ZFDg^xzteuZD|!;_qV4%pC)D2A6~l6J z^wsIakVaGiQiZXKuZi#*g~w>O9{8#2{N&y%avchVEy?36|J6We6?ku87ARlsvFb&(yBnt+;#36@l9^~x+ zDmaw0S;UJ`6x1SPRwD2d7Tu9c%1tiMZq(XsnNQas$df#HeUoAozxU)gZsxYl&Vbzu|Y&`wVsbiPo;*`3e#F%hHmr^Lwia|!$5vj8K0p;)}^$&swjZB zx?<+uwKT=1=GSPh$pG}&RRj+#{Y#z)+C}e8L`<0th;uqbw?|YI(x8ofSZc}rtRCy-On|gXrCwG{2NZ^&@BNb^y zY$@fW`&Kc!bz+vaFca3&Y4oI+&u?6OU3PKM-Pud-?Y0A_?ZE*)HzoO=%F4T$Xov1f z+_PJhVZ5-qUszAga>AmjpooAbNP1vUoz$-uy%Kb;1WQ|MOE9y3t6gwAf zU}9E}BE*MZrg6^w9V@fH?=rN@r0B=msZC`SP%gpgC*H^z08k21Pgm*^ySe1?Qx#j>YEoLm zBK^wvs9mY~s^&N^SunYAqs^3Zc$kAM0C25N^;kO2&3hC`YzLci;A5a4U?@$_YWsfxOjB%6BuYW=24_6B04XV-pMqK)w`U6Slf5SQB zb3ZMy3*7axni);nD&}Z~PjsdI$F5iq+x={E3dfq}ZST6JR4QhgD?6c&w#Wof01slh znb!4@-mLMFf9B!k74j(}KcQq{AvU>#p@3k-f?TvY>=dvq9_a+hQ7g#Evz%hQc!ZM^ zG?&CI^4&x4%N=p4taVMG#nVZw1~5sPMMObcv7uIi+#$BjEre7IWPB8H;NJEbw)Sj3 zkX>iJvmHzX(pZ_1(rG1P+ACH}`%Ka7e>1TxW7cHuST=FwaM@t;Sr(+Xndy$b2wP-M znk~C++-S*U-hwUm=g)m_(bYjw832NkMcwU?0Ml+$cXCFmx(m#S7W><3xQZ7apbTa{ zVcf6ls_*~cZIiRhtS*3s*wG&=f7JN6?Fs6JCsvfT0M$bjs9CDAq?Ey#Yn%Hl?2$qb zQ+xK5!`O=nq|ZDVoP`|{K?k4f!KfJg4wNf;tSwk_ftD*!wFhTFgbJe{ZLXaKlhn1} zmqri24LZljas?nw^Y)j(Kd|luFHInN4GI^-#VcZgLv^oP0YJ^PFKAzCMm zPuq!{4?w@w^Wpg=MY_JpT^eba|8paV}IU;Qq^~TpvUFs z&wfv+(rs|vT|sM9vvYSRY><%yK~}9;#eUY`!i%$G`;UcAnvy?7V~dPqOeIn+ElPvz zl3CQqN=dCOl$lSmaahc5@xKd37MH`J5j9cWif(aF=`U#Y?5_zh#2eczEi7Z=06mQ_ z3ffT+x@9w`t5IKcy{l>am6ronwb$)s&zVk&6}akLY7FcUehrVan0DWL#jbYRfBt07 zFWrP*qYfM>ZgukN$OVj4S%-W0}8@1H;H<^QX<4xVA%u8APIlk#V!i z2<_^{YfS_e8M7&&jBpz~zzq760tnNqYU$98{fLL5Y1RFRu|F~=K{iooniE}egD&o=S}7J@(m9$%{CEjYkCR+Xq&)$ zwJIY+ca$FkBXf8qA+6Zn))Rj(E{sM)@SGBxF{B=`(e9FUuSkJ=Y9ZEVN09E;zBHn; z$YFe!UWg=%Ur2`scD)J@omPiAW>Apa5#K0}=9$mblL!T*a9G%2upT7ndb~?M6oZP6 z20bJAMnJQku8=NwYc1M#$DXpqvC*&I6`B6|e9vac^s+BIt+Z_WuA-eeJlSb~Mce$g z;h2{!r%m9KEPLp8o`G+C5i6y4BdwPS`%uUrZh9Ve;Y#PIfmRn(PRiuMN74?Ft~Qb| z?K|MH;70#N?Jk-Y5TcG)4Yt5Y!>^D#Y^_6AardOq^OG6LZ zWQC9^l07xbw;1G(s-^{rKLZnbV=a&=C_RAgZ z*7QzEjC5n-nRm9AA@ z$(w&*a>L)p`w!BWIP~2#yB$^iS|OJWDg^aK!tY4Z{Toi4xKL!=U2h2c1R^UG)M#OE&`zN6q726TCU2Mafy!umJv~&vJ}z%ANXTN=mS%vr%Veu`!3~WG=nEW@g_G>8nMlV~|&AQkkuIN!4g#c<_>VZ*+9m}x6vu)hP**gqN zS6HgAvEO)SWf&u3$LTUk{?loSt+oK4d#c<@@n-D-#{T(&3C$H<)giRs)!hNg-8vjH(9QgV=vN96$jL~J>fU6F1f=L=qQ9HQHtXmscJL_EuTd1(; z<>9obOArF~Nc?4qTZKD!wvB&ItGF%Ca-ol9wT_Q@$4Cj)l~D~IVYLF)Lo@u6iX~qL z4Z~z*nhj^=cVQhf)o=Nk()26dYQ8LU16AGeHScwPDLjM@zR?+JZh0#_-1FuKHG^WXq~bh!wF--G0%uD;FNS0 z3;)Wle9i)d39}@n>S;HwYTs zx!$^Skho8dG)KPJkE2vtT%bSBN{_z$y8JAKVB=@FqRYP5DcmnBAyVTr_6qy_K{ADgZ z(+Kt{FtI;;=bo9QuXIe7Se7xk!aJKp9|AUI^vP}uCR7=S(geMmHt~0r7S$o|_mwm( zE0Rl9LcamiLM4rw^L-N_A1#h2E$hrYrgW|ISGRVxqCs1NWC{MlG1Ftj7c%8KL83k} zh-m2%8>JV{zHl$N#E8ep#SstN$>J%~*!OUda)C zDz<}1MIapvVUD~K>@2&I@xTvi0%4zDQ-*6#o_B^?1jl!$FIN$zQ7H(6jj!eV$hZ(j zj>?|I6Y8;t_*Kx-T3i32o8N{hW%*=$srzIwyohUQ;_!-ww)PBd4Y7VwS|S0P|HJ=H zb;81Eg3eXl9`0yi;J$DNov~i(Gp+*^J9>f>cak@F*d-Qyo(_MrgX8kz$ATV4i$A=e z>7(K3+^)h=`GMnss2SGLguwbDx?j{z-|!059fyl6hv5bLDnWTf%L7?Lk9W^Z(iz%S z)$4eQjbsK|LkAr2O~XKK!9Rngp9rNV{a*Ap+uASdVN(r@Za9{6#7iq7OBoZF!t!1sPlUBX=9kh zCl|vpPAS1CM^DrzojWvJpKCxobDosBTi)ne?>xK_0oc>-fnXXoqqbN0oB(Ll9?F|Qo-9U~MXbiSU1*1|+!$%lH zM$`=&y5Ao;>-_^-}4-mH#2xt*2S=^FhX2LS?l~Jf1rhgT!ps` z_kcQRGF?{tz2^`rHR)6F46~~fIM23` zXx$EHNdG>yqMeG2z3in8UaXc*L3-h|HLpOjA)$XncE2pZun%~7)YlKqQh>-cnJ_H~ zVz_oP&J5kCet%8k`&%Jv(C#RbmSh=CF z?!GKzp=^>Df_DFD0k3Eo(%-Zr8KZD;Gad@Dj@77-4x0AETnC&`uVa|1aV`<&M!pVR zgIQ26=~%8`^@nSeM_rO*;KVlU>Vz*lP-d66uBXXl?Mlbi#Oa3L0hdy-+M;>f9%o`C?Wp9;Uw582Wna;|0V|9K%?;l|C z=&0Xu(GOB^{M`E4j4Hj~q(gb*updUr7}&QKFn%em0()_Oe6y1gbMN1z#92aTH2TZL zan{!p*v82N4q*D%?cI~~9y$C><}@2O z$#lLXg{V5KP4RdpIV{G&Da$VXakC);vMU#D_XNzI2kSpZao8_Dc4N$|C_@JCK53O6 zBAi7Ur-pVPxf3@g$x{$ohNfc^Vl{z-hImGv=GDQEoe`r~!z5mSAqx#w7Ap}^Y#5uC zMi)Ot^>JmlV?D_%MQ)OWq-KJBb;6%gFqv7z`Ox_aqH5ygnY+Hb%AqT_FLB(_X`1-# z1l9z?VF=ty#|?uBbPE4yH{HcUXB>5Z*-LRNxYV+VT^8I%E=NWwwbgD~1dX z5lms^vh@hf4o$~WrW`;ERZqhc-?^k+!=>)F%OX{s(Q$FZ5RJ5TQ9~w1Cxj-%mwQg- z)pGTVBf#wPxxd~(>O`mkYcEtyPo1Le-qHE#664@yzveQ@cEkd* zw2MBQZ2Jp>=kyO^B#g;xRm=7(pOQ7BFjy1*4R18K3Cb0P_Lm;absP3otuTAQDey0G z>q==+lxr&p^C_!cPyV@xs-1Y~})Nz@KfSP<@U`DA!SNb z9tNwz34sBSW$a)uFuOyE%lwiA4DP66S+Tg35qaV&#Ml6U&>wi!85yQsi3|(*9r?$_ zMv%wb{5DckBk_KCYwQ`sddX}0F@ZhHj*K39e{ofh+F#p6cKa^GFnuY{>LwKhVYu8~ zT%wc_B?Y6EAcjbhd+Nza|3s`Zy1yG8tILEaLn39F*~`!JDS~t&BWGYE0j5~~vDFvn zA5#yR3%T*d2DuLG_)yC|aeRFFH~Z1}nh$D@Vy3j#%*lS|=bqfLB3VL-m#avHzjaor zKrt4-H=xa_Y;V3>Vb1ACxKzrnBTfxeVtiHD9HxO@_@cK3FE&jm)Arz}eZbs$ytq?$xKQ#gE~ROXSjCmm-hH%OG7GdCk!F_8autB{ zj5MvB=N1{46?2S2=#i}wW@?HDj)xCqr>fziJi5zAHJ?s-S`+OOiA7e%pmgxbR92;# z*!;s=!h$JmZp$}%$;ubRCAv;U&;$ol$1AniQnjYH!o$|vo$GXiwW2v-0Fr)=lin?* zqpOXFJg()gnyzx@ow`9W>aui#Lz-yE<(swX@;z5*2CV$uMVHyM)+Spy#gR);zR zmF)NO&@_$4oxY7{8flthMJW-2{7Rjh(dlNU(zYf{J)iYkP}a`}fi|j_gjP3unPaBJ z`#+n%Lu(oTMY}xmd%}|Wt1wB0<0@I~k$RMzXcB<@zSRr~xP2pl* zXrHL3+z|sFqO@S{dqKyc0G(|pyEsRXI?mje?J>FLy#r(Kw+U;vlV3Kw)@V0Nz&_&%~a0jM@&YQjYLxA@$?fh;-qo zXhzc*vWlMkg1lf4cC>U|Sn=pOz+t#zUbxY@h8VY@5mM{g%kW4dYrN8Hwvghsa-N3qc!Sp=baq?+)&cn zbZyhYQHQH{CJ80w+a`+CMu$=)4II*?KEb(9`Ptgvhqm9%3NHk_KvNqttmoNN`fBGDk+@Bxe|2HkeuAyr0O= z!~oou3x41G^h;eGV?jyeV*JL?crcO@$k3gi<4YD>_B{ycQ7+KbY#H{x;~O)NEg*pz zQue-eoumTHfQrldQ@ZO83mZ=Aj#Y2I!CP~Q1^AmpejHD`(o?!-1X-z3x=Q1Rq3J4Z z1!8Z8)6_m%ycj^!VpgC?L~=cYQ9Hze!WgGLuYO@lhLg?S<*wSPAuV~tcj7Zu(D>~o z*IPQ8wMcO&ZKq9lSRgKS+g-a_KB?}mncSSe!QX8Po>bJZ>d3(cffG|rA)ZG+oOxBt z-Io7gBVf?AW8+sgaQ3e0soe&T8`CL5dWZl(y(^Dk+2*J2~sLRVF9N*S(@#)SLbs7@q74QG4B!Vjh}{b0+iKd9my; z#HUqM^T(g8qKVx<6*l7KJ1oxyF+M(M3?d4|Ygkp>Q9_(JgImzkE^g@L(J?RB2QVFfb zfj#B6T)yPrChrn#WREsO8AJ-(ePMV3gZ4G$H|pcDb1L>!P@8kU9`lNY17n?LgEPD`gCUfL(#3- zNIIdg+bgetb?W}!AO zD%l_#M1V#x(x&do!gV~pc{5~!nqAmJ`q?VRj^jn1OR_VPL^>9hxMAR%(sTNl>8LgW zb~O05|1bQq-WjZy^Mw@?ZZ2%mC;jk*(Qk8KElblNNrJf5s&9)-G-P&2Lxzvp~^+DZcSr4_NJv?7ky=T8i6 zAB%lxVL|{rd&Kt*ztIH{9(^#dq!)f==-MwC{DNE9VK8p9&TstyVXYJ1!WX6vp5j^3*}IKZc%8?foOmi#RobVY%jAQXgj$ZE9MqV2XaSwovF0LF zKf^0ho@2J9Co2o9Ff6n$25B|O+%I70pD{X|qI6qDF_i?ObdCCJ5t@;DV`N2a8dRXw zd*tN+)X1DPD@N^MP1>;cKI&&CO!8EpiG3?Qa5?Cqx7XQ?nSYoMig71)%}^5-&Q`}F zY23kEYQN+Qz{da%GU zOL42PNpO=yx=@zQwf`?$a>hx(^BC;aJ2TpJwOBYidL*F`RWC3zuq1`_gD#o*VH8Iy z`7nt(z&!4ARDj=aG@p6q11)WE0_gyD?rhfwE_BWpj zKjM>>coTO>y8b3}nKAY)B%)bPkw%Cv_AVkJC7C53&i(4?33yP69_07xW37SrXaE2m}7elLZ{)$3U%1aT`?E6`X}y|Bahao{h8WlcIKMX=z7D zy>~N=psxGM2>=Rrhy!`pHNnQP`&Qw~eQ`Tb8%cK!9Zol&_dMYuC$B+)I`hB2U~))` zEi|ZVA8Kqd{o3W=MhCXP2bMu*$MUM3&&zpojQT0= z2KD=#i~_WH zy_CrD_wnCmDu0DjA(TxJG;n@{qZ_m+`Jf*vdLLE1+~n5y99O2;fE;^@S%LidYkt+3 z4FEunZj!s^_@i4bPm~!el;`zjLkqeRf=t)+kJ$Io<);2hX5EC~|IDcXd|zL*M1_6h$!s6Q3vr zhzH=~gW-9e~zdq7+ulB~im&(L@;4tqi47ABKK zox@hko|v(zdUeKgj{+nAt}wr`55^F&==`UN_sL|Z44>+RVC^JP6LyQ^j~aBPPH)xw zZ<~TE=d9^eOGZn=C&NI=Qjr7bibYUqyJ@{_x?ck;^O%&iwob%RyV0p}f69(i=Tnz2n+Q}uPV#a&cB!QzVbZjD##+M!->(Rh#TTI=y(^(Cl5}7gzMN;+2^ubE`Aa1GgL!-8$T@P?{7BvY9^^L-DyJrf3s>$aqf8*1>j8BIt78sD39v6L$EF+C~?7}3rC^JaHNZjAhs*s%y5uj7g`nhZ}F?kj}yET53S z;VL56^_QD|@HwBVGup}d#jFQ&O+S^w%}^HO0n%IiK9QmA`#YW&nKy`Hb-Q_u>`jAA z{NIG*7LqnmjV#zpi%G8`J1r(ML&3^Wdad?&j8)5sW8xkFTY^cd#;|pTz1zF`OKF3M zpkqj3E~z8@ZGB8E<@L<(GUv-QS6uIYx~s#TII=t2##|SqvA_=71jM7I6`g>ZD3dpE zG_phyr;#?rp%xxdF{0j-=RdRA3=fM!WbV(Rd1 zcA(J)A|LHI|A?eWR7KmUF}^wb;MqFJb2_tp<8M-nRyzSnx(pNEMP0#TUM&H_6*d2T z@cJhA9Voa4!{a<^;JKJXr+7gpEyRJK{n|Ey(tkGcqr9?;LEX zl}!5e?m-{k-~|gVNpb2g_*kTMTAjS%^_2S@@?fA50{@+l)L6bsnV3qxR>>YU&5 zYzv?F_J~weC>ukZ+@9$A_P>O})$2BoJ7#Sqc_k(+ao`=3Jl~`k5o2|D7zFr!-*KBd z^05&DVW`Px=bzD4E5bb6B&es%o2V1f|8#hMH!Mh&*E_Xw8G3+Wge=MPk1LWLC-$j~ z)$0HBn5t>3t5BMaKGs1k{>rACzDQ{pOJUZupcaYSG~rpKOa^Wn#=Zg5Ryyt)o1Q1l zGSk`>ysL(A4>qFzHS>@_T-`WXS)KPsLv`N``r7^x?2PgJAhr8vzr$-wpzfHHBuU~# z=B}e&KQ8*=a<}N?WqXM=Z2sVk{LREGiLoC_g95|$kZ*5H)8LSMChZ@s`C-&9k*jZU_pPU*pQOu%3l&(JO;?Z|3VN>b9 z&vaYS2l1FZ-r_#5Cx%(Nt}s$mxfP>u^oub{_I01jI-b!lvPPE~zf%2u>nEefb8~wx zff-ePdc~x7iGHvRGEvDEORtqcE$oXZD|y=L$1zLhARsV3s|yc)4!DI{iE#2Cf>-RFBP4M3AF~%`&+W zw3Ls~b!5qu0}~Y;JL1j((VDv!1ru}cO~|1*xz)u=x6Gf1Cu<3EB|A55RUnj1GMcs38-HM}4} z7qT_KmF;r!`W+6l?OXK5{xCNmIlY{ki~4^+fTZqK?r;ObHIbZr3_z9h>?yF6s`O)x zk`86!XQ+T9E_^pJ5Gazd4Vfa;5;uvpTs_i4?i{?cMbS=zzc;*TiefZO5tP!LjXVSF zG|cEfrV?PaahW|<|4{%Oa)TIeHQEA(@ZQHhO+pe^2+cqn0+o&|2w9)lONA%2xp7{$W zPMlc#>~-H45NxFs6kxEAfQ5F#+AG?kcjriT@OW$v%ig}q$bRy^r-FnB@D}rxLpJ9C z$SwKX-W&IECV#n7l`W;Wb7iN+p*yzoyEMir^u%OR3NVHn> zAmnlFcw~(=DTHde*b=1&a>#z5Ar2|JjnZt9cXqOqR*hgrc)nM_o9gP&9_|=u+|zcK0tsu?-cO8x>v_;KAhy;+G|p~M=g{VkLd8ICb!aRneD(=X*fYYxDkI^CrRV$I~FWUVm)kNDQ+dbM$-25{^@BU zWWMm87`9WQ-LQ2v{pWCsAm?*fi_rhTcb^)mh=QC=wo+T5OorMC!rL;dz#s)}GxPKZ zII68DUw{w?5Th)rKPD+Yn2N7w7$JR)_C1^9!8BQofKy(u z`i6RnR~4=aZ6o^|PTv-I9GZyQ)K0j^`GM6#`>N})*;^x4hIi{Y47cvu<%~0V9<>SQG`+nx6k*>inev#3-5Yw9=mJSw)xtT`ADlgVeRNy3ii(;y7h>6` z)_pdyKKpQWyH@4NWvbf8Q>#y}dEB%*%Eo^VoJmk0Mn+8H*Y_T;N1G(>>)nm_prJ<~ z+DnLFf%1Nr`YtyXSPPUw2*R%_p4SGT_HOCCj98S8$0ic^%~%jOXY$(80z1Hbe-2{9 z$JacPvYqfUH(#d`OB~(WU$|Mor@RsF>+c$yY$pb&fzmR-sdo>cp071%xEuIX!3E?F z6>IZ3sWUK?mA|(lIeI$$eXGnHXqEQl8OyF(DeXB5Uj}VEp0{^_zT(xty*X;3fZ(s? zn0kO?&nKxn+fl3>1GHm3TtK<1V0ifh_S9=@llqogA6x8x%Jdz%O(?tdrWcnjuAu*6 zZIk+Bz1J(A^;B`qH-es43ym1zt}4H7%%(P_7lCX&q2i-f(4Mmzlmjg-r`NjcyvPjF zbpOh6YI`K^xw&%JxpjoSJPFTyNDivR?qc?Q1iWMkNim92M)thx@LoF3LGW4s-uh6A zHTP-FLCrhHbP(JZk+SVMXyY@uUc%6M0v0fV#J=yyGV8jSvKD}iYqT)Ur>@@NC$355 z2NiHBt`YSlXq2I()%V+{wj`U%Zk?DxWLl=$BS}nfRm_IBcKZ;03h=l#V0vmY)c&sR z(fjIJ+-*1{+$D}2Q{*4*9(Qt(MJH!_L)T@896tT}F?@dX?R9Jv{`NVf^AxogW#HN^ zng8~2HYH1naituU;;WK?F*NG~Z&)sPD)dC{g_q8?N0!q;B=$vpQX1YwIx(6zTy?Y% zq0*O*Xvf8!vv5i=FMmM8Gue;+XHIlgO(}{$Q%BS%^$PJ!00J{3`&DQR$&BIIG7*9T ze|_S8-WFJ{M#~A3OKBS{1z6@>@=Der-S_`!$rO1fUM(&*zOFA{z6aepx%hVEXjsri zQ^3at7zp(9BvLnN_MWq3ZIYw3E}2Kvu6FP)lFujCbM^9oQhbqsO0IW3?5^ZEl6$%v zOEH@flYNZQWXCbTJs+?QKkL#h>(vbE>MdkJB)K=MSVf3j_E;8^>tvu^R74`Ry(#LX zo)2#N#_EVdCBr{RRST>Ik0@Gm^Y~RLW_KO$7n2z-eyjl3ZY!2eb!BCoqqFGslzWMA zfZmZddk-PBN}P?uHu6uas42^hY93od1EQFYyGJ?I=%_OndwibBFcf8fs~13zAvt^` z1}Q6^a$LF)y4`p^Bdz9hWn# z%1`4Nw);C!@407RMI`4IeiW9?kZs@vUTXjB@fBm&%U_>9<#t4$Y_nJ^L8RIA>X#*R zt-_ZR%(OjOT1^(rFH1FFQWdOZIx@cN~Y?NxJs>m;;y|9zOOZWl0?ZU`>O1&U*s>3Jqs-oCXo} zM1RGU+b}rvX5Q@C-)W9YVrph$Up@?W#lGm=x-@=NG0a7c_;mp|lt zlNaQHPqg@eYW%y1`{_F=w>Vvyf#tRsZ}G(HZ=`wDX`O3LlG2II>bGYL<#~WNg*kE>w=;McvP$Kd{4AjR+ZoPjM%Kf(dJfDeo>6-|M4gZhmOkW9xh%PM~mw zJvzFf@z0M2kN0DBdP=2tNGHoi{Jlb^BAF)F9RpN6o@4AXfv*x1$p|tL(OgZ6m_J?f z0(-Z^yV1{?sGnjHZ7BxVNDaU>0{Qc3d`|`{g~rrTxzzqvQRknCeW5UGR9~2JNm87Y zH0A%r+z4BSIr&GfdAA*RpZbY!S!t(u8m_806743kD({xCi>KFMLl0)xbu`*b0@lU3 zn{Jn$MDb*tgz^68wXTTceK!q=3^@>RIoXVnbWk z%+{m29MGZ;uT1z`fxlbO_4wLJ=Rr(!M7>ec4Rkjlf4)(Y{d;En!!c)1C;f<#=Dmln zhv&sFsOHO**3OprV)*oHyF7F2tWHO;*GIg|t#B?xtVV9k1ts|C?mvfrXTluFP;XN7 zH0|d&!G<2Ru@rx2*`!9Q@m~C%>pzi>Q7pj1e5;x8t>tC=vQ_%Q{1K5YygV_BM{C)QP*7(YNNdRA@Ncn>UTaso;VUj;wwC z@Hn3^z03TsfP)?A3T_lbzCM*cK}Y=**|yN&iL5w(5nKeiZWz3|Fc09p;yX*vpXD~w z|0crLU^Wga;O*~yk8@|D1<%3VSEI6;o!hrIt4pJyK9`Dy|F)e)c%QvSK5jQ)44aTFU!WItOfdhe z%K7I63^Pju$r4m|e4;hGQL)Eq9ArAM)!(sy9o;dPAN#ENU`q;ioM9*XI_ynsuEG;1i$uO62w{b&2XZM#X4!sG{ z6&yV%qNDV|toNfMmYx>Z)E{+8O*05@4CG#dc|{5u=2(~!Im#AhU^aLGY!O5CW@byP z7abB{Tg}s#&pKsV(24vzSl`+rIgsBU7mJD*{0dVJq|nL|rX}PGHSBvAl4CY_WEv8g z#i}SVea!|ovT}A8jPJA780gK(zoO0D&u9E*?$w)yuGQ|zrQB827uSgl``)rwvHKs2 zX|&`$*V!1FgU$2Zop{qUAN3V0c@3{B&uPqMqt(>N4F!&-XE)`2uqM)^qHgD9xOEyx zKd1nnbQp0D*9d(1YZ z6cO*XLL{Q3V^25`3HH@!CrBMaIZ$IgR#E7QZpNg#+_j5oCyC_jv)Q-P)Xd6m8_ zHCJYU#Z{zdW68UhLpa&mi)Tx$osrQ^XxX7QuP! zoR>3JA)M;B>RiZJ*iNZs7*veoH=fK3)e;FGyUYfi$cop+U1xB|*FL{Y`YR8etwE;=c zj!RRQ1Od~u=4cm+$6WG^Z9D&#O5b=S^XnRu6{CdEw|3R(M$}}bcj-j%w`&pkVcBiwQI zfJpHofkv0bwnd7vU+jM$*;7VONbk`M+{#F&w`?Y8&sNhG5#~t)+*Sq+;3!h{Uk-x)9!5OcFc_CHL3ez? z#NECB8+0j=X=mHBvamd)DpHbp?ZA5AWba7kN*BeyZ$&iw&hB&VO?dHe-j;8xK4)yT z9Xt7}G>XlN*a%R}rkOCzwDFEO_AAl-tj& z+FUs|k6%~^{zg9D?iOkV{TZX2NeFakH?lJ0dI5>_D}bKLGfEb4f0r=;oj1JA9zF&BR_lda7kN0#X#u(~k9 zBEnGT*KemiP<}OH>0&NO5I<3`J5|gPI{Flu647*r;xKlNv^oQ;Ki|%1+@2KgvpCqb zo!i;k-B5X(-VLL9hPXFOH0&}aPRhI+As1o(u)xz8`^df7R(?ZYGKMy@b-z;? zBpH=;uuU*BUlf}GRI-p4iQp^SLt3*GN*_#>?Acaqw%BxLKfoCjmF{#sT2QX zRYDh1mpZG%U7asID)4($_t+{MZ0}!)HxQYdBkr#2=f21Bc5gTCqlUFp{5%<2X)7PR zsaU1iN#Q2_+P;>R!a1FM1|kx*e&v6 z@43i`_G~rtVBr#tCGWEV=w|r3)M>1f#k$b-BoNFiK+(_RP#$6WaGz(=vq^sT;jJ z!31p?g#OLd?w1eFxO?4Fex5=lRgYXIEBST-Us^ztbANFkV?4?_BdY4puMl$^pMbxC zhYSN!>N$0cHFy0GfYwzoKe$rHn!4dXJE6mS!7VgH5?Ya}kB~N89K5+7&M9}MxW+Y2 z)AdH&N6dn_}qSlaI+WlUb=@Z^8u9xq+3oz1l5Vt6@iLVB|tRdH)~4#)_g zhg3`q;H2{MJL8rQxj8rcvs+_sle~bq0)D4QZ)Q!7ww-811m*g;n>w�}uj*)_S)< zI_;Z|H}v__uDVdqiGM+n>(xf3ppB+V<&PG{7%|R*B>h->@1MP2cNZVoFUS`a;-=8- zs!Bl>q0i`+WSUC_wr*i<$nG>?(S5@Lq{$VMn|DyP=#IIU zzo;xc6s>_r6@eosRKk_(d}BDHkgRc5BP~}c>gV9y!%P(Nm;$u-re@yY-WG@qPMb9h zBu(oBWcOp}4U7Y#?RwL2)Z=I^^3#uU2QPFr`{a4D>^p3{y?AnfK<~Nr_kyvLRWe_k zH`@($K*N#*D>)C^NHHO-l^8{VxQgd%?Pwcz+nRbm(~E~r6IWS%fnBfBIt3?fIH^sG~_4kr`0 zD&|00a=-nkzUAv#+F*Z~Bb1Xjtt4;M(INiS+ASb#E-;mf7Px7XCQT4Dm$?Dd;Hqnf zJ*Wtj{jZ;6f4u6mb}8csz4r_j#xe`=US3=Yj@Sqb5l8MtxiID7MTM9pnG)aLywtw9 znxpYZhyH9@y-29Jsr9~a%gmV)>j}kijU*FOR>vJNq}N)k?HrifyFFc|)Shw%)l&Cd zrieKA$vJCPJacMmBmh>-h-%e)E{&&`%rZFAFDONpHfoKVo(s5FT+Q5f>T9!M=+^#q zi#Ym+;MtsUiPM9($wx6Kxjo?MPB(b}Y96gi)5w_Pv|jPWcGsJ~e1n7g`;{ure5J9< zHAC$!Cyb`SkwX@%FXuoN-)@sqcuCpR?S1j{eew26ckHeZ^>2@MATx-E(Ts1tic~?v zlKx&0&1@38Q#z5BJ*sMB+PuVWGo*a$qIFVg2zsl>i)S0MY!MRgK0y;e_AU#MVgOy! zL^H)QPtK#sz+urRcQr|E=Yld$iW3Il=MV3%nlSrSLtB7JA}eRY^V6^@Ax0UjyMd$9G_Im=qo_M(|4uuc#$aF*i;2!Wv%6|iE1`Lz)y8(G%%}TtI z+GN4eEfMuk5md0_f?UQdN!IAq#=&fKHqXK`hZY+U$l`X;)ocaj=POmgZR0iH=MWS) zqNq;=ero%tpG!78uWsaHUpMz>l&1c{CFliJgpbj%jnfyp&ChmjZ_nakJ&z{rfWWU) zxBYe`f6@qeayWZ<@_I)qGq-9&U7CK=o}|z33bJ8(mrF@vO^zdGND>5YIndAW-%ordB#ZHalqZf=}4|u5QUzW68i&$qkb7D|BRTKafvn*yunEd{)_n5vG0!h_u}JCf*Fyw z2m!s{@$W1jwE}vqW8dp4-@NVud;18U*E;v5LsS8SQUR=+Iw5}{dkZj~OrMWlFisf) z!rb^MDaZeIXDwA%HOu=Xd6h?fVQuL)K8j5jX&=!aHvt?_bvF(f+|kVbwQ)`~xk;_K zyE#oZ8BaB3sW)x-_tivF*F{c>iugfg0l~u@kt=Ij&Q|m@s3q$C+Bf?(AW9h}Wkmw( z2@~AJv;vD+!&!dxGr)D&pR8(T3^X0I#1}I$&Mx%AXmtcCYetD5SPmiWy#mb9JzXIo zAxRgp5HiuUw0fbR+NDmGW5z0fLq=OCKWZshx!n2NR`3~WHO{&#{SiyIEO+- zM=(Ec3EC`#m4b(EQ6X82Kb+ys+k$>tM%Mgk^k*UAjo$NB{$!n&x_uMO(2yd={u7Qx z?Akm$zbnz{nfqt-K)hTpHM>3N3&m z-L#lgES~57Eza9kCB_c5of)rVZ*MOi{ftG{gX(i`N$&mCRfo7d81)B=5opVC8TEe+ zDE^ZL|0kd*^dDEx{y!j}sF}N!iJ7v5FvI^F+hb0bjPM&ha#ONCFT#EPIn+(^fK}G)^;-mKF-X^oFGAk0?Dc4f1A(gsU|9A z_1S@4 zn=cV`ru~7q+dv3Ceh{)xh=54N{A~K~M!YN!y&^FnmF;3;|3Q_p9k^+U9R>ZD0ojJX}i(R~aDkFawuN1U%Y!WG-@`2bUAtiSTK>nWc2Nod$BYP=sKka>- zgdl(&W@D6bH*&t@gMEF}IYz!F&c=S=o+4w)T0p&FMcW+OK+OjNkpiQQgQ67xy9N<4 zf~pUobAoU*fV>77BEqkNphrMV1R^jC(XYco3nHz9JqJrGf?f!bSOQZBLU6*-2TtvC zcR<|)LKyyv2{|5{AEPMEE@EeNKF!kIdGZ~+!Ucxh+mNq6<$ol2@wHVxN{!8 z0+KqEx=_0U=^dRLOfRrb7<8V`5;ZH>KXi8pQZ~4NzarfXxv%2mEy4 z?N+`6q%I`>&-E?XE4MEMeo+2UGo)YyB%G26EE4!(@GB9LB<-LCJ7K8gzs8dmLOn?a zXBaG@vP2I`*r?#5Vpyd%N(EKOs8DGUJ3+K!Y9$G!>k9m3x@Agh@FyraahgK7d3H;N z$A4!YZWO&hdI^q#t@-qMkNKlB4oujvu!TRGM!ZZ18Na5vWtmQZ%FtZ!SpuH7S@JYQ(==LVHmDl-~9wXSl8dI|L=brUKKngZpn zMsVt5DoE-o6`uNqN|u_s(zBwx+HSqCl9zU9*|U-~Bu*w)Z$fQ{3Tu>rMr*_+DY-;TMFNK#?4QJ7KlK?Tyx_!#9}#n4%3 zW0}+MJNqO3BL#AFaw+mBas*j3S&fX7O!v%h>oSK?=4$3{J9%~wjy=m=t8eGbKg_dD zAyYTninP9TZCb86zAY_He|6e4<+ZG|#dOqk;8-eP1H_q*qS!V!H@u6VtS&v+)7U|> zQP}&}u{X&#**E0r*R*D}^!t6M<#*z&G;BDG724z51WW}E3r+@HD_lGeD-OopZ5?wQ z7hR+sxlbMkH-<9-+b6uI#z#%N$2~NM7YEvBn*j17^h5RY=XJduzS-UlztN#VliV>c zg_iR6Q~Nn11~Y~60!6f2)_GP&js}hhWK^_e)JO7lt`5>yp$Pj3#u6S?W>)4F zCRh4twi;Gj)6~1Fr|P0%xz(&{8?;sO zT0RsqtdSUQtk^jCX#1$|fk%^7CJU1u<0=z#P5K(Ynp0Z|cPyVMlQF7e+2PHRv{Cud zjgjlf>?n^2hWPxGE=QV91{7WtYvdE;FXVVqdeTs`R`R2y;$($8Q_skrJk->bnv@{b zkmcazMAqziW&` z4GJ9&C4=QfF8wFpdLII+qZ+%F&UMzc>Q%c+d>(;*hPMSrf6}mzvx>4_!aKrmR=tB8t*Yg<-}j`lllUz;6@`|-FB{E9wEk4&dLwD;87l{TTb3ZJi@Gvi+45@&B` zgH&kb-8?qjTm2j!zY>?M%d2O{^QgFFII{!X4|HccHy$ca%Nd6CFfZdS{2iTEMz;8X z`M%P781y=?{NIf0RREnd?i%t2t)1Q%*hku9Zc~@ne&~L70-B%ms}37`{zDhyr*fCs zdZ1Gfv+&%o`W?sKm-lw{TWf%t9u`JaW>*hRXV1U%A2)w{OMDmr7Tfs&4d+DfMh7I7 z636kW_-kJkFD91}$K#nwYYTl8LJIgXjiY%#Dc?)scc0~d%a2j2P-2tKlgrDu%kBAf zzHC3eE*{>_gY!!R>ii2o4!aF-1J1Lx*jT=kew+{14mIxU<9hU;yL?syp6{~%ay+<% zIvnre`;B?7eehp>4f~!zT!lx)dlPi?dj)uRB=j`gW&?o&z5IUo_}_<6uKzQH{x{|P zPZMWn<>dVTjGrtVY^)sr*X+6N1Lcb#W%ji8JQE?LM}5Zh@sZlbRv$9{t9Fk3QDoqMy@09cVO z$|Fv!F4g5-Ze@JFpF;X%kE`+e&6YOn_qDmwC3Zu6YUp~uwJveAulN17{I@CvM^Z&S zMYG%4^h$%A>mZ&3Q#}xIy;8=9ybTnfy;9TpQ2xlz1pI9g>8+v5@1xyGQSC{%u&{Md zpQXkhAb(|YNHv@f>a~Y<+)Q0g&}l**2`{OD!)oFFr298}KmOpBOG9l|N`TjyL&;vE z$UK0_T?r(?l2;PS8+-&MlK?cI(JsTtfAB!|*djvDA;gk}kJx;kq$N8KeGKtZX;W(J zT+CX=%N0RSp?!(jd4beXeV&sS{Ixy$mkWQz4Qo*bI_T^Kj2X&q`1HO?mES^of-9zpx|Rod1K& z5#VHN8I9?tp!-bE$!6FDRghXpa#u!ULHpWRFC#rmfC!?}74E)ZNph3nd0f`FH;Etp z?$DbK!{DtLi#b13m03?#ttHJpa@ROQmW@1{Waeub+-iDE15m`h8C0J4E$a|K7Mjv@GRk1?Z3TJ_s_2bp-U3-Sn7RDi4d0ZE7Jbd$3-U?*X0u1rQ2Jx zUn5vFa7!;3z+k{zd0yKE=slZk3Ft4t2+QdG&e=@ z?b-A&;elOYPl@`7!-UHr(gfa{h{$sH|Z{L9)r zszX+n>ZF+50nujUiy9DstWcDuk0FtGN|{-6zb*Hi#^ubKCu3ULqr6M&kh*nj^p0g;X6@2y)5@kT>7(@#{@nMR>kblMDRH6S+nZNtaUt?Z_0H>;``!56 z$h6@(@j8xno^`B!QRU%x+&9lR&Ns@p>eu)|{sRAElgDg%%JPWGColIsjAWOXR?jES zF{$qz%u9$Mm|w0K%C0P|a%|qH`z>#qZZ+z=2E-*8Q)J=|e(~b2br?aeD~`=>*ZwrLn4ODYQ&jP9b? zPcYi5?32eY$iFA&n~?fZ=3M-Otgbx%9QZLxeiW^4wvMw=V|L!gl%_GaE-Q$iAE|g9 zc=Luj?a8%rLD+DaXwkqLQzCg9;*9=5xofZ|wab`S(_BBE!M-g1jC_+ejrB9_L=oD1 zmgk?=Lx_M4X0D+{vX>y{Jac{>y(y1z_lT7z@P}pGGuZlZtug{UyLwt={w5C72vAhX zn!_(j)h`My(#p47ZIn{O2Ghbt3Fu~B^__o=lB{B8C|`Ia-2t#yZ0AO@!$)c;?d~a; z;#$nEP~(^v;Q#ya@=H%su=*T>WK(nqkq*1u38V6|1o{8S!~ z$F!vUZL9pfhw3^h85!kpt1Z%Ihq}#7)-}4~WQLf8*FL?_Mp-f4H$W(pAw>y&k>yh) z+}*6dA2;TnJf;8EE3%C<$1Gd7hHW*ca5waE-ptx1XU!U=C1? zkvy6<(91n%Z~^02xJ{D!VSXGJ%)^F;Y&;(&YF3pvr8)_!(^#Ey|R3<8TsN2Ol$AW(5s5RFE4w$sn*gwX)U>ce^`7k8{3p`A)JX!R#@46cMIyC zpl+tVqPj|8iAEtZOti1096c2sR3w??;=iwsvet3+<0(t|6|({5VE$2kRc8&XT(Txg zk<(h)Dj7MrdzJ(x)1HQk?x6x4ocw)y+9}yuTUnOVn!OCSBX~3OFm4ZXG^f)d zxx_J{$-Qoy^yj_>H6A!?C9c1t^P{z0(MCyYjX-qoKT5u|Eq;cG|}pPY99h0w}sxp&00IFk|x6 zcgJff8*Vz9zFBk7fGte(ZsA&InHEy3ZquYP;lh+;oX`!GMc$I~qrK9UuA<%RAa&}v zTeRQClVRF`#qs531I)@@-qA)>F0`3h^NN6z^KantH-#zv2W&X3QB^HX-pVra`xeHE ztkiwRY{8?-4$_r$oT9t4%=6cJj+aNX6!K7ziY8t3z;7+uEuSpIq-**S0vn4pdN9m0 zYisDwzTm8;tb1=3&J{rP-P%=(!c@7<$=`IYC>4hr?Mzf^O|_`5D&(&PD$?WS_mTU? zR^Y}SOXUf9T6Ev3Xqk(WgibTA(pD=-nvHW3Xv#WMdX|kN69hD9)FyfF6yL>JASK1n zi>SiSr3)~sys-nQEOiDt{g|QT{d07N0?*Ge6IpvFDV1v_y`~l#1rJR#_657Iwo?(NKNtNzvx|gg{{Sb-;Dn_Ho9Aj zkekDf1OkK}7}#IrK#}YYq>AvUjRZ|RT=acR;r+#yY;yOWR&7jwcq1QIF&XAv4e_?K z9}z5@s|jX;fB1*DYEhnyu!gmcHibQc0}uwwgWBO+etpL}Dv0;sxA6@2VqbD84uJVn z_*#_e?ZR)WxEAW$^@TU39A*y%l}ehxS^_IVW~vu(4KUw<&|xrSWFx{$M<;$WpMZL? z<3#~zh5ogr$%F(jZAXrW!?0pYf^koVSLWNwDFnMqyisT$gX2!Q%;%zZ+#7D??6HgduawdkY%%7r2YHaYgsDvc)$dheQgjbIH6kGMR?+b8Y~ z7XQPLFh^{{Q)?!`s9duxYrPO?6Iu(9o^NnXhrl$gRp1t zt7eEpKIsj(A{0Fs}2H3Zcz&qbG1^vgrFN?+{3=$c_!R% zzIXsB5PbpOJlref`mgC<@1UWA5+!ny8Y=J5xkfvx@4!2Z`hs}G4$>C2%rz?4R!^C+ z&+VMKs%t~6OXAc4$saJ6wD-!FzS$qBmoXx#{&T9{KV<32ZdHjzfb;w%#MzLGka@ar z=YEmAPJx=lBP*^m?Xx@MRjt{4kxqThHPze#g>_fMxJ@qtc%oL z2z#_Haw8c{L17mzM)`ZfDo-XUNZAUUX zS3ajXO|vNHYPTu2^P}+t=L+}NfQ1U0DO9%4GpR0ij;Kb(9^n{u5EKJQ1pOZQEb}>I z9?oF)<2iPVcQ&oH3kjI_5QU&8MOv2eB#t#r#9XxR8R^V#UxZFs7mAl$>2=d_FV1W@ zkD2yXsTe9?z5s!-2zI^@zA${$zvanqw+D`zvj`Z)L1pOt*aEDV=XzZQ&ZH#-h8|tb zGyK^R)}|b*PS9fj@&aP~>YT@?cZp-1_#|FAM^2-O2<38zYvXkDCivkmOQhWf?d?ps zUcP%bADH0_yC`x?YKHu0oA&mr#xpZ@v>iY2m?t5WFQ21at;4nrr%Up)y4H7eHIsH6%VZ~(8rSVX@vs8 z2(l;KN_Il1a}OYMk(+oG7J1R?OUBo9>oBS^As= z4r5Py2=$Ay>H!6N1p#zp>n*q@@Kj&ftGlc5E-*5?G3Q4PVAq7LulusJZSV#ZgnAhJ6Mug{VwPH& z`Umv!e&OD(6-)7fXQeGzAwp2>sTR* z5Wsp7ZUZ)CLNM!25udj+{lES^7$Uo^t~%!xgy79Sc8jY(gvpBNqj15$)(V&~jzVYVMsm)j53s{Cdpw8-N*j_-S84{-pYqY3 za)$$WEa){z3y3jN09?p*BFk#wkBj&7(-=c(gH{<)zW(k5NH4!H++B3#Qr>Cdfel>w z*PKN571loz6u-gTo508s{SBD?A-yU8Sx zUdblB>3aH^W^2~AKiFQ?2Rv+Y&Qt%i+IQnYe5p~*SL8AflW?Mph3KL9$`F5G9R=>| z8{MY(1M{{Wh+LBq!T2U>RJR@H=%&5k1%=X~+0hiz=-grgy&v@Vr4xB!q+I`0PCu25%L-xOi&G*Ul z?oXy;Tzu&Jj$7Amn@{tB=vFrRC!6bM84nX^7^HQ8w!GF0F0y4WA;Zlpw_1U@e+2=^ zh<)G7%ApI{^VhfKYcUggyL;8PkbkeX{~Y04@No)33+Ccy;T;#jLKL2|<3?7xRw`#A0s2 z>~Hgnp7;;8ojSH+ULSr-4;5a6&Mu{x%`wZa_)p-cWeOF1#&!O3?70tyO^J&d zb1Xo&P4Su3hc69kXXvU}kKiRQn(Dq*#ccMf<;%SB?Tyo(1#F4>F2HA`vj}HPM}+UGsOqcnNgRh0ra{XZXN~&-SX6g%Z6~A9mtDl>zYOG z%PqcYHTkI{SXG{Y+BI9u)^vn0LEOnRhsWlr3)j2vxQz6CJjm+q5%b43J#Yr)Uf?J9 z;{wgRMnTBC-32TF94CQ|K=F>geRf7yY{2lZ4fmnlK{E+N%U{%Kgr_^z;)3UuX}PA> zPLxOLw>574#2{IW@V6*Udu;pow>xT$}t zS@CsPv0kxN<1>HhnV(hGY7#YO z(Eu+YKSXHhY(jp}5BM3~?ZXD((lyeh&iq=Z}mEQmZX=Z)V{cQ>xZr9ya>Bo=NQW^uuI{%0_dQ^n4;WeKZDL6m?8uk%1q zw`^w!n1AWfas6}HF>sER0(bv3hm7DfiARHfc4Q6`;uY&B#5d=%``a-P@RRw876$q` zXpk81vf`r6IiT>7=^FzL@mf6i5OfE1xgK`f$nJ;v2zd7Wp*n+9v@{rMb~N!bl5hVC zXg~f2GW@dH1v9L*Jy{>fu(-$e#5(H-s;Qo7ET3<{VBP2nKPWNT1$PA}*R*ol4x^}P zLAzqbY16t>;0Jj(&gh4`RiNQZWsmRe7W^8}?)II!b`??I#j)oh+e@%bRT_cG4Xy+} zLfnJVc7=wE*J8{E{z4h}C}LQDGxmtmBhWn=d($Z&1$&n=h-NB|fpl@Rc|ni9=r2XG zp62u1)Mb;14JVj4XWPxh`~X)@qk(oG?@bwU2aP`vFHJJAQZ=+EUZ+4=_S%*Gg2Z}v zNiqCq5IoXQDr^CFdqR%tloZaI-P+-|Z=B4l&r1sCs#UQN@$+OVOcA%!e2{@N*FME3 z?sZ#otlCb+A|potoIOH*%%?ALtorVBx@K9T-Miz6>ccI$PiX3Gpr2U$6-07dYi-cQ zFlUS~)|Xu<;cn|(N1!GC~l0>sV<9Enjd3-4n- z|M{AYZT8pOIE?h-xvCYPG0GP52#IlQ+*lG!9tg#8H<0W4J62wzympR$;m#d~<>pl` zZ|CkiFZ&M^FQv;%n&Mcqn>$ZL7o8czc$242v;IJ~?XljeO&BICooWBVFp{|rw_Z94 z>UVmPj@_e;#n^VxKJf)kbAPB1DChEHkK3(Ke13b4Mx z%Cm1&d#wd)hrecRRzB-hm0HZJSiIB-fSs21J0iq>Fz!`yPhkac_QJJH-VM$AVE8?% z4?h9ZkHx{4KYyiwJ$L@dXYV>J2{hF;)?&yH0qS!u`KRXA8`T9|&dy)-alIquSg--d zIpOTR6fRL&W!DaOGA}n*eC?v)dB@*DtrQ+nU9*}3Y^7CllFiqeZF}L>F8+Pl4=k2F zLr*?u1Lqk7`yan7LSR^+hWy^n8?HZH2Hj8&{|j$GkiP*QZ#c|h%d=E3nVTZ4C64M82z}RYIRC)qTarIA`d=YOGBew%@*g<8r~WbH`i# zfbafTpwhF|kGs;+KX(VKp47b^%l7&R<%qKH*iN^{zO;xjw9&pN>*cWp^Dg)E9{N)> z{dAkpEV9J~$Mgyh5J;2q}Q}K^OPkw?tpy}|x3~FF)Z-Dos zja3#nT&=fvPz7w_3VhF!JEY=Ra8ZSLTBTK?<=}gelUONfuqd=R+wDU562#kWt>1ZH zf#p@0BNK5-#`uB?BBxVD;oO5!U8jsKn<09&6oP>SwHuT^K4K%)^yN$ur(KQD1*u%uSGyveW-E_WBtnLyY zG7mU=-ws?Q6c_Ov4M7_c-`{Yk+zRlO`|)Z%vVPmg*4tLgB2)LLt-hx0Tz4KVMn5h9 zhT8YOr(5S^utCxHbnBjKNBw?kXW4PQhH5})8x$@|r}1H5N>Pm}YfHH`n)=Ud_DQ0F=?I^W%l^WD`r!~ZMK zRKo9WobN8jdHrE$F6TQhgRZiTT!49Hw`+@e2>!=`TQI)f$I!_%)&8huudUFUp>NN{ z8gv+?*?XeAPnv@9D@9+W_Vkxp-=kI-n{uqZdGmz*I|sF&%6oHcmum6qcMys8?;1MV zze^bE{BELgpY{S8&tol*scO#PyWLo)VZ5o}u|@8sUh;%B28lxo#AA`#m&`#Pa}-r> z+1VabawtOfLRoIJphcT|)tJ=68nZOQ_v(2(!~AQFSM9V!Yy6V0S!0lV2Qm)60Y2li z8eUJUH8tOdcu37nKUw3X8Vh+J5^nW-6l?ty&3%x62Xj7q$+j)GhS4V+dOo|*jO`di)r6StRD&5h@Lgaq7IM+Wf}OC$CQuprv@XsjGzS9F3Vogf^-${i!=Cl1q}7uDF<87uXr4< zrZGFISnIegBi{SUlUgS_0!Xb>U1mG)POXF1u5N%gP_Oy7#l~etXLrRsDyX}9`|zF( zJPPavbc}*2;K$&-5C{T~0=t1%02g{qiVF1svw`)%5f0JXX~}gyZ%lRvEdg;8FjYls zZRsdr0vc?Xwn()tjRvLwHv#Jbmy%=7Y+xa<8#tyy0$SU;TYDkzZR=JlvhA|Vvy`{o z@=m^3dACh0wdlyP7R?)GiS@U{dR}0$yX9E4Ll=w2re_72Mn+}r$!?>yK_S~9<8$y9 z@>z-&g1oc=21N*v=-3t~pv7)W%g9>48$*cDBo=NJ;^RXKA!jFd$dp<;X22AFt8rj4IBiH0xo$3&%1cu!6*_f z|3Te>!N3$?J+K=%3b^Gz@Q#sh^Cda;X7^w~%D3^3k#C_`Z{Zy+--PQ;`6jaVx4J(4 zvUVy*W_R1+O}8CwlWa$9o2+{IYTb{WpivoUX=v0FT6-Eqy|ngq={>#mT72EW8QyyN z!8Tu}cSCj$jDR5kRt4TMfDaf0lmT-97hH$oI!r;}W?%yl0-$Ah#{fRL4|o|kOg(@A zFb43*SL%>Vy*yZ#k>ky7BM-wW7pWFTYBUGVZN__CwrHK?U)P)paKmaZXPUc(Rl zsB_-#uItqI}w5b2z9-d&;v$J*ijG5JI9r^)pa&QFq`@V@S=*F~N85I9?Rcwb9i;oaN4 z-UH5)8TA5WmrsfAOz!J_WS5X#5*%t1youAmsGc|zC<}{nL}6&pG%B3x3w>AQuNRSHCxr}ujtIpTQekmUsBdOr zz1tiY>XTU*a*z2Gs}_BlVZuOwdB$fA986- zAQurq=}Im=B0|aOg5_$f)AI9b)6$eoTOU;`nd-K_rZW4|A(Ni2WZDF2pOV?vCde|O zK}sY!86qjkN<<`5vJy!aiArR`DUt5B$cjdh6)Gi7oRUelWTK8ZGEqk$lle>kDswV3 z#kK*Z(UpRaaWDF^sMT1MH_X;nh6IMFv`Fdrn37r#<&@@5w&*t5EE4)!mXunW(zm zQsWmS?Si$knVMymhMN0xLQwz*b9Ml5rXger=!GP2xtP$;?7_eLVb0~A} zyy|%rpFbnd`me?xSaaubgT~@eEI$+|&#RtGR2b@9R2UjOc2Y^L+YN3R7b(== z;WcZPUvKWQnBBna&rD5g6lC5&=0!xJe%fnF9^yfwuwNBm`l~AS}3KkSYjwB zo`kYao>a06wiHhf)ukw4wa66J&Nu9AXJ(S+CoZ#tx$|t7T^Dn0YQ;p9YNtvX|G2U; z8*6MXJ_xf~TP|)UgFMDsqZh`qB#UZ)#kw!nW5{MMUGkrPggTphXnro@MDVUp@yJ{s z1;}TfF#gssR`hZY5_JI*rpc$*8O9S3VY^=P?F?K8~;+4(6u5 zH{?yyJJ3r%$s=VDc`ByX8f*=*tJF|l9&#N=Am|AMf`L4*BN!SQckN}Hh=tB1I@@Gg zg-|dk(7ZsPbO2s2gzH1trtPKH{Jm@giG#$3vJGuT&)3L!?uBy)G-<)W>4xe_Qvz}0 zXsWxX+c*jZY3T0l#?kOGLA`%KtA@mHH4YA~42-)CLv|0AC-4Vo=$TLQ+`_g`Mh!)F(k1%58u_YV8h)lKeWh}@BcyX-uN-zc#R%h z@bKCNcmwopa^I&?8O&258ANL72=g}!z4LwZ{R@XItXS!Ly5bX}t}l4P$L^@Oy_Vgc zzdXv?eRP_CdX)LRrf&`ro(+HGV=ehD1?+~<{5*C8xy8rU_;1f+TfHy%*zMsp5w=FV zJ)gZ9d9{pn`3~f<&d{qKc6Dv1k6rDbS;b~n(1}%-*RoMnQwmw5@9aEQ8A=qgSYAnl zk->w*gNJAu$@BRNyb+%-66w?ido*outlXg0<>BFiHE(4>@fFkD^W2-=LWSFOGxr|~ z)&*#wgH18>gJ*{4M+#^`eSP^An{Cv#dFT}pg(`FEnjg$+NUGcDNge9xPQd}{he*Sr z?uPDF_91aQXog}>uCn97Nb(98K4lFDpL35~H;^ zm&JTu{glet`4zgFez)+C%jdrM!i=-udUXB1^#4$0aBxrMB{P@Yd~G^>!?{z>8$G?a zm^P+&-aG5=WfyPTHe<$viym72@ul{k%M5F&yg zJnwvTEpNE@C>kTcN<$K*NMp=dT~}LIB`fgjH7Nb;{{L#6vUlmtWh08gUeXuurN^lK zn~!>rzumNE{hnW@3)7L)-apgS2e1JKvuFk-E(`WR+ayppwv$c5750w4BkhL4CP3v_ zyTidNhuUp6UipjZ&@?u|VK1~Z`}3}BAJIbpsh{p*;;b%Hz093=R5K&P5E}NprQUF?ayPSr@rdvItBB)f8B`YCD#6vy%WWf8U`f&T6-k#r0e>)s{D_C4l_ce^^Z8*2veK`NWwKHRdTI{Y zbiSM3BY0-77_xZbi0gkoeC!4FH!c{qRNQuVeff@aX53#r=im*{m3Y%Dm$ppHlkT}(ChB)gNwp=6R& z^ng?88CqHDjMhY*RnDk4>SQ$COxHb2*QJ}g>AhR9%K9)=+*OSF$Gidl`>tkr(J*l2U2D}%%SvQA%BZK`z zE2}`YJt%?Nx}9C)CJjq~6?Qe>8EXtqzYN}c7VThj*tLL24zQeY)L~U>$v*nh{Up0f91MlklRzgc}L< z;PMcK=QQC)uMfH_Q?L)Z1QCpdJg_3R09N8gvG6VIgpo+}2Hd3e=|waim+-edY~wzDVX z%UC*eEENOGml+#5ezK{B!lL8}+iZRyB42Ww#EKb2qqI1Qj#r3J*P+z$8mRz-?1G{T z*kej%%IgOW0MA6A%?DFiP!p@JlSTu90?lv02kX?#QGn7 zpA#Q^T<~!~XnT<;uVSmMs~xY~C5vJWuyfrPdM^l$%p32%+w%Bh`||tNj~$`@?qY9Il2G}!9mVEH=H@{m`CBYzw79y` zLW#xTT&;&c!9|phPgq(DoqS%LcD&(K@uIVZAghbOSCMNn!k-{2K0){sq&587ov3Fp z=v2HLO01@uc{M8pnrbE~Qjb3rKBok6z+v2m3w@c-1@Ol`oZCRZ!L+lx8Ansf)sm)igd*DQ`^#gAEndjUu}DE7kxDSz+aEhtLU6> zu3m{+{u!v=anL%Ad}N-ZWqQs_jgOGa`YC`CfiTXgh&&V2w>zBZj)z4Ezw*|~bboSQ*Zo}7koZ3*cT7yxqW{iYq;l4wLS zB_;)}ois^Tkc{CsXXMC#-~_odX=p&`MV<_#AjkTm#ZFmPY5;1g*zxwW-WmVEpDGrJ zx171C@P+f zC)iMDoK9Z(#Eo8H*GGK32Tj zg=H71KoyBMV0myDnjhi^(Pb zQ*T3y#P{Lb_{+@NGo&-*J<>jTkMf%OdcHDRZ_>xx=jvD57rGX@?{MvPeG&R1??_1B zXWivyc}BibV1y0%Kl+Y<6h9{_94#=zp|EDCviwGV$djKRQu9NApK2&yu!W5d_GH^w zCv|qvzz!S%!7jH^rfWGv)pCZap`mg#(^~xRfbycT(miY`gm4C}H+AQZ1~!#l&lWS0 zb+Qr&S=PPG%`SyuNF1@4+Z(t)h(}U~oH;k0Bbyn|L~=G*Pf|2BKWmAl-e{~2G$_X) z(Q{=Y1z=CIA}S~9n7{Oi4S(J8&@Iazp}XD3e*4bR^Pf5J_~qek+s;bP*niu>FJ{fX z?~yg`w?6!O+vI2WKDm1OP_)2_eTPLK=w6(*?-T+Y{)4B;e-DVdR3h$*jrx0Y5Jv2bdiH+94V7y|WlRZg->?+d&y_BGvHdk(nUAZZC z^<%NCWoqR52#W;JL?TO++@nhFQKkP;r6tB;J4Q*&h%p#x&o2B{taWgpgVt{kzC$}{ zJ^1ternMiVTL*Q}d$ZaR=V59Nk9*>&epv23gmwX>1(hYED}XGZ9RM!0xe4I~cwII` z1SqcSujcdv6-2?3KtMUMz3~3Ii(h>F)~X9VE^AB2$~jlB^|VL7e&OeD%$;@RvexvM z@4wPVZx1}Q>ZN6i9`kHuKfiUxvgOMoJ6@Z;{mQA23<>}8?)~Y%A4awi0>m;Pme4@H zyLJ=3?+5dFl#fm3io+pX=A|VA=e(3SoXfnlWT2gwipl|xmkM`Ws+zfu0#aXk4g~EllUx%7-Ku3Qo$__bz9T-uLRO?dcji^(kTJi3^@eKL${CfA3tx z3FL=S>1h(CCrr1SPp6BQRt_4Rq*$?b5=2Zt*4&&Ox_BuBa)gE(QRX46xj9*M@zNUL z^NkPj$vz#0b`uKHwxM`wzFiA@z4*5@`4{KAOo4O z8|e2DE*ob@wi3xnOU+fva%xoEuSU^Ke8NjRS0?^6M-F5vS|%jXPx`b%2Q8#isX+4v zgiRZ@*?`65Nkw6gO$$>}YT&nccw&V82I`cbdo(`}PyE?fqU+r*a^m z=LYFHis?Nkcur)BYkXv`aHVpkI>&WoWPy4^{t9(v{`=~?KBp4Fi7m@uhKz#&cZRhn zzoFobvPf|xiZ`6t%W*aa{mi59Ab0FQmzL`@MTbLnl;%WKn$xV(e$6UvF}P+Klo$YT zFu)_bkoy{~g8{Qk3&Nwyh%s@<8J>%(7z_3$M3Sthb#L9ShAuH&~T*!2ai-^;xL%&8n zr>LQDye%+j^xTPOP52o*Ywzs#-kaWD{>Sv8NALJ@+b6wsWADCb{*#a2vhZ2)Qv00B z#>z9l`NNE9>3{rgP4{hd3|&OGzOv=OiBD3`Hg#-#@WmHFqSL{*`J`uvjm$Ic2W?b@ zKc@SBc+kV^v! zxu=AFn-)sEIzH~@Eqb|4dr#&EPES5ygwl;^(QFQ47w?Fi>xi7`$RVDKaO50+_WgJb zDksb$yoj&GZ+f}c@$!+L9AEBb@Ge@B?Lh8>uu@m!qvgKQzR|J6`d5{brIOxCZl#OF z1!}W(zJ7ykq5n>@hOQM?s!OfQ^_8}}{Qv2E)$J+*_}HEw3E?3UsldbFNDMJ0SRT zy|<50x_{j(bo^DzZ=X72)$$X4jjdy!Ub5`jExCLgT6P%x;pW}M0j5%n;_wDCCHH{5 zEY4Uu7hJU8lzj&0;CNnuH7~c#GF}#E+$5)D(SGCh8M$O%`^g*lGM|xkpkJPnbl7Dr z1aea91Zr}W>vtgIX?f*{IRF(r;N%+aO=xl#X%x1Rp4e|lBL zsV_3ChleionZ=j+CKb;TuJK(Pnq9mwbZdBR=+5v4-`3FH(AU1hk)sj!2;WBEHlHxO z{7RXXAzLg4+X_S@a%4bwtbHm{!+d-teP>+8ZMS153UfAAm@}WkT$ZP>lO~8Yd)ta) z#@p81Z7X_f=jk-Dbr3QD(+?DYt3xTbqf#~F_?`WB6$qB4yXV@SC#dr3 zy6#&R{_XMSKVok_wczL5w=TN%F?y-7@VW~Ze=tuEOq@&A4?d&DhV*}@zfJ!+-S)yh zq59#S2On7rt{SXlH-siD#TZ{VuQwy2L}bOn*B7(eHK} znMJP5Iu|Z;!Dn|@SC`P#)pg>Tt}bYsuRZX#?PP^n(PF*bdY|

    k+F&tTd+8X`{4> z+DvVS_9soTYIX(RsU&4tvWwQ|G+d`BmXadx#!^BgSxJgoy>+-$AvTCCB2w`&N3KiB zo>7F!`3ebEgc`fy zClJc^D!ZywL%o!G=Lv6~NDGWD-9k6Cr4OcGX~VghPahM9i!z^^^UN|yrlMjYr2;J# zm{KZ=aw$-$P3$ek*glDbEHtQQR*Md0mQ-M-PzFi3w+1k4C(NtrH=5rGg?c95E##j94-$j#$c!Sbi=d2uYcQ)QCi-?1&GiV7$r3ZXEJY zjF{3I{1eYOQ6tb3eZre;X?l7aZJ^0E{O2J?u1{aAyeGX!&Lfk^Kg>yD)QI?^(b5`Q zmHk}%=)gJAQ6-~BpEq&5ePOxXS6WVEmVpJa@|sX>Vr1#WKvThG(TU{~M>kEJ8JJl* ztNg~$!h-oFD+0?yYYXm-u8IZi#yC3>E=3}$Im#-nIas< z^A=LfHky`54Xp`Ip0qZ*e%IZLkz}g7rx#Q;)lDk8yHfz3hd?+DsY8GhSr&^)OTG?{ zPLwYj`0J{KjP=*mx@xLfNpX?LydIZW6)CB!l4Y^DsH6l}&FfqwI!wexRvt^1#i%EX zDu5q$Cf;$@V;47Vx%!E3=TF+0C~9jBmlxDboWJ6^^tP_A)3?6&9=-4HRHi?hyrb$^ z`q{sIn!Y1_Y~=VW7t&X#d5qpUfBJv!{NUUP9$VVCY<&Hq=JQugH=E~}PmHeXS#}Y{WQfTlWktdg0^r?63cx-njLp+phj-@%%6D|K-O$pHK&ly!raJ zH`AZ~@r{9HL3-gG4~|^^=B(B0&uaZInE$?BF!d&>6Lj9r3NTr5ESE+;(Vk6|9Lr@_ zGZhi}lK*4|OcpbFu!ohZ;Iz%qFu0R7D*I!n_ZO^MTfd7>k1_VN9^G1FcC%fz%3>S zc+HE~govnn;9HikB&lIpkUQ8s(?V4JE0a;iI;jNbDRTrk8uOC%(lIZEFP<{FU33Vl(%E+ew`8HW zY@+j+lDmF&vi5A9sRG8H+q)~E6Hy;S^+%LDyu zcd2AACSot*=Z%>ZeCFrmat(Zd2qnQsBNL4~aqk$-WaDw2ugV!cCDm?c#WXrBvr-c) z26r{Aj$;_q*R$A`H(O@SUa@Y{l2_KI@1rA@)?YAY)Uu7~kLk5n#70gTKK}l->1|SH z)9#s9JY7|`cggIRrwtV@ar$O8j=sM9_+~|~pF8T3oB7JktiC@>H%jk-9{hF33^u2L z(afCkv3_Yz#ae_6v&{g{xS(JOSzgdeHb~D2PuX@0?Y7r!Z<9j>-xWCRt^#L4fiO@W z;2fAADLl_M(KE?AF?f|Ux8N4novsbSL-q~%Tj-N)i}O9Zn|Mgb@E9QxHzRBxkl_6N z+yM#0L8zGL4(mc*ShN^1#|0!70iqo$^z*6n^QrUmsq@DoDg}7M^qh{pRD}jT%(5YY(DjKrvXBBl~jSpP+~|h(WfBA6x$DsNdM}K?)2{; zevytm@CQ2RjD1xH?%Vp`mtT8$3k(?8`w4XwY;;3h78SV-HRv>>#Q?r@^PL;2$-MvIDcwLcT_3;fiU|_#TG< zzrq!oLu#n7z~J&Qcr0yju5SF>3jL3qLLbX1boeI}I(wQrO^b(Cj=b5d74j5SRwY$b zML8G<1({;S?GxlY!5^hAI}`ymKT3U?GfMa_#)0s&l%`PQ{XW0X<@GSo z_tNOF?9yZzX!%C^{c}@pYg*89(ZYMWR-|91iF=+JdT!$b*IcwM{hv~&x8TB`rQbUE zOgg=F`mk-aL(l!{>BIjRi2vF4IAGhCAbTrmH@&hHR#inIf{4P`EMY5A71Xv0!&R+} z7cPis5gXG&HqpW|&W*zv*D%Zf0vs%se}NME8JA^sGAq``98jbhdk*~w8HQG7_A+HY zkBcQIHVW|*?+MGL&TZ+2=hL=r&?6wK;tCj{g*N87(W|A}in`E8rq4h($E2aj4OF z7D5ZgW?Xg})HE8Yqgh!PTo{<0?$7vvK;S&H`kFv~3-)u4Se%z1$`9rXvK})^y|KcW zS}MkhO9QroDDgSmQFz$njwoAkTRqd(hu{fYxOMeA2l zcF%1`&SVYG)85bLx9p}@eDFSPX`kKki^_RR8ZTZxcJ-!%>0?W#*HI_V<&%JVMQC|c z$Hm6|rqAuI7KN}y+pN8C3ssduYOAWw90ylsjswaw$Kuk=u^^l|211#gO9O32bwp~FUX;L;fOD@S zo5@Q=tRN;CM?ND*h~$dE#a1Fnne}TFYalD`zvRUIO-|fsn4GY4aX&7;-*k${8F~5S z?Mr}_r<$7QCwu!@FD`6z&z<{0dG0%av=$^+*Y{^(I%l$9n8r*tTVB9!kXPGQJ7o(; zjdm-7Mh6YGi(!Ywl2biPPW3GPs%MF5+E1(IPOh0TE9M7rXx7|{ur>2IuVhFQUNQM{ zmNgY|(}>%2k8@9Ri*6bt8P=THMwU~vKV&g=jB9636x~Lu`Dj0Ngg_#bNb8O_WVhfV zJ*lk$A@Iel*cmS>^Ja{mGvGkeE6ZN#qMHL-7L9DVP5Aaiu;Yz6pQ2p?+m|juyJT)N zEELrxRLk%(twyfZ&eJ9dD}~<+%8lBG!iV6x5eqrd7$B_`*GSKbU#pTP(i-u75%&^) zZdzQ?Y9WFJP`5Tc;litJaIR*LA|4C)aetf3hu1$fM+D)WrKKZOOE5SB5XhogR80~@ zF(PRmNrE#ld>LXmS<{HbL<)MZ5>*qJl@hUo4L2Q?65TAlB<+_zmqh6T6|Y$<6&it< z>?K7|I@n4x{8LEqzvcJep7h*XFwBdeBtX5X=59>=A=)O<%9Ba_0K#Lehnuh97~s1u z6xB$oNr>zM5ZUEHWQQTv2lY*vv^Sm{Y15r3#3K;3JF8{G?yOb~yHRbynr49AW&iJ^ z>7?@G4h^TJ2!uH(m=N((l$QX*`*f!ds=kDbvbMSsYLO=)nw|v8en%-(y@^vo#wKi- zHqTGR3C5OaPBcp4PjRk4z&@Z#??Y@^AL%`M1W>A+{l52w6A!Y(U#G>aE{OyA{{LmB zP8p!PL{!W}cCcs6sKPR^CGaT__!J2LHjO&^BT6d&6eT^J%CIKn7RWB3)4u~W_--5a z??FOj2lUSn{HCrBv_c0MFLN6|RIqoB`KsOKMR+-zrkv04uc5=fv2=494j_p`(Y$w`J+jxwNe+~Qh8*jh8A5(zwcxFmTZXWF(6y9pUkXiyi{_D7u@4%7V`aL64fJ`tJ=W}Nf!vU z0|i!r3**4CHD2M3>|qm$ix_O8Y0I)dIX5A^S%OQ6@t%qv9t(i#X9klx1)VcGn`bU2 z%%OT%o+{p`uhf47qSQz0qa8xISZW(&pDbJ^-e~)|eU(kMGD%I?YVBj$7~venR2yw) z+qDPTL&ADxy}CtsMv-02VYgRG3~+*}y3JN8sZdq*OB|O_lQO2N7R?Hd$8I-po~F5$ zxR|SxZ6P)~bh{K$JLphAWQ)dATbV?b7BTh3R%-2p0o$n+o?;zva8Qz4AmP4>FM*MW zW1c~c4mNRDM4Bcok-*cjEp1Mu0zv%WfK)Qj%W)cWKyVg1<@8XB1b`3oe}c>XhKz2W z8(MWMPYuBVaQ$Qo=$AzAI}Tdk{^1b-cP`FkH><+=@5NZ%%=!%FV1NX|U~H}nAbSvzbxKrF7EywNxK*qb+@>!93-9=hp7*S$rS`tK-fq;deh3{<18SIS7sWi`Xegwe{ z#(|t`nmA5#a$q0Dw1Uz~yV9YoP}VA(A`YfHDx^9P18Vq7{oisP_TR(6!JMZoRLK|f zt+2_(&b|}lKH#tmh4n1#u#fl5zz0ubm>1J{p#alK+>ZCKOLWE+@Za6Db6i1mM!4dL zf`CDukRv-|SRCPs`8X?kmwSWKsRW8Rli~&nnW0d^P9#{?WJ8Lr zB-lsoo%#zpW82XLovv`KX~Ai>6Q9k^mS&kVtp&lk_JZg+@mYym?0aZ4R>?4dN<|9$ z0an=G^DwbAa1`MjnX2;#7NB9BY?$E3kAmC91;VEQU4maN7RVO10S3m`R-g(4ypRF9 zV8DkPIidmXJOgBM6kmpLvE=#3Qd*xpDh}@UloMwhip9>LN$L!Qx_~6B#iW`>P*yXY ztFEHXM>nETFQajfca?TNx2Lr0kw2h{xBrR~SA6orKbGF6UqZ{#eb1ME{?7ZQ%{%^p z=Ki|$KczjW86~zO{ERDAns!F0z(|=t<_J&^&Wqh*i`|jEIySb+i3| ze@l<+K;8+hkU7Y#Ea+haLR2(8htlT^C4adXPsb7dACGEq%QU!U8r(AN|CcHFclIMz z?!S+bq@C`q^=_+Ae?Yc`GgqL6DrtOYGZ_oZgNzVef9I5o?ws?F(u<`V(N)jhUz|Mr z2c?_1U3y^A&gH)<_4hx)pj)q~ zNb(&@g{t`mZm6Bloy@h`V{((Z$@YX?k(*gPJ9i0p6>}wbD|0Kik@^Yq5cN3oCiQ0I z0Cm7V5RE6fJk`LR%&|r8&gfmaH*;(@(vWM86mk=y6Ov<7W2-0S&Jkx@GsAO}b1TkC z%}URzTEblrUY5HmcYE^o++U;bE;x~nryJHb&Tg$(FI26Q2l!$7Nm;V4l^biiIc7Ig`pUWQLv6sm zdhCZ?psXhIMf1g?N5@htiEHXynRVw0%NPq{T=?uL&#Ek9VlBB^_FwB)7Vf|A!QcJ9 z^z4?cXzYu`(k|}*=#k}*V*}e;`Vb}FSv-Hs%|)pyoLgi9ijD%Kl5G$&f z#2xR$0B|zRz|QbcohhbkR@AJmVQQ+PbXkiQXi@b4iYWYl^uyI8EBklu(F5z){;0=P zlSs=07M!@@Cxu3slyL`TxWO65*UG#Ej`esYePpIbZ^CAjl-(>1v}Lj6kkaC0*qvya;(vwh84kj zK65^MDRUXiW@|?>h2-hX1YvT;*wh&{<7#IxbAeWPf$R5}DLe>{@zEJB6 z)v*2Un_VI63prn?B}zDsNOfAShOS|1v!jgW>NB!q8|S9ash*j=NLi{a(=P}uie8~y zsa!Wuh62M4(XENns2z2n6=(zE zQ8(J^=tIdR$))i(o+$avUgaE?*H9_tsCraS!XsU$NsnxwlOms4A0LrX%P<{!x$qr8 zxc~{W$(sYd83qRH>VdO~wZ?{fTz7HGexRqk(wYzWUVY8%FXl;8N4}5T1&a)g7ofa3 zhtO7?WsQ;LPr&GcEtPcB2(R)}QwxogFn8qD*h^sYFbuz@{ZN3_FQ_|C&aRb;kauT^j*B?#CX6j0ahLu zSm8hMuskrZ!ng3S7Lho*67N2Rm4IWVZu-*gX}8bdX+DkUM$)y4&<^Br)(0I0@KN-@ z@k^f``OrtnqdqE@+_ap0qPX*(a;60D7EVg`7e6v#ZoSQ;q6dGV|0$fY=wPXWy}6X& zv?rfD@=wy?h9iH%;fIjw$T?#+J6n8FWFy@ko8QcyERJI*imQ!>xr2tFQnW?JGkGcG zdybH=l_8%w4drM*lcNVSIokAUFCRE30kcs|M^HM_9-%uTDc$E9CF z)923KMj2R7{(GAgK;$5X2tnxfd=n<74!>;_Ffr6X&*(XGARjzo?xYOaGN{SrTAC1j zYX2YpgswR$RW%gd`a=KnIOBeM?TR&P*m|gA;1E<;O`=cwYSO1&a>*yR6r}rFf#bn` z?*(S?1;}x`-Tob{P`t~$9#at(fS-YtR=r&;KGE442T%vXwbB_Hqa{@JSb6*N`~b)nisHo$oS8dG~F=N zP%sb(N9<@6XHBi!IVzeaM>SxPqcb-wN@)LkR7t7~2e25h zRKrM{R>(B1fTW7iFlSgM1~L4VI3{Wuh9rq1jlWYg5U?ytjN5kHJXJ!|sWheHHH;q) z#i8jt)1)sY7VAd0Jmm(Y#dvH=e>~dXACL7%r;c58#z%uusL%Y70F?BA`HwSz(I4alUS%GJ>`(tRtvWgMqu-V;{#EJaTEPyL zUc|`PcK625YMA%>3@(^$W*6$#beugqVmel~3l}Hve z8|s6NiSDE)fkPMbIJa>T&T50h2EoBeP^alwz>33~7>X!#eV{%#8nrN^#nIAeZHzuL z&=QmbK~lU5kVW?@R+@J#uVgtFky2LLFLqsyE?4LrTQAfp4SFsxiX9`4QOGBrDW1(1 z#reuy{p`SnXc4R%&$JYk3Wv<7Z1L_uhE61tr)UjBHBAHg zNYo1Ns%u3>Y(SEPaLmClIHU*~x~3u1)T}@-sNfx;HHA@wvVwS%4oY$`n5Lus_X5?rg$lZ?2cR6Oe zxyNKo{3b_EQ?XF^`3yni{M0^TCdKH1*rDQ~A{M|>ipQDCdhR%@Ak(Dy$1sQ?w2HgS z53V8Xo&#v>vPXj|4N03q$kJE=k%TRpC%8&zzlOuV_8D zK(}q%wr$(CZQHhO+qP|uD}U-O!cK9Y8~OJgJ`48hR+Nt4*P5l9Hm^Zf(XcyG2Hy%NSB?;E5 z5E34>30X=ONB%BHigjD005fV}%3Z#eR2bOGunh9_QR-vkcxM+4vbH zVF0VrzW=0?rpSYxG13JMN#p_Yp>On#AoY^m+B%fyj)Ab*!c<6gZP`8Td0Vfyv~d7;PzXx3SYrjcmjR2P`4rbkJ$n$dN!t*_Cx4 ztlG;2%q5j>mpgq|ZHo!9zH}Yqefttpj;&%$tji&KzX#*LvGkavGIt|^ z!8S=haH`_13-KJnB(hc!mJeZGwS|QRu~6+KV=OWj6jq~QrJG4%afa;HSC{@sAC}*W zv^r;RA1g@kIK)fCjpLVYV}7db+->Ez@(1o$Bg{k*Gd)R)mVRag4mS6OB$3o*h?J(~ zMIVH)v!HDZCP3b&3C<&&AJ8MDAFG#MFQPBjH&;EYOEtu6HZ_)Q;wD0ipfBkw%P*5+ zy|VqndnNGa+ho4ce?#ZagNt#yqy!}2yi*8LrZULl^$95J=aL_!c*PU+!l!EM$T7RK z{bm-65)#DN!;&P6V0P8Z$JVBZlVX(o>pbl|b-$_i7;#)(?g@DI4cL^0n|$J5zqV%| ztu>0&SW7;VVkFi(G-(sg?hvworSE&Ke3XjIvh&W)Tj5ffoiDZB>b=dJFu+tj^6HF zqU?_>`9MB6N@jbwd5VF@VFBjF1GmEv?eVB|d*DRaU4kBm#qqDeW{me8FdKb#M=+c) zB0>e@0IT#rfWaZ^8~FF!D){^NfV{l!-T#4)8yPq#z%He;Tq@+;aiSQEY47b#oM{in zLN@Of1X4wZ4irY}<`{blmTxmi{E(Ns?lViZ^KktyGKte~x)E4odmlEbsoKQo{cg&z z@vYfOyDv9Ne0Oi9PvbTEOdjT)P}k>i-rixA>$9a$Oq_Zs&{{Xd7$0}ul^%#}8yy{w4?X0q>bvH5(Z3kpJAXW{ z?@;kez?2$U)-71V!W?bGhGp3{JE1##QGbo%GpaDxG_O^WBysR24@&Ape8G4w&6`a& zM<#=u)_ckblpRyxOlya_c3z+~Vvt_2yx_iCv-SFu>*2+c8t(zm$vBT@2)yfc!f+`% zlRz(xSQYm%DbcP2U9}+tvaFq+?7&bGCYM~`g|HXQT2Nnz*g`iKSVjnj{vvb>j+9YF zgb^$7g5Y36A*>1)c#)8w6LrDb$0pQ=7cHQ{7Bf<)arT{X=tWnoO0|ZTR=J zb%A!VVTd~#N6)3In1gvETdOQbNO9RHt*W-lOweJg;(tchrOM~Fmo8KKe*EU?im}gs zA6%0A^;x|jZ`b|x-(kFoOQ)mtRrI>zb6Iz0w)cEFS=aMOCGh(i5IjW?+u`^;V@}~+ zxKa_MhT9f|R0CF9{&!4ZlCRe2TN4NimRz`ix?$Q7R6;D0R1@&hL>z}fIt+tktf9#` z4TCV$sPB0UnwGnxizk2=){RM1Ns-FK=h@9e%r$Ta;l9dW|X=3VtxT!jb_#z zR;V!EV~p(Ys!tGW#}~z9?SkmgNd;JIpBG>C=U5MYymdx244K2 zfT+V=fB;`PkWmQYpco7>!C0Y%sX_UO)0jpuz1E^RNyzAk?&fFj`!Jilt=Nziax zwEz8Hd)gb%V1~O|`nvtb@|ybx_}8SZX0K?cR#WrT&{{LlZ$aLzCuIHxWTe9n^i^ZjV~XSBxj-JU}a(n=7rsq7;w zRA#!7tELrgjI^kej!P2|2okNsI7k^y{&^dyr71v+{LA9P1fRA)bpBEMC1T$tfKV3= zz!qZQFW7cNuNc5*x=NFL_D4>GxQ9Llf<_VkGKg3O$QNKgxk{HCC>L;G2m*L+q$*o` zIw7p2VIa%he7bP_R&NYqxoiJ;dGa`81);BHX5#qlN0Qyj0%L`t82zU2O?Gu!pQG=% zZtT|AvV4u!&y#qa*3&@Om;KM_pln2^=VxV$p9v1G$4Tj4Ps3g6&IqyW5MCt`u`Gu5 z_)lDJQIcT}C|YL`i?Zej%@JK98l_PN2mnjmP84 zK+1dNfz*F&V00nAz41qEeFqk)&~O=SGQavMh;d;HD_Q zSj~v|D;{{;)CgEFV}#+LTX$w0KA`iKn~nJJ10o7DOM7rIdC(RM97?Fl)6v;Km zjBtW4|L!o#lS1HL{JbG9$F`;(AEE5`7a)36?N4rP!YAmrr}(+xtKr~H9uy>IMNNSW zToCqYcX!zLQKr0mjKHh!lBZ*-BFlm^^iOe$9v0ABoxs8 zfyMDou+^W{8NEg{&966xzmM1E zOlObGKyQ=BU31*87NHwXa@Dfte4=RUldJ^#ow-m#poVud1fQK^!r^O_C;u z@w8D@P9-`hw@JRn%DO>Umv^cTYEi_Q7XPauHQ9{YF|2RhAoP$v&lCoTwG}Y-M)g2d4RawxC#c12kxpuh_~#(m08>T3K@`*R({z0sV|DSe*8isW z{beh!_whi~f9Ly3{?79~s~X?)af&s5CQg1nPJ^S}^oq9PWe1Yb&tUP_Z zT6i6> zo(!#h*WbL?MAY7K5(?TNOIU!Z;j0TgW?cL6rMiL6plK@e)C4e5kXXUg z@YDidG#-lisBfWi=m%2A1>$EZoW9B>u}fx`)GEcj^en{^U|vV@okQ(HMi1GKZA>??<$C8z%c@V43- zqpUPF^#}@5mda+9F_`F#TN1V}VDANvXaUNJSBBW=le#M+63&Cus2U9}BxgR57g0=> zYL{n~SglI7$`V#Ok=c$5|d{+0ZDcx6zC9h72YIl8?FE`NNGuf zz*Jv#NkvzSm1>E(vgUfdfxITK>;HaMc|SwCH$C-l^mtDR1ttDT%cwUfy9>>Y*@JSb zQ66beqt87s&~Rw>)Zmm4bBN;)&!=V#dzHUsn3tehWZ%8hOZ63e1kJbzxLwbjIbp)x zUlycTdA5UJNg9&1N9P0M;P{8@)jI=!hna7}nQoUjdZ>F8KG}FYnW6H;e{$FJ$Pi!k zk(-DD^=nxvlU+V-aDLwy!nweDg}TMN0R1a}t^Muy7x}>dy?)d|AdNH3(2_}jBJw?w zBudlWCc$u{hFgll*w|RI8FD%i3`n%lqzxN0ZlqCQR4wv&;}6U6P#HH<17P^l?h1iL zK2W>Fq*9$yx71}7L#`-5#<_gpkxb`4lBrynJMv^}$CZ8%YtAEol z{RKOP0{J`#u`H{ucx19J9i(_Q5JoqWbO~4?*YN2{oWp?B7#dRpSF77Bl1WmM{00`3 zREU|$I9|reU~g)c5~6}g)KprMJ_c-2O=Rw4Z7n%?QXR3?&jtb%$WKybKLYb?F*8Ir z&!^4?B=0S&1i@g)>G{p5W|C^UqA*jMm5>ySl%-e>SGv=F~5s}J!WbOJ5N z55eL~xDTC1Z}Ar;`&ve^<&>s7e~12OmM`IS_ZY6+Qd>q{dQn8nDC2U91fR^X zJ>dP)V>rXmc$6PXCx5)q+w(oBm*fn(o`rXpD5 zFM=#)VsMn4sS1sCDY$8@89Rvr5M2UBkHEj4fTT#2E+GJe5l}}zC;%0t8ZuRf>L6VQ z=@`ltWk&@TUmw;${a?!!tyhdd$aaY$N*D(?R{h344kRxn(i8Donb-ZwK?o_Ule(mX zS~KZh%(@}>QcEunQz?n*4QrcmV(P~K6y;Ly8eX>r;gx050V*cd+ph`>>P)aARS(pT^btvB)m`;1UG}g(?voBQkraIIXJVByu!fkl zD(Y4A5_NHQv2G!s62t7G!~yJ$41g0A@Fvo9&s%HiVZ5`KH9JO5!mOsrG~{);as(nL z6IZr~wJUXk&6d(L46yMqg&%oc$_L!<mATSQdEE+$A=S0h6NOa3^ZR%FqwdrM=nCjAz<`~W$=0i-7!OzN00yeLT*SxIDZ_* zF3bhbJ#Qq*0rb$N8I&661ddSQWMmu@e&@Nnzi2`=f9KKfVu5*Ut$wTRC+ubGoS>@L z*Y(2wr{3??S0%DVUC;02Wv}-e>2K4OwDcdCwI~49$Xh2m<=Mhh%2SeLTWNdQqGRDQcj11yA<+H6PWa&}UOQa|Njkm{liYl7}XM5H4wN+i! zom{^I+E7p}9l%Ad8~v%DW2)D9*mhR?3M z-hJP;ntJR+mfBguP_1nOggI_AY&j0w^T209v%Wf`T61X&ofB6Q)okA3$ z5JfPALhyJ&5oR=wLPA}}G_6VOpg4x6s4Q3Ww=wmr1VlOWl~VfP$&Gt`7b=S?rOjGn z%@_Mo?SP6K!Y~xSMnME{z+GHeSx})@A3=qm7{cptOHd)rKoEluI_NZ}0=kH~sK8fn z!NdJ*<3YY!sIkxgeK|V`{4WfCSzH}a@D=+}I}eY?4{AG}rRM8k>+*UkcD#=7?9tX% z8egW38;|qW`-A(l-F6y}=htYkx119^zTZJByPo$8?G&sSS$3zf$*${!$a)5J4o94H zu<*S_j}R&r6P8f1u)Deaq??L&H8Jc4x(9uP%(Gm~%)?yd%#>cTuZqX;Q{#Q)r=i-+$J3|K z_*S@_9^Q))VVcrjaY=ma&v<8fB*e;-h_mBRIT}(n5v`+?Ry0zCp_C;Ap(9EXeo&Lr zgq@TmQv5Gor$^IIC$MSaljtL5$FtMgqy6`bF?|y+i81f+Q{AN zADvx&?|+-VsPNVBl-p5xb$Yt*M}_E^6(9Q-Uj41mMDw>Qr>+vk8Vc^jJ^+Q9;t+*_ARb`hm%$%VHF4gVn920gc%_ zYVk_4t07_F29G(fG+X4{_<+;bEG+bPsh@-Ntg`?)Hew9IIZGhh5_fan`9PeQcCS>5 zL=qeoZ6X@^fH*$aR4I;CHcwh*K(1i%8-`^U;E$8^9guYpdu(W*vu7MD8Y*(qQP$OV zS5qYWFg2DYq_X)_`g6Rgy1s(4?QNla)F4>;Cew49c`+vEYWY-!*56Om^xFAV&Vt`{ z`(|J4%v`U-bQk3O6buSX-(`3mfjY|hkhrdgm&4#Jr0ZvvrXHyZtIg{Cc`BV((8Id+ z#jbPt2Lv#s8DC}V+x@jVy%~Wq6oN8j4f1x5zC>>#_*5jQ29i*qN?H)--IcSBQUv`l zCo2!!bHX*ut4QNAGRjNzLg-^i^U%mK)}ws_SkbCIf_pUh5K$4Ka!z=o!Qz7F57nyi zq(oY&8L>=?=4pH>oib`vm!56y1{2r_a0h5m#p~q9-s2`hXz6s^j*C*{nrO3Qr3iCX z)wB91Y9l&G4G$DnAL}IyR+Fh~lm@(hPKS-)#%62x+x5d(bTj^D4Sa4p>U<|~By^Jd z?xOQuMiawJ6{4PR3_VG1hb4cAWs;y+0hW00U#nO*uHy&Q@m>lt&mXGC&s>oJOq1_| zsr)ACA4<~UJ@fQW=paBVfv`+hECV;ofnlL?-+!sRWIaH>XqE%!;EFeYv;sP*fmVoI z7YVOm3xWYrFtE#zwMAkV@6!!?IC@BXn76S{ht)6!p#(Jw!CD1wkxQ6>IH~Xx<0pG^ zkV>5L30jMNp`srefq>A~jn^t>gsdO`EMUg5a{IZpZCFC8rsCu{!F@0#DrPmq|Q_XS=WC1o?TQ4aCAGMeA%9vyIa}% zS~isiy!dr`0EUA^kq{Kt^RS3T?kOVP>61=HiM*|HARJ@9K%rXF73;lvGgXsr8ayuT zm_%RK3iaAKx!JLzB!^+bJLw6szDo+f?lP*Qr>hI6uw-cgjXD)7!Lv?DU=+#-D&MnM zR`KA^j?XGJ`@qtOPd`9iV0m^rL3e@vW_(3@RJ&w<6WFBODdq*#PBAyg`zU#W>Z$Ao zvZu`N3!jj=OMJG2BI&k=Lz@hqzwzx)7NP;#UCYyqC#6Z_2jHMmDLs)avz)LprEx2m#9wF}4ZsCuI-z7!%zs?qQ);?}3iHbH${rY~ne5M}6Gz8VtM5kSydHk+Wi7jQ8plVQ; zH<54qj!L8vyq3sG_>zkZx)E-%N}@Gh)p#lF-!N=czA{I{vaY^bxR)QAzd|auDvNe0 zGw$12S7La+MjllPY&lYI8;H~{w}E)^qk8!Hzg>{F;Pb5H837GV<)YhIe_C{34y`Tc zpfDFzxgHvHZ$*LNvrto*W!UI5a)3}NjwBW!COKBtn2E3=oTBb3*w{CdM#B`D;_%h< zdus4w@%wZgrzHwV9g9QsKNTwVi3}FUV2?QlnZ>-Fs-H27)3~98}G4u z-HfBKi+u|qj8qD&K<%jX9-?)kD?#hv^4ep$s*rI=B-NVoL7+Q+f{I$EP&phU@e5*F z)-b4HvSUUOK9~$DT{e`RX|K+vKHNFZzrD(RHn;RX<~RD}P9+-9;#^u<+n<3_o|~5Mj?e14`dIbLu2DDj7$e6t^ocU0~w|j1hsS zw+y>n^fF;otF&`NK6nu;X2VOVvuDIX!6&e0@YQzE|&8hj6UCF=`+*G)fg z%j^|@qgLdD0=7ZAMw4=R%iFcC3~vfz(X;B^B{d&4xOlR@nc~FcCx5Y<3A!0W>1bu&X1MB@vQf&@wWOqPq%xylWydB)gI9d7x}MP^hTk*+4|ft=J`M1^jgnW?jY;e zBGm5hLe#G?Zot)})USLwLCT!C5{J`1FtMDVc+x;=Iv@C9712PSpIkdcTH2Ode9w|M=s|m z@B9;gOo^TGZ5Q@k`>g(G|KQ%je!$nczr>@&pSeJ`j4uSy@u~vJGRCF~P(z{3(FNww zL*%G}&`#9AXf3;Ff!12m_11%Ese`~;NBKd(O={4eQwQ-K3)KbvbzKOWyDUf*gMZXH z)~Y?F+_VwYv!xP&x?>J?9Ks4j=DPnVs>o?w+Ia zq>E?Y5ZQCdwOi$}-lVOW`2ejZ#ZFA+Be&VKatCj|)MRa9uGX7{UU3FF|68~1l^X>` zT8wvcvNmmI=BwYy7;V*n!2|70sDfI6)E6hV+euVX*g7fu+f~ccTx0O2J?Xu0bJxqv z@c~IEHd!yOlBe#4Vt&YAa>qo9PLFL`}Ykow0=6_3F?pcTtLT(5f; zYWidzsJ=$Emb!*OpudJd+V<@kv3N5dqZuWCjI(nl;S@0^X#LqjvAj~uwg^UbWcV&L zIDD8e*DixUIqk#lvi}_->bB0 z^;(zJb~cQ8WBDKMCH`6FS#H|mjpb|GISoRc8!f|{=h~T$4cBbP@H4nOc^~Xl@m%>U zc}#Ghd@BEkpPkiW|C_7X8M(x|y{nm+e|+Ojt=MHD-<|evTRd$xgjj`TmE3!`BDgRg zJ^MiMheTXi(wXjo%J&?(QE6$YTp(WnlgrEwqNh%ooQ**2R|^vw8GZ0P6E19?GI0G% z&$(b;-1DAZtf^pVVkq8GvFVtqRIB2tSY>b3nj~sB^#vl-?FGEZI--~y7(IDw_Je&v zp;j+-g}Ymri(J`S-GhSNQ3ePlVHVLYrQdB7sqS_LAyS=9*$(3bhw<`=8$X0$ z-SaD7e|z2DBz1N9l*S`(rpwr#7_V#@%UNyZD7ml7a!32b)Oam%=uG8HO2pO?y{=vM z5*kJ(0~D^D$!fnRJsx`UzlPpUgAE!dRg|lf)A-BL#);IeG<_ZB%T3s7y0P^(c!xyFx^|tzXYERx%}^hNI%MKJvUn!KR?nQAz;}iKiHdjP&~(ElKJMX59g03>i$y za))-H?gX{D6K>)6D0zSYME{&36S{fU(b$1oF7rQd^Z4e*WoWQ8l%*559?BnvddhrCq0W z0=&%|sQ$dKh!9`$%p6%#D#0N>)iB*{RP4nV{5>vKO^MlCPgkPUS_}H?&Pfe^p7Zf_ zss3W-e=m+bSY{u%KCT150)?w*2^t6RhCGT_H3rD4=MfHzZf|d8zPK z4=U)VT=y%<3`49kde~7cdV_n(3iBldMl){n(RH)qng&Tm3}9tZiIzroOl zutAXbztsVwWN|rX1R^xLMmFQP5`s0(;#=Zlh>!ooKNW<0l15Ba0K!;zh(8>e)Z`!p z5Pk^`yWaw0PO_W2>~p(2M$n{izghgN#g`<-V3e`k@wy*Gl>u^lVR3EDLg2DlrI{TP z=P#(!|2Ca%Bem6Tr`2t`sp1@_&tX0rI&gkBPq*9Ya=B=$wH-d2!IT5=*!SXh$06@s zAON=-{C7mR!h5j2vxneM_^msy__KW5wLR->{B?FPRGj1_O??2|>J-n7?|dDDAKi{vTqymFEwWb0`RiF2%C@Lul z*KH`PqtCKppRZ*XTcA`VMAC1~hR;7OqE{3ecB^lOUK_t48+T1yC#R-KB+$~@ z+*zFy*>DP?;}?$LRb8t*uc$M`aw*8OwWlPDigz*)5z%}6g>qIgqM(XE&Cx!mbU;50 zx)$;;R3bnLgAO}|rxXr5oesrG$&3bK8WbWLL;{61ow!?l9ccnnbR$+37j`J2Z3b_B;g|Z1j?8a`RSRIao0jV@H7U(tJPPgiqM=RAKFZ zq9~+!hcx9?d^xN2W<bCGn)Ytgp+%0KSR_Hx+nS6!`wO06-XoUj$%Z zP#^TOg<8PR@s?aS>-YCH*FUe@|6`WBc)B^Am9F=0ZgkoH2VcfJ9-2^w$Lk0MX~(nYny2@-h}{p2D~z+#L&VmKNxa(S`i(>G2P_ zYr&~5liNb1icS^L)4tP{T~`S!i%I%J$Qb|@5Fb}<(sm$jH%>pwMXTLAX`EB)Hci}- z5T^Z|_{o5VVNrMa7f510xm?b96H>B9V%i)Ui{>ccmcC5$+QblH63zMqYgNxK74hEw zBPXzL(l-=;0#D#+?{{~)p2o|#_1`&{ZQwNHw76pYqcLFr6&4Bw6ZN_l2D^;w7Ker^g zHxkP~Z98Ib%yYtP+=RfUu!zF5UK48V7pj&xdpVdRJu%)+ckZp7yk08L3k4#C zcGB!NyT~$BA?0c3s83_@AN~mZk`-?8N8;4PIm>UD&QS6$B>!3`Z2xQ{k8i?MXQQS? zkeYWU(emloY9UaT{Bx1+Tma9aox0*Hnb0wQIb6vm2pA1YDwuyDdlSINh^?xcD@oHu zzvQW+pX$k~DgqwrCbA%RF*vdN#EC|TI18)5>6131NDn6I$zooI@*W#I>gpvvp*`^g zdX4k&(wjwxplmbz=9(~LJC_CDk=Q|8*E<*ITZInbRX)qrRkqwYg(hpbiNt>Z&qzkz zT(uzKLzkYsQcjEyRv=np0-m%5=~Ps(qDA1_?C`4UFm_oMYVf;F)!yqv$LeZJ*6~Zn z4bsj+MPA8q=E*|;e)FZ3t?tF>5OP1kXK{F(vKOupH>5Ig2ZIr6$V=`+PdP;-Cvd16 zBxJazjd9X_)|Z=p<)Di~{;h%4ZXjTA6}43r)8oziQN!oIhR?fqaLxPzz2ytl-$X@q zP6@VF5$BkO;Hx-7J)@6Y+0XHjbRsKYaWx=$`cZnlXLwTU$eq6f%eJ=1@~1Pig}8*@ zq3@yS{seyeqy6aqccb%>^PUfIj^XI`Xzbn(T#mWu^=Rte50V!p@bn32@Aod2UGUXO zm&1JZBw#ADkU6id*G>$|o)2>m<>+^*>|PFE4!!966nhq<(W9e# zJ@Q!Q!^x$PZxWYO$ie%@dtGc)&@q|VF=6U;~BVY)^K!ogQ3zHtGoIt~nSkvLs ztueloyNx@5cRJs(0kV`+2#E_CCA~x`IWcxA@U4aPhtvAj?SE>NFMGpS0!n3}uy$f7v zS@yj9g{Y3St`Uh@N9x29%w-FCN zP~o5|raL5ae(KET{P)wktZHgvPPLd^jum(#jEJL=21-uukP{MTN!@%i(N^v)nOH>0cxMuEC@pS=q>iO6ACcznMS8YG{fT!sS^?;K(hj6ZjXmbcHHj zZEvzhqWHv6hl~zLSF@t!>8ogq42)0;>_wSWuF^NRLcl)AFK3Ff#3nk3-Laq>? z2uP8*kzpk8wIacaKdh7Ki~FgQ!jtHWWGo?t6@H?Rq;6avM7bx_58mhVaeGPgIN~-f zJo3Ea0x19wIWV{s=ItZ6XWaK}%E+QZ(N3^I>mGKQPLqBrgM93cL8v|oSA$EmG_65k zKQMwdh0!Ad#b@<6U5!S7e(>pW@p@O*obBTPSM|@_`|;&~^(0=SIzatE4hhb2!~jEb zM9e`Tw`BKZ0mp<6!~u_}0nCv5WN+ukSo3NDFfa%mzyur+1j#z-!fl+`5GQg34k!d~ zKtT0c3GxLAJ;fbZ1;=bgQ4k<;z$vZ3DfNIA8~_R%unY-3-C56-Vc-}pm;(ky0I-B_ zc~@W-7*Gr`+s+4`0K=2b;yeHji^~A$zc>asp1&cE0LYW=GLIV$v9R(0GIU@WUaSMp z`T#TEDEmr~25g;YOpmtC`d}ITp!-pw0JHgkGz51V5-xRXu>jKRz%4qd|Ya8Zv8!Y0=9GVJ#(uv6d3TSW5n%;XyuN z0AQmQHNFFiupto8_dY&29Dr6}S=V-!okvd}j6VSelsHp!lXFpL0zNeb4HX?_Ypoq3xbsJpea*zz?&l zVWqd-ui>{>zxNw_6rmU%`lT=Y-ru#av)y&cFM9>(z}efo!imoyS?#6K;??l5w;_AHNd%c+qe*I1B__7g3&zjL~RxR@#jode7gY(rENr zOx?Afi$jm+4WQ6P$XWFLMYfhMLp`NWX*#_2b{`*StZ}?|hwlxfv(4x}_;XnnPfO?5 z7F$Uh&S$!;-jk_|qEG$5vcK@+FFr%mScWu~^kE+TjAiP2a%s0fY*l;itG_o7zb~Bs zZl<8?EbrMR27*Jx7|#^|l_!=wf*hd3Xa9LZz)!)*?YdN?zjdP|Y$9!b8Y0&Ehp6Ry z9#`18z3A2jl_u$QiEUti(gA=0u0_&EpiJ#doL!tu4Q>C6?2W9TnAzBw825d z=w(dp%v~%P30OJVI2h=~ENxs&o#@4E3|&k`OpWbLO#e54fQf^ZiItDr;S}vDc zrJX=f)Ws6ZGIhsa;cNDjZKo&4@(x#m7T?ia@*E~QOS+iO95E7xm`wIA_o~r~@0pL3 zDJ-78>s9`>MQax?}opTM>-UKD$;7|J0id#=8yq9f=lS+2iuD8?l#uRu&yKrRYw zCECc6@d<@%s$^NGVIgAgNU0)1Z4qoDg{tb=nj+DzJlrzTqUr~*<5$n%1cYSmS5S}OH(A;_K;P*>?&~?UTa>1UIBN)8C0wUe-l#XD!{@m&Ll}v&=F-;kOxhb@5i5erjUy&6TB~~P; zLk$KUdW2C^JT9fXm2{KrMp*ZJ-moW`0@Ii!P;FWIS$$KqI~4lGJi^B@8-x5WMOu^< zW`g)JeV4Ys1b=0|Tj`3*dQQuyCciSy=&~zIW|@(Vx+17;k-H^5Zt>AG`~hO_D8@q! zM1+;2h}dLWQ$J0r{M72BXKj9)fVb>j#oLnoy8FeKuD`=9qx4Lcvb7any=UJk@0IBl z@3|cBO1@Ah#v*tjYPBV|Z@?yh;ruzk75wELSy)u|;*_1q;(eVyO`NgASCqnJr*d1P{z8@L8LJ9&8JU7I;Et0h-L(OWD)D}Es>LGUWeW`fgMWmhzp}K4;fk{sZps1Ag=&^ z3FL;dX&VlS^f3je@LbB%G1<3WBKL{-KdC&_WVVH*R+U@mnmTqB?DDM;KADAb3ns<} z=q8tK`)lB9>}#zXO7ohCeWCmc`Bn6b`HSqQcioka`=NWOb$9jDOWsw=RSIFOM{(xj zZpW;KH4X!8b8@Er92-ANe7CeH^CDc*7sa(W+bEU|;rgW5r-~yAe zsN-ejs)636BcF*iP4*>h=JNd&;JrM8c4e_gav7FVugUxX)h2b6sPd2I z4c+<{Q{vh%)@=ILvD#uZ+vKfNgpU@nqe4w-@WPw7WnqVIO!>=U#W@uof~FCbFNJZd zpb?mByPA})NMzq3co4{Q0bi0`S@hosx`wrItF#N(moLM7std`PbFfb#9&z%JX)d6v zvO%oWfvK6$K7JXS7rP;@?5LMNC}_uU#_YC8U^ISdi^BOb{0saS3D(doRp>Mq?5xHw zrl09*X{m9jVX9)*jkjY9s~U{pg{1WabB)-IOT~uLOpR4fmK3oi;07;f8h}@pSAh_i7KLdksOIMOpSPPU1Xi7-D{m} zoqDb}Omzbm^97^}=fDf67S9GCz2bX|^h2?omQt20fRAor*P$-qG)sDgIEeD48qKx_ z{gP)9s58_*A2k%hx*cBchMS@ z!x!(oikfo*UcW0YBsFTOCY~vMV;9>}bSxX7dq)vgUZ8gbh!=&(r_fI_0@Ev?X{_yU z>M`!rhJ<@Xq#wxdQH?{_iceQYUrG~F)RyNjX~wPU4dNRU`hdFCfpkgzz$uLu;+I!6 zp3CD{feDAfg_eO2eMv`+IH$5Ica)vMdxhr><-R{jn%NWiy9f4~$5nT_U2m2+tBu2{ znd>Jk{5`$2th(E5waQ7_rK~c~pV};TX$bo)b&~&##bU8l+(lNZx6hd_D%veOTx6l7 ztdUc;|3R0aggd8{T-QmSdniF7NeLlE0r!!Z;_a3hP_K#ln4`N8pIk&F{c)4@x0O72 za4o;Ru>H@tmF$yZbP*sNdh#Mi{QweIefL7ms~>Vjl#>Aou9x4=*zF6TczuC9 zmcvqBEAL>hqVA{RBBwN0aq+@R71s~jH-!MrB1uh)CgTl(njrJ-O8Y;S&Vf6#rt8+R zZ95&?wmY_M+qRvKZQJbFw#_>>zkbep#@OSUd+k54SJkSTQ^sjER3Y%Sc*hq+HrcBQ z44AG%etb;uJK!&W4=M_3^ui(tiMi;)EfDz=%usP9NlH;BG!_@IGTR~UWKRKC?|pM+ zV@E0hj$XEwxyiy<5-u#LmK1WEq5wMJ8G$w9Q*|qYlG;8&nUjT=Lx|=Qm1AXezOgZ* zS8zGt@9mc8x3)?McRx+CbM&Os{G$$>(GC%zRx%gYR#lk4xzTWy5Zt7CFa+Li8 zcwv$kc`Q{|4VE&hK1P1v3pvE$;a66TRn*NP4GraQX}HJVlGN1a+tuC3sqo!(g%!K2 zk5}1`%}(;Z-UgGG!<>QKu7jXORu3wY=%)%h{Tqqsw2Dgll%o9{e<7t{lIO~1KFw9k zsd4AAhi{e1`q8l>v9WO@CaCBiT#j8%5ZjaZq>cFsOFNH!Bf6OYX*m5*p1AOaa83qQie`ZU8}0>z<7pc?N`34 zR#wX%r^g$oP~@KpovUd=XMKq-s?^q^sQ8GM5T%|VltAMsQUO@wr3dA?@3yk|tlkyl z!sW6#nbwPx{`y~FV9O<49T?!NTHPpA@aN(Hb(lF*JN1Z(40E`U-rGSsHJ@+>+vX2Y z3LxLz<;PFbuUMuKf>eXmg4IGQ1sL|jBR~MJ@2x{ui{Q68@J0DawPkdjQ8$bACksN? z&#{H%3hofb(z9kS&adb!C5GG@6*I7{%!7nr68A++Qcrw}oM|1E5r4K@N0??jjEUk9 z`{0~6So|=hVY7k*;#WttC6MjHJ3mPLf1Mf3fk^CdqJJlOs_1vbH*v+>WduEf_X`>z zFv1-Ueq!%Eknkh!PZFu4S>WgA$}ORob`Ji53n(r6!YkV?evkEAdWOEI$(V^Bpw;Ij zLVdYJs)t=e{iRKIk`sD&%U!GTFzQyq46jyX~i_HoQ@@%7gM6(G{r3PS%{rQSZS z1z$v#gq#1eQ7b0~EtzsL!om>T$%X~QcuOO$hj-Y-C@Nl@THn$gJU7h3)UVSskw zqkBFmnKr-;aFX3 zfoDV;kV{bN_wIKADc_NI$v-zb*EcOG;_s5p*{pJLQ(M@YKKZ?;izkH1G}Uv!-uzVF zOJLmaC#}+Pn@0P>J9M&Thx>@6VX;L|PI2Gaf=o0uXEX&l_eFd02Y)PH=hBk(?{3Gl z7RLJnRvq+1{TPrBanvIbBfQ0_9D4LtTeP$@qo)JeCp1>sM@7}7yrviW(-Q7sh+s<0 zbr)T~nn7Q1A1Gvg{3?+8!%qLRCIGR1zMofHzow|=y(2jn!k4T#57}#jURYpS zvL~jvyRF_=-5Yj=>L?xaLSR#()zOA@edcZllbTR!@HH<0@T#%CugkimxoR5-m(O>x zcCwaOqgC>Rx^mVw0=c5rRMw*Ey}4mU+q#n8rp*&0yRvxZzPZ8IGSO<qbBS;m`CfWyeU9)2G#!4$ zv?0!;ODOua_Uj@UNu1k;`&7SlTKx_5>5x^*KKfQ`j$CDz(K6}I{G`FHqL~4}E9VSf zA|QOTaoKb(U+YAD)N$Z97Pqp=`=ar1cWv3cM0R!y>?JJ_$bWcLHIg5dM(Jy`19J)X zGJ7R1tAq1CY6^7XNJpN>LLgt+P_AVB7_wj(1HNkMQ~n9>1Q2;UehlEr%ynuD^L@`a zkX=JrR^!^#~*n&>ThvoD=n=ZYzDKo zOv53}n%RFU!Y}=|JAI}fcu#>KGOE6~J>r#Vts->uY{ak@?a335gBdT}!fgG1M}Br3Bq1<%y*W zps^``RWX2JTn&?cSW_V@jDzIVjVr`Y5Ju4qS`GTfTiHRGSjjE1@MZlBzp?1Fk!NY~ zo9ilCV`23QIP}74nU7P-eXiQ@H|s2)4MvCvn?B zZCP#&_F0{!7!SG*&8wJVKPA-O(-JsY!S2^$g#GTDQt|pX-vmC;R1f>t1M1mw@El|s z=Dvfc=86^BgSDytZ;rR_lbiTGaT zZ9Bi%6v#cWZl3U@;G$3;=rf2s=w`srJkP>l`3Kt^3_m90QB}Qe{+K>|H;50x1T18m zbMK?WnZCC6-^1G97k?8h>(4FCPb=;x%Y*$Gt{lQzLJMRIEy5JzH!|(4Xb_2fpruyfjfRmHLlUQF9GRcx74afrkNWMIV^telo{m^=_8`HOgN{(CGX&5=&cxypSj{Gs zL@)?{uP$XO;xgp7!qHFmul-_TT+zn52O?)~4jjWRpLj6{iM1wR(8S6lG z&kyDXO!ElVxq3&FMY1z`!q+oW!LWW}-ivzcCg;}pCO-p~M)XM!M91^SeI`7Yr^pY~ zOQP@!R8|`7Cdf+|z@P1fct-&A{H3fLC<1iE3(j$(;(f~i`l~^}DcAYT|Bv3@=UtuB z2SR|I5moZjmDj&*;R^82QM$YcIEzF5MHzPfWd{gu9m5+OKoEl^VkM zENIPqV}Grja_*PQjO?%ITc^%GO5OOvZ(X|vrvHxlq_%H3BjOz9o3qU|b= z<_F2R)Fdyy<27;vbUOe-kjudwpmPWp+3Iew=J}RgsNi6^U*OkfvCadLt z2HA8XJS^wkOzE&t$uSfKI^{fx+hV`|zKFZiEom~PEqmCe2X5EB6zVzFs-BlGPwY(o zRPY`2UK>C=JI8sNzN+tp#kHu0eBGHZ48*Fcn+3A2!dO{-_i7$i*!`;Jz@wdqr2MkE zI5P|Sra3;hPMu+O=!G6Rg9Re!QaZDsfINKL%zE!FE61rC#Cl=AsCyrCcfKrW!y>fJ z=p@9$puW780za`iyA(g0acRzy(KANAMIFY*-zQ8f*!At_$uS!zq)H|xXh4v}H-!2- z7s2f6aZ=AWwCnelRsW!COHz;YwQsLI+L29d?LTSSY&_;lGXExr1;f!iNDZ)s9L2AO z2A$CKhm@Nr@^OxMCqH^8)c!RMsv8UB5ymqYaPkSS&{xAN){=OCjAF?}uai(X*f}(ejisJ&S`8nkFOjU%!0M@Sz&y6MWI>m*=1 z*9zBZ$)@28>yj#EJ;sBhY}?$n!#XoS&S8VJD?aLGou$71lXmTHajQ+pyuWdE@5-Lf z_~SQQ>WoHh^Cn-cbKx?Yr2D;jP6KQ+=;@HQA!3ndotq3vsf~5&G`>vi-{(s2Z2J{| z6K``toE{!Fj=jNxIE!c8wXmuOva4QhdB5u3s(2NPP~Rhwp`SQzH9^G70lS%e_N}mY zdY0VXCJmbP(>eZPV7Oyzm5Ltjte4=ks`9Zjm<+!{kEJ|=UK!`utT*4tjR$&`Q6fK@ zPlRuAvjwsj=1l8rkzVnRwK^x^^Ma9lp#O{e&mfy zqp{)b!0ORf8l;Z!#{hF|KeQ@iyy zXcOlU-|Qp1cPYEbLL$s}?!4b=VP1A>-|C2+>)A0qPo5KOJNbC(2%nGERHOLv{LXA_ zrax17@Y^Q-u{RDrDc4%U8i#5KCzcdve1iR&yCT_aFHH3V4fvn{mlpO!w|6RvFZMk@ zgX)}F&lMFOpLg#rzf|`=-EY=S>Td{&x44l3%a0xLnst3HJoXuR>b&oNJ*ZpX+?_Uq z+|Mu%tKQ&e=>_lZD=C#f;Wb8-v2U+^urJr;b^;;3RL%=kJkRbIBF`gBc<*56NUt7Q ztWTd)!3!Ju-~FHKNg)rEqLNvkn5DsKAfsO z96iXP;w@j)*RVCdv-cnsn~~tj8+-Mi0FHp!KvF?)g%aq?^mlzC*lOe36`>iHNhUscBs9kMyun}l9Z>#5 z#+8M#nT{jn4*mi6)Y$p zdY@lh8=l762W!1U<+^KqClx%{gf~yuKrUGZ{(438<{v&QM57Q7JQ{w=Oa0~fAT?oj z{hpqIdXVhrq?gtZ8=3nCWx?RBH|9Oi-PhfTM~|m}3v}h^u%O(cE?zgUMt5wB zPH=g?Vsu*U$@ZZSt7Xo#p-*_k-x#8aH;u9fz^6_9g#gG*OMiCB2g<6;cD6WA{ajye zNy}qJV`Kuq)4f=@CHIjg?z(UUd&V1fso)v4=7D;&dg>Z>Kkv1783K&=_(1ZUZ!_B1 zbRa*1uP`n3jqnVWn^QRt|2nUz1tPQ-Sm(bS#`tmgEX^V#0MRAvpga=n>4hi@Qh*Ky zz{|bgP%SP)xpp9#?a|isnJ7|KPnHb#tky)Iz@cpTVnn zmwCxBta)qOdz)N*v94&1&9X&X=DxxCjGJ3%oNc!`ud3?zFyYGUF6Ag_Uzqk4Gzwbh zLjDURgK8~!zD}j;vozjz@)4r%6N*0OP*=n7ubQhpc+rY_!O3S}9L65BR=jDfzmaEP zBH6Y1?ECITfAhT%-i@YzVn0-}XPb%q8L_8MwCA9IN;_1#bM76`Iq=T-E_urII)&%4 zVn3~K!SqlTNe9+#O=u(ROo?zp94D+d6Bzz>Na~)+u>e`X9q?mY@K=53Sgb|-_H68Q zKJI#3sQ)=-gzUYvc$R6QTfwzCUE&c{a!>OeytZnxzOnS412VNXRL<$z@br;WW~bB| zFyI+vm;4l*2WrSsd`Ut@l5Yl}gddYthe;sKNcib*$~C|**a6SdN%9zO(wefYx88Aw z^elY#Pe=Hl0 z|6cF%aJtXnFZoQDzSmPhpJv0d;*2`uaxWTZe;;(yLC26m-r<_kfNvLX1jIT& z&M5f7=iR(s)z5rm>}P)on|EkPv~A?u%Nr4958r&|eEH$}+dJM;gn5ZHCg-ZzX9y*# z#X4cf>39cH8z9!-*kpf4JICRwc@u2>UQ4u2!-AIl34wcIEcTZRTZlCK=le8_{rLlf z_1QxSmuWnzJPBKBx;k9ijht{apifv2GB;LdTh4fC_D&;&%c{hRm6h3nT^0vFP^(_~ z_!ye8*lKxsj?sxSei?#R=U!Tjz<#?8EWZl-=c_;x5)wMOF>Z z(l2R8+3SU3?SM(GE41tvH{jR{x~LXDtm^N8ait4yYC=xfkOpMrk6w<)h0(C1Cu}IA z1;@@tZ(yo=EUnSjMp&kw&punoEASIhMKvMHMX1rmAdP`XpHFr@)c5k4~ zVdRqvW(6axiYw`om-Ug1By+(7o<#3*wT!KzuWYUcGxB>{Jfszde?eTX1AfD`TK0uEe@Ks8&OO?s{peW-4LHmw-D*FaX9|h?A$ExWJX%sNBrHhP#pP zn8%J@P$7Lji9|hEooZM*!KiGCR0+2sv0-yGC7$tot1q+PHUpVPI%T!Y83DXq4N|jV zVz-iM<%oqfs|HshXyqfO!k0!%zm{J>jiIhsre`**-h4Ora96%qCtGfT@`uZ=YDLbK zyoQ865L-G}VO72P*Yi>UQ(D;(dJQ&25M*h`3d!0U?$|TQOw<)Q@ot*8N5T=L6N7x) zRxIbDJ6`8x!Og}}Ws>)m81b&TR?hZs0#Vbpu~`c)VI{lNI%No~=Sk@_VLuWMW%)VZ zhK`;zKvpuj7cAXnp#ru!!YD{qQDSvL?_lx)G^wW%wUH}f|fHW75f z;8qY{Sv5tOIY7Q+zN!-l0LWL-t=KL<3-3K_YlNC!KaD}rd@jm>4}%zqHNyrD=eHhI z68@z9&Gs+-09d^E!dXb=gT&5Ol9E&oGnxxjr$KtUIF^ayes*ax_Ra#mIam-i(V7`PKL?0kw1XM`2GOeKN@{b2;XLj z^1|Lg0*v}I2p5`xSBqz;FER_PpSlIY!>`(v!XbUR8(V}bj0jPn`ZhYTmg^K*Db|DO!( ztN&O1C)j&qKoSs~%xM3iKM^<%Zumbqm{1}Ra{BDu1`d|}PaqNU4*pgDPXY!(5fC|f zqy8s*x0DF+nR$^w&MLu0IC#r@hSu6@B|=I`9mF~4Qk$)34f8pHICI~f~0;x^baU(R04z$3Jx>V{StN}%drc5w3o z#E$$4s1W8eg>2pgc55Z|;~7KvewXmwZA0zaj06CNM%)hO-AH((_2^to&wWzJiQ6e3 zSEbJPw;@eRny-N;AID@QGChK+a=QQBmzCXkR~Cu~I_}3Y;7$NH0XY|B-cz*%DD=+l zE>t+-&f!Rc`D}p=kNjn_Q`iOU(q2Zfq1&&NP}-Hb;@;ZAx6S}nz_nf@-aFMlIoP|U zctmP7sgvmqP1QhdV?FwXUY*)D5}$3uG_s!ofSi=;pN@$JgKFG8n=YdUj+Qf8`U`^R z>`Os|cZez3iOy_clW=Tu{+4VO6qp>fqK7`BTQm+XPuNjWodF;gn9l%zOSYFXDfF;X zYKNSGf49IuJ${)fmc#y-?iB5e_DJ;mVS&Ovy$-2Y1YgP3qKSOY@7SJCDsXP%PQ{(v zuMtk*;~2aby35|%+@LIxC+XkQKkHrllJzXa9(g8sw_1^$#TzK%eV- zj(XQUrFlSl*-MLi&3PBO<3>w~6)M#Fk7vchd=z4i3*9V_r%Dg$-1zGCwY9WG+vCPF zxVBzSOYe@51|*kR@xdQ%(sv}e`Z%bSl;7B!e;dqjglF_j*_kD+FW4BebKrL1w)0(k zU2|Vsv~Ak9ySDusmnoL00_2AqQgHtLq7TRB-s)=q5{&yV%c8VW@29!Yf#XhC%2^^@!#R5gemG!nnvySFzRv239Y12V@9T*Xu<5kZ*5- z7Ew0#R8{WsEwb>%PtjnT{Yw6WEg8n>Ddd8Kf)qn+fP}(|GJkt7mVhJ}SbzT*>5eYC zO@3{Kh|(Kr=T*w z)9l@fYtMFI>8`Obf|%0fmpvh^X7-**J!p#gjqn9;D4>e-+Ql>+XMNE6u1R`MRNY^oz)0L5VbWMImyOLFp!RXHKCV-LPf^vSR625`4bTV zir}DiEOu!9;>Nn6e=1GND$(?-m28@a_hei_#<^#@3%F-^%TeEcK3U_V_pTFbXm;^- z@d$R&2zKGLl~+M!PCPp|%prrj)LR!&2k3v5Sw7P}Sok?Rt6R5sR9c(1Q@48`WeGUH z%X)d4v|Dj_Ie#|4Z`)fM)N{Q75}m;^j1dtMOcNbkC@iZ<6{ES#Hq z?o0c3mQ=a8U=ojDwWMEMJ{F6|h?WoBOI%(ve=U>ySd;5l%1(Hme2!AV8U{glm}s;` z$wlo!#j0k?Pu8!R z#4hB@>xsImYw8RbK4dV9%8E+h37D`6tP#phI;lf%2*khRP%a=0rPK30P;miZY}keL zfiAcBAO!_~5A5>#&T2vT2Gj>29PunSl`TSMi)(mTvPKx9yI`Y}xk9Q~cMoCFg0!IMzGEAP3V+ zQpcGnZW)^lwmL3R{fH}vvF-qmY@hy0C^y2Zl|+$AlTTSOxm?h3O`SoInHi@s)Mh;) zpiBreXlei!Cw!)e$UC8x(fJm&Hkk*c!4Q~}w~&r){YW@(T3Z8$GWMIgYO!(fL&rfv z%{)-k=&k;bYj{(JkH1_w?Yo9Yz}tlR#MtfISJdhyba-%R=zJry*uM)%-WmI**!*GB z(C3BmJm`^ zy>A((wH{Mc5yliV5_^u>Uc5*cdq*-w3mpYRZ5!XwqeiVE4nW!6J9@hDHm?%8CS!}9 zDm94v#UHkLE3(ygeVJnh_kz2~Wu_qC{Kl}+QKAw?!V(piB5<2RvvqP2A)TG0jLA#5 zSn*Ylq@C}Bii($}AA%-BWn`j^x%PkaPoJB4Na_|vNlSOkk7DpXs9GGIxBD19Hz-%@%tUyD%bip0xH6L%dI`k z4mNf;2yD(QR(=Ym@q7HlzHi@RJRdb`jy5Lt8>}ByNpJEz2Dckvq!H{E%aS}9jj1#9 zHSeo8u}P${q^KLCAsv1R!iz+W8E4Xd4SuR5#5RTih_kwEXScLh4KvEVnFMw$@o%aOe2Esps-gAnEfK$>3cOrh83JPb5Mn)N zSyLEAb3tXf!oMRdkt50DwI-Xwn0>FLJ@{?L{|p(b;`Y!r`e`0N9tj^mEH-Pr82k{t z3jnWrQml84f`M5x0802_nSl>$u{o3bTb)d37znXl zLx9Y>6SS3-aQu+GAGFQW^aK)Z`gh#_2sAUca~eNCHTN`l@MXwz3%W-)E1+4Y?HNrC$?Ec@WATcO0D)ksl)eFbBtspN5oyAaGk@dWIZo~F z(f6^wJC;JAJ=?&?bdu;b7H41Y0 zRj4wga*S=>$r+Y4Z#U|{2b0OQv9;s)EP^`QKIlC7ID;B-QJu;ZqnM&(48{NXMY&lb zkmUZ>R@|0yc%=MY?xNCAk((dxQC>CC+GUh0rwFY9TQgKSkl2Fi`2DSg(bW6fyl)(r z`+lV4yLAo$QB>HjmT6VQ~bByl@7>Nd!*y4p2!I|%<^s8{l&?Ie+M$ioUZ?U2>=Mcmi(dKYtwfgno zrX!@ZoW2foNt$r#qS(!p07guH&y>RfrY-IzLCCh|0#y|^X~R+OC|xu zX*B_G#sa7%An_E_e!K#L``;V`W;F0vgTT%O8R_R+89eyeONi_p7@b$J8XwE-2l(u) zf#xyT)#c>{?7xsLxuETs7S@yun}X}G&@GD}LEj(|uNzVq`L7yqGRzbwH5KVjMRAW> z?~7mTCApEO$x6Hzr8+^I5JL9EZ;N_CIn?B91OgTy<@4dzK7%PHCMIwkWJvdhF{u9? z`GNC#I>Osf?fZ7F=RyeUoeW+oUT%9%p2>vASs z90fT09lTA^bY{O2uZq~A1l30l+lAAhDX27U1vjxe*95U0BZpGLKydtKweD9uBSN6o zlQ8EF7drA*?ML533{eIfwlS|=Fy)7GHgK!>ssf1zYXx@$*F$s&L>2b8#hAGl)A_n) z--_>k!%RK@Eu8}t*n0nWWGQ4WgrF79fO_gaMY2LgsGNv@>1>SMWilaG;i8jrq-u7Qp>la_5-)Pn(zXsFG*aY3Xy1$pi4{{y+ zbeHq=U+|Yw-|?wDN7=6$*}+x2F2iX6F2Z)s_r-?D`#FP9o?yET`d1yU(%h+52UmHD zj9DF*Q7y}^*>_V0p#tM<=b8<>d`9_JzK!}bjXe!Y7~}2~4G2XR8gRyR)IzZSLI5Ne zHskcr6m^WS1~zo2lL8kUWZfPw8OX7>C!vvMJJ8eK`=1U86WYbd`l`R|`>uh=J}aD> z;Moh-=Yy*Ukzs0iHo)e3pq;YlJP6GU^BO{8P`pss*lZ27YZ_I{++f?tCp6P+Coj`^ z8C)q~bP*gN^tD*=jj__OoAvO?sgbASJ-gSNWqB*^`&&&@_6%E(@?V_TVL$2|zz23f zL+H*q2AC=Un0XY|KO3W)6rOF=eXTYP{zi#c?BRSSu$!wJ19*mF5L9cntpp1N9kfx4 zMv7OBED;rlMx}eQ2Dg47bzcyj3+P19ENtXNm=mIoF9NIwF=wFtnALF_7qbBh*2w1c0q-L64|`JVgT(ZqYS4 z4B|bdWWVlBIT)3wwGTEf&RegW^Xze2eDoI-JCe6jyLMPJ zyd`_BQFRCL2TW?xdR$p~A;n;z?=vDPdX=d{hro!CiGpKX#K>qQBgh1!kUs5gTckav z5}|h$H0}u^uL)muQ*z|CT$CMKroz9JKjd9=*X4ce`9BIAe%`eDXL^_&Fa2u(u?G6zxzq8)q$nW1HQe0N`5uhVm1U*G$*QQ z|7L&tU9`^ZNy4$+y!e=T?z`xij2FZif~?T5VnGsG+Fr3TlztW=>ziV-kNJWcq$$K2 zou9cK3KFJKE!C8u1;N4(4#MOt4THY47{LDvj-v_zYi>ZqI3DOh`ViVN{OWlDd#a$d zsjA`IKP0ei-JJ0yujZYt^AH}7T*e>h_X@nN$*&zn1PpKPcLLVg*w-A!n3X|Y9MB5+i~gKMk()+tLo)JiWf4kY~&sUGV6EzlP`xG?EXEKV2wS#a|$^~j+6@K;i6cq>Xm6uYYdQc5+G%h=yGaLj16wiw$o95HzpAFmpWXJ^*a z1n!r;zsqO)+WG+aysjIvO{L2-R?<2hub4DxQ@VGIre(&4ZrN0}yw%I`4XT@&vXjHH z2MBiIYnJCOS7JR=dNT0<)6tuG@77=h?J1`1rTnQz-BSyvTfN%pmgTREe@8E~PWz>f z;fgVXoUEjVkCqgW(2`*^*-}`j_qEQQ&t0Usc#spMv7%kb&vOV}c&iGGFuRP8x6x!J;4^*w95(rJU*+B_SJ9c@QA!X_?v49>+1t`=H!M zjhEk&>FQX-s4NBO^YI+%RJivn1z&3V_$3VgMnfgKRZTDOZy_Gh&Z~3wo$HMmeI_xi z*H4z7H1;7N3o79C9A?rB28(-dp(@bfgd9hwB)sy;)v=%#Dbd;L2BIUHp2D-s3^?u5rMkvZ$1YQrg=C-BQ0HEdt=T}P_v7Gz%o zgLMAN?IS>Tw>ksF0TJupdCcx`6H6t*zls&QBua$i!n8{XK}gmfvK-sYXkcEzTzkLx zBF7K2sswq_8R_n#GJz9*6Ej{$Ag|Qu->tlZAWnm|6dHYL+mo-4PAdIYGNKf=lyLo1 zQQK*~wv-{*mm%aEKQ`eT%q@BG*DjZiMuzE>>;@qe>-8WZ*sy)|X>|kjBkkNinW>%k zr>D&^c4zuYw>=LGmEz25#Vp~|CZQXYBx1i@Kf3Ms&@-*%O~1ihQw^p>%4g?Rp>>i# zvPzb8zFF6jjBXlxW~!BED4zwFG%2?$!TuClKj%*uY&8iyKbbPz*jO-sV7m7h=r*bN3Vf&zG!zex_KbK^%Bn_UQI_FROh2h@ zy>EOUZ!81>e`d>#+nh!bFtu*!A1O`EbLKicunq-bJZc>AFgPMaLom!Bhtl%qkd5xG zY(`_)5(KBeS>tRBJ@tMkx=ky#Rz7CqZ0~pRFhW6Z2 zvx|PDfAB`d9Bt_bu2V+l50neOS4iCCWg`6p%)sYSdh#4&AQo-bFiN}JRGr?5MmLQb ztidQh*&LsMefg4he&%hmHGv7vk(#ziY*2-SRM?+u_}sauzM^~Nk*r!DK-K94(fv3M zHGM8;>;#V_%pPYxC}j01EYvocQ%r|+82n~@$jK<@|D*lY_XO|36=!(-rXBq#?>kHP z1|a;IYcQdZ>f3+Rza#bd)r%_73n;CHj$ZL8`YHE+0GL_f5`c#6z(J`h0@W;t9|v~o z1MJMQrgeI|w)p0k_cBipCbr4XFBjXKvSfAo#SPEv&9znMv$~=e;>muUm$OQELqUot zI$*N%v5O3 z1~!=AAP;PmGhk7Q2+PD^EJM-2e`gB;8?k0pxdygo>lwACC|G?Kt{Ll_q{27f$Av4O zWFDo05iYkNpqNSKaF)s>y$K_VScs_}w6TN&N`- z^|L08t|5C0NovLn&=s)85^>6zE-i!xoRf`pB)}N)1FBV8`dcv*&8%Rd771nv3g zG(9QVH=$Mo+rjp$0)(D>Gu?~cxc#@}SvIbRDO@+R6o+7w|G*^@8NDCJA+J*C!{hf* zm5%;_EA#Uxw25nwINxJlAH!femdl?4$ZfF(ED3mV&dsrw_C=D`hjSf^i%)QL^ z&@Ib2+tafN(qPfQc%x9R1*j2Rk^m!f&%NAF#dMpJ*ZzNP|tq);} zIPQkUM_<8_#??g0$a=GUaa&6+o+Yz`sc|w%hcSpW*mNs7yVgltM!$tf=io$v7lI!M zV-C!incidWd`Q_3vo?XJ_&#v;5i9Ly!JF2c&f1oV~L*(Uuab1s8_k#C(cb zRdo{5a~#<%8b=E#!nS*;uG=)aCb}ZpoZdd?jmXGPWR9KM}LsS^PpxCOt{V!mt75M3bGs z!cPt^8XbbOEOi2`$AD0VhCsr7iM^{q%ur1tBn?z52_hu#+bB?{oF;kNG&Kv_k;<%_ zm*m{*Rmk(xd{K6_He?ms-fu2+-H#UM@%_U8BcE8e&QM}+QX;EGy(>Xra+6Gu!hu|{ zv-BII-nqo{9;Gn`y;71*n_P90We8iTht}grSSBXM%ymQ42`3&}=+5!vaK1-kN%wDH0A|Cz6OX(TGD& z2stZzOk9UEp8!7}{G2b?xEXm)Isu)$g0cfj`gfzw5YigC1MbKaiV;Lf5*U-gKpH`{ z3uu<mIJZRpS?ZN+9wpS5{ zgG+?va2V->ZN7tWdn72JNGy5h%#jI(V*NHc+VhJn9D#Zw3FE3{wQ9LxL5~KM8W|g? zzrz?A;gjJV8bx8n8d5M?2dcP6cn>AR{a`!DtiB|C&CjVK@qw|8li)u==h7p zES>(FbzhuWHzAu$x19Jelp+)}L?G$#$l6KzH89;tD%T;lUS*A_Thim=5<}N3!yF0* zeoPRgg?Q~53?a$uYED4z3IZs`Anubh(DO+c{rDTce^UH9dKNg$qV<+hC}zWK+TTvb!F!(+OR@pm_rIs_R9G|Fn9! z?(=E&|ABUT972VC5f#65zmUJ^Tet}_u-HL--`m>@bv~`YvoLW$XbzYtkexi~&@-DB|mwYC5x>#Gb{i);{+C|qcr)R#NZ|7k58`HBd z1t8=8o=H9GVl^_TewaaM;W_wh-mpxbt~5SfNN$d z7qt`@7qC5j#ZGEGfXZ?s*b4 z=StdjipvGz<4aH7ZgoF}z8PolFEPU$8S&tg9Vh`#yyki{SnzbRHRRZKmr8pUYv%RS zv48kdnKhWFfCYQTQneV|d4zuhLxYf8);y;1RCW zUbQ^Ez8v@PWuxN>XKwDxJ&0y@oOBO=Dw#U&I6gGgcL??FIQg21c;tG<`Py0d?QHGa ze!G586E|&puvu#mi96SqLfD z9b1)9H!*h$S|Ttgr( zI!4E~ZQHgxw$rg~+fM%2w$ZU|{&8}9c-Ojjoxz!WtJXKEK~1XmyZ7^$g$!Sx5lrJo zZyoi!d$xFnm&LIbx!fX~!~CmgYiB>D7X>7nHYX5Er+26WyE(eB@9PHWUQ zrsF3tc=iywn6w@{jBYLq+r`#_xQJgVM`*|NwvtiI@$4&5{Z`e}=*6`%LmZLLzxy$j zF-F|+vGjv$5sNEh3R6rz5R5D*QmC0lh~Vt2Y9N`J;-UA_G1(%}gD9xT{dx6l-e}sI zK_d|8w6fZN zUI;wJh`=;5e)pzGP`zg zclHSf_{*31eWcy_cx&MTzWE(|3UiWCs;fb^(WJJKsYPh+nC;ajD8jS`>kMudI!L68 z4y5eS?FAX(jQr^$>7Ur`C&DxhC3@_J4Y3=>2RKZWb(oEn(R06fDlN#_jj-Pkf|eg$ELwS5|x@3;TWK8 zH)4$P8qAE2Hj=M2fPf_y8xJE+fTfG3j!T27i`g!%0%2+tjx$Bpo2NT|E|_6WgU(Qd z|LE+Mth^qAA*Vm$>X7Fw0UFz{yM^cuLbMu(A-b9=MmEApsShv*WubLuPLhJ?m>qrJ zN)vy_G+qnh!8b?m#06We0C8p(hxy&c3_*!uR!GiGCOs>~i?;)VWa%(#@cL=tFBSGx zlW|rR9`8Xo7}KWVOx6~RqLc_1606F3%Go!K&to*J2e?645j6GthegShLt(ex!8W$w zZ3?q8@QV~TBmqlCTSB>)HY*qX(VG}oRaSCyxJd0E?ytjNOdw7O=JcWYiR1fAYIZ*F zZgyNi19>jz1Jtwi?Pm(qf^d&;Y&q^3$%nXSjP-c!jAs4xgyaT~trD7#qytDF!-T%) zqC6U!-9i__Fa5z8^Z*gfV)3uVbQa74!9n~G_wHIn{)J_ob`=nm)n%QU&p#s8hs22S zkhyj0rg4R1iA0g8QHKqhq?P5?Kj{ZVI5ALV)nd~ylp0}vDjhaS8-qwVR5Cu$fMB}q zNz28;)KS-2V<40bR^S-H>q0=+_`adQ#omkugYoed7%Gs@wMLs+hmgG2*%!=e*(X|I zapL(m^yqf5D8+&zZ8VMjv0cxoY!g!344v3*e^Y7Ohf(h@+Wj6ndL#do`(*iqnG&XW zp5_uoB^sW$=+t~gp6aF&_p=TM7AJ)sNx7uT<{jky%u8ya?j0tK9N3!h`k-tLFrcuD zCs1oSsL4hj&~HE=9b(O;-=5x@+@X=8;uR$o;u5AgB=>6P)zO#trxp<9nAAB9dvtGX zjzvl&EWkYyd9;1yqn;4`fu7I=19wEr8<=pE071kj41(Vukxz*_v#|zVGw% za$(I%K*4Ywk$^t8kXD}Y`MX2Isq|2L^)Wzh(7w5~wP;vvHM>Im#J>u8q{#t6;ugUd zCEIoh`Se{1*;9>Su=fmm$z;&Nb=wdid|@uUqceva&2bNJo38AvIFek%OQD?W)a8ly zYjrUE*QK;53U+j#DugI9OCZUgMN|eIL(+~hpZed`z4?RjV*G+1w;N!0H&C*KLd8)p z&-)LcJ6$I%SmEb+_T6ZQgTuSHs&G)(JNa6%>&NlxDG#6 zrTL$I@_s`YX)OmgaGI_Ne)O*bu|I6M!9+*~5|UBRF&d7Zkt||DCE)2^aD0O5VHnW3 z>qA5fOQ@8B-a%yew$7@RRy9_qvkiwPu9mByTMQun^*0P18 zJQ&X6HE1lxVCQr7rp>Q|&A8B{uzteOIxJlrNY1iqyI#Y%W2?7yTYNdxhSv!2RU62q z;wmXbsne<6<0!mv51DBptOn@fp~6@egZJG@`H=`uEp&%*ZCYpecUB0;USA_D;=CZk zErDYSA^-zh$1)!IqraU#+WeY155{(C`3HD45OT2@bJvPfIPTG6B-E9sJqqEuM1_bx z`xpF6KQBj5U~z5_j+1sPqJPK!a+BtcvX&HV#fQdoJkB8bINlN7ale2+ zTiB-DSCmw_0~HnKgKFe-;pJ8-iNz-m0a zd+nK9y*=$>BI|YaxlXnYn(oJL@a%tW@HR$~kL>=wSysNcgvj zEb>YVsZA-9r)V#JE=^OPL8Asms!bouR1`!|Wm|_ZdHxAu8E-tr6ZqPUqj)=cvA_NI z%xbjt*wIG7ngw1*R{%%Wm`JE0#7v^d>P=t<`yybS`Q6nI4}dQ226HN#-VXMh0&LAM znZ}7y#TlUkyhk=jED(0Hj7d0kX4y1(X%8Mw_ZWVpo5MU0Jf|2{T+M51+v~cr*2z zHE!O{w$e_PA?c>4b7(BK1nBEX6FIC7Q9gY&T#2?BO}$*JYdz>)Ug73KC1Mbi3A}s= zot|>%E0fnMK1i!`glikeqQ|a6XUS7VVoPR<9G03jR`` z8Hplb|7j=IK(a>I9Q+ew7fb?s&)7}ix`GuK`}pDu2;SZPEP)5Jz1NgU(3gYOG|6M(_#VR3TNvE5`3oXn(Q zJN_3WPM*wmhwbb*?<;+_edYKG3-I!EM=#bYUU}X-7Og<8Z^N+s3jb2cVqMI2 zEAfTDw5?^|S?HtdcQwsl*XK2EAaNMUCXP z{CWO2BcADSj4STz9cr5(Kb@)byk^Yqxd3{zJdRKU0(Qo!rzyj zQ>hSo0hi^P?8{$;2F?sOp6*CaBg!k>G2M z|L~9JLiLgWxov%|tIbL4ICL@iN^Sh`et*$8Wr0?%X8l%~v$X>W-it$$%Is)A09 zCy=)e>gPb!S~gYZGuut4{>*Pn5uu`n)>k8i>%Xd<)62%cn^AT8hIKD;4LL)S=z}Jb z2$`t(Ld=f&nff-N@1wiTp`ANIhI^1auDIwitGG%cY5F=kHBpt&H9X|VDk;-d97Ot$ z!L$wIv{|e8An|jXLnCC`__nH#m(k{=`C>q8`jBuL`$5gCVl*2XMkO2DcFA^;NXXzg z+8awVuXx_=_%aYLV*~_mL#lGSUCQ#V+%A(3jYiIHEsId!%Kn+)GMNbM7xU9>E(_R! z9$8R1W+RnQMs|+GpbjKf`R?^U(`Qo&nDHfam-_DQST^=4{09Tp>+~1CJ=}4DK65d8 z!f_*8o=0X)`H={}|+iR@5DIpynXssBEQ;1;a zo_zmt{sr^IYcxY;t(^0A*}-wyTP(OfRwF7o6d0}biz=;`yeELwO0la1x{`_08D$Gqkw-((s3_k@yw)nchK%UidLdPP z5Uy6t(my5i`X?S%PE1$6I6Lt9M&Gj4X2&n#)bqY2MkcY5Z-V=omY#*F^I%2LwJ`tM zt7YERVdyp7y>3+r48S3E z*B@_|YXA*4>Tf`C+b=Rk^L+MCbO zz8f|zbq+{^p4$U*>ecV0S_8hkW!0ZTh=)x?X}Yy@S5Qn+`TGDH=%`IJr~!SXfB^*mquNc{0P- z(IT!D!u%vcM`0*;ns9Ij;~AQ*@p+n%Lwk5SNRSfAs#7>e5qkD%@O&&xsq!WuR@*RN zX07QcJ5i{%$xc_xhPsgu<+u^~Ve-a#Bra#2AQ=V_;il}S@FltXELOM506@~;W)l(j z)w-#}4!-c=O$f@8-UdpV5eLq)x-e;H7YfO==wYtUTguMDACjhLN1^!^pGwGTvu_1e z_+4LW;_!H5C2W^HO1)SeAagv-}3#sF7tVjR3T z3D_a=mG3;`daLPEK%vk)hLt%}I1}%P*dek(;m|zvTOuR13}nEZ7l#rwQOj?;m#85k1@3 zkWc!X^~f4Dv&9l~V1{FMrL9f9YkqF?AhnJ(?-3yq4d1Ei;_|Ypq55-td1g0_z7O;4 z<3&pBr`&CG#z4z_R}~=RnPd9M63#im?PEDKm#wozgu;`LOZsJAwEAX048tDVIS$}I zF>ju5%;oJ^q?f;VqPg~KZw0i!BhBdOtbHsY+j&)@+o=2bEW|_pqrgGNG!J4T{G+_C zip6&zobYiUpu#@1`STljH8`tAl^=k?W`%SH?WmEm!8YZc_92 z+l-N=Zk$&5Gj1ObokndJrKMXJCH4JT1o(A%D1W9ClJ$YyKl{e7F*XoVdH7xDryk{a z3E*r1eh&p6wK940Vej7~&MDL6x4EvLGTb>%T0L7a@QL;uM$n8wlO?*zx+^@FfM1Mx z8FicGtdseQ`c3+i`xXhIkePaC+V^m=Y)r6JH|xB6uPmj z5gFi;>ggis1N6u}*!m^&G}6}b9zdc1kd)^1_!gT~XA zW+7b8F}`&q`?Hl=!tTv_bHUX1K6<)|_B`x?PT!w;y6gyh!iDasdbq*3Ilk8K|rR#G_Z-T(-*BsX^NM3DMdb~Zf};vt^{7)rxgGeJoz#9 zNhj?aAGZCTuXCWQR9O945%pS+ZjNi19Hn*_*;^c2QSCv0?QHt8{r0baAJ=I69i<>& z2$1$Dsi@nHAR+LZuUw9PuS{LNtsR%Sbph_gj!86#hL*95di7}ZMoq>;%WfZDvOH=B z*cMy*TE@i8A{ykzteZ8+V$MZV%JmM-Yh=-lLY+n9WfBhKsY}FuqzF&yX6c#6{?51{ z`|C}A?v#(Ea|wz_R19u!RK+(l;>(HrL}oLiaH>Mf%m@PI0yTB>X03v~KHrA_MRnk| zgvG|b93+TVI8x1z%mzWRJ#hRO9wJwBhFuhjl%XqRa`BUW*@wsBrRgoNASQ*e2!C$G z>Au5F(E&~@wQc8&LHkDgMhnwGg+3zJ9N7`S{<;Z2(oe}{#H&(~vPr&arny#WrM(5? zT4i`oOxsb##&3_U0izZp*BkDJI`!O`B*fgT+O@Eo%S)?3Qxh+4xq?lp#n?cF7LNHQ zxe)ZXE*&i`?T;w<<}Z#)8QxY8e_c0~SLvJkd&F(FqfR(?3@x5p>u&|{xD)}Eo}%8} zxHo{M(nQ(Olg!h@-Yn=88Qpwp;xbvWDEwiWwD2e51ldbY>Q`H%K<#PVJEka&PsFiuP6A74&&O1>J>YN|oIF3Kp zaZf_Xj*W%*J^X{^%wcSY@n0a0QBL^|A`}ngTamAaG;!l7b43&s2QqgTcguXGdZBtR z{_aYAYRlWZ#abKS2(6+y747w+5sQK)vlLcvnAFO4L|{QS zO~&_d$dL!!jT}0(D)GcXtsCXCTYVh8_B{%CuME{&nblC;ozCKL+vG#;?FBbi>fL4- z7x_5Y#rT$^i6R0ya?0?nx2>kL#SGF%-}TWybZ_Tdy&)#R+a*={xeRh)$8&FgcXR7p zQ_pjH@}ZaR=EdZU!;_$a5>uU1DT(0(3HBiXT@4|lkPOw9zQZ=Xak_TtIX>9z#m$TC zwf&HNdpmY;E6STfa1I-qYI6o(HgZ)C&cifRuJiavV7ipxOzu47xG<*Yf?Fl$aKQv_ zZR(7PeM#r!70v$yuO%JYR8Fp?vQOGdpt|pQ*AP%;*ZZ;JCH6M1;1E6%d#-XLdl-V8 zMnMr%tsS((_tvlOi}5)7X=qkDhb1bR#rlnK;&Y;%n-rWsCy0}MFvfY}NQ{%dB85Si z*i8^e3u~t^bs;t-xb7pKZA$L&C6!I8H3KdA(`O)%ZU@;`j^e@nPH9Bebi_D1c)z_* zVviibui195Deb_pcRZ#DGwopa*h)k1?ozu^3>hzn$8=wA^i~KYYEB-KxL@nvH;j$J z0H;zi!iJKIi~{VSlV!JpStuykFdG-OW3U`!;1+IVN#0a5cdj~mSgAFSuCEO=@M^hi|3xS0 zGjRH@j)^yCz73T{`6FtGs_HW8l;Sl9q=}6gSMmQzHn}ond4Yepms+b4xVr8yc z^#X3c^rdL=1f>s4$7mN8ZDIT4G)bAmtYr*KGQIw~Wc3M}C58F2N0Kj+GnH48r{9lk zd?SQOJ*jaOI|VnVRA12^(r@zoB}gycp^?dEHZtGG1R#Vl&?~J_>!KjTg1aW#&J`-Uxv=7L0+w+lyB!S+`rn2U@3QVKej`Mb z?OT@uu}*mM7d-V3bNV*^ulSeCd5hnT?wFP%mJ7p&mqz!xv#fK77{@wQOgk&TpHm|3 zl^XRo!vqEiBPgo7#1Q8HC{iyK2&K+*#@LT?L^vT(3~BRf+KiYCKTTloMN_8CrEAic zof>*V3*zk=()u{b z(35C~A@}SZX+3m#g^4gVTW4_fT3_Sx;qllHA`g`_=%tO4Yh(R0#ty2xS$t;T%H84t zGfLCfH1duO@LegFe<{$Xkk|x#YKG|d8)3BQ;oECfB&BJ+3pJ1B0d_3}%}(mEdgM|e zdTu$4m{eFML51y@$M&5{6Bu~@J>?h5+%kpTar4FmPiC5*PbU?0`D4`q=9$C0jrCrc zK;UrnTq9>hjN`D4s#=$TnSfFNV@l%er3TLhK`1e)9N zz?0-;m7JNBP_BU)pUpt=1=H_U6Ir6un&AU{ins<+{SdCG`f)$Uj3!9{cKcbi*i`h! z9tboa7M{yLIpt?Jqjooi{xO~hiqFE@{VoGr*7Dk^TU$`QBP5Pzsky@dFvlU6PMn1z z!SVnEZ8!!}5?B7z!Xcr@T68=dds3TR4n|+yc^N|}U6K7h&Dqr8xUwK*X11E6RO<#4 z?EDqWYbRjz#;IG5Ni?imJ7q8dY8*P@Bj-k8U)*DN2;QY z1`aYFWcq@`*Evlv1k^Ep6+3AQ%1Ybm^HSqg0zSx9=Yr#|yx81$d zWtlr`%PZbv0n)2BlUHhNqCK4~k5J-0g5-%h2Vp zQNs|AZ124{tYh!H=PBx~@a#A8o$?LA1UEs%3ijTta}M9KXE9_^Z0pvut#(m`=!lkt zrZS}C=Wc`B8naVt)7q2FnOOh9=_cc36{!>7OWjO0KJ7mHOb_GO+@n?T>Q}p>Mp((P zJE8nkyfUIz`a^el_bs8>)isD7m#NVK^(7e-p9ZzIvX%fq8%V+crLLW9B;IRFKg^KQ zqx0rTrNLdBsh5CT^;6ANl}}Tw3aeFSMrRGwgPzrCi0H*l!Nt||U&GUG)>dwcb^TeS zuSfNn=;$++3vm$MoXHXofTi?__?u$TqL>)h2aNSVomJ7iGMLiK`zX5lHGKlcc>oVmir@{ zeRoNn=ZT)rRhGhO?*@=Xx9dns7vP%ZCyMF+Www2|CStFvsH{y&rsKcG>a;R86uwL% zb5L|c*zbQI`n9`psql|_u;Ycx;AL`!_C}$=SK;5{N<;5=Po?`dq?Lm!IAof|?p(3; z6Tf_Bwd3PD!HeR{-9S&tC?@aj$LyZP8RDUvV*05almNDoT?Ki@W`%?w3}2d=yqWAd zdI`tA#g0GWlds>)4m{P^gj>DtvX&<*F?gH!p7$HAhfJGVKG8Fg=~-Mn0Poi;Y_KY{ z@Ctdb&22aS4E`vJ6H=!nl0NlyKa6Lt@Yp-Ha1RIS{ooA*F%0C%FZ940;W`p@J2A>$ zJc!(7WZ~6Q3K$Fmv2sgjVKNic$o|fhe(=c_VpSynycbAe@V!@zRua{AtO9F~*>f^2 zE^Fxp6KO=v6KzWkeA!8%Tb-aX5>^OPN`NO;5;Cf_55X_QTrRwI*EQ^5@ehIm^3(Z* z?^wkLZo=yTrWK-r-$%VLxHH_^i;TnFjhJF4^JqryRPRMe)sni^7mKZKzH7gpTlEBk#m#Dt-#s6Kd_w>lC(r0!EtUC&l z3ZZO+I?CLL1M?aFCDQ66(wo0CU-7#@MzUa*c&-9MS||8ge)fjeae*hhti&=XGR8`h z1fdlBsCbvcw0y_~P&z@vr2f;<1k&LO8{7xBqR&t|z--K2^*tp}!?4bfEd7^p%w}j6 zpM)Xzw*c(SkL?Ap^n!mF^2WV82iAS9S`TCCgO!y1)zt~jJpdIn-cQIf?n%Aripmr{ zDz5M%s~==11<>2_U!%mM@sG(rRw0OAs67e81Jd{@ zigHq%@Kj#1I@MC3XWn%wN4nGzaHpc(u z@T>NQHdGy6`Oav6zTSG2lav(xvsF(zgboJr;|H;pBq~fWK_X1%98W(;9Q_d$7G$B{ z{Sd_hYDE@=gAlrp0%2j{DvCEK299RI?NHIyKf%Crqiup;M1(q`Lz`&P?TS%M#->c&npX(wfMvHU|5|DU zlX#YI@Fm?pdI7rY_5;75$OUCf6yc!FO}^Hk`Q8MDnR!Z4@v2>aO=+H}VofviHUCYNIfU_zC{ma=bB{5id^3B3}AXqL1p4rKZ3Np3LF zdVhl5vW*AVFq-ok+CV@7pqs)b&PLq`Nnmwd?upWEMbqv$cTdjtU_iE^5@a13mh$9 zkvh^=3WoInaoI2UrS6Op{9(r*w@vj9KgZXba5dp%%+733aHl3#A=sm)O(s{Z)dyD^ z!ZMPUMKa4)n=WnLa(%~pLe2Bd3Hn6ZQd$qjc|+U}#eBdF`hUOJ`TZg6zd`rU$=kcR zRd~_(kRVfq9j(jxQTM3yMn#f>J)vus+o-t)>D!aff@DMjV7 zzaEfSp>>bs7Cx`Jk!9Z)2fY;8(7~%0T1MV003+2U-S2F!O~E~6j&Rw-rVC(>nA}oo z7ldl>S!ktvlWmJh(xsknseEd22E@zbDiCc_-zqC_odG%izt)*Ts^SWpxisTS8**Xf z@~s|WkjpS%)T0Mv-!S=%?+@6pp4rf6-xWDlG@=oEg)y0Ugtd_5MtH3ZbpTpEXjygH zCjqKcI4{uEVeC;OS+s!UlnWaKhXA1+%Z3d_2t zXU!ip3*-Y|lAnraRnaGOFKA02_JmiXvYb+%DJPbsoybk!qzZ*SK2S9pxu$uGel3bQ z`Le;u&g~PaCiIu3UL|q=xW`t#jd{7oJjsi+5x@%PH5a$ugdN7n9yVKzXX<9{j`ekq z?N9oR5qa#eSB+#N-Z}(#1w-Vj`Sdh+CU5(oP%ul(34v$~6P^sz$Uz@nMDLis_2V!t z{XZ#H0$T$;Bhgw&bR|s?)caSKa5p6zvU{Rl(Cb#=8N4}mhW1rF_565lk2uF;XPzc* zan)1T*jpW?u4kK6%_h=L%KM10`1=Rz8ymyx)wUaeSSt*Cj5TKBE-}q=GEz=T#=f1| z>MYi1>Y_s*Z=v>SY=djngDc;+;_$X^Fjx$^9}_w3q!_xh2Y?hA_Kl}(B!^i0f9bpf zHx5_EaH`xI)p(0f=d>sDteta1V?P;8-9%H`dUl$b#~*~MJLVt3k~Xx`tM^6Z$7|Wu zo2fUn=`0Q0y}Zaz%TO;T>&~OEWuz)K{vd&%FkB>8Db2Kv6iJ{28F^}x<9HAi*)^A* zuQQh3xhVY=Lq{6Xu1Gk#iFuf4xH_4Mm!|C|mqj_IH&+N!iL}WyS&o@bIUP15>{2da z*DcHBgjGGGW(D&{QUNAcCN$!yoGFpY^Wv62)~B7tX9O@^=^`fvon+Kmr(={VABxD= zL;)RMA=B-S4pNJons>BxV)&Gk2XGTr@NRCzYeZ)@Hg z6zU4}qkjOEZVU0A4X!oXTu9i2%2hr(PxU{%t63;U^tBWIcCcqxhD}w)xvdt~%}Pnt zHV1nFv~cnE5h0-15Tk!jCH)$w7Y-4@Sso7-1@VCcC1y|{ z32@jzzlETZOf{vx3rK#xB#hDjQg|APZjckyz_-w9O`UOY zM;x&aAY{hvbP&1HxsYwoqMxxBR*^h)w}$ zDG*8*$Bc6gvL?rg@*#u8Wwb+$1q9Ga9O8+ zU�@l|_kbi;xumW3CGf94v2G0`A=>Gz^LwHs$1Nct)He8#Etd42pX*;*?lNoQU=> zGUuToA30V{udsZ7J-tHJK<8BS^lwzyGs=4PoOg<&BXu%NW!6vuVi-tH_x!@sdlp zC`I_hxvU{pKnppGk^gR&txZs$7w8u|+Q0$>IW&U_0{9H+#G+bWthDKg&Y^2;j3xfA z={oD&E9G^wml;n#uO}A|3jdhJxdL^SqIeFtB$gte+0c@%%=6)F;Xf4h9} z;$e&BXqc5L7pQF4V=r^E?|-|_Dl@iwd1F|SXc&oLQ?7RA^dx_I$y)q0tW^QIjq?7bL>K!t8e@V9F3zO; zVb1acs~WGoJFd68a3_#A@ec05)N$TIny?_<>Qc}M`wE4Jpjc-7&Lg^SX<^*DiPLP|UCpTRh$moW=S> zA;X*y$6A@PmRpl!XSoamJAsBk8Poc&V$jAZ;e$dA!eKerU$&a3V6K_s6MXOGK)Cyn zIi5F4oPs#x z_W^bB1v}Ft6zpg4EWUiM{2h<^T~HnFthS^@>;Y$ge@?gd8H{KO%%&nLg)MiM&QcfuiV3JB{udExe=nmX}`9;|m zqq)qRKh$dAHF+&448A9aShn8S+l^sRCW zno=&HEO=$&LpsS8kh~mb&io)Ot@Nf;8jrbGcySm~9ph9RoJVTE0X9vk@qB9CGX=8M%*q;>nHx+^-+Ti+@)>Bf)Pf<+I!I# znUk@90d+4gX8X&y!amK5cqNQ?`g^=pbD46BH4fi4PYH324Wval(Yarwa(hY2>l%|k z64=F-7-rCbF zSO+9n*xszS`8itUs0gvWhxr!)dInt1pd*ho%DA6R0vRUTpZr@gn4c|#e-FFnH-WVR z{;K69F^dz%2ZBX)T2PVg#Cyw*&RRaUMpzx6+u4uPsKFXuH$U#X+~L>$3}=xus%*$Q z2NRO{222WK&9G*OyWez+aIAi4rYyQWMe^z}JT61NH-hoK>I~Sk>O=L2>T0AZQM|w{ zc8!G~YMN$@^74m_Lp|PZLiVM(u=kst(h8pV**&6QxP$4gZQls9EUIvR*99>cz(>0664uxv-Npj@ zIIp`UI1vrJY*`N3p(o%n*YPAVS!=nv&T2Bj&jNvVNX5@zh+KYgH_wMJ-H$KFf?gl~ zLa{qlf4A`@TfYN*LZ$;A2fl;wddp6L|CT_1A_*mDqVDo`Jk8b`ap+> z_J8>2WeF@6HHQ6fazKXcN z0nrK{94$72Q2=lD=!MPr)f<@5Q}=G62$-*j+k+?2674~@Q{s60Ybe&m5)Xj@sTk7# z2W@P0ZTQcuH2_qb=qo`23cL}A0rV49;7AKIdX`B6y7DVM=zvOxM^@v}P@A$`*L^s% zbIPM;T>mLs7k+kuayZzzDZp8q-RF#Gy{@**UTzlWM3%?#C$`9ddmXr(a#74la2?TS z2(sgscdEMePEpSprw&p9>lgpbrlrVMbjxmUxUUAFtXl934ld<6Bdj=&WF}bVgQDoN zw|oE{X{Q+a=qw{SibX0{-PmT3`=i*daC_Z0`S-f0EdVn4_jUBG&cgRuRa-RFBKr!Q z1<(Tbx!1wz01vPxF@3X$=0&x@F-P>mZu{v?GBdn==C}RVEs1Ab_Oxlr8S2+6jB5Zx z9Mfw_uf{Rig2dqKiaTK?nc6W0pV{V$odD7wB!1#I5z|QS!NoFKF8l}=E#@NG~;}8YW^^*eb z1D82tbxilQv$=RD{b#h}{A0A^$R-@HEyk(1HS?xfUyeET^@$Y6BuZejV(yomK(Ux8qD+O<|%TO5!KZaxW-&65mfxBA5cP3~7 zU^S{SL8qg>i-{fTF z`M&d~f{qT{?abQ>*I`NGXzPry4*+$vGDqK((gG@xHKzjh_xjln7p};xkN!!29SYA@ zSV8Io=snm_`ef<;ua{8Bn=1Yp;*c-EY2f=CToAf2PGZ`qG0tLG|0OJ4K?P#!%W{;^ ztfeUDDzS%Vcd=6;j=%fm?Js3hov(fOcke;@%uwbx^h=ZD>pvS?!-6y1Is4tFaCZov@uT6johFi<&rar8ebAqQ&uR=aUlT|Kj5AUm z2f5F1E|6FJ=5Oe>CIlWkv+Ch2EB0p+511vGd3|K{$h`aR$cjAJwpx_=wg z<_V-+R8gwc7Fk%q1GhMaxzv0!N4!6~;RG+WwjTgS>PgXZ_wpm6DxMie%TtZs=@vwHdtqB=OMnjWqb0f240RpIVfk9b8j(;zOBqq#KxZKkQI4e z>HE)o(}=DKD$CK{zWH3{wYvl~+{OTB%)N1(HzxL|CtvK3fzO{&a^4^o#B;xQm=yyp z;js#OOg@OVX(V$ZJGquX{cm0OFsTf7ZN5mKl-TEeB2@ak80Z(wkIXV>-xhp&aPM3} z%3jYA=Fs}!`{H(C3#`qk2I9cRAA1@jTP)c-Km^>m>r;DU*)FBl`!H30-n&!H6Wj{b z4-2W*0*a0tP7Ypj#yBXWW})N+zp?;uk4pKu1_ci4K~1k00CBFs<~rQzqnm}O3F*vQ zmqLgyvhErP;nWg9~UYLGy9fPOZO_x>FrZW=j2!ApoxQ07tb#U+4s{9P}?!@z}NV!J$-E%$!_T|lD0 zM!n@gyt<8WK4aYLhn1qL0AX{OqicM3pn{5bW@3yzKA9o>zTW&*YA?=0-n*!&*t1XJ ztb%>RZ~?7h;~ND$-@+KjJbSBgMG3$d&mzqf1s!_sudMR@)_B;?Qi^R--*Rmkb@SY# z8aH42*2cJqdd0UJMM{*>UETwGzO6~{ejDSvSDWm)Q#0z}zt8c!gEU^?LycnjzHOv& zPVu89{B3#^n5f)9+l9TbZS)Ve&A1@!hM%VWu$@9+v=@c!BMgf{Jz)bc@o6|yAqYPBGtkc{U;}`2yn!==kqC5vU7qutOWcF?yUC8VCcwM)wL|@#A=4LLy`>6!g{@^Y z`sY4>+vg98JM>djtSrZwsXNW^yx)}fB`*Ry0H?SYk?)&M;JhH-qo1HXNIT}e2dM`R z1LB<+#@GEM@D}haa0J*QzFU*u+xdLf(Nv7Gf0z0?MH|ii8JP~#df38xWeL5=R=~eo zA^qKT0z8u?0?Eifg}Jd3vOk0R_S6pR^aS*bor3SE%S>~)_>Ou@L>EEpbP;{5J}|7y z?Y%(&VV?RB?GQeQN<%MwBR59lJ}jy@jhALOBa zSsvOcP4I24&z~#eTkE@IdE$wkJ@NK&Xx_rNLdGld8G>gjkOSNfsAzm^eMB2iF~4w8 zY)gHsm@Xkl`4(_&LAfnb#4QegWQPqj4b=ISUK#8nYTF>6x69|P@|h!_sqz`(&AlL6 zm(Qc}xlcY*IR`IP+ZGJcovyNKTfcEJ%w$qb8`C7D^Q%#q9-R(6Q_v4Fa+ z)_Ywnz>(3_+R>J4?E<9PZn7qWT7db5k!72mQ$D5-`dNMW#J4fno9>B0@S+1+pJHav`t2dYkrm**6*!PSiiOQvz|!YWjzG0hw7{@t4jsf#zYt2Vs}Bsby?+DN>z$rHj$95*LdWNq5AE@AD5~RHN@$6(gu|$Ewm& zYB?t-DkrRGXl6m)Z#>Gq&!lUAQAyYR6%Lwr2%}t5uUl`_5gm$XjfmEWXjB{L+QOkF z&Yf*aon1u0Xj59~ynm=|WXU16m+j8aJH++~Qd)9INoITVhlq3~Ij^*|5JMyRVD@_j z-(Eq$XFg3if{${{rzM}tJbZS^2eb3=wX~64^4VM3uH$RV_6WX2LBJRJA+?o!Z6iNy z!dH9Po}X81xBKckEO`!l>T+gF7ONF3u~x}~sbZBZR>mbu!8Mi?FH6TpmX5L|g`}y()H}q*AB9 zy|**K-kWlAqLM7xEY%d~G$Ba`1b2_vsKqgdRbo3NcOa63yjdx|QhJFjSg*(m7XOvR zn-#UVM{LYtw!@ocL2@YCXd>sVS+ns+QTdbd8vj*!|7LsXEGl#+4lQ)%3@$6F)%AR* zqdcz^X`Os&0Rj0gQ~Fz6EF-DPL3IMq^T~}albzUkfQD?!LD=#O9-TYIhQ@}*;el0!ez7UX zesO5@GY(4Pzu3|q_h6++dyRrA-4U`rcuz3bEff}5#LYkGF7|Cb5B`B%?CJp?9Wrfx zR1&HmaP_hU|1QHwI(K5D7!U0lmXV&3W+EP8X~FufzkONpldERCf1Xq29?VMEl&{&Q z7gwHHj`M_p|=XUVoe#c`pr-C{%2LSrLhL-|eanQYBYw#Gg4GP`ew zpgY{tg|0ih&#;MflKiO15!znwrbA*s#jI)ynI`KlzO2rV6c>NU5ym;oCx2xh&u9B+ zfd8~l#pt3n%E72!wq$>IIW#hpg=LcEgy?=+Mw)Ii=@^9>NiW5DGxA>Fwo7M&l zjCDz`!o~OC9H5ZRVPOl1?^7PqP;s<6r?+QF&sn}he=c|K)FLBRzcrpG#4*=_143r; z{~o79W`&{;3Yj1ghNWK35+YrN5ulK0eooTKY3SNdXX6-qhC#ed25=e+{p z4KTJ4wweW&Z%Ad+Ssv7BX}B!v$j*Tdb(Y2^D&gyYxfG>c{3!yKeXsGEdZ%`bLUD?# zbVQ5@|C@fNuIQmGDk>x(P#dTt6@j}fe~;zy0rM=gVwIezwAgXslcFcs zwYD~s*3uRd6qZBLaWOf5t>WhmmxeUpvgp6)hv*3`X_T1oG;>sou3*@r?-3C_ zSlzX-UF4dH6CR4KZT0gLi(VK%(cymX&gHSZ#=g9E!xJ;piwe`S$E{lPNUMK;H1WvT z`&JD5e)7uf?P9J}!d_=X7m2ja5uDnAh1)_xyZQ+ww1i6PK^38zNCYw>yL8Cs1(9ky zf7r1S5yJXmxf|{XO$h84V)OS;h_JQRR9iw269XJb(Mftv+Z}nh-N1q56iK( zO7?ZW;p*j4n2y(MIV>~v@;OVxxzMo4OrHvb5?W-mgcW;Kpl37&ecFf!H|atpWN#N= z=?uSX#-0DZZ$WVK{}S#c@J&_O|M$LqOY*WWO|vvz(uR`KhL%DhkHxafqCi;!Mb?4> zML;&OvXxa(5L8A%#sS=w0v23YL{LW&XB=nL5&X{&ah#u3XGBz>>F?Z^bitYV{3&_& zzu5&!L=EU+lH#0k# zopYvb*nEHX;WMA^UhsT*$=1YM$%_|BQ3&)eGY0aUgbX-S>&Ys3zp~%(igHG|qOgoI zNTH&9oL|YVR(2VlH@s^2y+OdhjTO$oORPu<5-S^cq$maj85(hm=AaoHO*3$Tm84@x z0pCz)gF+;X$c7olz{e@!7(NFn_5DKGDsz@OG1ZF47*1cqJ$NBTaI_M{F@rSqo9(!aq|c-tl2 zzb?Z{RKQ6SGM5uis~7G)4Wla}fX|uWA6cJTl&B3_gJrmcF5yEoZN=2oVa?Cv(p|T| zc`kTv*e!L<7x1D6`oS9$_9Qn@4r*%iZwTrh0Mu<~_n};L>Tsp=9w+5*Z27oBHOE*Y zEy@9Gh8P^&VzIj3O6NIq{FTm~8E2fV)9kR>!zc%G&;b?9M z8si=?>p{yPb0c1?DOS59ZnH9cAZRd?U?f`Tc#biu)-KHb74VTs+AEeXZq!_?uZr9kBMyl`K+U+mg z`QV~qn|nU-IrUzs`HypYt-9sFU$!TkcdR%y8UNOjC-hm{f5C;jmTez0M5eyom)uxb zc;V#J$^UtD{@YU}z~!@GxsBkjnc%SxYxeHmL0-y5eAZ14c?GGw@`V;q%rVuw%)BIY zPeFYF8x*=`R-zebiMrmoKEyj6sIldHEk`!TP>v6U1N2qrAjgNEfRzmfB!lzuoH+Nm z!_&zZH@G8>)Y_LvrE+c)@moEogS$3gx!R=LB$CSLX>Y~pdFu(NYphJqNKQVNScao* z9qfdEGi2wW{h#jL^!AY-ZmoFv?7chQFdQ(>9y;QwMWY^@-0zWD+h#64M~{rhFP;45 z;GGN+6|L>evUr_Ivwc@Adua`eP;}0{}K1pf~KZCcv33xJ~F;o((h$fCl#_=p>O$L$W0s_w<#Sr(yufB#qMn>El7n9U}B|#`606?Etf~+^J zc9)_=A_y@Ul?8!na5P2ZeAlfB-1%s8PKZ8`_3gnAhHO879e;Ol-@XrlEv`yF0uD}lJ= zDQ)Ep%>d}DO+O~uLT#j}oW$7LG<}FfFhOZqTSRrHLu%JpsnymVbjcFxoFcu3=SGgK zuKVodg~fGMxm}W9|NZDc_C3C2*799TW({B4dvlM)L${3|u;$KnuhY4On@6mvee{DJ zv!5#|>owxex03H&diKe$aHolP@0^oZIOx7+)N@{+4R_uBKs9c`9D56+lV57$LGBi|ky_UvbibR>a-G4Z*dy>@{Sl75 zSCFg&Mof==|NR^L2`{D~o<0d`gVR5=RFhZ^GrWkXWsu=jP2!fZ$RlF6&?Yo40h5Um znUfBc1YksEz=Nr~(T#qaJm`rBns*&QRLh^iYrlgW3$BUwXq5uTQJf&~tSBiACm0ol z=L8cgs>)6UQvsk5K3=i$yh14qXSun&ydDx8-8hAR@4)c z8Nwyj5FMm(kj~BH_bNJ&(1N@ujQ*tc&m}>?P7dW+HTJXKvzd%Foaqhc+O0C1oiAI%-pov2iaOh@!EiV) z4>1|uAg&HGlrJ+FwjjY-AT&}tG=s4KWe>})&aTU5va=iUpEO^lND7@^ub7#+Of;b= zDZ^Ff>(sh(L@TYnRsCM))k}x|D*dbfBG;RBaT94qko-ZbR)9^SkPj+< z&`NNy*y*EMSs1_{E~J1Sq=Ur}|4F0>js>Z7W_iUO^@G-|zh}|LFE=M&!1;fAwfp3? z$+w&Et!p|b7WBFQaB>rSOfrw!Hg-v4QQqSIZK67K#Vs>tLnJ)b z@)i3RP-_`zcf$?=TS)+g7@(IWjSwAFVqY_f2rhD|3%ESvvbm^STU@QA7pshFv^nf< z)nY0)J|yGvtwe<|mO`0!7cwFObW@Wxn`xQRQ2+`r%40s}HTKPn^(7F$tgGoJwbg~d zJPDvmSImGMP`4@Gnu-dL3!NMa1&~>_mIjL%JqZSQ&aB4*=8vZ)B=>xl{4sg<+mCRM zuW``%O6K7$$shJ@y>Rf6s}z%wOy0nixCn2;^jFvZXx{z!cjuB{{_Wc{#52bOvJL{W zOelaJ(gryLFi9^tAX~szWP8cRn6N28iCFgfafa6)h~j8~@6Y0Zs;2Sf4+Jp|QaNwzWABZv!8$c{yVlL|NQsImkufI-+jpV<<=^`CL}Q!mXW}pLLBBAsY$P}EF;pVC<@NCOrY98u$Srb zwAF=_lnc%NwF~KPGqjyXFC~{R>F%V{=#(4j$D6%~ghy~72rN2}1Zx3bOg$#x5+*Bj zV{bJ*AatW~7QHLP9^0Snwm(VfdJS|5I{;=bqGz>IKlU>j0$oCvaxK(#jv+H=1zGy9 zQy0T9??$|^K_yeir;f0!%D~j&RC6(mDlS_Clbljbv_x`}!5@NcG9zVuS_!@&~oA zKVskc^a zsuCr0EK7lXD475S@WF8+&o2`|YQm>9QX908L8K^AmjBHs5G#ON2+qpq^hOFvueddh z{90iy)m`R46m!ULwT&d%fck26lXPBg%{P#W0Zt_#HiOm@9I^)K(YWNz`>3DqJDogt zdTX=zAbYHNH#PZMCDqV8lvssuqS;^-8g17^mBk{CvlM8|6AifqF@1D}^ij*R*<%z< zr_Ds{L4z$|-ZZ^OpEuGhp*TY1y=G5VOodN>g3;r`6FWP^1z2#H+pi--Yt^c)WJTyU zaf=eX5T=kkN4NugVn5)#1L1z{=qW9Gk&25RMtiZXc?|yHv*g(1`%?kWy{J>o&)(QYeFa1zn_zk*aFt@P8jBWcuA3nX9vvpl zo>NRC&et+{9lkfaEtg7VM{z#-|70_g=G$^u2j~*e2KkY2v&nx_zd&}n_KlvI#z6$S z2KVKnhHCNM9$ZS7@nvGE+Mn+)R;mLnW9jjhJL$Wa8ez6rqtqDYT57CwJxeV2dDhcw z%o{9ETmE9X=*igax#*!%);%O4kDDbyUoj9bJ+FBn3m8?|8?$S0VC_a4VHqf~fGNEq zUqKd6*RmuEXB3{=3EWRq$(8?83G-QsY%T=sQF zK@2puOlx2*=0GD3Ya{I-J}8#;fta(fg2gVtx!Gtlvy|B=N|H}Df-@S4JaO4=@Cht- z*~d|$ahVW?0D>t%g2il>Wl4gFB8q@j$iRnLLe(Mh_Rt`Cp=B=7ZWmpyZ7ldOiA(vB zm_FKb^iiuYsxf0!-Yvf<({ttf50@6@)ozMbD9n(sep~hXGTc) z*2ks_wF$LX%+elHFTlulJb^DF3hVTLJ)mygy5Vl!#_H9H`G7a8!&zE}Nysm?ay$;{ z3XC3yi~qSjQ|!5gqJB)CJNanWDe*+}h1a*)M7Qfr_SlU2l*(<8-#hSf{diN|`d%yhvIk*Hh~a zyZ|QVjV4t!m@QUSwWY`wlGE#56;Uw;rsMe zCDA*QT7(nCkz0jbYF$&gHocDjOz5Nj3c1++hV-SsrI!`yOR18zj92I%u2+BCL~?6H ztiNf=QVx4q4M<9iCs7YZ)Ee>hLN1E z?E*-bgR&a)>Gd=ckFBEDVa@O|VLZS!#tIyertO6W(Nn+?56kWr)5nY~ebnGKV|74} zM+D6UMF`R#MzH{)gsDC%kw1jnb?m>j)qqqK1hr@5b3ybl$AOoU7au-3`=f=m?>(9L@zCYu9%?#XhrdkjN`Cb5E9=je4mf}d_uT)N zrL&J?XZ>fGN!~-0UlnA{hjr!WLA$hW2bldc@_A<5xAAtTdKGsSbZ1jjDFB;WAkg+>7Ladrqo>o zF_5T(AX#@cHEJSU@DVhS>Z;Zc;K1c%crtvBoVr{#Y|J<3Iq%EAhS*#Lx17JsvncpA z;wn&gs=|FsgemM4p+oL`nsRs<8nN#DyiSFYM%-01=h1~!IqxsD(s_lv=q+%h5#&fC z$kB!%2X>4o3KbM7II3VJ7X1$hYEG3DuaLs5nN*(ITMW*dO0%dokwaud9syM@DXNzO zn^_U7i5IULN#gI0`LGwb2{JCn&5rVQ-)=7L8L65ur^FE80~<>l?k zZ=ZT>@%p>QjIZwByJk_<$OU7TSB~6=*(Z*_xb?&G!32VzCpR(^@xX%@F#CM+;9Eb{ z*JRyRywp3CV6Yl6_-DYN6J?|CwEKgk;F3v5&=?t$oT^$ZCWkBAnc;F+Mb@8Vb!0o8 zX)Ia*l}6bH@3NR=I>(snmn}|lts|q_6$HH4Q7BtSsnZlT0cM5lY|-g#B{7g?59f&f zf&f>^n~0g}#9H*3{P>X&K72DAZ?Y$S1wO~pCXUgshajy&4y zU3#I5B@xX^k`aJ9L;#+ubpgVw0pT-_yf*tE$?L=OCv=af~TjZsp-t*osytKEG+SpM3HY|nuBM^)$%~v7->qKpbGMTwNs$Np{ z?rvHilhgo%wT!o=JG^|}F2xt0 zk58Gn{JR|uSLfo_W!vrB#_t*1uiL=bn@>~>AN^np`rR+dxA3Qyl2Mz7J~ri+@^00G z!@VE9qxMMk;QB;Ip0znGqe(Q*! zv+*rt!(H31hZK4vB2o4$x!m2tabnXYfWS( zXId_EB4Ou{JBvkCG{^GPfM>kD#(oc8Z09C>T*;zR)6ufw=TIY%Ev{ zk&K{3)a2nZg=8!@QZqE82k&JH)*?I)zGtrkI8K8*wvx0NE+uNg#~|iif-w?DO%b5z z6|F)N#uOpc(EvgyEhbZ1vbAkmhIgEP&3SC|yp!_^pFZ8tc}Mcuz`ug5 z0Af-=82c#JMp2}}F6gvf0}j0A8k1d)ZgzKzhyG9<|wZ#nS|1 zlk1YZ$>mW~dxHpZ`ZX2qKzqrQgYCqYawRXn{!G+3p$8?ud*iP#w;kU;^x7Qy1gQ#; zJ$Awhn2!%n&|G0B9)JeAtI$|?4XSZ3K}+20(0aF&VqmX0alpS8P(@%TgA65mjO=id zp^;}9DhMVQ66}gDnBYFsf+>*_OxjSlCnLip@Ei+hrbLs`U{Ga65iOvP)J&g};q&=K z1w^;vRPA=3!wEof`Z7dkK+RA^+UKwv6pHe>oPvFs22i;7pc-&C;$97|pbF6Gb=VNh zpnSLy|Dgc|#lSH<6RG$QhcjLkxB0=On%;zM2GBH-{`+P4?{TZm9#>Q9ZYx?PdO6V< zZL}1IbcjA(-|f3v=qPbZWn>JbRHPOd*5z${Gkia^vq8(KwTrT%bLeL^4fHI z$c_EmJ}8;?+e*4S(AdTE_iY?{V*v5Q?*N@qSWN|esg0Z|&Xg#S7a}L)u&l_E(pspK zC61PSA_unSI81RO<)b+pO>-hg(Tvd`bC{MySR_0F(g4IASyh%nG-z>3f-k78BE^Aql ztYPw!{|iCVqbX$XpNBbQrr7(OrY~mCV!0nw2XFBN^B7cczr-h4N5WbW$5J zz7Xd~IdVwJ>C_z$!dz4+7sdo<1esW;0-1@1a}Bf!<@iG32yHb;#h!2^(n&Iaj59d0 z{Z8y0Y4v;fT&dVk%g!njaJfeOrsj(VxZF}xz=x{T(7X^8YVm1iOAPr`-&`No}8G1F*r0VVC=Buf`d%USRp%>DOY0_NY8pZWJPpQNRNiio~N+UEcyG+lE zZTp>cgpye44CPuuXc(z<*re5oFHG5XXvEsdJ@KeP_RjGo^B)Yp((Q+%Z{1zx?%{R3 zV(OVYX8IE=`_xPxx37Bjh{4a-k6nM8MKNX$>JrZ`o|aIbI54qt-l%!WpH~bko*2WI zO{!>&Oe`OA$E0V7jr)O(N$r5tzjg&{3clpSmIucyisr5JIwo? zm-TvyPEim@=2lJIh)XrMs4KHL8ipp3?c1ZX^+Y;)+OKL_^Z!i@yILz8iQ2vssv72b zaaklWHdWOpPSSj-z1G#%>#R?Ol5~5EP{5Mb-91Jc7AlXo^753n5C}4Yqawo-@!UO)95rY%?-;a*W>397Vdv(nd2iA)`iJE-q0Y6?LZ;rzu%~wQqyb0=5BIyi=lMTx!1#C1zI_niJ@KwR;}=&| zJ&9LY&z}6^ofq)%7fW zK^cCLHyI_HpOOvl8LO1{RMRBWT+<#CV`{|NM}lgA%?;!p#W|>be^X-UrPOAR3V4uu z83e+6^|enBMHY$Vq%A;vQ7>n7+z?}=Xm!`qJKx#;-QqLT79UEU+n+4zG;7eZscTnH z?LE6@z+(q5esl`=-gBJlajg=+KDTaE-80u#JkWjP$Hc>CfjxS|s=HAZI&l;QiIN3_ z_=&!95UW%Xt8n40jjoMu#^vtsp?GxI{g#`~r8~*@c$N;MUkd|Q!2)UumfJt)(V#uVL=9w;nrFv!O z_DaDclEO!NFAM>PzE=+TT}rn)%ioC*sLZb=1QxK8=5Q&n*!W9m}>u zPVx7fAlh_Lo0mGU^-JJ4(bnduzVC!=@2w?)r8V?h%GGyxR&8x-;Y2Mdnpi_+CHj^* zkozQ(@MK?Q;^f}Uy?$58-BWH^6`atkYnjdUZsxlu>Blzhtex6B^9fhiDf2hom`)JX zH93Y^4G79YB{=ga%1wDxmvn8Um|!SQB6b&B7m9I}i=%R<<<@m&b0S4usT@m=J&r2; z3`nd_PNyWf!-lZS?G8y!$c#>$jgU$<1yK)ZC5EWq#seAU!hVCq`7=!}m*{p^0i$+; zwM6HAPV9F^opsI+oXjLAMvzk*shmS%C_pI62bz}(Yyr*kmYN%vFe2^Ouv3+sQcTL7 z)S)kxsKu8dcYdjo-c%w{J72G2ro;GoefVcvxf;0$EOna`?b+6`(xp^Jv*Sew1? z5~TB>CrPUTyJ^$^_hL{ z8|kKQyQ^&Ihwzv4GgBF+&8;!0r*T`!z7 z^E3FR!cxeyuL`f4IYwrTlufol%yGIX%H;~BxTB~jA%kiI>TZSHO0uj05G?{}SE>x+ z78R?i7&XKV_ZdDgFsfmgVUl64fiV~wse83T4{7$gT!42ACIa?XiPkM*q#7XC8l0nh zb!_{#W!zNvaOkgFzlqwL`ilA*wr%E*H??|3Yx#vYrne3x67x}_wnN6{>5#Q`FCtHq zM7wa>3lmm96j*h*K6607I}T1Om;~wd{X0f&oZoFn^JZ$*rtDas84YKX-2l8j!AY|9 zjxdj-nzWxjLw`m8NHZeQ=R>01V#CBb@w`a;#i+Pjd{I0uwul^J83xl}N`&b!#q%Ks z+sKtE#I_vE@nJ>+tMU9CMpSjHK^!3;=zs6 zFry9a9M^wMjOWG~tyhlztYL6&>Z-AK5U$$7aIl0@FJ%^`MsGAVI@50zZRD+)dHS=1 z?qK|;Kd~G8Z)=neROHyyp}xSg9imgDR^KNG+8o~!ITT6uyKwjd)AauP*R4$M^-sa9 zEkbe(71C{MLGNq**NZr68$;>@l7wl+V4zrr7?3fuGEW%{Aqm^aE4meBjt`hkU_^r< zAc+WxSOz;vWaB$Nre%qeW-6ZqVM3LnQd}A)G16#JvW78<8CGd@L&sh=>4YRwRg|Se zzev$PQcU@EMG*M7KH+qjNCjmwZv>H9W%d0zDG;W1N$Q<$Qkx*feK0~pq*)F0D(Zeq z&c(x*Bi#nC?N99S%j(C>LtkWyuD|%f;Hlu*mEhTPNS7N=J&L53@3nkcjRjbZ9nZ{S zHZqSekFmVSU=tmppOn6oew5f6aW%b@d!J^~P3EwuD(09({_Kh&e`m`HND>T)H^?-= zPNr133fM2H*TB82kqS_IgtewrGFjHRuJSXq;ovcCt#z4VIF@DvN|r+%y6YvW?z$+5 zk`UrK8_#p1z;lom4GKgYvQ{j^>D_fnY{ZRPL}I2ySyd;uko(aa?(K17v;sFc zdeeW{N_4B5Ahff+53hr;jd*u%@4k0z!?*Su_*64qs2EEEdo4tEotuNw1l7*dmAK^4 z8D#=j&NG*733ZzLZlakmtJo2g?qsg0^;@i4ZIJ1sz8pAgT_XN8~RsKoibP?F|_{sjaRDo<2Y2 z=ty%};;7Zp5pi_=nbBJY&%nV`drkDpVOeSf$NoR|tvx!5D$Rels=B(Wy1O3fcjwWa z_Y)Gx6O7U!G!P&V5JDguNk{^OAbA)fk>R;3qJx9VBRJ}!j)Ul)14@V_aTIi&8C)Hi zo!!}^?$Kd(Gs3QmGERn_)zi&>x4M$R8Qq=Tvon9}>F)gceqB|a?)%kuzxRdlg$;CJ z>ql{6gP|elc(#I`OpUvBwNEVfZ|+9H)lJK+qy&}eL@!Du0KW@8i5yZDynU`l@`9pN zbS&@H)N^?k-EXH}X86b5kEfn=olH5E_o|x@$+f}?d1c%>d1oFkk`y6DE*1*qxdNX@ zfA|ucTEu4OFicJkOet$rTi%YdT91eQGLF{%%x}W#@ ztybNV@j3n^8Z71wk)H)^4n>TsbEsff?F`0+?LnJAQBA5t7sIdm89zPZ2S&}QyG0gr z@x}yHzeBZCMI2QNQA8zkyvMB}nmC!D-Xf~*(cxpMN#z&8&lf2 zj8k2;ZFjXa@93V^6<*G~UYlN2zV_Jn!(rwpRsN#j+EdR)B*VLyf%no4p9gF7U7V>* znoz>b6>6E4)^$vuuvL3eJBLoiyTaR=%(~pD99YKf*6&qNozbb^E5or+@j$mq4F7^1 zB9WlRFoe&tx@|V}<*0*zs^RQuYn_Ti5M2#2>1MpjY{&S9AtR^Vb%ZK+`%U-8GwE1F zcPttCSfLS$nnpyKbDH*LdMc|XgWvbNB?Zuhd)zX{y6P40Hif#@xeAAY*{l7i` z>7mHy{oi`0eejWvncZtcPXD8uSM2X9CI?CByC<%_dp7dJ6C2-r^vDzWjoaom*FSP> z>5~_!-TpMv#?8n1X^;$G22(=*TJA1owXnKaWZvt^u$GbeWWBnJ))+e`?*iothCP#7KxCOMb#;!NblTntEr#ZBzmiBIlj~F zs^MQolRFwl^OL!q=q@fj8o=Pb0nNs^s4)5_4%P?&#{GXMDSY1FaB$ht3!9&QuJ&Bp z)|WMJ_rfR7?`{nBw$6^UnO-~8wD6<%jz>N}zWB}XIkxKFsTDP3&DjSIRz3PNl%qD} zb35`G)en3Yw2WBEHt&PJy@_m+u?2{>F6eEqKG$t9LtX;)uo3tas^X^<7SSVgs%mrKTbl5Yvur%gc&M~~DvOFzr;436T&~DWRaIxHOVl+g z-bB(IR7EXd%21QDY^v&3lckJdk^#521?pXa4HJC*eLHe>(t)3FqG+&XluNtxGT%)( z6BmY9deUSfvZC9PnJ=FB&Y^do`1P))W7D#qjJ!7(Il8B5+4Jpto7T*0D9+mY$S*Jc zhy$XNx(g4;xPuXs>+ROEP@%XVD&G50Ur zmn@&bWN~GrgqctJ$b-ZzD-3I4kZ(rFR44EjLok)7c}KoMP*#id%5xmOX9YBwh7oWh zbfTfZhWaY1xmc)Gb!-35Fe$&Okf-~gU9{hC7y$2~BiS(GvK#HR%@sA?Q{4J^I?1rx zs|-Ii_iN*%Nk)&$FRF)eB^S*Zu&CUgp0ezzWhF}%~B@xiv<%o8bpAGsQ- zrTkiq8jVGM<-r$&mK;SZ@*5kfXvbpEi^O5ulgUq}u)XYk-XoU7R?b3~dccrVy~Sb< z68E#;_B>}fZsX>%eU^O|HpiNloRV5*jgV}g-)=Vfvn`2% zAV#4*B#)+@L{b-s_Spvf7*$EjCZ?P^3*1ZoLW=-N&_J zuwZxX)~#vjkzZz2&be^r!ryW)bGx?OwP8xq_Mev2HvRa4q20SlyJhj_xs4V1IXTSzUDJy-SYP*VpS=-OrfEOeeqx`+_+Olyyq4@_=$we%$`N z_)^08ge!J5)`SJG0&$i+&5C{xYf-LgXkkyQJ#ix>0(K^Tz)>e!hnV=&wjRq5n0OS{ zco30Emb5$+R#iM8vf>bPU?9G1fEHmJa>qu;=nfTp9X0m1&eHVs6P2EH^Z0%>ntHOA z>Kl2Zc{=iGQpN3?f(5?a2NDmIUR-)Q>E&(i^qlg8hqU6XQ0fk*Z9g$>kL=hV9_(}l zQgD8|P_BBp47Bf&;1;jo6%SipF~1^RcK*s^#)v}v3+rCbVe?_>uh#^qPC6 z9_tnlpGWf58CsRbIlUfqJ6v9e3%!h;c)ML*>UNj}hfsiShd^kxR`9w+!OdqWsOG#L z$>hs&c?FZ|u5(d`Lh;ni@)Fg%#Jk4Z;pMzT%=UqJT;bFgNw5YAOysAgOQvfkHs3_s z!M$#i+vJnV-Y^v3V!CMQCN}DM;4t1{M`M#{1v_dysOu5!5pKxUqY`;y2h6y>)1$@l zs>B(|T-CfqkG3Vd5*r6Ue*XOX53j5I9@83LwIaW~a>0(;(uc@9 z7*-Q_7JauqBsvY5` z1dVPvX)CiJ#kpxKbF_S_m-$G|$(QOCSJ6_^d@lSnmk~bO_(9iW%uani$1tua#rgQ~ z`!jy!B}&3C5}0i7Jny~sz3eW_Uh5wF{hnREe(~py%iq~IEeQ|Z#2pI+o(=u>gBGM2U9$@MSdLu0ZwBKlmyb%-?bVa=J5AWA2kX_Z+yFO9F z*Bc_<>z=T8aj139C!T8c`e(>1y>T+iv8y`U2nOMLv6>bU#;ej_*Yrw*gxrR%Xc?L^ zl8TFcyk*iTLCYN{3+xP+lruH_!&HHp-ZeTH{*NDIaZGkuO-bu=85)ig^$q6Y8Yjy8hNh}Ip~N}wSdNCDnYGATc`AyFKN7{yBJe$ zE8<0$h)m;A9WlJw44i2^b-3JTdGUTU_` z$Uu}A5v3V~X7dP>0z6|Gpcx;k$_Q}`s?dRs(FnN+Dq&w9(7T65$LKa&46UNCMgrYv zsc=U*DIn8?x8(BZh*Xq|0^P2u`yG0DkJhcPpH3@QhHhNPn_VPG9-R(~PIr-+%5A9; zBBv5kh-zO?9Asu>5|+Fd`S%N}r)QDrA4O&p>xGRexskV+c*gSD#wM~ad^!A^_e1L< z+o^XNS;}oko;u)Y@Xmm%z!J0uT@Oem(Q2`|M7NY+$*i(A0VP_JL|%~CfSr15GQmGtsuRfw^B6dhIVgPUX#UlFG?|=8bD_YKG(}N?TK_^#vb)_2MYTtxv7$sn#=g_$9$ii2`BV6< zL5o}jpT~W!mnX+^_27JLlm_Kvql;C#@L*Q;)h^14(OJf5GWtSPd7?!by7U}JVJRso z+6yeP!g~{fuMCP{J7w#^LYzeT%p78l;P5ou$+2sAqpU>H+N*l#vD4_4N zAF+!~e+MGi;bAIOR|e1zkE6{sc}V2&+3FGgi1~AzYm%AQR1p}vWF?jm zD3V`dq#muWiOSL6ZnsGy3vr6fs*-?ul?QdBqWLvOdzIOP*2ug_eQV8`h z!*5i~elugHr_dK?zWWLzLWm&{O<|tqRi|257$4M}ui!jGmQzwS&OAg;p?<9#(5J0l z9}ABn#zt4^eQuNi4OE{_(9xvkME}nu8%hgl$2AIg;RHn-x$mW?9B9&dGe0zV(3{0w z#&YaJ7c zUyXgu9Q)U~*fTebdSGXcb4tP3*HQ7EJoRY%>C5*_o)x;ONYf2_@`l;>ZVqO=@~7%$F~p6hM!tD%qlJ} zXlXVN9Xd2-s68gXFw<=Hdc9_g&1|zpqeXPl@VsK*u;{#^n`sC&M!df$tuVWwI6FVj zL}U6=^Gk|bH*ARCn}SQpEeH*sR}^C`D<&;J*F4NuV7A6sP;;j>PE0(1^W0pmM|xVQ zc|l2Gj)8c3n%SS@&-LdO7hwjg*1jNYtr|M`1sdB`W9&xD#QgN^jN$RVVt?y~pr<|B zV#EJatd^D*Pjid4rNv@kPEF$q{b*L9ra_LWNaMuBmht&%CA5%E@vR${lw_y3bfl#_ z{O%0wxzFW`cKEFs(W%z73~aMM)$Vs%EH;NXh!^^I$}1}N<)!&U{nLEuew)*l5$$!j zGNSRmd|Gs>!{d#%SgolZ8~$(J=fpw(yZ>B3-~t6MP~ZXuE>PeC z1ujtFf1d)Sma{((=JHUoi}4SBC@O||l}mriPrawQ-Q~7gOU?73J!JyiMrM2WHf_S1 z+8m`#BU$oZ7|9nbdDwFQe_8VOXuE|hd8ezT)7{)+JHIi1zScqh3%BRb(>|g}4~yLH zcBV!9oar#>-QM(QpUY{9cBQ%PR!^EG&6nmspGogYcl&*g3>fn1HgB{e-R_5Rk3lRx zCk}ByI|6n zYmNQ~8e9N%dQ84xhu8?mw?dpKkHlEY4IE?$|Q?D7>-T zqfthd9__KXGtzA7EPf~nDiD;shzHw z^klzlCcVoWX41#ym*f?jtX6aT?82gA!v}Cv2Xj|n;71x)>+iNv3##{N)yj<8l;VC) zawoc*d-v&Ua=A@zr_+>Il9MyQ+|55s4M`bxG{6&MHQBI9yGm}J_)F#11Ul% zFDerd`ZL2oI~QmNH?EX|#;iI838YcbQU+>@L8Gmf5H}giIv+QAfKoCLNsAhU|7HT! zGEoe1ySyp9u$|XtD7dIyQ;} zi<*^64!e>ZAsQ;457E&0t!4F51j<3!Mi%% z{3KXiOl5M8vWIb0;G~6kpD_K`ILfKZ`2bCV9OaB~6lhB{j&dp~5IPFn+6f>VqO-7e zoM5D6L!O%qMOuXON&>1N{Imw8D2yqt*A0+{l2xgevQbieRW?cvpp=NinQLfqGBQZ` z7vO(N?Szh?Q=T~k6poJC{xXHQqg0Bk#g%TfAQf$Ldl)}?h7?z#OiA&42xt-}WW)KR zhK5OLr+%hvYP&*PY>-0ZO{2qk77!BBL2E9+0U>g_6;(>@S$p6l#R9?HsCigKoIzNU z&%^L@G>Ahs%z&6`m!6S2iL>$IZA)_J{lq|K^NDz}6 zFASL5WMYOHluB@Fgfd8}5lWr=GWjk*mk@qpe{EPgVJ411sbOn)8@gd@pcamYRi}*8 z!q22Z%P^ZU;*L3@2%V1DlT7SMv~e~wi~~@rN9kacz^ov>N*b4RtPj2Dm?-U=fuEJ- z21BAFR+k9ZrhhZEiN?Q5-xXmD>RkwkKg>uWtxbkXQK+Tzh{y`VXmPM;;tT~6lnyRw za~L3nE<8iP5uIrifk|< zQ#yJOWn%HCB1v$eWU&!hu-pV#q8;_+O2y(L(0P{YhD_JJQAM*-p;N|k$rA2q?1vIG zML?bQf&4L&*6JrZQnXvNbI}3fM1z0w0V9V}aZ-_C`KV=MVM$n6hp2&ATn~MDhH}!5 zoS`N40J%$nJ-QRBQpwb? zMM>upk*M=7D#9KqE2_Ljk@?mGI1r)RBaYM?KX(VxB=oZbR!K0NMN82Xg5FVMGijK( zqy?ZUT)V@|_a$Ka1S4$`Wt%;U%)K54or7}B2Sy?RO>#?8K6E}}4P6#Scj=+Hgr#rX z2@C}#oRHXznT(Z}BarQe6{91q+(|N=Rlq`t*F`7v*jlH8eo_DbsvYn@4CWWxAHfkYu%V1)4*lfhc-7 zG;o3l?I1%2wHKYR;egWu>HJYFQE4zbl{yQPN+wZMgp)}YQ1E0zQg$VC6>6Y6G+8(k zyQMv1fV5>B1?3@ru!GBWeo(Y4mmkO;iL9U_EzoQTmq@=cVVVD6={>R25f&~j6SuHT z^o2_Sq^MW!!d0X=Xm3V`*ivWaG{A|GON_mX#Jq+)CDzq#O1HE^43NHu>db~Lf6ltb z;>$C*ZH5&>vXf3OnNP~7CYvw^j7b4p14&{tLeelfQqh`zQ(~OY9^k=P=mWA_)G5_} zPkWn*af6B_OcT1LjbecGg(}4zSg6$-^eh7LBTpz`r^r^$=GhEmB_*)VLSR*j_fV{$ z7%R(ytjPz?;UPPBK;H%;k8Y)@f4hmBw~`PB37NvaP?Dg7)wAYk~WG{^C4Er#wJ%V6~7@$ zkfu8^zl+!@&T$(~H z_zMj=sRcX)(vj1Qf2)UE1!{%mo+q-#xi4Aw zDUx?+3q*``#3>X)fe*#0D&I%;5w#-IT?I_21ucvsiIm7C4@Biu1GZ8;Hc2^J8p}vo z40A|l89icbhc;zvQ=3-a+Q?keQxRkasm-CSDFDvdu-YlEs3f%EvL_pACRsm)hD_Cj znTJ5(TcTs_!0#E>6_X*#+9B$aY={Pq(%>V$C;U}HNTYfjmYj$)tkK*!{yO#qDjR!g zvKY%P{~(abq8|j(_W4+c@^xu76q!^fR|Q-Yr-itN!b}?WQt2TU!m!s)1DH}Quc&rl0Fo~Gpm0z^s}hBfZ|yO3s7_G=X%y9Pa)pAp!T61_i1H|E4cHMBAt=Sh zh~NT43Q>{S4*d}(_oTw}a91r58Vl%MJ8DW8uW}n9lJu||`Ytwbrf6S-drc0eE(+=7 zMcD0FFiRX35We4DsqqYuMqAB2cA}<$;a?Gl%4#UQJ0QD!kYLdeR^&F4L<`T4NMF#< z)OQ$eQGB42hQCybUak~%`peU%Xi$z$v__FmY!3-R$%bs$7W6lce*_(gHb#VxB4--r z2FGe#?In;#dCirz15usw#!}1YYEqbSa8SwqC*w`|W~4{RwkKJUW|Y8?l}siG`3EYm zPmYe#H7ZMFGWw9(HC7b|`;)Cos#7n4%3hVz&gF+ehVoZs2M!6?3zBHG4iyM4sm-K|J1Gyf?dlk{J)+o}jae=T%F3!Dj@=f?N4gXVV8fKTP z6ow7bN;@x7uZEZ08HFG^&Tgb28P3MK%RT8zua=!h3G;TnQePUNaLx#%$$~zj$SoMl zD39MMv(OG4T?87I^0?Y{@@$h^``+U8^;LTt9-}vTY=;xb^tCx zUyGs-6h$w@e<@8s@{({5#@Q)IRvh>#V3Bk%)It*1Qc}x$xt0wir~_0kT|pqEU<-v5 z6gkRa0!kTB2!)Ui7a`#xlroPT2}LCqgOzX|i<0Dlbb-gBRB9m9;8A6I;krO|&~`}e zKwy0?RLW_*9T+2QSq6o|3Q;J19xQK)gH#Vjg2>BYnGr3Lphhkml~O;hlsn3ro4kY` z&^1cBk*BWW9E!*)Doo)u5I)4e0ov)*;W|EGGKt*}+J6CrN->ONW06bV6?EYtU&2<& zD2jTORCrA{Al8*^P+IxD`ZB0)fh-Z& zhKv)rBNZrh2@uzbQZ{uc%Ey4LhhD%ydDg0&m3T1|1PyuDVLT}0yrN&()NxAcRKx;s z0Iznyi;{Rje_uZhLYjNRtJIYqR5OXwz-3{O2SVg3BeNA=#zYQ$SP<0{2)_ptp#c>f zlx(VWRzJH!a_iXQ1xsDB1LAmzgGbQ@9Wa6GL6K@~vMj@n&~ux=ImFGbW{c4V9^g*y$ih7t@z@e@lqly137 z*@mO$34htuIJyiq2TFt)Y6jm_2sP4YodUiQ(Mx$rs`8<1B!yqo{^Au>~$a1f^g|y_7OVSxBk6kk9g4~=n|=F zYaL^9nslma3ekbkk|uZD0er(2p!_b4s){XAaR7tqRTaqd8G${jL{*LnrC7*aHIl|b z`$pX@2uIUnU>=U9EpYFtEImj}O?w@NW*M7rH>`;`AexK+QM@i#?WwdjacpTcAXGmS zCM%@fin|OtRc(PQQ)Qv67FaQKDcq9+lvFN`!iW{yd}-8L38Yh1In8CGX{@zERHX<{ z1@fC{Wt8Ql7?E?M`mdr364sr^N+5kfT6i~ts8beZ`D~&}KH_l96x}P71I6N$)K)xB z2@Wz6sKSNPbQA!l-;=NmbH;53&Kmz4AZ=lR+W}?olw$XaER14GdXCFvpeJ*tR1z7? znNsz8FlU;GC6t>p#Vi%vS!h!3CmSH00BYyTB!Hq~1z1&;IaEBcTJdE_Gtb7&Je#^D z5X^f^2354E&@#xPQF2Vrq*feZ!y(fdkDF=um=kTzc@S3mqaut)b}0`Kq=J=Hy|W5i z1k?2@fEG-*7Qq9c6bTrrR1h2AWza5Mc)~x`plp9tj1WZMiNvR--aP7i4;7*}S(zy~ z1(7nDLCdl<`$}~Mq+3*$!4L>xs0(|?VTp#Mp%jL+B5Us|XY-UIb4fp&Do0}R$cw5H4j@CO)zZi40&r~o2G zbJ`=sK-^wIgFso&I*MGAS_8GEWuvI1Px*YU>L|J)n%D#Konm=J-HIbCwWxS=!5XNG z4It}4S)MKm1O7rqkR>hJ$+%KOs8Rru!rB$3tf4b>%5o>x8gTyu$l8&TVaOUQu7J+8 z8W%W%$g0Odl(Z(4iOTmt0FnJ_0m#cN_A^!|Z=iyn|L zk;QvZ<&q4gix2eY@bG|$N4>$J?~~B4flBVOPe4WWtE!Pm_=ks7YE9!|4PE)@HVoct zV60S8t*Oc$^q_l1nMGbQeQ4#j8qFR-L;=N$@#zGsAqo?XB!(|n{ zTtaum0#SkxqHgv2OE{u#|tj(F#AKp(`Ki>8o&R;j^Ahp!3($M2a==epc8)P$wrO zQm7g-SU2<^NwFH#4Bt^I*$E4aIznfme5A@t{gspWi}YF;7kc;ztA?FSrz-4Ak&X0R z-oKSjH5>;$EN)MSTH8IDG+1*oJtW$GHPWT16J1e7&%M0Z@$;RQQ+jlg86=x<(hT$=L!| z{6dT20Zj3lT-oO!JU>~%aDl4L)9KBFIJUsu$^eQEVO^?qR>0`688Oy>D-&rv^2TUN z{H!yGI%@%hoxoq0>4A#G@)_j6l8ID7CY|QOq7U4}4bq&yUg1%6r~u9X3zM&$1EeuXAjnYmGDOm& z3?*+h9z6LU%|yzV(mdj&G+W?3@W29HB6}7{T~&msNR$44-Rs)uyc zh1LvwBq4yh%tQw2d&;N(e=HLzFVlDokS@zLn^0yV#XYyO8M^uZ!xL}g&ev){FF0e8!2J;`wM2d7g3(7~zM3#lne=rj% z-}CbrAf1xu55KtsN~@HQ%R>BL$VAF_`#j>^KK9`w@wS~>Qn>0b3&DSwi8LPB)7=H} z?jA=@6t@H}1C&p_f0&6>kIRK05|07Ww^lnO4ip}+L&A$C%A#C_Gm+}p>1dJmIuBY- z)Q>Z?xCK!bS|nsDl!=rDKWOBPq)-2T(l- zrECL50=$Aj6a^A@han*ZusiS-urQpIZLZ-&HZDE**$&q_V{wtef#6kh{7DBum4B4` z^|#=KPCSgtQ_BV@d_(Cmyz?W?HGZVeyOB-XGlVn>S?RGnR{(FLT>hV;ZiE$dUgRdB zU4KJB(A%Td9~P{NggS}ru2FXY`D9SpVmv_jPmwi9q$5ipozCYM)gJLaVkBfqOb3xw zB>v}2VKAwVEH?w~ftP6lW}rRvI&?72pdN%QhqA=6rA$HLSK{q|NLv+<)X+6RI&HPo zLIg%o2lM;~PYH3w2o(RJpZ_Vch7{6~nvY(6&5~hrdgoprbL--sH#gjN&o#~awm9-^-$B`JcU7K$Z_2lw z2ON1Y_x&1=?(B8;+0MBoUmZRAf;ThfgOVeQ{@QS&Rn2r;(f-!I3^y-3VQX?x)XAtT zZFO%PHwx}Up1J?ZN9K&W{+`#q`>^1)!!O6TcE&uH5_M(L^hX}}Y)-qmFP(ef zsS$VFdDWGdR~^xR&BTMZ`ai6Gsk3nG$BjB#U!S<|*&cr^eDI=)n`W$QGmWx_0=vKAZ<$e9C+UcU0W~Z_Lh_OZoGVJ_R~+Lj=eJZxA!x-P9#JVRoO1FSk7FI2|?r-L^RoE*`M# z^`FMK8$WgFOnb|VyH(q~_Rp55`dv5jc%Ox#uhvzTL8p>+vUQkE{Jx?yqMzEzhs@>yx>oyS$Sy?1@%~)%jOn18(eC=k(bpJ(oP|UHR6mmp_TQd)>rdr&=Yf zU(s&BlyBE`-P*Fwj#ZDZ{3(87?vPbk!;|M(Dmz|pa_6OAuN(a2jGxo?UVQT-IlBgb zd+pTx=mkqhr~duj-H&bV;BT|;llr^*osFx1?z2lL@4RHv>c$TY zePl+-qSLQUziiZ&U!IM*bNbhNf1jGv`PAu$YqgF$QOo>F_L4@=KK1AB5xX9Ec6H;M zdVT-lZ)^Ul@vd*lBZc!fe7B=^PQ%*8&&+Nx{^dn$e|oR)xOR0~CLD{|@l;&5y5BcE z{?onrC!CKq8S})xUBxx#e*De(r57&Hj?DC;~*2a8W z?XOvfs=vE7{rQYtFFo1uk3oqe``yswL`~CepFMGRdgief3wP9+QTx?n)lAb$mgKJ5 zHs+FzN2|Vj`ozSKKH2wPlYVu2UtDAK^PBI@>zH?SQj`3PYFvBk^?$UfcX)o^4r9lS z`f=p_0H(8cXnC$!jcur3!i#79D-Is{?9Pn#+w&j1xuoQShu?no zmHV#hIq{?ZBR37Z^Sx0APVHM{o?7|K*QOnq{pHusoc`nE#zntpHYb^txSbe%N+;()6#oCtZ@X`1I`YsegKZoH6yL=YRe6^B4L)cKq<{8+z_4 zTC~5zcT?;6k9Ya{RHx2oPob|+1-g19|le^U2H1nk< zzpWYj#<(xny!O=>4HnP+c6ggbFW*vf;P}%IBo&>uy?et&T`qtA@#U)?i#p!@RL6m} zzRvjR(Po>TymC_Z<%9YRJATacy<@}sSFL>T)~X2;S~h;<_piOYbk4w9S2eoQGq3(Tjwi41*Q-4FJO6j_Uu;fz_^*@CzJH?5j=oJ-xbj;y ziXQaL$xEy5?)(0uS3R6RdT#fxzg~1*;?NhGPj%$^}C&%vN38#;pqGoqpkhte3*Q?^-J3uW^Y;X?yG(G%(dM2#!Jh8O{}-Q zb(3B}&Ur z-f_uq*T+4(XI$!@yp3Dl-rg&5=BC>|oj+sc(hal5Y=10iT=Ox_uaEoDUvp9W&sxsC z?5Vk5cIo=mj2_Rvcekn8FVEz3zP@p-`8O3sr%ylWebm?F^R_7qC)Kp||N4o%Kb!q> z$HXy}>b&gU^yMo(J}DU7vCsJ5=l(S3maQ{i*!bYqQ$HNKXxEt?-)tJ0+0=LSkB`?| zI{RR9-_H(A@tqht=iR^FyXNWl=0DKuc=KG(spZG-YBhR)#`TxvuK(=7jGSZjAOCxN zl@9k??wi!9ZrApmdn9{bxO`6H()f@1USnx<_1I^g>F~n5ttVohZq>2lojGsc;z@n$ znVa@+d(3j*Gh2s!bMTA7O=~rKa6|m@ftSBNW$v+S>fbUnYl3O&-hQY`+xm)=K9@Ft{lI2 z%al*e+YeoyK4JTbzF$8yVf5gVcAwqZWpbray{{kgs=b@<^T)3K z{D#r*#ME4}d++9(ChQpez71=_4*$9=&^x6 ztsL^@kz4+b9EpLAFj@k#e4v(33x9`oz zCvF>4&C%KX&7cMQdp2Cr|JII2rnY;|)AP2kroUEq^;hzV$EMGx_Jb8-KcNQjN!-KUuHv_c}3;Y>yf7!>lboto!@h z-bv4_UHnm>ly!NBZyo@zuy^Z`?9rnR&a*!jciok% zx+T54Zg$-x$6nZYS%)Dr5(i$_HtRWStAju9nSQUom%AJ|c1xu1{oH6OD4^I9z>Gl!R zha9`nb>y-Z-r<`D{TY94mkwvA6!l8_;I8$K8<)1PRjDxds$T|=|14^$>*hm8i=Mpn zp1e8t>@K{b$34SGy*Pbk&X`TR9v*OZP2D!vecFELllPBKzx&vh@0JvIOulqk`jWJ{ zt47W_{>87etIun&YQzWKnq&?A;ftiDS?!07Ind;`33pfuzk27>Cl)W7^~i!cFOKP$ z{orK-Ui)SG(G^Q?S!z9Z_~&2tUh`JhhnJ44_1%^t^8=l~ZNIy5lcgDVtMh&m)rfFhM_PM2f_I%*-Eh?V3^~tJNFK+2i8(HO>kDvTu{GKDR z*W?d=xzCK3&&?nC^Sou>#yr?<_<$3?96xpH)W)dSv`Kd1SEE%W|t|5~*Fxqh!beIhY2tL2ezZt6Jn_nR-i;)>M` zn}0Q6%CyBF`&-m~G4t}Dru6!|ca0{8$L>p6)hU199XGzSX<(yMSNB}?_Q$ikd(T|C z>cOvb9%!)5HL7OPLzOFy%(YxQ{b<_hS+i0zdcQQb!=uL*q@KRybyLk54W@N`YrqrB zhOJpNJoDC`uhg0}>DFt`m|p2#bHa@uA1r$2*2>Lp&%XVNEJxq?w2Z6;_xJ8FiKNe{%KTe|u&weQDDt{@dHGsJrl@591!%c+2c6<8P{e zQN00=H=DgR<-wa)t?U}V^R`-PZSMN~;zu^lYFN4N4(Bpci_ga2_4Sd@@2^*@dsW9f zo^@LuSb6`$&pcLj{EEY$q}|?5}Kn==Doe>finKp{=iXzGmj8M)OBRRdrr% z%BcL^lwRqsv_9>!3me`1*0SZ59UN7j{A}tYGi%eiLDuDO0|_oHpLeztsC((KeLI}ALw z@#2K^jO4lV>!&xG^TCSGlWWgh-T&O(j++jqKNK~#{k5x;Kip?per>l^E9A&ylzT38Sd+xeAt-Ci%GF5$Rm}^@6+zAD} zI(%tc`17GIQ$DSAS^ADqz4I1L{cP4U+c|%|{%6uAH0*iP*2^ka8dQ7zn;m29bz8jC zB&}5y*WC@L#q`Brka&5sP-w>{T9na@gGiSALi_H{+sLt&7Lh{%gl;*M4Aob!X$+zyDBqS0&5Jh+jTWqaS){YMixH`#FYmw*nlzC?lU3uX1buo#5ewfgI z=7Ew0{SW;3=~Go=&TaO1i$+iXy49KwzTdLASKiZ;PIfrl?UIFW)*RH#|5D?GgiB*D zZ~2_dTmSTtH7_qaJM5458%#L&+k_4mRc%$}s;@@3-}LyaW3p@A@bSwJJk;`Bz0WTl zzI)7W+o{G=t9P_ie)^GK4?O=#dO@{OQ(HCeGrj&(#oj{?yf@zR=D)yq*(~^2@JaNCjd+Wtj_O95q zb#T4Wny8VJ@-t#PAz(`AKp8u{q|8q?z-{Wf|#^wFCMKk z;^S(&JPkH{w&p;cX(Q%+)--c+t9!nyzkTJY9fybi@#w*|Yb*V7^ZGM`6Cb+rlLy?( z-7h`0@1cwhGyUymJksPx+jGw}o^fEqsO?>j^=`K__TtsQ53tmDsK>R#ZXZ?sp4+23 zUcBt+yQ6DfxwS)blMYe6&kc=w_|A*Z?!6+a_q$g#eY@Tpf4zMB9!IUY?YI7AjT*Ds z^zOl{qb~aCfnyC$ys==}#mn~39aP)?!||lY<_~psUeaK0*P0{uESU4bl7VZlyY1wO zdh0iTIceScm!3F%ai_tZU!Spi_&Dd9p}UWMw5;uI!?(UX=y~&fTb9M_`{`Hr_GMc} z7bR{!ljLsl%i*8<%shKf{WG7Q+Pv_g=kmU~uTQ~`dnYYkx~t8cTb35TcWlRt_s?2z z^P9VBe0N#DAtyiIw|VIA-&Y+l;{Cmo$9_>XZD?+v$t8}=4UZpeJH6Jq+=T9D|9HJd zhni;ptwXxDe&^-Wv+nJhm3TUKz1F_wH)Oe|MS$rtM2)u%92N`-1pb+H6`oJnQgtp)~(y{T-NdQ z1b_X%-ka-e``*3D16MzEEc)SzyAn>n|9H)>pI-Os11lHCpT2X)?I+hRyX4xa;_?1w z)%|(tftV!zM$uZk%N71Pk@ngCob;l81I+QUP0bzsIYSVEPRYQ3GEL3Q@!easGPlpq zE5;vveetO#e7V&4VoZ0{lzueg>uuVY6Y>xx&Cbh2)8zQ}@%d>%fYHgwu(dJ%A(Rv% zs&gQO+|8HkH%2x0C`m0IUf?&U;6GM#J71BXP%wVyFV0T$8NV>l3QZb5NBH<*h2zHf zw1~3C@9Qhbh{pm_eZ@tkQ|OUnT1Eh0!Y(wwIX*ih!(ZsnOY;}?vpLMEc*fsvPV*J! z=b6*83)4z+GjjaH%<1{XzO*zy7L!$ym+31k$<6VV6r1xi^Yi?J%!QcTT%4Vg?l&R4 zJh&vk*k1%tj^E^UnKKK0L;Q$1d(5dNIXV7fbGk1x6A^0lM|x_G*`Je>T~L%=WcKH# z`--y6AT#}uk&};s%^8KhwBl@_lUb6TV+@<)&nPbasW3Y;tJs{IomWz1F7Ou?XXTd^ z`SQ{g8W=tm+YtJ}=xQK{->9C(Po?lMpfQ=zy|~bq?$7lV4l-wC121#eq8!37DZ$)B zk*ZI6Hi$@T=&JxASdPD_DBGN)n&$h>Md~*rj6Y0Pm&Ke=QkajwILv7!g|r33@q-In zkUz+um+C9TPabn<;I#aL;R?C@!t@M37Mz_2y1H%Vocv5MS597ju{j3+N%v=%3;mhd zMaTpA)6KcQG}_E`e`cZIZ!X9wDN?j59-3cN0)l1d7n+N+@Vn4YzO<5Jzd5(WWcApI zq}loDwDrblY5w%=oE)DS`yOf!d~$t7X(c%ZLLLwOa&U>Skn#}tYnCr3L(LEX7MYN# zFvl8tZUCupFZlBDOvf2 zU}b+{E_gIGr^xJ6eSPZJzOcSNHLWi+gwG&@563e|2J9&gm)Wm6`@=e8n*t1(t=eR( zHrZiqvO{hBAVZ#-E?+gzSIzUon&$@^gnFfC56MP)DPIwxM0G4t9ZSMGmV|l?SHHv= z1rde^!2@(rMLFewsDd!=6yG$yht+1aS|HW)A&-4>miU2KXi%x%@9q@c~b zRuGT{ZA@|b;Jjhspy))m$>Fgib{t_!jO$s{!c=VfGPnp&Dt{ApP^*~J56A<%~4{e#5(^mwG{n#Q-ZS!_tn;a;~J zm$|*oEw&~WOOx;gLuNNcTOjNA<7o#21B}hPTO2Njt8X*X6!-YkiktRGNwnKKx*fJ* zoy;AK@B7v$E5&OprAN*w!M2MtXipYK}j;pb#8i1S+6$r-XzA6D7&9Zv{Eo zzPw`erR`ISD6DNdsE8l)v26MsHWp?^iJ@YE3wlrk6Y24y7*mj1<1?qU?-7r>4muRCXGAP*OM#yf%=miP96L z4pNA*z`6R0gFh*5i4N{MF+*TO|I=ryJ2;Z|v?(3<1gSHcYaiMg5!IX3B*Tmyrz)E>YW>;vZIQvc*_q+@@40))ag1l(5%Yh86lV zs#^@}%Uzw0ulmR2ayn7blwk_N=y4qS$CMX@*=$rP!eDNC!;`_Bbg_ZK9CQaG4Cb=q zN+4aH3WM357KfX@_ZbGmm$|$Sy644U^xaGbL){%jsNN1Aw-MvHEp%BbtewST#sBck ziUzZ|VY@LH+EKZh*3RlcO@V+psR&SSXK~V7@eJmo>oW{yd|`#by!1&O9cHyq89jqp z1?{aUeh|1=9aJB}`a0>x8-uy%azBF^kDfA^m+qMAFq?($r81a}o@r(VFZ47=#TTM)s6xAymp7ApPgPb4#&^#1fA&;tBx~#H$gwU zn_hxo?Y#63s}6Hm`FlB#RN+u^8s zsfu2Qd7bopa5xMH*v|Ld?sOYZ%V_Of4hOy2uEE?^2j@S#*Xp6Wf?7MT*G5%U;V_~U zmrV{UW@hVk*sR8jMB#lcHVf3XJ|3APVvlSQ&achsppr{{Jk%BO@oW%70%o<)gYSA@ zE0>8DG@^^IydAw}#9>Zx7HsgOI8TBG^nDvPFN7?I*(`JeMsH_>K`LPM!6)9%LHDp& zUzem6j+>y1%|n;^^uBlvMvP~dvKZgq5a$iwWua$-*mzDVGh;9pUDjnVw~&9hZ$d91 z>+QgSVmzExfwKbwM)Y;iV*_kFCqG9Pn}a@k!`m5eT(G`grt`oYR6*Q+#j2@!l?TmMcSYNN~Yq!e2m_*ELw@2t3 zfqvLtem?AWcZ9xPIWN?&=nFnzduf3biqO{)p>G6Q;cN+7IgCfCbXqwg&;qV_xQoSbaoSv7rhlzYr`1B~fN-2$7B8eCgW2s4zFwGcE@q2bT`-5}d);B< zSu75_L+D0GXS$%o+Hs!_eZ3YTCmpz5!FksKCsB;&um~H3%udeF4j?G|O8W)tjnEfy zE4~hgN6zcC$i7ai>klMIkTB8l1I%q_F#xLzuLW~?;PSeRw{*hhK>oz)q3azQ z%nrX+UmLz&K@x$rbK%WD*3OG&%r~&YRfCMt`a=A<-0UnM2yBCQ#o8f4!E8*l@xq{F z?c4|<>-583;7gdi9bTs3xLAoWtS>%)!u2_@v%%od=Y@jjeg(dl1$m{@1t0x_{?+LW z(GI%k@|ncFjoGmXjl0~8&bZI)gnO#t0*wMQLZ25fLR+?De1+|xro0_gx4!2zhl}wV z!QAvzLl_Sc%q#dAJS6)szMQXs3qlz>?Fr@;XV3}rUf*A| zgS#dA!Y9%Bl-fBX^mRq(>yFTu>tu|FZ!U}VdW^5g=yX9CPT=f`Kr8ra0%xdD+1C?c z-UzfpC{pwV?+To~5oqO&Kr3$qT6v8R=!UJA92{_##s@YRLT>cs-SBoW2oa=ZFxaBZ zj)m?(xJlgz(rp(9!tnOW1gJ zD})`BId+(x4i2a z+_oi{^bw(K*U&A;Wb6UrG(z79v_fn|=X)A20<92((s>f?U`FUXNigY8!~2kQMoe0)*BgOW zUbkE?6fl=zFh!Zo03Jdpozu!q(Hll*H(BliCTZmcS8`fGI*a&$+ahU&Fp{7ZSWW12 zH^dd!0d6Y{MUEd_I6(t^(T?wt+lq_;?+c-$pJ_U82;hr$cHS2~Jv?p;Lk|e*eO)$$ zW7!<=NQ4i8R0o%_5LOTytgpuo; z6arwhhei=}#^J?EIW1X^L+1f5~%$i6afg-oxU7s^cZh213R z;*P*qu$u&3+z3o*`({P?1`ZN~A*#pvLeJt{>f<3!0D;9}RM4j5hp~`)*ZBp49n7Kg zE*uTS3RqtnjrT<~mdEgr?S`4H(+b{^6G0<=ZFYpdSZoC184q$Z{23X_HZdN;DzcqZ*qvTD8?rC7 zfS3b><}etPqA$`oVqV0^bbiKqkEMToB@xBOO3A(^F5Ocug6m;=AgkFVZA#^fQJ3=QTNyX#= z6{T@soM6Hp0?fv35WwJO>uUqd$!!q8jO!&~u?Lz%V|wq^_7wp@=sg6h`Cvmr0e^R;ld zVB+h%i()+|ufebp?O9D0U@*S*vw)f)#A)<%3C{)LDjh$>ki_|=q6c2fgzy!ZlJy0G z7J73pj0ULbLsg7EFZd6EAHA>9j_EwWu$!C@NH6g5uwb?h8jt5c0K-3=@8Lo)I#WAP zfv*Fkl6_qf`ns8Jr120qEjP7R!;zhKlKh&h~guJh0i5o3-s`~|TN_zPkk@E61!@D~Jcz+Vu3;V;O( zuv$4T@E2rX82F-{N8${BL7a2xFW^uLe*rMzF90U|1;Dt!fc-$6L8mFzvU8ncv!NQ8 z=?Y{KQDUv1LD&@#(fT@2Xpb;8gV`w~pq~$_Y(#I3R)E1>&}j-(kj&C)kLx<{7+7Db zj$n2o=qccJNYo%{yY_2vsp!A$13V&-%hT z;B-a+irXMa2B6%B^@Y&jzJU!Jj);yv2O@az>NFUv93(&aIkF+-!TH|iR_~AyB>~|IigrAIN#h~qDB5{hUJ|^9P%B@Dohr>)Uj!d`T{v0A zLMJ1$D0DKS%FN!Sd5uTHH5(3cjoA^{U4-g&`k_V;p>TcADO|$s7x>qxGGu*GC`pf7 zhw~MTabTnKA5jmooVA13!)O5N!6eji0af6G>9i+GAzZJGN0j37o+yR9D{F^!Fd9HY z;F)uM9az7U9^DUL8&x4Ad#A%d0+dxU7!trtCzBE8}TZ9g?xdM;x!nQhhTaT<018~(}iF> zHb*e72MLBi2*(*A53vrBQ%7HzqM|SC2-z3auzU_Lr!$S`Vs;6^Ji-^ZBOsykBs_6A z_d5R(jO$Q>q2Pkyf?7_2Gub6NZ%|*i*h@${p5I51k)IFrMR_f!3qrI)A0a>~bP8VE z7dizVr_d=d`I&s7c|laZUbw)VClSG8_rcH?AVDj*gJK;v7pn)MzB~p%FfYr~0R|Bx z=D_h6bD-2itiz7VSH3pfnbOZH%^}Y!j6$JPP{1MkV&ep_iC8n{z$9W`2d>oV_#wN+ z>mm_lr6O>>9SKw>iz)R9>8`hf1&4w{21D$I^CXCZC@53!)4!kN^@18YF5=<`BnA@rs1FH#-gan=rnbUcrUjwBHD zIZ%GUYr&vq5FKW;M~#w{iMY%oYzAm#P8ZbB^ZF}91R)OC9>F@0G8?s9!uEz0A>N~j{LM0uSLl{rmDj*fF5kWhYb?~*JzEjMB`YS;zPXxY) z*cIzQXhhBdVIt<0aZH45d0h|=zo;n!Ow?bIbm8?^fQkAmz(oBOV4_wHFmay=XJ6D5 z(LEbBFQh5b0Xkg}$%RRy)08g03V904jMt_>{^Pr?I-ep?3O8BT0aSG(>QrIAa9M)n z0%~=2{OA%N63^Nm(G5@R2!oLe!C+3Rq1WX&YQB)JtH;d&q2D!qRa8j0&5zd8r*LQ5Xz`xk4sl6(UB9oI2OZsOOiy zGqPLE@4>tfrvkqSzB8mVqaQLN@SS;I+(BS_MD2L~oL~+iU$B!xzChA(y99lac;U2y z3gBlS)|!wn2#X5&f>JA?R}s+{@&y$RLcYL`5b_1NCy^&XWuuTU$VSP&P+R(00Il$4 zS5Q;9 zBD|~}EHjbYMyf5sen3KV+EXo^J|6ZAi7R~_1jA(`jm|J45TN9H4jY}tvk?WxjTbQ< z?)LFBO|CuP52!{Vub^jGoiBJ9i5!j#h|lx~aPf-zCU~5%=e4yN?S$_PH=fr$U_5?i z2p8@*5{&yFGzXkNZC+}}<6#hVNCh(bA;^jVs@50nVCyj&kekA2MeU%0Szp?6ohM1a z@;e9=u;evQ1VaVB7!Tz_0w(I~kRcWRFWq1hxS*<;`CFJ5MJHk%$jA#As)U&w!o0W` z!|}tmahf92CTNQ40Pbr57hac3`0+Q1C~pPD%H~D%p8L*7Qo#u3?chgonj%EY^TY@u zi95G+QI5-9v_pBQHV61m)DWO{2n{)3!2=UKga{>*P3VgtH(xKrJ@XqOOQ?{H;eu=# zy9-K}B@w*Q+F^W$c&mwG6k?CSkvy(PeYyUH$%W`9p95x-jvwZQpyaq9CBkJ6z3;H?UgLJ|9;B!E~ z3EoAS7LR=)#ez&b8;|6qfT7%i;etRvkAna|#8f#CK^8L}qP2k$^m!>R#m^DA3PAwg z4k;ye_R&|=iUY>qmqNq{3+Ce?8o_a&uZ=DO37F9Ppr6QFA+iZmjQ2%}wZIt(QGRA1i6s9~HkFNs z>l&<<1P`;46l3jBjLq#%tXJ6HfQk2@U|@>ax*gs*i#?z+1z|_NHpJn0j1ld?2n;`H zZ^1(#3zN@CWZ}RuIS!bV8_#xUt%vnu6ZoNITgWC#e~5X#oHy_QqPW`( zyAfs>J8#J2ph8F6BWfq?Y`}O-klKkmUG&}|rvVO!i09B94i?))4v`Ys3>PHig}nxM zn#&h@Q<3ulQmMk%r4%WbVHDlqvM0Efsc{ zfWb!M`v#MN@hKhy$2DeVo8iF$@eUAPfDp0?eR&-tjR&cy;fJ+B?Q@zUcFpxZa;{t! zQ<78E`2xn@?4owuub_vs#NBngnv6^R8h&VpQWs7Gdd7k82TJ6)eL(Lqa=#H~2~2lB z2hvBJci~O5JT{d<@%<(G;a(RT4{tv5`VDx*FeOAgc$fml^(FQe_9<&eZ%A^T408=> zC4D@!6ZQ9i3BM6AUXz9E6>w7+?NKZx>?_1KP)f$;rD8v*1> z?#Xf67BCq2Vmw~YO0q=U|Apa*!_WF6!zFM*P=Wip=qq#?U_zJS9v@PtY!1Az!2M9P z!|NTq9qe7klV}I&&f3Ahdv^gfr_fFU_6#zV$OgAtF5I$uif^K*pK0LeoU z{6X}E#M0(~f`>e1a)_j<-~+^4Ak2YpKr#A}*~Iq}wM;x_gwg;J zw?M6sh#exxfaD^d12F&zRjUw*_F< z3BLj`l#^(#48{|0 zfPn@`9`iXM{sdiQJv#czbBSAqVmvhDF+4hhVh*(9b=)XijnIxNgBZ@ZAjRV#=qv7) z0w!WcfWf5}rR0^U{L~%zi;TUhjkV$zblX{iWyJ zINzh4#1Gapw*`=d5byf{2D^*lhmtIAw2b!oHvk*;jve0$7Ld5U`jqOV&;5*!9rYr06CaRtS?-9E>Ec)kHe7{&t(MK z@jJJa0fz(3=0J>5oCQ#n+aK(+OXUE; z@1dccu)Prl7JfWnyuKKWD{4qd?xMzy%?odz+iR%Q5c(GZX?QuJuXs}e?f4rXzy&9m zuNQid=PHqr!*x712ikF6K_`{vp5SdE^3Bg6JR!j^(4ky^(6t>N_W?+Jw*@e~(#Y_O z;5(xoqDH(Oo;2aSfh&MQ#=^(uHZUAX@#ZpM{4OZ&+X6v;2I;vVe%?@$z+>z1=)~Kf z@TTE`uyr8B$ZcEly1DKlUxVvzSggXgLPSCA8(_$?=<@<5?x9gMg3C!*O}KEt`{Kb@ zMgy2!B8~}|sI34@d~X7tsj#y_d0ZA`^TI0OdI5dGsG^i`H-ai0XnGq2}IZ5_@&(+hy{`>-U3P))*cp~oEC z*YtV>_YpCkc-sNNdz8pBTqwrK@q>8gIsknUrC@zwQZwEq8Nu&8p*kCLa9W|Hi0f6f z<1s<%E9&n7V&hV0XoCXgDi~HvYl!|+3NDv5L5}7tpJA?5=4GU70 zqP7e$VHaawaqkX~HX=~NXiuf~Ocq1Mi&zHwihM1!8w89P4{BM!xKBqk1!1&y1QTyX z0EWn@)(%-t#2J`gKt`CyeCgt_h=s#hfCOaYp)a?aAPz)5HDI_c!}`KO=eZ)ZlluWr zNcII=@pVuxU(6wMB8a?-ccbWPxS$IvXSkmSt`aqi7!L(7Y;9zUa$I283HgE@=Q5T! zSI#TzT5z?Gzcmhm!s6h21ZBZ~v_)$9)O=vWr@pzpA!En5II~+oN4z!bR zE(6A6WYmtYjd06%t+luihz{}`|myekZ3{ObDxlFDav$@cYZnE(4aOZ;W5u_iB*O89r_p#xXA!M%O zj5$ym#pgg@u@2Y_%$B0@_#HODASm@S2pC)vzDIUA_oAJUIW!)RpVBuEczq{*Or7gr zz$hBT=!X<8lYcZGzgI~xUb90m9)}|smm7fL5jrt1vbbDEAQ#ANIO@yaWhWR82ww++ zMshs2i6#V$onxL2klVUr|SS3kJp70 zjNgkP7>Ymm9LPCvy1++dwgAlw2Z{HEG0J%o74J;1QeS?ip#c5(g|#LVe-x zvN_0x6EG3`M_&i`nE->nmo8-#28pnBQo$ z6Z?kfsjv?yyvENooC6jEfB*ye**Suu=5I=1UJ#Yh0PXnQO`IXgL!|gwj1ld?FpSqo zVDU2zV)0s2^u_xiqA#v_=`cFe{GD0q3oAt5U%=oM3z(24XeVSHy#>r^j{qpI&4bf} zOEv7A)BC_&cLT=1kw)v_xj=&P_dzf%a)x{_kv`%!7XoQ`{e-QT@`#LIsO**b6_^9@ zM9~-ixeg=z5E0YnAQ-=^kE90ngUK-CiD`}ta*O=DQ9D*!OXERAYx5Ee28V#bvCv?^ z4^_f?JA(223_b86-U>sMhUeL-9k06~7?0}_jMpU4y!_4pu@H~*Q{gn!kG2kCA^r^> zqpzS9P9>{F#CQmjar)8oQaWD|eh3F?^Ae29af0zU4Z-+3MYIlHQ%Nu(69MD*fT*3& z0ayoKI@a)`wefqn1mm$esvLxu$ogW7xIc)}0RE0E^@UB&`-1&AO>r+voPB6tmV3u| zsHf5SlwgQV@i|}=az3Ct4P53>sTIcsR;L^fQ4ii1r7rB8!zaT5)_H?ql77%Ug4d7* z<8~AJ;yMpo8^Y*fKVTg&T)yza$7+Qzo(<1$v-OhR=lq9yA8rHFO>U+yNuMLKtdEBZNdEo?=7k($^Wsr) zo+p8-K!}LZ3NUb`n1kP+MqgyNMPE2HjCbKqVeWh9C(gGr!&!y*VqsY0UfO` z!FVnZ^MVcZb_5fCGH`*Fsf|ZCbB6ucS~=u*~MbH3xQ2 zlg2~#gtx=J9nODr!HnZfPcDmgI5T{Ip#r%6K(ZS<%;!b<0jCvRI+OE8zz+qF`n%|;d25O!7f3eDyJ!|Y_6lwm)ENprmc`o@XuL39_^?Q zp3x81kk}6_m)p-Usd+sJ&5NgOwDCYcgo}0B6O7joP+x9`5ey8YjYly47ADMlM85fc zP+pv$Uqq?||G^aH_6Ek|-`%7+c>Nl|u$c@$Y#X;XKr8;OGV~SSKn4u%4BvC)Vgz5o zq7m`{o{QjdP>2nrAl8AWIt86^C!OyHG^4~1{-qcXsc2p1fPPfS!}k&wHsrkg8`9J_!XC+Z zC!SQ~wF~G=AARQYA{-|0qstGX9nVG6c;INg9ZEj=TN}oWV9w8!CgHXyVB$=Jn?+6p zFo-ceFXB>c9cahDX#rf2U=TP{Qbdd=>;pXX46C2F!%b$<7vH`W_<=WMUtVJk{OH!G zm_x*n(Jlh*!5fVK$ZO>C6fhBgfv6KPRfI8x&qqYc>Wg#0}_~=_GGMZI-^2~=ale-5U(%6c(^vpWDa0h zFvA&wSK4sm-V@df2VLhOg5mO}HXgxHs-?jQ7ks=ygHb!~ml2HDY!eKbcHS4qSGPNj zH~U$wJzxle@^ggK%k3N_Yk9th#uM>p`u;DsPf-BL-^M^+m<&1(fiqB8%5lLl=Wzj~ zT;bMf>qTEYoyue#UB47_;08XUGq?{rPp3V>L>vwrm5{y=eyb3zwuyVTuixYVqJTU`zmybst4TlLI zhwL!^Rv07>E}?QhmGA0OJ7J3g#=o6J?RX6{J+Q^^htgxdqE>^R*W>XVv;z~dwc#WP zJwx9>=6i%^aM`{Qzwr8Yz{LGLYKJJ4HZQ@DV9;PB#rgeYz@P%ySwK5f>WjYoP8^LV zazfshp6;YtPP4<>>GBS%W)(d zA&40LP)ovN*Yx&Ngz@-WFW3!fJ3_edJ345Gq!Q-?)NgRPfgl(EZYlaANviWR!T23Y z)Cf{#JzE=<3SX2TZO*d!1at#{-WbYvRLSS$}n?#mp((u zbs55DB0qz09skB5)+WAJju<*co7pq0o5zO;m#Mx7rCNa!*Loi5dqyHOb$J!P`=J^n&pQ+KF5_#^d)*(HBI}_mW_E zv|pzweYce99w=Xgco<(H&@6b8`ik+OrFk7F1PPLXd|rrq4x?{&GMR`)@OSs=^Gy7{ zGr{zBSQ1_f;ku;kTyc8hlUHmc%GQ#AOAib z!9<-F-g%Pz3{r8ug?5qf1|2UV}N#a>8hbd=IlDFdjSsZGX`Y zArvlOaP64ez!(o@W2`T{b0LSo2t4kC6bAoh4pjw-nhubUzimVO2Vo-S74?7U%fG*X zIUwsK&baBSjYs;D+Xr~Z=l`*FCrJ|II1)v#)Rkk^{V&!JzBBy{k=UrvoD&FQrgxn7 zTXENG1C55n6T*2n0*`F>eg!ItHb`;{Sel&HAmS5 zIQ^#&B)&CFwM$+H%<$&C`IZv)9kb9B9=%|jS9D_$Ts!SVD6KE%j?vOHOmx6}%SN_m z%UC={vh9dw`IdAs=Y!#O*BSq3AIi5fkNfTVx9%kzm;8){?Q8kfMR}|rR*_T@So}E%cNg5$#?y~8E~3^%cT-M6df(aQFXaQTE+Tz%F+FxbeB zw;vLUKkW|V@AzPsEFRw{F0}c1_Z*+7o&A=Ap5IfqV%Oe7D;r0q-_=cTeCzW+Ec${= zuiy`8s-jFr6WEsSacki*n&?V zw|*PTe%RVo4vQ~FV9(xLUSM>keEWb|mR&2ho8`sYMb~vB?>m_i6tCLBXCAQnB}MS% zya96F{9fYR&gwIE5PXh6wEH1$Zv17pR;z0qwsdfqOL^uY18~niK`K6LKSqSfxA9|N z^z+)eU|Z)?4u;_5p(`SAaz~XB=k$ULa8pIBK_Xi)g5@(mxp8hIaMgdF}Zh$-L-d8AMH43@-0Cp*wziuFU998a~&pF?epznTi^S}yzjPLyRDyd?OX!; zd${NBB{^(p=&HlX2KamnW2*oD-nCclq>UdT_oGw^I(@oTrd{jja+ zM8Ousyz^krUfq;X7k!H&6dT#S?y@r1^|7{a3BjJy`OE`Nk+XO0FHi1^-F%ns58F6} z!x(8leH^yDQ@>ne-*!BAu8`fgtChWb#{ueoLwwUy*kAz~8LP8O@g;5aC89BoSh}-?1Df`geZslH9is9_H~w z+&s3%a#b1_p zx*I1B<=VNWwvRKUf3RDt%|C~UarmywVVmc`@ah`ed5}QDBhGg7{k{8k86LGvF~9f9Ii{l4svBYsYv! zdjwNRB)^wCfP}vG9KUzb5c};-F4*RC`j*VK<72BIdLdl7;D%0_g0^PY1?z?GVS8`g zJrg<*h^XMhjG5H1^sL?bbuWlfny}Nbbz0ZPR9=;N^|Lo z);;U29pl`N`GSNObE~$U7>Au33+T!bZsd(?`IRA9`9M3nIcv%$I6ACvDWXdUGL4q6 z5n_eS?(gA=88exBF_gb|qvNsuZo)Gs)%GESE%R{0uYBNJUX~djx8KTU9PMk%Q12o! zXoqc#jq!=k&fI7qOB)=W*-LJr(GjnYht9-_wtb+;m6ZuE>!0>}#GyS~uKnfr+O2)) z&gIsFI&AAf@p3AUIsBgIbKfu14#V1cXgB)w{ocmE9A8GU!Aj{kBuq!DG{#i)@FI_mhavk;U=Gc50jW5i~}T?r0NfLOJD^Tb5y^3 zq#b+Mx8L71_I|rvTR8%@`tS9-Hn+o6;oPyv-`aKNLtEMLwsK`c{bH#5dvvh;9{c$2 zhgBUq#YY<*dWZS9W3M8|*Dz^)1N_^$I| z8?WM&Gvh5CT#D@X_q4lYg-;)cZ7kDa*kam6SJQ4|o_wn?=bphU$Gzht$%pPmPmYhz z?+KIsp8doA+`C75MeK=ZdCmTEQDuK!`+KkYJ;d=@gIoLD$!88M6Ux2g zBLL2tYPYdl_wB}Iz?AFDShy?F2Nu?Lk*WP&&Jk>Lx~|{o=di8Eb2Kje_cPzaHiq=D z&EFy&=yvz=a&G5*P+a7^eEPU=H}2*zg7K%_^}9I@uzThD)9$`?aRt-KVDiDn_jw0l zW3d^AsYlxf&OWv!-f9uvi$8J5VExh@-`V_^kYdS-u_IEw2x z_G}ne?2b zOlXK)92yewlsjG=?aGrvVMSlgSS|@>$0y+>cO&-Kex)Ssd}+y(+Zx!Mh-87e_l5Dqr%4ou zpBNTD*oh45|8T{#4$oK^H`hKyWZ3t1jE_@z$A{9EXWxrRL(lh0(&k}Y;tiF5$099t z_v7lS4GsBL`tW{B0p51fVwPt!t-CSjTSEHk_S(f?!8p0OBVLN4Jh$JDnf+>YQx*U& zff);#b!G2MHyygCmkN+5-3vnd{N9}pmYT)*=zET2n}6D!ktTLW8J=4cT06qj?xo0{ z{wZvXOaSTQ1c}e@8FPJO*d%A~w7WR?{XOxCqca)H6${&M zLEEn=qns^nlGTO$UhKP<(zSZ1Te>`gcVXVDP|Jgh`Maj4VQ1f9o4a}Kwzfa)grx6$ znT9R&V*IOVms+;>dmGcs7f<3Cp5qu1lBSPKvz~psZ`bA_?2FHFzvWDAAN!u%6%6UR zh=?0ra_tiL1tY9XzvsmGVt9@V{kwjLHEx}0bK*v>ouH2Cba`a}SyCG5jx|#2`4Cx8I8=-0{)l_de$$NZcXDp19XD^JmOfZ{;RqVIi8e z!gMlb{CR!lRMU;|7X(FhUiuRmwfB*4S+A#GI@87wocbHOr)sP|gTZdS7LS&So!N7a zXJ>9~|Dk)Ppyhwm+Kv4^UL0LbV0_On-oAPO6?SWC|Ej&N?0Bl~>U6S`orYOIp;n>$ zOLp7+kan^)zchZB<^TNNVGP>ASXw_A$Br5X-*yl_`duqw!xQ^)?brqTdn;S$ z!;1-NN1_atxO#!k;U%QHeZ!IgnS8oqX5m>rsomE5x^KNKIAggu^IaE)f#ol(FR=xY z#<%7Qr?^nRoiCej*1%BO2lC7Km}!RJ3yhbdyz?M(ed)?9OnB+kMYD1agAtA}zjr?7 zU1wgpy(?%b0vYq|`c04TM&H8P6IaT~F2Z4QaP|uHx|v_YbdY{BDCd71eNB3PTueY<>I4mp8szhxMhbEKUx=4r>sv$CEQ z-P&Vhdy@O^$Hkm%zu5iSpe}B1?*+dXe8xb%w(R#!tATLZ#6 zVH4ke@BR?1HeAct=d}yxZk=0W`Bu3TL+hcmeM)A zgaswO{Ija8etBfSxoJ!QiGy;qxT$7*=PchbYqz#neQXVOA%QGd`|UkfwDZ8Rho4$2 zR?zRd9Jcksj~B0;%{xQHO215BqZ@GUCk7XmSaH9%v0M5`ocWE<>^|#vSZqNu8gl;< zS%orwzGbJsqNu^P9{jaq9r?7oezz_n2f=y$KkZ=mAk|=m^w6YsYkNg4i7U7ajBQqea{RP;(OQLJbHdvC^p|2i`{oi%}ouGkxOVoo3C~W_=#JGB~AgB+602v9({-h z{?0>)D|_PZuk6*FEhE|e;4RC2NmEOl>ebX4pS6C^AoktgYqzq>^)dR9x*aaFd}}5% z4`Wf`?9+#RLzG{-I&9bKu-p}=ajrd4Xh3ovjZQZFr(gQbNq~b%cv*g+k9}v}`D0g^ zeN!1+48r^#Z^hmLvSM;K-nV;)UUj&=qeK@+7KO!j4O{v2)^GD0596T8nAi4-AkRxR z?al%6-AnD5=5`Ivez0q$UFIw8WOYCN?z_!5mc$8rW2x*yMM@@9QY!kW2> zgc!Zs{Xs=sdbu|zcm249Hr|KjT0rLZ!TgfnV^rAn<08m8ve0{eIW)IEl3SlKW7nSM zxAK8@Yi~N*SpNcy?w7O564E~G+-JV*6YN*t`CicQ>R>Q@_q$fVcC)|tdrMb`ZSMbJ z$y*fsytPwFP3* z?MSk-&iWXhtsP6l?3?jz9o!r9%5{e^V14^Q2YW7$Hr7XV-!jB~zs0fLaEl3($@ml| z-nsEcg|5zrJHKZvt3w!{q?K8F?fy6C?dIWCr?O@Ix%hjQMJ(&RW@VLhH0+xf$O6`@Qj(oyU6L zGJp{+cF!-UZO6<|v*WWz8$){g)|w_)^D%S7Hg+DaD2wWZ#f@&Z@}nv0t&^VdJ}Rq{NZYwIh7*I`b$kzdEwJ2Wo0vct2{7N5p2f)w zUHLsnI%|NH?AkLIkG^o6A#2KHA*1c1x#2cTD=aNc9FWB4r-*yt$9G6AZh3FpH)SqR*^>B3_(h z`F7(JjKx`PACZTyc-ztyZ0$6%KE)yq9SHHKa?MzHFZa&#t&1k@Hh##t@~mKfkNJN0 zTr|?y@b24<%{z>={OR{(pU^4S@y6lZqaJIo^Lyr&vG7bTy^x&7c6h(Hv66>v-uqqV ztlXb5!+JN8o;MEk*KYMZ!rJCGUmt7BI4p9>NpO1yIBlEfbw$P`PRy9EZpH5T?SWo5Wa7hgROwsmZ-kIj)iY->dv#soe4b`HmkMX899OPEVcIp1zRqCR}O z>!O0t+N8CUl$76N(q2pmX~uGukG37}-SQH5-q;h3k4JCMFIQ#wmHJnEhx&G7^GNLu z*Up16Ja-;Han_!TVB#$A_qGOvSZ}x9%*`b7{tOLb-=_S1J23<>2eZGozK&}bnuNI+ zzH9F=5U~~`KtI~;{p+G(Z0WblBMfHk%3P56efo93?c7jq=6eJ^ya{nImhM>i{AYi) zvq@pa_sosecVZiF z?O6fRZe!Z6U34LsDCT^-{#D;HjHVB&ZoU;CvGbKC5;_piwfFR8eXcxCHQjiwp9v-F zqK?S&k_$Nw-9PeOuJrc!%T892IWgEqOu7kxsDf(~Y04^eM z^ZXCnx(2W_7tFe_%CB4}-+5!G?%T*Yu-yCl-Fj{cSMu=hn!0=TE|U(Mn3(%^@AG>) zB=pSr8D7kkv9j4!HC-F1AhO&uzTDVpmZh^se%H_MZG16n6YIm!GqX=>!VpSW754Y2 zJ;OIxuQn#;`q*5?!=f_@?Lm~&hm~4c>Uu@_V);?W8%h9pl&h9>QNfF3);n##jm2rRE&LM8oFy;l| zRKGo!*KT>qMY)a7n${=+Ccj5l`_k3%?9JW8#o6z7%rxD#rD^xq-es`7M+J*d1SUml z=4-@R`%{k|?LsepZtVhB0dZ}3Fi!C4mpZ+=5GzvdRjO`$S+Llte=70P5PqMte7T)BQ;fN8r zlg}i*aR4w{-OTOE!Y)nX<10HdjFhjr7uF`D-Np);#}&`oe(mPciYD`ksAbak$!U>-k>0eY5Vc)OCRgJKiy; zwySSVBV)cGpzpUdM3&{n$Ol7f95GBz+l~s6cDL)(?i_?OW<}q~Cfly^3p3K@iCh+6 zY;G`u-Tt1taWVO28yg_hbmMZ>Nz`!X!N;;R&d|5Hn+~8{)uEv?eeM0BkML|S;Rs@$ zd0>A_LzlC>_n>d1U%Dz3s+`|bnjrfjd1>ujPD1qkE7`St<0QwmXJ2IU@(t~_j;lNX z&i9=!v)!Hg|DBsK#`x%2+d1^6oh-Dur>R1|9(p^m0|G z)(+?D-q?M9)Z`-`rl{GbWxvaLRs*xevc5bUxxSlj}w(7Q4S|U^CY) zpq=4NzgIqc&er+7mgm4W4pbzV7>qAZgvr+buKi(~Ck%52^Q}AdyDrym>zCZ`ZG85% z+kD+?x99vYR;|w(z^>5UV(}yXes9jqeao4!-@4zv_kwl`!+zKPzFqslwOfA=Oycol zU4|E5yY=~BAM3-tK5(oZGXeEWL%(*zOAcGP1m+6J+|Jp%eMmxRzgtt*@40)jrdPFQ ze~(`>7%ES{Trs6d3$wrHfMnmeiA$#h#r-yKwA@;)9UaDfnYCh<%Gy)6GUn7&yx-%# z+p%0$=3<7Dxw(@w4~g^{i{$W(*>#!m5&zQe6sa8x)u4TBZh^TS=gqgV_3^Z^Onutn ziFx1eu#S&rJ9j93#J#_3#kD<1s!$Qu+ApYIyTL>WEa8YJEa#&Wi6 zFEn{{Kl4yadB=xkk3Ckq#M_ce_?f=<5saVsdwwZkMA7g5x{xoefA)j9Gdu#@9i81+ zh`Zl)xqXWa%;~pvvb3W^^KWyI< z`C;py(Qfmxuie&7`C&U|fpnXfpdI_#tN|=CFf8$juzkH;W_-l8>|5WdQQ<9qKUnDgD#6YDYs?r{`(=dB__p4I zvqca1&f~Dvrw?1(;SURMVyB)Mk!#2C_ZiD!8_)m4A|EK(Fgm+-jL6G}&RzDvA`76Z z&X=$Jd)}^(&0RXohU`4%0L!I-TEBTCLx1jN!E-xu=iHdiNxC+HvfDd8gkK z&Qwg4&Gtcgd0=yQb3-u9fBS`zLNYgo>hC(cC+@MvPrrxZvcVjX!OmW{n5_Ka_s)m> z&@YYa<21PWJ-HOi$9)?{J)n*!;T z>&LDa+2YKi`+H|5+;yR;tsUu?wzJ=oT00hENq7l=^3oqW*Vtst_YAE&-{ha8NiNNu zFKlW)o-03gy>su+{dS5=eBiLelhn2mhZszy6?RSqq zY!80VHNE$=Iy<8WXm<@~&sB)(+7tShHZI(8`O76PF8%SfWh|#6=36m~I~K{Rk$te@ z@Fry@BA<#O4L!S6*H%w)R+fC$fa@tV&N`m^9M=fliy#QC@!2dtp#6H(XZxl6726tq z_S|6Ry!k!xDf4?*=4sYhrOw2P3v}7{YxRMOh8O#_zm~>fz4v*~H$I0l7~e50J~aKh zy}$DSRgagII<|GfB;GmS`n|-jour(5#=`7bwL!SfjzwXs!SumkGVKgzV zIwv;8_NHF$kx=hEAqh(da+Y^pn0O?z?D$gOg7b~QHtPpVzLnqGntQ&LbDObTV&%7A z-){XiYakG6?gc@)keyUX^J_06uVZRlrFuVy1XJp=AxIGZ~9z&6tJD&whx|bo+eA>?(`j8MgJW%tMgj z@_U(rT`Q&Zb}Ss;s|Os3uO3e!?%FfGt}f1fUVV`7ePs*nWW3CLNj@D5b#=zLa!+vkyQ>XV`f&OnFFs6{jd|WL4cxgrDS63=~_m?!8{pBp$``7PnJz8TvXa0^a zwS2S_KHhfg3%qvg(>#oFkUk=hYnM1$m}_Ofl}NScoC$esoBAc2wOw*cwNnT!eQa&g z`@Q6J!5r%SmTZwdqBIplsQGr^C)O`rBje*JDYh{V_wBxydcleNUfW@nX)fJ#*Iu!W z@TM!6v11{6Z_JK9a^3_UCAS3@9SoLuAK2E|G7q}=(&@#m7mNPk+q`AUqqJ`n=!1&0 ze2DcR_X2O!+N$t_*hT%GLU%(4rwh$JtzB|PVG^YBE!C%3_mW9J>*BuLGovid>OFjr zf@i*cz&P}ZEg#Y@y6$1SN20>FP8+4sVx?b;FlSJ^eLux3Fs_u5Ee_i|6h?}hd64hd z&Z?d8vb2j%tlh>M+*kw$Gs$>dAB*j}~UH=v9~jMD765<;;7@WRIS zaP3xagmF;sSeW34))+gLUrf8$EVWA<{4W(bW3JstyTnhzB1asy_7YfZ&9LOO9@e#Y zoo7Ed*0KhihPzg$bne{fZ>4(`07LgQ{#{cF;p&YF0`A)|&X$GF`K5R7Jm`6Qm-#j_ zI*c=G_V@JFV3fp+nWj=K^5jVetB1l|G~2I;kYdqceVh72u;i@35`zItO`XG5E`}u* z7PjvX91Q^CEf0r zFIhEMaulTx#&-e}W1Mee8_}-y@K|@l!~V+zowJ|_M8+)4GHW0mB4c4%%Dp8us91c_ zr?T%o?QlHq#`;~fi;Ww`>A!3BcWjZ`r8Wjka8JH zqTSYeMOWgRI+p*fJyCFW^w4|tb?tvJo`@ZbIL3@m$XNKxRjJLl$;s45Y+4tqwrgY^P`=DhiR8uj@re?2NR;R-%|cU8>eukU2>qWs_EuC!=eja zwVTRe*wxU6cBzE~^Qzf*EHDKDf+ep_yZAp~J?D=&MkhahSXgR1z)}YnmOLuhzQ;_y zw?&zUnryR2yi6P8?_2zI_U*h;tJ}k3gNHrVo*A=a@eazaGaKX3hTls~URdf29JX{1 zOFdP3N_vm--}8gPD!+)j$?w5Bk25sv z?+MW?CJrs_9He0TE`as3fNjTOmv5V&XQ@h)JeZ*aSLm*@AcFOE;E50WIJ4f+JsBi) z1&clGu-NN(2v(oL?O%6ebfJsbS$esfB6devN{>4>bMvQN>Tzqgd1F3&$gJE^D-ZF0NdEu!aoFlOu+7<%+NgO78r?vQ@SZpQN;XO#9wRBX@rn9PjcJ=9%5c9G#1~AA z*Yt6}xA#6Q_6=CxK{zaaFjNpftPr5^2ywZ~+ka1mjtpWF`wq+z-{wLcmYNNI&m#)o zbvbP9%D#AW?QoL4K-R~`rfTd0cEIJM>Ix$rvehpasAF%qy{NCQl)*!OLSwQzr z_Ivv#wRK^i3_nnIX85Gv+Z@j;v6a|bSo9QFY<>ETpZKuV*@3`OEC)SiPr}sH* zW&Vp`?wPjb;hh3>vVLMA<{bIG=w-0fQ9ms43`}He!@JT&O9!yj8}WOIWnw;M82HkG zbx!>zSbV*Q^_)9!^LzT(oG$y}Qck;n8o&0p_@TDT+bhN*AtLi|s|4G()QrWG2iZ$5 z(%s7|q_i^OEBEGv;Q7}0qQ}D$i)~#5IDN-_*xpgh?VAH=9tuV-Z=%rbIoGd1iftXd2 zSYkC``_A&MePcCv7JF8&Fw=K#_A)kwdopMFi+=N_6pYaN{XJNG^u`xI*kOrTw0vuG z(@qk?w~xar>opfoyTt0ka?coZd>ydptA|A|^Lyp7eSg84HdfNrxch-I38MPW7uGm# zzt_8hy*=kw_J1*g?6(i&i#()XH}$t2%!6{lww?!?eE1jcUDdT8s2CDo2TRM^8T6Z2 z16X1bZp>>xHI~HX!jcc>%kXiERcd>H@@9S4 z-uOr%#r6)+juN}=q!7*c^c(*wEOEoIhy21d7WvBaCc{syGp%mN(zjuZIrbs_%0B#l z3u}G=Y}eH9ak6~BJ#6o3*h6+?`|7umfqh&3O(f#(kuirhU@AaoeDN_`zlTi2SKhkzvxid#JLn%~&EVVihTN%VyQX}uMr8O8H_#GcC`FZ-tIfun|$he!hFA-0ISJOxAD*DZv zyMC`VLs%2nrlpVAGOpj%?eF(izS556amQzJ4{YrRzD*1ZO!@cxUi2C5qR+sZJ9qgN z;Uy=Wefyy5CVmn|7~OW1-MPD|?5V+iYW(srSmp+c?FNR~ZNK;E?qc=zGG*jF*Q;ip zJL=w4P9KQ3SmV8AOl|%fb4g|NJ8pYdFyD9maJ%7QXGMxk_#d{kp$+YLu6A9>dYK1j zR`!xTG2{M zuXAKVw^sB}k(_&`nc*7i!Zi2zJ*6ObENp4J_8v-#Ovq%pv7t#r8QXzk?TYwg`QP= zSXureCSv1JX?J*A=2x3_m3PaX~!qwkP?icP-oy3h2 z-i|clBVbw&Ka~Z(eqsXf)_LNza`ZE1;!7~9c;)sdf7Ez5l=6enkfs26?4 z%@bK!bVSao@X6c(-YAIP$OIoAMvBP2s@g+j6=m}}UqrOLgmlnv@%GS~u>WF>iMf!c z?8m*%G3QODYS*4vygUqNk8k20$l0?>ip_obc&`mJP=RR2LJSM-UNM2R6Oj~*2_e{H zzU0%a3za1ER^5^v4}V3T|b`6$Q!V{hkG8)y;ouJbsV-l z8y256Eb;;D-{oP4bw8NWvi5uvL%Zgi_+1#|!>%c_e7{xEE$truqkCK;?H;}a{-fbT z#*(~1Sacy+V#`DXIt<&d_o&hbSw7=?)Zue1>#uEWw|@U#J?Z!c>n8*0X<&TPi) zw5@FkB6DoM^^D2#$xB69{wL5Swt86ZUl@gT`hYFp&`veXZ6}_v*dHse`8IV#VDUM? z;&Wior!;N94tg=3h_p*Ao!|4)S=vR%Cc))RQCQ?5SmL-33m+0OyYjAf(FZxFQ^N&@ zdG46$IGM*iJePJ}>|Kn%d+CZg6Ivq;tzGJ(a&yj^)^ld0)U53W0mU|V^?}!R2uofT zOf`$0N9~E8f{2}3YEbgpw99)>_l!j6!9ba{61J2zWteX}H_eV&9@_FD9+s|^^oq1g z?Q)uiT7=8TS!h?Lwgw8UbS&i?QbM*JFXHMIN?8E+_rymOWA&YOSGs8Tl4U(~0LvZ9 zUAD3)0Vz2|u-5-`^Q`==U246+dY{80=UnXN?h$ucWD8jQd5&-7FqJ#P!(bZ|$SKqs zRwRqgO}VP2Q{waLE`BdIHy8zY_l%>t+HxM(?eZ!waFZgpYMW!>B*gT9m_DRl)#zEclO!+B88^!Xrv3^@G{9?iOTdGFxyn7b3HvE(qHuucMvM)bnMT$)0R*gIfi~iw6N4`R7k!_hR!k@JYZ@On6_PkxF=>yw1 zh09dU`DFpk`BkPecOGnQ>wZs||L!^0Sg;dx(k``6I9NnbZaV}ROgKofea}!0;pSw* zY6B2`8onXwCi0|Lz9U;0b7@EnZsv>K2Xi+Tt8IyCDRMEpZEQ<2@HcKmrd#aKm;Kgr z&MB9DQ(LZBb065&OIK9vew(;loT>4}%)7A_;9%t&@~yTHyPi9bB$567MHvpQsrnJw z))s|#N(EIvB_PlTEY5a{GRZX-OIe0=m6eDlXg_m#UA|S z{KTO(#`*`=^~3Pfj;$d58ep-?wk)|_dz;j6`v#O#zCH}=(mU#62tt$W452@MtE|1i zrO+A^)XE294SKc&=wz+%zwDc{pMKTi&zQL@f~ljK@o{+-OWlPlX z7BS}++t?V_i*Sf7Nc6!2qbl!y2vm4rxqGSr~IxVYxq! z#pWz9DdhaRQ&uh(gWEGLpFO`P2De!J@%QRx&vfI>uH0+Sv>~Sti9fB~=CSp$JcQqyM)qm4Ci;fY4vF|&(i9uhY8j#tVjG9fLw z>q0GSAAOUHS`i*0Y&|~1i$BQtS{EAuHQ(w⁢U&;RB2PKu$>PdY2QDb-9G0T~nbr z%MZ@fmvs@GlCh9ki%H_xKD>PqjFGHZYSmrE<;atlQ`2t~3+vm&Xu_IPElR&<;T{6q zd5CAo`Vl0uevP5JsFwIIWf?|awL{_0%J|3TfpSZe5|FYk%Jzf~=+l`Sf?0lgs(tti z-n9Jr+;6)s%3y4a9FJFW8qNzAS;gb&Sr-2 z&~+xbXKs8-d)_X!V9y&3CwDwmEq6T2X7_`8HT~Yho4d|zcUv8#v> z+bdNvGCGMr_6S(+9^t^zqqtZeG$fg9=f*^qvqf0W*&?LpY)LU$-YHWd@-7uS`ivcl z?MA7R$b{ZbU%5_V-(y|mr(_@W7V8_%^z!u|zJp=&+SBVX^(gV*5XA z`4B9&dYJMyI}hTr8eClY)fw842i_ZDlmo!jx9!z|ciKRBfF zd(t(s=TfUeL++IJ5j&T8ME|`pFE55Qf6I-xd_%kDWWx4bYL^(GquiC1VT{eYRtzwq zapi5Z1{CVHOI)Nr9&6yKg?uYKtXOja?#bQI-y=6oCC8o*pZ41aZ#uzv*M+G$^y0!S zCd(<`5^4s!^zNmh+u&j@nta=wLgjK-E@8!tjRF??DNIG4?c-iZESB>|ddYrxs4zSN zmi-_q?|peyAXoN*CH_E`W^+&tx$E5eCBALlfJYunMNO?FL(X; zyt1ZDTG?MA3H`SGR0*cF!`aK$rjqfKvo8W8bb5s)L#J#78OsGc?%d>h1iPYu+wPI` zNVQt|8JS9q$+wY#kDSBrWn8Qbtephl{k_^O9huhURQ7V?6s{8W>M5}JlVN!$iNUuu zAYff9p_f@d3D3o1kJX2&cA0P9andgD3&AA1?zd9#b3Pa-gW>nVgn?!+h0PTcrI~*7 z<{FPp!Y>SuD0RWTC__X1uXS$V9je&cW`|y3>ke#@xpvpE+G~_<`EyC z-)kKQ(M^$KQS)OgvGdamqQk;sKY+!40E?~fesA?;K}OA=W(tbDanCkqf8B${SR>~g z3Hyp10SoU`$18U-%FP`Bi!4Cx*%+^TS9)!)u<9Q?nxW^b^AtK@FWx&;gxuN$UCFIY zDgt9|rP?W)v}+{lXuH<7<~?7VAaSGhT4XP*-IlnK*c9SR;-62XS$!WC zKiFX#Z(%Gfg}Vk)|CbkY3HQt}1n*rz42w>3A?oR)ITu8@2fdsVW5*}fDl|?_UKvEb zT4b&(L7cHL=M;;5oOqgC9$0dDh^&d1g0&`$lMww#pWTECpI!zY-x6U?T)BE^-5vM z8#}CY#R(L;XL~5dTRw9WxzIJ`uE?4)zAj&ru~*vlsNV85;VQYeXx)+5t~B`aXE#*O zCI4IJmfX$D7>&#?pRQ;BQ5(qqhVNcU%Fv&;c{3I)J8Q-M7mR+n`#}?rtipU68||f$ z=J$w0D<24D&%LjY$VgWXG<$ACiaqG+@z#u|&t84>{!myv=Z$DqEbm7#=WrAcJu~q{ z59RSH{hfle-x_kU#2vb4THlOxzdlHegwbvLV7ktl61j>ckLpZNk9LWx*Df)=Oi+EB zLnvL&Gd`KF>SS+@;-TkLx0Z*UDY97WI#VUXBVf6cnJ2@W9HY*c{crCNR;k=6BDQl!sgx60 z>gwBOFCTV7$8CMbbhU?$a|H%={Rqo@U(%f;bJ4Lkj)E?poB=v}YV4mD(6hkQl09NR z&3sYioyLK~hE z3T-Gyk$c~(59_1nnt1fVg}ZxB?FsLMMGg}!8osM=&*R(j-3tlaeIulY)^Od}cwph< zjEs?UZj-XVQXlpVp3^4##@iMQoo39B_dkA)@u34xq}2|5PUdMx{f)kQPV41sjz@T` zV5{iou=rSn21GZ7MQ-nPb*mV}aWDz;7rNCge3MAHC}%<9pPBWR}m}NVCfQ28(@zMLK-V>qn70xg2)=uonrA-+ACxk?-%O zT|0wsRn*#Vr7b?N)n|O0_=Cfi#$g=U+lTznp&{dIe(04wpR=W1WU0gUOv@_DTaun$ z&fCT?RVsFTTxeNSLhzhlY%+Q`On}|~9z|gIy>_kVK{Z$&i#?}C=#OP*U5E^GN4Y8l zBJWt-m&G_Rw;fYo+MUB^+ns|eSZhVNqLvrCqMC1Tr9b<7jm2heT0PnC@xJd^n2~lr zE)+ZThhg>GN8B(~Xup-Rk~M&3?YTqOK4b-AyFP67id(6iBiwIgLhV|kN4CN0p;tL! z?>FCeUG%YXoo^G@a#(nT+ww8Kz6r;2v%FKpV&rkgtLD#OC42vBmzWb+^bc6y_=2r} zP&*?2(3J?%y+3#>M6_-@cWu69Zr`&ik}3Q}G~C)0P7?|rmlw0KVA`qdvSVTVTE1~% zuNey^Hs7)ZsSD}jD&_Bfh_}eMk2;^q zvv$7Z(><4`=A{o68bSxo<&N*q$N8WRuD(S@B(lvsSS?l$xGbghlfY6V{?yC<-Xo97 zGj?lh5h6^v-?5m;t^qSm$&vxh-6Q8|Nn4qWR*u zY4%stb$F9{|KW-HSbhH@I&$`5;fYtad-)JEYh+PnPS*dX4>!%M>DAaT#^{lDiN88i zQ0Nqv^WhkUcd~-yp1Jn}_H12R+n!(LZNpDVJ`YSF%FaW1kfk9}!;f}}8#yyq$6^7q zR`-Cw_N%tT%3)HGW8dI8Ui*+}$JQ=VsW|crEHX6_WbKm*izr68<3pxdE4(E0;FMb) zOM6?p?Rg9%t9a5eya|Vh-YrTseAjM-=g35Pd|RHa-`o|%tF=j!1X|-<)t>c7X(wuX z*6+$X@A|=VewimDQJ6T*%&MGW&oiLQ}I&ncc`>>qz zOZC|KQX#?6J;s*byJDh42h_Lj5mk5ZP}Ysmy?Dp&$1&~gLoI=&dlrh^=fvXm0XeAg zpGz67&FD&Cr{4?0*s)yTO|ZvWu?;VuG~3QsBFpk|VE`M0!MNMI?Ba};$MP(eo`nu( z%$JO^^El^Su<8q>u(ZA^?l#B6`h(P8V`Ic(#af7sj~u+#@RY-=_grpUsMnHe)hE z4%>U{&VtH9^Lw!2L)UKWgZzGP_`zYTZ{h2-*w7#O;DMD+$tu}jHtAw(=Q0*{uIwc{ zSN2WZP1c?ReKDa6WB0!KZoT!x_ATebwobwid#vBuHm}{vS1|VWoK<##(AqsLJ^RZl zKeDplBT4LhPkzoh56E#JkO=FYo59(*!(;F}v~;EY*F!ssMdWOj1}eR~DY=3DyZ z=uy{h<8lvMdG|1G!~C8q*u_S-zi-!;aTtqYzGdYu_E`JPk@b7$Vc2hDAHRMT6x?=K zU1Tvn^;6<6AJ zr04FhV3+JA@ierdL}+-OnDU*+l~-9>^Cm;~mr9cH!P3X2QSDxGG3Wk(ja}smq-;Hk z!`2pQO)nF2*AzQ_VBx!dFZ_=+XY_^ZS3=J80n5EWqRzd5<79lq-LVhpLrm|UBiP9D z*KX}9hppf0Fu~Y6<_q8rCMho1)}_1O+ZvaL?b|SiVPg5cjnlezTN4!~r7QFzpgQv) z{;llIVm^BE{T}UQ$0EQzbT9Zk`khDD+NF9P+P^(cjK9u|BH9woc%EyRnx) zta~m=HhV68YuAM*uzOCA%APaI?Aj~Wmvv!6DQ!?wmY=GmGIn(HkQ^D>rF3>4>wCX% z1vd_DIH|dNgxikY%(p^tcYm3Oa`%`;`#42(`(+Etd{3cCyN&U>vG`BB>c2b$k*}D! zB9Ftyrr`H6*^Jr6m38J8&wgN(S!a4^#_Vu~N6hK;{~qYjTboVakkjQ`g`t% z%x&Kszu()uz{6O{_FJMr_y)ZvcRc+l=aL?k^P5~`{fc$T`4vE!b4l;X`Bet@flaK& z_3@DFm@WG4Lr!F6%sl>YV{r8$v^#6{f3lo*O&K%NFKMcMu+HV%^8j_E;g$}_CFOsD?ROsDHHt0-%R1jvx=RQ5OnNcG@~oAr8lfTY zE=8AQnv3oXi%uuYD>gw{Xt_UN(UUL5y<@Ka#tIi*!_{3~NYdl3J+E5U1&e6ES4DEy zN?cH}tqXRMF16<{cXnN_;9UAxALRYszRe4}B2oEv-^jRc|F8QdC%xb98y@$q;O_LX zF{C$^^<^ElzN{aXGb4Q~vaKsQJQfzd!BrW(``+4G9t-O^68o{T1uyZ$AKaL0YlcO( zU-O4(zJjqRxJ-Sj1;TxRH%m4IS zdO3&u(vYLtZzUvdJEBi90qN83-qG2+LY!c965%T2TeMd9K=J$@Ecdhso7ki;Ogi(> ztk}kFUcVcAblCb_e^}{-Q8j%CID24^c3an8A9<_iu&t5u!ydm!ncVe5e%W(|kdCi* zN4IqDeIxGgVdUw(WI6(lrb!}HJ9&O(rCWjI{9=S zcBJK#vf3iws{|MOu1K(~l@-WY^?R`&xMe18ldn>pj$Idmc6>HYZ|sDeq;2P_?eA@z zk$wq^d#^e%x%bcEv%G|XJTez;b>jc7k9~vtheZdwG~AW1V2^fNPvL%#)HQp~X&w6p zXVGK6TR+0@QFgn2`%cZZ+xjJkA($Ntqs-FU8O>MEQxz;Soaoqo`>^R>D0_bIs-Epw z#QkS(3WjIxrE6r&mu0fQ$1fBblE%F4E?0HOckaO*pSOClmzRUOV^%pewB~5VW=Um# z{9f+>VM~d(umZ6+F$=`6D=esEAr@zD_-?VsSlkuM!{oz8K9vufb7>mU)mV(eo0LP2 zEz)k}%#b!ZUu3X*?rEpTw=|le7v7&$RH}@KYgovDK?M zm2*x@j;|Nl$5w^IMy8R&8@Wl?aCDpt^~)NlL%Mel(_!ukD;2%Rjz%)T+3EiabgG&y_5B9=YpGC9WAjuC2Uk}W9n zm5H0*W78@o3M0SQ7(P;a?C7#n!aGGyhj-HGawp$Al)KJe1Bx%}O1$m(AYNt(3YDxlY(p4zQ&xBeG{gdd2oSe9!&a~$0PPg zRoL=p?$FFbg`dnrb&Slzb(wi^Cugm6QA}miT^ILEvBr{MIiZbvqkhN2@}Kj;tsDMw zPh9Vs(pJM?q}GSOT#bNTmwSG7_wvlKOIJcBBI~Iy@R*0vLbF!v5wRyqT}pg}XsPI6 ze=D`S`ysp}vJ?+m^qEVM-L(?xlC`pW(LZ3hduX~D-_`P7I>0qTyO%b*Yp=3vbPZDr z50gC@zx}bbJ#V-{{AyBjbAJdGdVE_tWipz*e9-2J!``%5pjBX=mQYlWcmdvw3$ z5xNb=<(hj-ZMmEyrh@P$HRD!aWj=_m2HQGb3L&}j=FAX$HWyDjm;B7lNr)^UxFj+X z(}UIjo+D-k0lbSb32q-6ZX62JLt-kK9`@bRi%1ARWqR1U0opkVvqv(pa+eXV5-a&L zGweLbQk!?e%<#XxE0`3vK9|_P`a?xv#2$N6@$!46vl36^RH`&F>&#EGHcQJF|AL~< zv4OJiM2BTQ;Ow0~)IV5$b=Ch?uVpIuV|gdRXKSLW89=R=b7@f$55fenwUw@*i2{l< zKG8WV3pfcBfo+%kX}@lMgH zGU2%^yyTKN06|cRv2pO@*QFxHKXWSL@<}QpYr%JJSN>{pRj;~*Y{qRTHs!lVGMwa) zf9G*8JgglZMq$`*k6nGoqMd}b@7lvI$@4Q7*cDD*43qutxlMCIwvY39?eFnH&KW#` zf9n*%Y)ATF!b=})$lC|bG4`AbgeADoJnY8UR4)tbU%EY z1QLA}CQokH*|LoNUc1DA!<1>;wfe2#%)^l$`r}{W5Z!t7jT`NvZ^7KtUp@q59@?>R zjfI}C#{Tvzvvl|Y$t-nxVfRSE%#9>9dK3xnygSp5*=+O$?br%-&qX4J#;G`=Ay)a3 zH~gM8*u9jMFuq07q|0&VL8=?Sfp&?@fLVj|L0z4BkaJcJyP%PM_Z4OhX06UDwP&CF zvOLze(M|6L5DfcWdnrk417IvZ0b$!w7ryggP;gm%+rgCWNjoO}@0#+$n#p%8FmAf< zd|?>J_TeHNeOkNJA%M|!w~upyZy)Sy!*|(F1Uc+HJgGeANIPmk`mhG+!y4>7PFLGL zh~9IK=pH93e)a=q4Ym)lTXT*s;%V!b;8sjayC1HAIY-)AgYDx|hj$)A?dKf%mdzu5 zaFgsj<=$H`0_yg`YCGphJ8O_W ztijHM#WLqe7~bf4Xdw1jm^Iiwq&?03p`FvPbj4~rGCv>ANgP90N?yzzs+~31d9ZTj zoXaxIIro@G&bdgxoO4;$Ip-v|@5q46^4^Aoj+%%3Cs;*&~d2 z-@MZ99{<@s9N(d5TF=HFx!^hPwhu>PTS&*-&%uRD-l^E z$7)ADNFU^bod*l@-oKZwyZ5iseEUx8RZ`i!?W-8Kc||a5u(T_BXzyPhs(rKAy9i=G z=IqOM;g0^EHwJDu^iy4JNg~Bjrw@j>y;J_f?L09FS50AU zS}?Kk>4S-J`(RkvJLRG=_fDZMYz(+Ok5jy7%on1RKCHp6m9)FPQ?Bg(-YFNNG%+0v zPz-z92aRs*)Y>IK0LBlt`@z#T{uzEY#@Fq`B`|jCD?UWin>FAP9v^{re1W@GqW{L9 zN0+t+yH>o;QwvEuH|EZRHFoR*+6iUfd9YiIUqg`P8PaDSRL(u;9IjaYwi6D#eaKWD zd!jz#4~6Aj_zR#~zcY+ec>7?I*>lc5x$i0YmU^^n#jHFrEN($@jNd-6agMt0y1-mC z-`|6Y0s5}XT>+^TCh{n`T0Y(PYsKEX__q(HuUUKTTK_RfLQ(%+VhN|MXQHkv{4_*J<+Uu42RR9HIa*Z0L%3pm6A{%kkY= zdt|kDl;5M5?L7FMm#*$bsiko$Vscg#D~Nyao)DZn-~uZ5K7#R`>^$uF(hz2qx)7I9 zIDGA@7bliU&_m+tPp!?KW8>L#+%tR5hMYYo1!vD`wZl(|YsB%TE8(5lbG$fv&W4;l zXSc|nvs>iMupwv9*^sm6w9DP|lc|^golHIVAoU~f=E7P}i%d=N{?abtDz)I8Hg3&r zCv0wL7t>)PpLUqU+`lYZRD(Gm2oSvAGGA>UY#t-8`8`~A=OI#edF+)Rn!EO@V91u4 zetkMJh<1!*+XucovYB=!xAQnx(ey!L-Fx-a!Q?{-^6=2bcYG9=_~;pYG1P5GIhg$* zeD52+RL+x}=3Cx?-E)FdV!Itng_QPND$cBxTPyK7!eo-meP!f!&lxQeJ1yfeaZ5zA z)m@zNQwV2#{O7yp_u}d9`IZ0MJwH`^_gsm_wKvIEVJhAIV2{}Q{ES*FTev((4Krq9 z_MUUbjN}?&e`{M}D!^cNKb*Gg`PC!awL%h0y!}cJEN{Q7Y zb}uQloRB*{XDeeS1Apx_eor9x&@PPEKff1QMZ4rwAGYTRhIsRPYgfLpV1a3uSUT<2 zhJ4?yFZHnGk{vd7{%aSx_^`1VUAyq?!^ZxnUEbOIVdG;tY;Ao%Y-M@vM5*t(2zAKZ2Ev@-kZ`a_#_F?f|pR9RSN6 zz;>NG0Ji$7xvdZK<{O;}ws9HPE_GaC$sIXtW#H4!$N!7QnP_)EMEi^{|Jp^4g|SG? z9-ZG{_v6e>yC1NXMg88!QCz=Nz4Wo~r(C<_Bf?U%>#*|nyfuBlmt0HOz9n?+9FUAJ zxn$bexNXPa6kf;Vmi@S-<$N2vBiV=0kan?EX}2*Q=Anzs18WZ-=j`n~__NY)@_z;S z_U?vde@V)@yJ5MzNq@P!VcB1-Gj}&^W&V5aE_XL9`^&F3K27IR@rhj*H$e6mmi<*G zEBg!U9l*S?`|Ao=yVSirFg`=$!#&Jsx_0Y>{9)r4hjH3u9=1I5ILFJ*LoQy<2a9{oxgjtABkQfb>7KCcd6T%E-(%j$ z?+NeRdw~Yl^G09I{?b>ozx36tOLJBP`>cP^nsPd1UAUby4+*iE2NO@{u`yoPhv<*p z5Bh57kvM(d3farI|LuA6Tv6wdJYm1Lu^PrFMI!TH<_iC7zVo>{dfw=(*$?_^=8+h* z8%ye|z&7UO+A;p`x;*q%PMgxbu<-09eYNvVtwjAk^a`mXS$k4z`$%04UlL?@9z^-9 z)df+dUGn$+o^+426KoYMc_+S29ypBYEZ=Tyfc!%S5z-hX6WEbD$%E5>kHIJa!?QJdAp$ASwBGzId2zMwfh09zI90<`Mvn$$S=u< zJ*@f`eKq@WffUFB z_Qv8|sp9f>0S#Fz=~_#>eEyNWVdd@OZg$UE*UH=JtC@##^}FZXwXv1L%G(p$Xsx6v zbsmYK(k^+pS30`9-D9RpyKeaMb|HbC$L6IPANSkRF08y=f=cG0EaIL)YF>G}M3(G_ zFon#6zMB0YPG%kgLY9|YAYSKDSxRu;#BtvmRF?WB&a4Ml4*5Bh56(U>e=$vjnFs%B_Je;lbk!PV94r#rcdex3 zgr9OjWll{1${8@W(k)an98G3<*URYW}FR;*yYd`b?3%xLB$971v4ZXlZ zFP?A?s)JuLL{5C7_}Gc5GdTmZ%w`fAphe|71ebQO9LtrvQMg}M% z1k}teF{#E(a7sI2<;AKm2t(;SHn-He@ULbb^wrFRzM6U1qRfMTb?J)rx%xtLIE+P@ z&CZQ~HS=&Rvmdf~Iu8#9=J!%dRKKa81H%FHtz>{=wFN!m>n^1*^LWJ9@zOD*JI6KD$Ts)tnjriq0eN8D7+8&%PziJm{+#i|J-8^wo@ozM8RI!oki%I9%2= zwN#uVCCRgv&`aSY!q>i;WQ$nc?aVW<4`{`$1pLe$ZEQK7@CMuJ~6&S6FuF zihnh9)x1wTxwRtDGnUhN$aGj5qOWEi^wrGcva9x6@pYNUrADXSAH4&_*X4ZhS7bl1 z$;{(QtfY^;4~FVxw@f=&_RZFA%UI87+l}1K&f{Ub5qi1%L0zcauGUND z;V6V32pxQ2k9Mln&3ThHTi))mp6sQhuB^dT!p-mH&5}QPSgHp&zTq#z9kVXY3HE!9 z+vN7E9w1yV`>RGp=hnDQ8Q;|dB;jX&v784MUP8JmFHx9o=i8bbXkM7i(m1Sq2vb~o z5lNT(!;M!yq+I8&i;A-4Ll%GcoIAOENKx|LUut~$5Md>ABMf97SL}3XO;%g@5G;J? zN<8TT;X|<45132B zhhX7D>JWqv!NNDL`eN2ud6hjMO0MLbvnEy+pjPbpWzOjxe?enQ8-lHJwyp}vwqxc> zAN1ACgT9(R9{yD?T$gtFSKCMZV9Zj{Q)C;I)-E_N^JoloW1L$`EuuR+3Ud?*Fx>-o=Y`qA6rw~9*GfdAF3jx z-^LCqs8D_-B&PFVQOxha5YXAAvkzs-NUqBqE z!Aj$fYUfcsN=3`)QLx^5LbjtX2)D>Qs3g%B)Bwxb7jhhZq3^6<2F-tiRTpB$%pOS{ zDONlBg@|O|?%~pI*1MbOHTx#rCG!v(A3aZ4OXflK%RH0? z%RCg%-+g1D&AEg{KT-@hcLgl^kuam2Us&`b=H1*Wu;@pw(42Ev^rOG{VVC}3vA>8W z3_rM1X1gD-@B>!I#Mr>X4@eBLtH8n!WF;iV1{Qw6qZEDs3qNpT!Vh4*d-!3Ou9(ro z57eg)KY)cFT!FaI6+dkDg9&f>!4;C*J3#bH{AIA5b7ubd%V0U@M5&x}Sk5`?M*L-0 zt+Vs!IVYj#oGU?+a}LWn=bFelhvl5hj?X!V<(v}}d(P>#nFmom`$4bGen`yE`FQBH ztibV?!E$%goZ~NpMV=(u#$N`@9dE?3slp<6s^AivDs>^YFO>shKY+#dMN_Mcq$EPt zO8NHX?IN~g`;r}6SxQR(@^&t{*dt)EeNlVL+g-`obE;|fTp5SVL+#4ULxIuol0W|{ zZzqOz9t8Zke`#Um?c744U4GckgF!66Cp)cJdAn*LoyWc@MPDE~W*&6d%!3Xao=CmS zJcvFIEdDnu+B?8vWep54Yw(vFc-P>{ITnkb&ZpH=TzXxD+R<4oV;`3pS$zhR=sYB9 zW_*$!7bBu)9)#-bB|q%SO_m_`ao&{bM+{ThOMckw8-q#a!61=&P~fy$5Y(t*+L6_M9I!XYgLy z%eSn3#VQL>2Ro0}5)kE4SwMZv&LcH+CH6`1&R8T%7ppA551V=L!!BLPOsg!QbXN95 zs#f;H>!_IrKWz4cA2##ghs`{cOUr&RV|E@Kf4c_M?(7FYZ0E7H^U+1#P5WWx?fkHv zhnJFeEc~#U2S04)!4I2xFcoAT{IHn^mreFVLEr4hAE{lgs)nk!8FT9DYNt5!w7Y#J;OrJUOx&w3)T%i!$Qv&z`N@Q3q5li zgq~rcXQEl?85VlJM2w{aSY#E!@sU+7hBo zMW1oIMW2CHR-vyhf8mabKBMqk^ch(689hXwxdLL@5Bh4(2Yq$)9gOrro2Cu&~_Hskq^cg$X`mxkucV?^0*NcOP-X zJoKd(`fB@Vy)O}Mk!cPJ&ynZ)z)CN?a(f@4+|mmM9eTk4vsRc%&aZ>nxk={E`sFPH zQ)^u&LRabP;d3Q4ME`}ww&@Zr{kd2(5Bh56L0`>0=&PX(al_dU%3A0}+;H}TzMAt( zU(J3ncxFH7tDQ&VzNA1`pW!s@Joe39`PB5)%!9s~dC*rg5Bh56L0`>0E|6mBg}$2o zps!{>=&P9rXLR<18?5taEh&-W(Pv=MXHZ7;8Cdig7LT5N{)*0{wWJ)?oPCm6=ouDz z=5Yu;!$Qy4U+5VYdS=!OJ;OrJyyu~3Sm{}wYv@3pYv@4uOXxtJYu1lhC3K)JQ|LgR zYv_QhH}oveHS{dBHuUVu>pWUN2X`qw-z)1&_e?>ZM`J5oN2O;!7W%VbIf9d%i zuG+E4bIpDTUCn;TbIm-MuQCs&hwO(u*X)Np*UUqnYxYB)YxYB)Yvc9h>NCR9b{O9vFD&{8D{J&$ zSoB|NPxN0{^c3#A=)bV&zck(Gzp&^tJh;(+VbOn;#*O|9i~dU!iarC2KEnkWeFheN z#w` zv&^cl%b$&5VaDvb@UMpd@vnwIQ|fZwcp z9}nGB<@}z(hi)qLvhoJqH1zz?O{ow)t7Ps_dFZCJik?+2=FqsB!J#W9lR{T& zFm)c)O{qPVHy$;ZF0C?aPdAP1NH@(I&`opp)eO#_EAx~wyH?vyRNJ0Q=E?Tkw_&&- zAMg zqRyVX;4%+gWbKuY$R1&A#cCf{h9$g-OSn9dBGCDIePC&WfLe@DIPJLEqhG?ZR=Cik zU1QK#(jM*do;qQJB9O7vrq6`(XxDc~ga)*oaDnvEx3%x(i$}ZWsSB5Xv||n5c{oPJ z>c?gZdbE4&#}%kfACLX;Bxc(^_JjGa?H>El_oA)UV?UU$@_R~bJ+Mc+#;XY5c(i-$ zhZLRm@z@U{NZUR3!$T5n_t+1<)V6!<2VZL2J@&&@)^?BmpqsYcV?XGoX-7AGV2^f> z{dnl6B&o;mHUCJ2NO&wPb}m=Ncqj7@eZn z7-5m+xg26+gvG`vlrA<#SZs`5)ru?+i{5RABFn?#k0N45?}kP1<|c_O4~r}>{4cUR zEV4W=MD%W0WMvWMk(FVQm06!7E5jnodvc>^AG=>UQR$eTeeXkr*65*?xda~Wea;WD zvaJZOo_&6Zl{<;|J^TC+E63hb=a~nSelg0>j!y_==0*?A+~}d1o40E+H)U|c*O(nM zH({^g2U6xUH*Sc|qcPU95~3&bR>W2X>zSd4b{@6g3(JlD{z7##Hz6mPn~pO#roPNg zsA={{$VB#t9-2LJnPrb$44E6on?1tBiuH|B)gvD55bx3zMWAcY*!`Ps+qDJ`_59JU z^#FuKw;kh1`VdA|%#FVDpa2(Rflj;H`j`(M?L_S5TNh!mN4xq{gnK{Q)h-~7`O)sN z9~@U5%VR${RNC&bA4K`Id*pcuQGc|1?8iCKcdf+DE#~Rv@INmmMz(;p9e?PaOE>bP zUHzdVo*wP$XUCcz?L3k(bMsvEqg`br=?jl`1d04!Yu)2ak9Lg#!a5)An&+>o-=p1Q zKbSl^zQ=w@bxXT@)NJP_^yq;-+CBC|$Z@}Y?1!V!c8~oKGTU~K{a}7>yT^WbKD6x~ z`|;n$et4L+-#+$(X{qfV`|+r)siIiNC#E;QC#JX9V?Q1>NSJ{izxUV==CJnh*bgys zZTHv@dT84{_JbbUc8~qwhiJRUemwjTN;zizyu#bx_Y%UMnG5I-_86aQtL+~2 zLS#+5OEB9$=$yqK^zz6p<<@xo-sAkzIorpBULHE<|Is=7?SozUWmJG_t=js z2#~dU?1z-NwtMV{5T&%^Qh#8Nc8~oKV$*LQ`ynN%?H>C=b*9Pl|inJ;o>Gxa}U}d(=Hr4(c(! z2fesN+sA`mF#NO=@>%RbFBG`8d(aETqU|2^LPT%72fZ-ZwcTSs&SIeo%$l?y(;iw6p6h0Oo-` z+CBC|=uy9Y?1zBNwtMUcb-L{y`yr_z?S#@jut&Scen_G0w~zf$Zn*6p`@sazc8~qI zDh9hBV&5Lvqh0oc!zQ^)u-M=a+xQn4;p{t>!yfID2d-UmOkggv{9fwFX-COUI|01G zLNC4zy}&{*hwb{YP=sC%d$bF^Xcu~cg!aOcKdN8)_OTz;FSUE@2a{IE_t+01F>UwQ zkG?gi-^YG52TQxhemHRL_pu)cq3s^~@z5`+m*qnb|H6gCO&>UM&Ic^}aoCOzmi;*F z(eAMySXg)opXLL5v`bA?A=VFmTA!wN4}MC&Y`+hF%BR_O4}PlbQQJNEsUmr8msn?G zPW^+!9_!)|E-$8E2BTj-ut&Sce$X%b?PEXamu>gB-{_ZZ_t=ltvAD$U#yT^XqgEqS#^vhuM%Ln#o_t=knU}O8BUp}x$yT^WTP4wHxe*E(| zAN0$9``8cqW!pXWgMQg|kNs$U5J?V?{or5d?>+XT`BvnT2fvbUmEYrEDE8P7d7s0J zrK}{M_|jM&?cx`g#*#Y6hpqn{mfC!WJ=)2-Si0iVEcWN4U1E0hks2C@J$_G+L;C&u z(JnbjelM}Lu*AzBw(<9{Lf^U%ti1=yoqY7+T2HHmo7Q<*@#q(sC2)qFrkFz>+(1*yHykNA5a148>9x(eEYa7M9$R z!ydnvm>um>ZxfdKC5JtJFEJw8^?nn=ocs5}n#0>EdK2?RR!JNlOc97#KiJ0WX_s2S zhdtU!OwI45wvumCTj{XJ@1)vwzS3)w6UwkTp0-)-`G{{ zDkDjo*w|I=DkCvFMn-}~Mp7+k#)>1J#6FFVbwWdk!eJL zukFjXsdWlVt<%G{HU=zj(H*vN>#)>1J#6FF$snn9df3LT!&2+?u%3NKIDueN#jbT1)W0OxowlT~wg8PnzYL)XVNh0T0 z!ol)!ZiB9$K=`bcVDYS#WW214B({n3HRgXe?jQEwjr%`rmRI z%tHy&%mWE!9^QP(Jm{g}r($cfAN0`d$3qXb0_C0b(9Waxv?>JUopL-o4+NdH`ZxOJ zl@0AY^6s2VMp5$oUUF_NRPwl%2NY}bm~opn*;qgdYcMFp}r@_TvL zS36ar(k?YVlqqscO*`qK;Z3mop77naQx0X<{+>&HV2^gaEAB~}-8b1i;dQXBYal{B zb+HeNoX8~{UUzQdU1v)bc@h@g{z^J^zE*nInc}_lr-DZ0Noly@iI;H5vNpxyz@LwkNzFX#SwO=*!m=dzQ_iSJ3zhM?2&r8*&_vRvquWZW{(tO%^uMhI*-~4RGlu*<`K;viD(F|^}Pgz z32G})7PfM+ywA)--e=~a?nmaq9Gm?h+Gao0{m4Aj{pdU%a;yUG*$;I;vLEVxbRM-8 zFp-rPGa+|wsn2CiQ=jXwt$%f%^2mv>@?z=xnH%vSa}y(%xp55AtBRN>v zBQbK>Bh7Y?gzQA8yEKvgmYFs30W9(XPi5o-SmXmQ07O23MLuv{hIX%X)~<^%qtGra zw97Oc+J%L7A<@-fpW!PTBDlo**Z}w=ZG(L>0St0 zWk*jfq_wMg`Z8kC?Ec~8Y5k9WO zO!ni--|v3hljA#ISoY%|dhM=~rjwvXzyRH2?hdTrK4J)_Pe^^9aVHLm5K zz5}CO<0xcD&0MVDme+L~CtO&vLySLJsN6nfu#6?=*92dn%{?G6pO7WV%2;(gB` zZ&7H2+Ssv(0L+-{BT&w*ckLq{7@O=}`|!hhSu8peEcOzn!`MsCoEUz<51X~;hs_#@ z2hJM!xvYT)VnffK8O?s&W2U=){IKm;!erWsItf;p>z_jxk~uOmV3|PW?ek%(eb6esECBropxdx=jIi=%oi5D!fA^R3(FZ) z2`=jbi~d2R$r*%YzO>rR7nU>V1(~g%ZN9Z#i;&BG3Cn${Tx9M`SZtugu-HJ2E@$=9 zvi2<4wb(6SIfL94u?@pwi&V}sHpVM)x##VkUs$?=#or@sEc(oqD(O7xD|a(Se&%8c zzlX)Hq6BC7J*>1w*X>xE7sGp5`vx;`_zPV(=lr4Tatnoq&Q-MQtZZm#i0EBe;Gyfv zR;$eQ&~?4kSefgg>;6Amcar6XjssD2OKvj#%lqG0J!s~*oW_v^kpMyTtV%}x{P1=A zHT848?#fFgn(F7uHn{`xb(cpsvWC6N^@B#fE<9?0qBI_Qx4D-~BbN^T-gBR;$1Arb zZxu$Kq)a(6&@v+AKdxmI8a?s4c1bT75wk3n%KaNgUZ`?l>WuCg7-?AV{oV6)d#BVv zxwD09t~H077eGukjC-}Ode7wR?s?0d_Wp2oZ~w)ffiPm0St`_TgkhWYx-M~$Fl@71 z?#kqiFJ74pQ{NZ*M<%N;Ub$}!mHIwkR~jXr$1dq*J9%EZXYHI=B+v78;l~Asp<%6k znJ0OkugibV*Ij+kOHt_OFnq8?NFJ3ef*<8;rNP_F4Hw`Y$}r;$Vd%f_68F+I&QO_x zds;6U`&{vxd-d4+d|lUOj4@l!yY_~wFE4LUIhef#sU~f+8%E7{&1Ka&;u*ZhCHO8g z>@Uly-mP2;x}~1j(^{a}(@ZJo`VMLZuDmIK7=F*Se@K^k*L|0H*N17FwPMa{;Wczb z<{$D`Uf1>hhcDjfcVg1lvVt6x*OjjIiQi3eVGk+?=D!pYWbeDSpj%!Sx`h!YY4KEAbuz#g5*}vRcc$u$@?B?rA!#rmrIn-BQ_BfqMvotE#V_(8J zgZ&fyqkC#__o~b+x;>2hGIJ6eDUAEF6bm*|7&h>g817z$aki>b;B19)w(`0;Ghv*W zOknKdFwV@Sg_h@)Kypuqp`VMqqMxs|Z{@1jyYYL%(9fCZ_&s67rAk|&cQ2I%&&%uX z`ABQX^G9B{7a8z-s=&qX36tlKylxd)@I3beo?rTH`BAAUaqoL}WaX-MN%iC-uiMj^ z>dESmcmMKg)sy+U(#JQa!?vk`#E2C&weeQ+RypA(%{{e4E_q{`7cdpSu9_d zb>!=!pYwI0TiXO{>x~C=cBLuosc$@FMf|32qzZ8Ok=lhHy|_zlUKsqS>;@n9##QY) z;<#ruGkf>1%#&v|Uw8Mf5U6@QUw8Ma&Q16h2Hz?bf^Szov^=Wanfon_`>iTa?zb@R zx2`hcS7F?5U-`N#e_`Hl4_~*EckJw1wDF^^ZJYfoL9?>fRm)ioQ#KyHZd#Z4M&FSH|FTDMvZ&NS1^xkl&+O-4aNMP{U2X7leu1J5vH!x8-?mw zTMabOtv8LeJ$&6p$$PfS>q4WvE;MSnkcRn%OlobU#)ELC^L5$N^1A$&eBHfgD!ud! z=If%1^L3${uM6FWuUpE?eXfR?J;>LEzmFQ`D-D${?}pzK=J>E-_^`Po+?Qe8mtW;| z;blk5b?|mg|Hb@5-i$JodCJ;K&p@|UczD^IC+?o+Ji*IKnBir4UF4;_t~9dP_N>^^^zE zq-#xYZmlvD$ew0&Ny9v6rOwoaH=2cd?=b2tv-PmYYi-82uL_y76^8B8%CLRHuzju^ zefbfF?NfMzdoYZ9uuM7kU>Iks>3^K9FwRyLK%A{G_OIzakNvYJ>Bf<<-hADazr-2+ z{5xNFWg`q5spu8=U>Ikx5`WHM82Y(!Y3S!L?B|Xf`Z)~yxpE8a=P+gH242ru?X_Ow zAbE}0QeoOs`MT(meBGsSE%=!Ppfx#bSX*Oi97s%1owjaohMiNlPM{cHn=myJ?JHj+qWBMjL{J)>X3&@W$^&#cY& z3@_S_Z`Ex{9`<*>?(#CsGZ@?U4yZJWeg6HZVGbkS)bt_p>|vh4d|l~w+0NeQfvLyy zby-`Ywr8PhpZl#6FZMZ1J)W<-a@sU*eArr{u#v*l<5!%*+ENIMbv&S(ue;}?vNUX@ z-=$7ghQc_5S+<{dzuS|Y}9a%+~@0Zwo;wasB2*N^zkmm z@6u}0Xkr21ZE_iyGrMZ{Bfe3p2)p=3ry>Wbd*mQrm;aKl%YR8-v8NAT_jhWXeSY}5 zrSm+4)iC@2GE8<~I;!mb!`CengRdJVKOVmB@2(!{@{aLUHLJ(FY0x?Oy71%S>)vxQ z(ygMJJ*X-l%o(-6^L3HGn-ax$n;;9;U>7mZYQ8Qs9=>kgk!Q853>x{m=(>DeX>=>^ z|9i|d&L#G&c2To`k6BVxjH$;fpF~bmV(=(mR~pvR)ncq{oFl;6tfgzY-tqam?B5wq z`+NDi$Ydr1bPJBKwrZGJ+oOiLl)88PB@_5B87pGMshd_noH~q}tTIpfV7*_lGTxvV z^g*jdKVK)i{Df3YsVokexnjuM`dK} zRpUjW;oFKu(QCNc|I&O>*89`?lC+TeK3^9($nDvCA+v=1LhV-EmyN=t9w|(ncm*7@ zjxvVoy3+gTwG#4sM_tl-_a)4ARCKqtK;9d+MQvlm@amZIUUHOs7TTLt6wPED_e&r|oU!46}#UeI!w;M5!Fye`&U5M3(;fI!?_bw}J zi)`dpz_;2ZS;sYL@1B-&KsIVGlg2~FOBG4uA>$3lkj6vC8|1(`@`<6*(`>9GpBNes zpSV<(GTs$0jfadkutgeGD(s$SHo1<6j5nLgbvQ#P_d{DHmqZ#58NXqe{6G6D%ft}l zxuJ!eqlN;qSM`pp9{T?jr_U?baOA5?-(Voba zzja5#x5_)EpiA>K;wcGyD$0E>{TuuXQ5IO zX*^{70^iWEC%Av2{hU874f98d0lC?%Q)Rs59r9Pw%k}#9KvPrH8;|!OubhE1(`b6m zh!thKz^7-!nJRr{$R0 z({jwL_t86?n~bj(hOgF07=G_Yq9T)pnpZz(5~?>U!Pq@58m8WO)a>NOv#00Uqc;k( zO2a+PJY`SMWZgYYay>J(NUZ#&#odFN$(C=Wo;Wj?j$$2+*{VkR_k3K6 zPa4(>+~{6u6r|X_Pd&qr+!<*&Z?2bwh#iIzJ1hlBekP3AVdIvF9fo-~-r&4lM^_3m zo(smkReqX1EkBKH+~8GcJnqI^4QW`9S;eB~{04VJ<00d<6& z-!IHFn1SUwoLy8V-#zGJW$$xI&=Ca&;M=brKw5celr8)GtI8!l=leaKBK6P(bNQ|{ zhTYuip+{|ZqM;tDJWLwCah>N(4x_0@*e^|(_6(MsU|%YO=dAYJ4*HdO_WpFOHm6B#f-(i~Bii=o>k&-&nCEzn3r0@8yg8 zxh%z%wM;nlQ2mX_OEsVHx3n7k{bAi#DIn?goFV_O5IkovvGTt(nQqrnX^;QKzTV8@ z-P5x=S6>~r@?50g$FAeBwa3HKivRwq!r*Y%jYo9)(>j#`W*dbVHy3qr~PI0RnM@^ z-P3n_v7GM`r|xsqI@g-Z-FTR1D?^t5lJUrY$+hRdw9V*gAc-44j^OU|F`pw7JS^2T)-v$7BK4k$p$Il5rq{+HZt{!8Wtdupv|!=wz+55eMM*L@L{QDepM8Z-;e78dl#`_R`m$#wA@GlQrzaosD*6b4Md=K*u zNKBEnwhvjm;@@3IEo|)RZ}KzI{q3Iq&Vb?fhza&xVuFXcryVWV!MxJG+dyvUGM~TC zF~^~1dt!Kpp<^peLC1!nW3yN{#?$Y4Z#6lAdn=54D{a8N)m%01tuXGb!gU)9>c8+t zUl=n!4%<9k7{2&n*aA0KZO=y-wm>s&>9N;z9$oUP{Na<;-aTZKy3|BWv7j$ypVcNjKnUHRy%FwR!yA7?AfJ#CcU>dxls zx~I9YdpA~owK3^}Ak^4|QDbwMds@zG&v_=RdzvY=_tDMJbsaVFj^4N#x~_wqSO1S5 z_w15}`ONQy=?64dXxC9kjrVHi->##1x!$Y!-Mfy$=-Mjz-FugnDaQ^A!w$>s!EX%1 zW++{O%@DTn)AK?%w;e{!-C_8RMJG3h(dUR|gi$+l*v4tX$blcWF{m)&{D*DqA*|bM zz`k z*7PuZ>{z)m^FBw-SawMYb^l+!GwZNUKjR>UwcpLX^t&A-K9|IRk#mg(`KT~zH4al> z{m3AK25X)4WmcmFwa&+RIY=$IDMB|u){oCSDdo{xk3-t@vB4~pX>1&G0)Z& zOY%A9KqlZ#4&ZZzrof2R_uaf9KF8bDeU3K|!i*V(X@}KJ=KrHVrQf4|_b}|Rs(rA- z!mz_`lpg!sTQlh5YgXMoC~bwj)I`ZTD*a%euaS@6GiLOi`G>sJtdG1DF4=WN0eNXo z8}br{F8*qq(XJy5d1)pax;PAZX-*sQ&M!xs<37q2Q3 zU;O5@t=xyzzDugc{hA*DQg)bgPT)ti2 z{&5^>kJtvGizJNC8qk!?+DuqU{Z7*oa`6wueTopJ&u1ZWI zS0(w7tBx<{!#>RuHEoq@Ls>@&2KZ622J5I8!gbI$5nXD^!>A2COk1TfC-CDBvs3$S zm-3#sevWn2lF2$!#q4Q*GJBez%zvr11=;w~LE8V~JhxO>4CZspF7I>9(L79@)Aix+ znUBADZm#!XAJn?e9$0IC8c`i~MUcC;%2lA7X~AAq=*C%ap4+K=?~mL@_>pQtPOI;9 zZ3#L5*;rcBJmh($AJQnv!tXs~vW6GVdCeoNP8cUOYM?3?0$R&=FO#b8m&A zBdUr-M}(mxGRM&oVd#i!rCs?8!)7QohK>lsw#}PHM}*;DR$+*a2t!9SyH}n!&j=YW zJn1@&n_W6@@1rp6x+|I8^BabaXr3?rY8W~qPZu3=^L(XYy!hIf_g)RdudSq)T8NwH zyLZLS^JV`^FR*|4$*ae!G$5vs`-aVME}b-Zvo6=KAKZK4;U_m$2z_w#e0TrCu;p)_ z8~>$|U99(%-Tap-T|8TN2>F~bnIayZtu`NC_NS4_48^@u3ZD|Y2_qg>i4u1El`To5 zfdIRXoc7(*o9Bie&%tyZ#v<=2acP*pyf834x*#b3FXajL(7Oe{Tra&IU7^O6{x560!2GVGAUA8Phya-^AJQ&c2_c_SAJBAy+lOkRN)|Btsq zFIu8ZRs;m!DyVU76|5|cjwX4fm>%vhm0#m;NBuQWp!lv)OIv&U?NBzSXJ<-#Q-ft*4FQTO}Br zg&*c2n&+l1RY)4S?+Rc~>nmqZ>nmqZ?PV;%qQ4cUzOR=N8nwVcBlV2@InOP+*6f6- z?|)k7o3-ZIs>T`~T_f|#Reu>CJ!-7`ck27fMWsQHU29{OcbN6FY0%SEN0R}!;b#-%&8cxbtEu;mH z8rvw1zv_byKQx->3ylO78ZjLnHGhb+>inS$i!77nZ-x^*$_SB$d7)fq^g$z?xG#T| z|J{8KBUc$axG%%7mCN*ED~Dk#*V&A%9EPpj%PYjQ!?2a}rpdd6abMPL%6%EeeVM2c z_YOnfU(2ogR}yk~+0BCu7lsXY=j2x5`UVYWVq?G_grQuu0E}E4xJrFJS@$Q&JIIoH@Om> z9fl3}n7@|tV}|Zw`0RzG@sGmP_ZPU`J;-uLhRQG?LtQ<{&?DE|_ycUXOa}a;Fl@NO z=-6;!*l>+I#D)t~-&b6LoOWFz2Ni-M2ZfPVPBU}JjfG*uWpQD{g<-?pOkQa)J33C5 zJzl;!2dK|6BPFa(O}@*Ubtf&v$HRz^*E)v{7lsX2wi_ER3>&VAcGz%X*l?MS>icVY z+_hC!u)3s(FR{{V_d^Z}g(C;KYSLhie_aXIPKT)v3NcE9IsW~gHE3B<>ic|M&T8R% z&T6JDGL+b}2Zen3fAy2F2eoyu&&_d=hP9z-D|B`<)UeURu+ba!f{h-Ajh@SdjUJ{A zS4}iJv9?NdVl~n1b15M9`B4+yTwQIrTnP3r^}_xYT8Br4+~858K;Tisv!r1U+pp4A z(rA#s2pi6G(BcJ=LzAqiX{x!>o{X3@b@7)H95u1;>U(GH_InxH>`R4=V%ql^PRMvcD0tL-A9z%cF*Ir& zhDW6|rIAy-|L+nEVD=a{v08mlst6ukKz4qw$t>{qMp*54!{F~7kL7O|_xZIJtPB-K zg1=$#x6%q?GGXwy>Js=H27j}P;cpoHt@Q!^hQZ%%TKF3Ve=7%pzhUsVDq8e?82nA; zpzp)b_szyd--n^^`zHE641He|9ep2$zORcJpFIqHpPIyHubLHoABMiadAWPeZ(c64 zR_FnFuKt;`(7asaxmGu5R5AgLN~oYw>8~_+H@AvZ>;A*g_k~K)_hIP!ZeR3$7(RO^ z6`wr}pS>#zpFIqpz4jh__G|fBomhAmeNcoJeGrB|D1(MR2tyxqh3d1{mOnh|l+$Y- z#@jlFtxer9Q1VfSEkD9|Tj#LlM;P;I4qJYNF(>t~)z4w%qYhjB97aAWjC|B#%a1VT z9UivvwJ`b{4_o~l##udV&uWoK&gx-%R>L@}hwWJnWAoYljWjp8(8)ATuJ^Mz&H{%e;U zrfifg8oQ~_wK04*B4T@Y_g(t<4pTOa)ATvs?+K%a^04*k!sv%MY~wUx#Ayy&yS;`B z`d1IzJ3fq=7e)E&HlMw^w&S_;`W$u0Vf4xz##ycNf8%C-t{;Rhb;x~=cXX}_Z~dsl z){hEfw#{Miqw124i}tw`*8acxl=d7op&Ndbv0gu_-;2Tg9(nOTM_xRPy!c^jbA^!? zKWt;FVdTZb$crC_Y~+<88)0j6)wE4s{IHFwhVe$mVaP^R59?$1Ir8FRRh_jWA@R)_P>)M%7890?LttvJ0No;xywowvG}9``yeT^j~qBJzGUF*B;NN zp%*HQw-v*fb$XbxVefjMtH_K0%-f26j(Im>ybW`hcYIE#G}rG>?AJuo6nI6VGkHg?wB}(f@q=vAi`#0A_*}2>fR&jP zQy)~yj*J(lkw%@G{2uQI+%9kK^Dyq|o|e#tD`BzsOh=k~I&AYK8HvP258Jp|7;(|V zHf|P1T=X#cTXK1xJ;M@^)FFq_ zhkF=)S?Y9SD1EMs=+dqK2)Vj~c|KS1x!C5=qQR`)!<3DRaHa7$`;|s<_H)JIQOzyz zsOFKiuPW)?m}>v;@5WSXwA9}U!-lJp9UCqT8?G)xY`8FNxV!*txUh|>_WvGdKL{Sx z1dXg!#)uqT!|w98HfwlP37RyRt=a#h2Q{o>A!t;1vslZ3MxlS!QRyY?s0Dv%WDcQY zOWUJk!_cvnvTyBC)pg{e599u=^MU*KTEkaPGtJP?XRfUukk^QQ4nsdzYL9*n+gxQ+ zVwib*7=Bc{x4FtbM=fF)^M()O43-__4BlAwJ?E87Y+j?^qwh0}zR$zZ&t)di&tV(m zuIP)INr!EWJB)c%VbmcXrhazDWuK!CIgC2w!_?1>r{>HwHhK57lds)gNELlr|2b!? z{_~aHS~IlaQj;sYO_WuSx24d{w1vh!YOy+^qk!Hh49p(*)^D~C^J&7E#ebMK+&v1% ze`&A=Sko}Y&@Z`6+Hi%gr9p12|3_}@F!cD%uH1dT*_FG`VeE6ahiBE_QD_33`-NAhs#(fzH?#nRl%bQ&ZjSlsmk4nb4FKe0Nz6^7pGlEwpi+FHf zwgsHQFk&7z=99au`5V%p=QeMPp4+gdzb}vOkq0nl)t&c7JnY7%tel1s4~xCT!!EqR z+N??VuSh+4jmq_~pTo#&RFOqqqp>cVgNz0>31QSE9ESaTAsN>}O+w$LCgCvjb6q>i zM(G83R84|(OR6s~OAEoHY7$moJ?5ET7Xdl1N+35s-RGDK7RDPWhbbG?B(S!wbJkWW zi?unEEgC7T-GdV2oc*q8K3BMe^U;w;Pxhq1-bbB4&wfQu?0vH~*!x-(*@KFm*@Jvt z_8`+j8jrKzUy%m=_7z`sKz9FX_K*hs_ScC*-0bF5x(?p`YGMO3LJ#9!ZPF0;Y8bZJ zjb?^!zAkI4VTiTW3JQ;ERbc-rW0VH{_O0V__G3Bn*ANZluYnZEUoG0mU%oCfUb%oY zw7F_A))r{k5H#|2p^=zEqfz6mxZ@4$~H>#6cR=BlTVCkq*-q$k%lp^xXDc zYaB9t)CV{8anDS?t~8jr5&>qe9460i2=UU$*M&yvVD~Cl9DnfK^ZmWLddazl;SZMO z#EuB#uBZi{yCRJGh%zTz%aqei|4|tIM~C6(Ri%ob7ls{C>K!}cW>W4sznPSK&XYcL z$<3r(UGkVo*?*@0D2)E2!|?Me4ZzO}BM#SC1N^rz;&82kI9%A)vS;Pce{`6(qy0yH z&OCeN4BC$MpQCq6eJ($4Jj3#%DdpM+^`9?4I$H2N44z-ONgB+~KkE;kH!8yWqjW9n zD3lA0Tn+B1`p;QM{paje{pZr42lYxsf~ibsoXQEgZ(dc5Mld-alhR&?z;zJVt(5lgYa09EEX?3d_5v zl}GSju3&V|;Ej|;#w%Lfb5u2rdwRum{9f8v%stKjMK&J(Z>4nZY5uS4u+F1Q;A2lK z^5XwJY>-E;H&c)JRn?u`GhxJ#EC0h!xzPWIau$*C(d0^H~H@2O+-*Pn8|S%Hbcjf`{U+M zO2gXo{xLbtswjwqglSvk6EBUMKgpTNC+6(u6La?Si8=e3deUeb(#m~4u{6?(d`_P@ zD?(f4;S)DuP+Q>P6BiQ1Cr%|A2YL9!%^%lJeE7t*li(AF;S*Ph=solBiEm`)%5#{0 z&%-B9wR_JrlWp}&Gs%gA)SZGp2tyw0G55v~0P02Hz z2(6q}6^wep-u&^s&u{)XbgwyM>0YCQ7&){~FLp#2cErsemxi_HABTw=;b zAqDodYGU|S2wobzMbd|?KfU?m(r9=z|4-kq`Qyq)rXGB2EDrnEOg4B_+F*5Y6Gzea zH-B6j)}IzFCMMHui7#1t5S@J~L;g!H6Z>4-BD}1f2{}#F*ymC)>~n2s(y;cZifC$& zN@?OZhH1my(4^(>4Il!0oc)f6YkQo1XL59kn8^{A(F)zi*}nm2`#EQF)P~DUj>EL? zoyl=+z1sJI@XeVVeb?IaFlD1ll>O^Ml7_MHDj|sB^;d{BhbbE!2KUdtlN+sx+&h!4 zh@f$wI?{FnG+5!au*gt2o_5Ya3c2P`ifr9It-$$=+UXQkbGufiS zOtvs)vK^+r@0vyq3SDznOOA0?OEj^Lk}>R6?aoW%TJZO~Mc|1Gq?w2dgi#YynFl%W zFmm9vK5(Chai6Cmu=T=-85J$TmJh?0FTzdCC=6S^(iHB6Fz$s5*R2iK3Fz<6`a`ZW8a@@FK z^p!K&`Ytos4uj{t+<8$0sGw|;ncZ>QRkK^MGxSOQrZ94{7LTVFz)FrVD9NKY`D5Kxu?U3!*#0?`_I(l zo(|)lzVR=tqtG0CRr%WPb2BN`sTNj5FP=as09{?DiXVkFAo)wRX;xq)ONPZ&e=nbYa--xii@9Vc6|OZIzAc zpVx-F@%-$wGugUitb4dLBI|V~Ti>+?GFy+lP+hqA(^*L9;xPGEuP^I(^!heg30)i{ z-zp17hHmim%FqpQ79-YjPdp$mDMj}0(d%0(TE3O*b-m_#bK|+EZ;Y2~GtXW&fP4C$ zrQn=DdVMcd4vj~zZ`;g0T_q0p^o;}MoafZBe>q6-=pH~?9u>@8-=&k_neIUwX_#wE zG|06zuGBOA==Cjx;+cN*`Zj-@IL$r(fgF?&fJgQEvVT{ou={dJe=u`xW$B31+=w@1 z{F0>nUPcI*HBVKhC>vL3#CNSf&6-qiWDdDD>&^To3*3#8O-X#ouUpN+hABMhf)+G8q41M3!BYEC<74%hYK)a4w zgW!4BrTYFyJH2P-N2gUxecxyi&Reb@x};WQX;^c2txKG((x%d=2$KKTL?|)Obft*W z=pgakgi=hutZ*WGRl5~5O7%jcUSDX`>&rU&sx<65E2NJvo;M@Ud+Jvj*7;tGnCoB$ zb{Q&WU>_#WTdwOc-kz-o&(j+CWnt*zBDv(t!qCM{cf^JZLl@t;uib+%eDTua_~K!n z>B8u{_jTdI-?knbE)4$uyyp6m(=hmZBg)Y2nKY|Q@`$m$@5!~jyG!{Kd##$E*lQTE z*J~GFeHBLRwXg~{+>KRp9rm1+O~HmM%8Csah7H%Oas09{Y`AN2XB`dGW3MU$SUcxN z!Lg1^NBEJ4Bn|zoYd4UFJ!e@P^8C8QR)(5B;X17GuTlt~Jq(|{G7o(AFz@)%LaQfh zn@~0yUxM66g0uBM^XyePs5g276uOz{($M#dliC8MvfyQH{_JzDH|%rc58$P54R+!2 z*5G02?0eFYwdLxvHs2cT_j2l1HWE{GV$KF@t7M0@W$?1L+&s>LZw>ZejJ4Fk;hFZW z!MR{`uBm8X}Ky_u01wuWJIoEDXOas@m<9M!};B zq2N*OG(74tSa?*p6B%#h8T(fehHGnhFY9d(u9&h>ITv&*0Ay{209adZO|!N_w5+XE zxip+N(ygj3klJN!wO_KfO3;vvYkb;0C~U$0)qEq3ihKBOj~9aR)^r0K>^aL!Bt~1* zR(*esi%X-?sjQ<=3+woH=0MRQ^mvgB_*Nw`d<%nbjjiRLzEQR-8+lCJ(_!4xm4R|k zhjCB$3K4t@gKt@D@GT6!m3||(e&cs{Pn$RZ-zrmuZ(;DQ+XKFZ!MDa9asP(Nx7w6e zHp&KY|K7-8*Wp~XDz~)Tt7~2wMIO}S)ip1T+&9l^bSH!I2%1wT{F6_Em(Q}`KW6S!!Ii}>mC27Yc7MP9)Hv|SJtc^f7CViDSYuT^?2qp zd+J-$S5ax4#dt~7q{ ztuW8@4P%)9T&Tq}eM6%79Pf?Y_;JtlqprCuEb+B4;%isVzk3>nOvhGOXJ~) zwS*CC$y3$Zf~R=c6%6pS(XpJ_>F!?HVPN7 zE=iSOw})Z37tK~S9(B!)+*3Ag82ZZ9qpta$5M=)zbNU31X`^nDomKGlxCFSLo>9){hXmxA3MhTUG~7=0gx z-TtGcA>)~ttN*%s(Dz})UbFSE+bhZ9-Vaj_dTS6_D;T*twqH{YN`Atll8c<9Ts6*7 zNw2+=iwr9Vl`}}gd%tos<)DHKX?XA7s1j*-@AoPc_kNgiP=Ou$R|y7Zwc;)0pn@sn zpt@#w)N@7BaK=Yw7%}+L5X9iayca4DlZHLjmGF5lRM+e}%(It?@m{E|*}XE)-b<$5 z3)kGYy0elt)>d6JbgOHIM}?|bTQ010D+1@gSYuOENxF4E zRM(7*7hdD6=5}*dZy*%waL!X2R()_ofA+hT4zgE|H;fu@t1a;8YpV=&dD)~%-qkv6 zeaW!e!~gw%hph~SRd~basJZKNIf?tZW<8G_WRx)LISgCiu(j{QsJl6Ac^Sr=W{0gG zAI7}b!}k1!H7u63QSaF2c-QDK_q1AP_Vh2hxbO0|Nf>t6Vd~f))|uZ^N624oX!Bo6 z(i!_YcbaoqT4VO5?^#9-I-h&VSw~}pg6D>^}_>9qi`PTolzb7eGhh=cM zFUB1kl})`s7O=XhTrdBnYmhzdxe504g6q4ET$ee&E%xDm6k1{(kGamJ{N2+MqH}(u zVazwod!eM4>#)YAouURKOk1FW5Py$;_B@ih3;x}!FnWE%c!T>ebyz;}>_MN)0fq*% z#`_$3h%jb+9HtJ-)o>leBKt0H>K(Rr=XIcNyy>vb1%^>;f7sfSVZ5sqR=7C3BmSQs-O4_iAij2zHm>q~|)Z|Si0A;QQ39kxD12sxm`)|U)p*41J1 zvT!zgTK*R~t+adeM!(0Lv9OBnmLFYF(m)^d-3sgZF7K-LxlA@bM-HgZkpntx@3%1e ztqxO$x>li^x@T?Wf7!pnQmie}7`r&zhj&%O9yUn%Uulp7>bvBC!gvGuFlEDD-=vgT zYlm%|E3E9|@~Ct-`wB2;=exd5h=0gmKJnadeXeSfQ4_-HthB7bJU-PJ#3JCV)RBnF?u8O z3cb;_kKWKH&d#C!^z#hw(kO+C4Hw3pQicV-g>79yG^qDJ41cxmsP(O`V`}|?unhc> zjj+v6_qm!(_#Crc`y4*lVb~j$Y;Ufz&(ZG}M!(--#1z_s%~kff#Do7#zh9rD-!F`Q zzc6DDVe5Ys@SxxCF!gxO8amc@efuu&`X08vUl{ND9_Cr?2>@iga2DiMWpfH`jY);-aI&L<5yw4d2krA(QS!rgdrOj3fl7!rk#Hdh$; zYLO-G)iCbWdrp1#C5(Hu^bGfERh!(aVce@(R~vt69n^e=F{eDS=6jVUy2gttAz zw7J4Kt4(+0tcGz`yD2!U7mi(7E4sm14dbjfwt}-7rXH`<86E4pzO9$}Du;1a>vG_% zhH+L4D{tZp0gT8Ordrr&T1HEH7}mC8n*GK{vSEW!-y$l zMtH|pQoeeqOoFnJ$%Smx>&tm-^xf*Z5M`q)bZyMWmna*#*sEhReX!fZHg=eu!+R5l zZ9P&LbG8p7rcioeV~l-{_a+Y8dZaM={fgZ1*3n_=oXQE=gBn>@7w7J8J;OzZu;r^7 zCeCne(bAyrq~D|OB#ge3!-zo@B_vK5hAp2|ZT)z^N8d?UP1?SM_g9;-C)iELl6{c(y;%5IUzemP%L*Y?k%G#Ri%-R|k#Qrr@oBgZYk^L*|BMs&| zb{p}&I7}W@M$29$R`8=fHUiPG+U7_yPIK^`KEJVfDL^g$SYKoO&@UC5#_ z4-tmVPze>jUl_W*wxaDLh=#Vng`ZcR!#J0X=VJH&%8ajm z_O0Kl|ELEE`>8Eo5f$=RndQpgjTS+dT%ipAg;`h8tyzK3J?_DW|Cx9A`g_vtK%evmeIU?-u0jpDF4(=sT&x)p%?fe{B9RVmEaoa{pe- z&vGnft|*3#ps#6`onFT1ty%fj&4Ysti>zBC={@crMqVR-}eF#M=G zIPkHUqy)?wfnsE${Eq3)O#q z$YfO~(8w%VecI$Fe3CHqL9Qe^Hq3jgP@gpPN&d?xUY!$$O_R5Z-5KWnc7ibauV;<0 zJ9B^KWi`?6m9fY^<~`UY?>dY{cGJr9ls0=+OA_m-H5?i_W~)z&sLJ!+KaxfbiMv<6 zf7IsHD97g-Iq<*uU^CurON zZ9d0)J4OHK2|i5Os3({`t%Qm_O`PFdAvgHeRSVzh36_TSAXyCf;$isWH`V~TFWkhQ z+7sLjKu>TOb&-du6YB|PZH0GP+n4nq_uv!zXHRf+&Bym}kiJ1Z!D~+z+Vmc5q^xwA zzZ+fi@x6+oY*e<1Y&5D}8rsD@DvGaB=#@B47;&1eBV^-(`g=aY@GA=D;;V(>|F%=q zQG{WaWLe;UgyGYb9K%+=#M;VB$sPE1Nub@+icauTKE)}c53ZP9c5rJ(u8L z{gRmj-zt8CZ(;DQLM{2$*c53Pld0&5GhNC7|2z!8=L(yb#uWskuj&crOji@VJZhqe zc1|_X%cHVj+Bto6aG<7uQ}KE9Ey+Bx+EL!+Kx zbVTkiH0lY4A2rjmS2wI=Wc)Mu1B{;Fk~7TT4P*Z9VedzIIvK2+7G0dngf0&AOjrNBcW9^AGhI*c-ZPcudZx<>`~PbEP7l@epaU3oFXR4u@n4kI_)6TY13 zFwS)4&-gB3oarLN_%2z>oar#mbU(+L4&zMU6H9v*!Z_0%IL>q!F@=n8{M9h@c*ZSy zJd87)p@SYj<7ahKTg90ULys2(AXXnH&oeiqVLm?RPo5VZTK!kpLY@~0hDJ|W!4G?a zV|mJM&tS<^WIPjz|C0ZU+~@zU4lAre4E{nboUQy{>84=z|CJ)(yY>V(tbm^2FnWRy zQx5D2?sLon31b$>Vah>0!SJZ^CV12~!;iw9?0r4K=$CqerSUlX)jvz)arW=glHL1z z0A#UyOhe4GU&$=%D4Y$AdV;0#IQ!KrhV(E&smF8 zhdc3{Flupj?c){uljHcnJOS!`_F=JB{ZQ){}<45BKD$ zG?=}eyI}7_EtL3gVfb&Q)r{vfWQ+al8iYrMBKQ2}rf~Md^!*y}C=F(B=i)qkzv`da zzrt|vsPrKFS5NTDcy5%w-_M8dSNV%Hs#IM&qMqQT(W?NS>B1$urUup^VOedy$uiofrX_#+}gl9S>>v}6rnSIF>hi_rj5A#sJZCzL zGkxRIxVK7Ct=_GLoHKo6#gXxz(O$ig_>p%BBPUmxJ~_ECa&nDxBPSO|POj<*Y`8G* z_|m_wqhS5Yc&Q2Zig#|S46ye#Onp%OGc>LcW$9i~ubBFv(yi6ISq%7e9dmrTFzov> zJNR^A-0`JEZH-m?B1t_ zq+x!mXYo9P_h1&kXMU?POV8jvin-st2PVbT&y~nQH`U3#&{(Uz2iq0x@zM+E>_&m0 z*Lv$n8robpLK59s+FlykT$NR+pDXuq9r{~c)5KoGh`koNCiWWU-R)aPMNgT(8}`$? z+qaG`^6FXjt)o6???V{Acr94?;)USx#cwPLe5*Wyvk)uU=dM+F`DLyx)5kl$)RT10 zwbeG}9q(I5mr78ED(T?NBz~Na6cOv~Q199AFXJCI#tU023|lJK9{;G+HfJ>q|EOpk z{!tkIQRU;r!@}^7ng+^Q4dY%d^ne}@<6iAXVV}d;=Q;q{=P>uVTIZFk6NA+emBw>c z!#JyjOR%%UxL3yl(s0&L#|YU7!*{OTKs{ay!ty9fPdhs`>Ha-zt{djPcV5>Udzz~O-JiU7QkaYP zPQrNa$+6m=j*b6g^*V^3M<36%BnciVVvor*_`Px^?ee(ve9+UnQp`-XF7~CePM6c zW_?qoV9Lf7LXAAvnW1dt>q6s-UG}?;-+)IIVeHwe(q7rf*WI(#t)*<#*1`T2W|fBh zrkO+7?P2)NO^U_l3d48qWZ^qsdck$@zGP7lX5WQnAh4$emKV#{g+}F*@F=qIs74HU zRHG|$kcqx}yr`LXe2t6J`0|dg@lG09^Q^6m0GRVOFGU62%H>&Gy}s;et}g$jx@Pvd z(hv5zumF0aR%v9ix@PIt@V9Hr&67r1Wig=N;bmcS?we{8!Yb(vp{?+R%4c?bbfOuc>FlD2*O$F&`~mAI9LrwS>&tmNf!Vdy>&w|{A)KS?nvsLt zUuoniLxXv*pER*Fs`})+ym`=fdGp{feCPh2`XFZr8aWKCBZHiEWZbe>rM6i|!8v%8 zuM3X~2Cb~M^PK50&UCL*aHhjJ)0G+!#|*=!&dSE74#TFdOdp#%41X)J$EGgqgKZXu zZPs{nY_l-*c-7Cu&BCy$vm)@f!m!Ocme^)t+|z}sxTnK7)0J;@aU3C&(S57q@qh=CAy@#W@$LzF{?zoz3{U% z>?f&f+dIBe4cAe(%*tP7w9=^AjnCQZTR4_jX|^7*(x!d!U(yi#moWZI*FOK{#(wOc z77FLTRN08V5rz+uhlmexV>DM@epe{7=Pe8$BC~|MCk%VzMwPIRT*=iDS+V#AVd$KD z`eJz=<~@_Ovi$wssCL$NQTUOA+Qz&;N-esM$KGGDF2Cp5FH*{V-n2e!xG?p7bY7iIV?ZT{HVvU9)t3-=IhkHe8rIYO7XDR@&s)#! zuvdlu;70@J*sDrn*sF5A{9d_Uey?0Fzt`2{?`e0|Zt8z7*DDQcYjf!y|JmBwZa3w$ zT(2~&tG{u`uEV~zAO!654pth zyk|kBVeBD~PC31cf&XIc;htTRM&0^+*Vsc96Y@L*AAV#kLnFfz8X0lyRolWk&bZkB zSFTqYzPD3Xr9AI>KWXsZV#1u02wmP=>~p-gc$nwB0IKV7&e)CjcCVZ>R?D|MFL?)z zW?iw4l26=GC2hC|AG5BiTEZ5+C%L!>Gg+5LSs~;l40*|$L0-a;ms1DJ%P{2So=Dq0 z2t!BIm5;m>%|>3r&=G~%PXQ3uFN81m97 zLSDkq5vf>W8K-uaAC=v}^DuZ`D+)XhgXcvV;CUE4FWd>w!{B*SFyQ%J6|RGKmM_Z$ z&%@yP@521(y25|b;GN}Y@Xm4=?<^k%&+mGJM!8;e#68%%x-ORlJ>*+QRh8OX^}AfJ zH0-U)T9fDHdfBV4WY_WVKYFInb=X_g6mWT7m{l6~Ruu}D=RfVO%4C4&mCdO)Dtg-g zoOVHme$~>y`x1r>HF8D0k=l?(3BBQYX$@rPOugNgFl4A!H)JS`d{j?@5a$Zx{%A}L zvJpm1Ixm3uRTy!B%u{$?#SXRD_hct~RY+^^#-_`=_vL^09&G9sK4&9fi6h-u*gZ47 zrim{ZM(nWmLGI*hDP$exe_6+)*16F;#9D3~5Ass!;QGm#_ry@bsF|qbQ+d9DC@YhX zS>WF!S5pVjH|I411F5|yc6N4^EPr{?zEq<^5Uw*ItU)EMl zG;6E>7v1Tbz!zQf%+&wOnW_KRbr_#4J?)uEakviWEwu{IOv2$htONa(Ap{wrcxDP(x(@rEt~B0t zRD{7E=$G9STIjG#H0^hDLs>`34){_1GyEug#X9nd;m4!?IdLXN+YL&5Jd7CauZK?@ zMvOM^oEYtuR=AFb4N@_V>u3r)`)3_g$5Nl9CQ?xg{eVJ2=&;OjbaACZ%a2Ab{+c3Wg+yLQf#inn&>KeSzFdFYYSs-mjd6lh2amTg;-k{ zYr9tI<TP6$JHVoUgu3l{0Fl^gexv_1-ux)$t3V$#R+qTXY zY}+tw+sX>?2g9&!6Dw@nE5GMnsM}~|t&A78Z5aMwrw!XS4BNJ{2W;CgY}>>h+cpf_ zwro7MZ5Xy~nPY6*F#N%~sIYCrux%Sbhd-FtgKZmzZCjWNe=rQ&wl;rk+c085y?%~u z8-{IL4&# zZJSCYzIGv1blCmi*r&DGxVAHN_H$=6h`F}X=d7(7>%C{LylL;5FxOW3DeK5-VjYF* zIs4_9U57d5pM^Kz(W9TZ$hEd@!6nz>9EZGGZQBwqmT^ic+Aeq z6wtOUSTvQ4UnsfKU{}3z1I$gTwQ_Z z(ycI(breQt9UWEHQRs_xG+vbR(VS@3Q9U*5D20IztDc&5JnE^7vTCc;H03(1sZ7&p ztJE0dI#QJEUrr}j#lK?iX{j;xw0tsqT0VLIOH_>smGY}oB6_-DILI>smFNFOx;o1wv~~&4s#u)dbMqPPifCb5e04A6a3W&Cq!b} zw!J6JI(knSe$;ZoI#Sv2_GB2g zZM%YPdts#IQ7s7Awqe+|S!UR_SH1y{s>Nm7y3ARk){*TI?(&_w-SVUG~jAebiz%G32qQ)?(NG;hr}7ggvc2k3Fpxn?1e9 zYnC6WI`*{j?d)mgr+a=2G2$9p_v+uADno_85Qe{SR|@MW2*th>$6_QjMT#kQ@5 za&6m+LFgemZ0)cx`nL{SJ1mU;t;5!42%~@Nu(cV&=-&#%W;kr^urO?f!`2Q9!)7>a z?XV`tU^5)HHbWRT!(nSPgkdurwl+f;Hp5|SGlXF?9JV$?7&b!~Hp5|SGlXF?9JV$? z7&gOUn?Dc3W;ks3v?y;n=M!(tm}=Fzi!ZPA?llq_dIg~i#gXPL8~BgNUz zQQ_=o^mF!W3FdxF)Le)C8fA{P!wN3Bj+zEm4;2Vu9nGR<9XaT%qp&jTsFjg*bUawc zV-|I+G!9oPi**z(VI8$ixDI;tQ#chU{<{ZS!BHJ_uO^G5csZF|_p7{f9`_&weS>vIK1_*{VoFlMOr zU3wYAcq`zrwQa-bqd#ozurTI@mkelF;{LzhCuSX$F|v+Q{Rm9A98~!P_ak%Uf`u7jpI9wS0 z`-g2Du0kAYcEYIHIm~lu%}yVpW+#lAoiJ*44%^s&7&SYGta}9c;g}DZYc^{c~?sL4|5Jn97F!e$1x$EHVhQ3Rk z;9+Y!hVgd8Ve7wzJ#=wp((F~fGyKSRh9CLPtV7?q+xKw~<~vKbV)m8OMyW`bxJ5J` zHb}!ik&VJl(k0K-?-4UP4B6;~7IZ`@SL8H||591=#sx|^FxMcAI@QD0&JLsIhWVSP?JtgdKm+pN0vh0fB$XD^M-Js5^<(Z9n6 z4nv;%%jmE$bXX(0(P3futM_cx+TLN@t4$YnW(|42UBZmt>t$N7j8QRUsImub z{)#oEQ85I+_lOTA;^?}{+}OW*o1u}p28{~cSVuM6(s;z?D&LSs#X_@=LUY&~Vfd@} z#K`ifl9ZQSJv)X6xZS1Q)$n} zOQJzv%wfbzYpK~daGxVz5yrbghY@?oGTWSCpQ9$VDsJ8lIt<@0376;fF|$`Km%Xa1 zf6so`kvzYLBUcXWWA6VEr#Wn6Ut#ob9VXAM#qM*=R1Bl8>M(g;Ew<~RcP=B5I{3r9 z;|t-jf3=)(X38f+H?e|8<&)u2ApmI@8?IyCJHCAK>YPIB_#c(3dB@kPzdEOzOxfrt zxHf&ivZm^T+H6=`J~8|E@QJha)CZ;R*uQJ0SlO+{1{xLlvySq_@TkzgG?-^pqKJC* zFyRmY~PmCP&bKE_pqNPC}M*lA*w)|*_ zfi#GZ_uU4r^IiI8`&y`Yt_+hiMDsy0{MBFYAlsjt|2YsI-T@zbEyU zzhRuWI(@N=!#Hn^ZNx6VQI68!{l=^&^AJtv;=F}%-l|gIyoF&G*8#(M3*)@yIgyHOx}ro-g9HP-!}`LeEGdG2iM zzRPUu!{qrDAk5jX-HMogQFP8x7`&`PQ@bhuclR`tftY?6JgQU;e>IHQT+wXpoXqjv z`z#+~`e{UC6xCRx!)pI^9go;UHP$N!MSRswS0p!k-&2>`qF21n=N|q?Zr;j$(?N&< zWQJ{RZ8i-(afcz#RTXR@ISJi)pex|_fF}iC667({gHXE4yzY=@4T`Go1?FYtaKpj&=zP)40-G@ zV$!ABu4>;81d6{{h-lo>(y6HQc>=m zZQazj5^%fTLi+4qr3UO@VQ=_R`z8DL$O-4JY6~Qku8q0&pXZ6C!Cd=3M-5+?`S>tx zfi7=obm<`nT`GGAWchF()rH2r@ZSwP*u8H^06L;nK0L~#hDU`q;gNmEC3~nLJxrUm zzGG=rt+xNU5?t0%X(;Qc@0fMu20$ZKEDiGz-M-!njY5(}FT3%d>9;DHNbPi31uJ~F zA%0*r^^3{#hPSy_^twfty7j~4c_roSRa*rO-*(F2=WVB}D3a%ey`{n1PJNeIQisWN z-*)PAyzLZ5kLO|X+_#g{ZV$s3>iQ)w6o&m$)fYBl82;~tvv=>q(Bnl9(Bon7xAHLfdnIGg$p2mbHbn`0 zDAQtVYile`xsPmI2!7@2o|lv^J;wbW^`eJi-&f|w-57?SoasYsBn+E1>BA2Q!~eLk zE-R-uCe^ih_ItfdeQ%v~*7)P>7dBfOnQ+>`<$tj)s*}dHDD8}mR0GJat*Mgalf(2s zuJLo^psX&kk%@_HgdrQHV#p^qDo4AiRJm)jHm_+*$VL;jk&Q6#g<5G@Tj9x-`&ti) zS%x7SNt*XU>3wN1`=lU)`QI?^)!Ylv*6d1a`KKl>c! z87%+1dr(IM``qX!e3vkMm(r5>E@9LK{mCL)844q&)#OiVf5Y%ya{b5|h7qU90wMMl zMy@Drg})lc8SKRf;yGd1<4wfEHVadR?$yLO)48zN<6+olrFyZ)!|-eC+{CX9^N#Q0 zU%hta)bOnfY4uvKCo3B%PuA97;U4S&Ba`{Rd-k*B@oU4BjSORKnlmVOpDRUVZ5Qa` zbIjgJ!Yei6bM(<)B?PwFjS!bckzjt0xkvq;HIS9E6Z0sPK@DV>vXTD_-P$nVTZ8!E zTSM*G(+0`0r> z$XHwL*vL!u&#bNT687&NBv{#K)P`$gR_Ar6sKcDQ)#nPLK!YC2K9_OJ=Q0!*t0aaq z-Gd#_sMVKs)J_78=59&j;eQnJl?F3vzf;fZrbaHqk5U-^UKbbZtq4d=Ue-J8dYL!Z z|1<6rrY^B}xbHq}kkT&jqxxs$s`_X4{!#y2E06bry~Ej3^bUv7JA9b7fW558z=b@})r@ z>^_%*;d8w-3Rd7pY~=oaZ{KqHU=#B=Z0BWsu*q*7wsX`!*u*>zE7-#S+xceqx$RZ` zV56JDYN3Rem6iUhukLd>O-r{y!vE^O``o^5{K1r=>Y${tZyVotHwW~=##TOTb3h+# z{H?<_2XxrxfIisRLx*h+=z}R6^#QrI?ZN81l_ao#rE8JN`hbwhMlry*+AENad#rK! z#{9|qFI$Uq*w*4SB=CZ6^Lv@G<7?dKDu&q4U0_9Qe2sh9Zf9qGu(?wXD-pr(RpwYfG(h_IbOe-|Ouf)|MN}o%g-@p8M|Bo*%Zg=O0Yj zD8$45HO`m&yjB#~wz=M;QDATRR@IJc+xHjlyCv`VZiz54e6Xu|Lhh@1f-W^r(XHl* zwH45TZv{d)Z`C|Wcl+Lt#@3sCu!$!gw)3Dqn0lj@ANW>4mi?*| z+r4iD4trXO19DmkBzxNES^monN`=PedfS8M>ayO-s#tF|pVHV`=li{_b^c%zKR9e_ zoj;iOZ@w=3SIsB;m#@qIRSL%bH5-Wi%h#30*4y^~D(RKR&Xc~+b!YD0cP&U`d(Q5= z+jDl0KIOlZ)xQCA{}u2qX4T zE(_l|4BxqNMw6#I8ap5Ju$^`F!O+iHP3Y$^eCKjmlc&4i+kU^pw%_lAp`XiTp`XLJ zSIcj4uZE$YyUM1HJGzPZ-T|dK(a&L=)#{tj&taU^>YF&LVZ^0wycF`n8w&TIcOKqh z`-b@kBQ8}f6L)tQcXzc+Q;T!ISC{deqmBY`sW9&DydLiEFwS(TV{Ef9?DlGzCWqGl z`@3&_9JV$7AB^~T$5$Due1rA=-CXbe-sXA_+g$Gl!#2wb!!`?3hHfC}?tQ*4^3tG6 z^kixXJ;|Gd>AKz|M0ZxA4&CPa?C;fK$31u>-u&+N*tIXEKKDOgehZygehYr&>#kjA zek&UJx@$YeA>vYD_&w#a@O#4WdotUn#^&g5jm=>_2D`k>*JW)z!?ygmaRBUTzAkc* zugjk1>%zBuU1@BM&Hd-Cu{mt#t9&r-)yh?{rEY`)|K+=fA9i25dRTA1F6+(LWxe^j z>~n7ovd@hVLx$?_;aSy|Uj&4Pj``t<~pwU&q z+A`Z&TfQ#)*A>s&crT&)=tx2yx7d)QpH zAV~LNb2a828kx4xXbvv2k*|x~=j(E&^L1Gpb8wS`=HNIv5b=XMPw&-4&Uns*T&+~QJt9)Jd zDpw5}<#ky{zAo#?*OkW3SZH4!dEKf(Vq(B+dM>U+c4seX*J@G zMf8a~hGCl({lhj3gKwW^o{@uVjM;sz<(B(5jQh7RHL=Yw?%y)P+`nP?&JAtg{te^) zZ6E-8{DuUqj;#s`-}zdH;ahIr-XArGbEYf1#x}bFFzjEx?#fWZN;uPb5a{tRY_qyZ zvCVFf*WQh#1F+3*n3QYVzTV`SHxE9TvT*|$SBCO+_YB@pz&+<-%0_L%@a={HFO7U% z_?EBB+G;c0`?oT9WuxJw(%4zg?Loe-G`6qzKDT|nAB?z48DaHCzOFRPb5^>q-nfSv zX0PtigWanz^hRbedLvAquJ-t)acvX)=h7PNeZ7qEw-OtF?{W5P1Cqw$?AOZ(joP=M z@%1?SxuMV~6~j8}Wt7Ig`w-8+Dw-XBgvsBIzBKl2_xrt^Fn(`q%I|YqQ-0Xilz%Yz zTNGXXer-+peRpfhKbSmkmIZs&70fz%SCzeLmIeG^mPK@%Wg(62X}HgAPs3rxxx(am zUzUb(u3CQNdB%Y2FwRxx*fV{X!1DYQsu*WFSDZ5)CeM4{02&$d(5T3tb!22ByS;C~ zUKRY3#=dV5KYFT18rGH-Qjq7@ShV|+!|FO3c*y7WZHfCY4PxeVO)Flk6x!OXg?OlE z2&0}M&uV%UqgyW{_vM{x=ynT$6-ffySt>`PDhEEdZynv|nkLHU9`}CFlW_0XvImVq zs;r~ZMrdRTu#VcEq_Oin`p=~*rLpro?sEkwcWu38AdP+F;l8{5B!^kw6eiDW)slv> zmO_2mFKkuN@L%zy>;wc zbRUd(P9uKhdG7#9BL``DUQ3+o$Z+R#`wrm!m!|CVxqZ{8&-D%fa$Rwc{W!VaQ8n9rBWg zgS>>HBhrY-OPD;bB#?Dfp3OR%WwCN!Re?Nj!1u~zmYF8rC+}_AbvGVh@dGS+G~kvN%h?+Q!mM@|;-~_uZXk@v$uAIkPP8a~bI?f6cOR z9T|^&E<+5gpq7|CZtGkVaCzdr+>|by#ClI$oX^(3XZZHd!F@JTu#M)a=3kt8rqn z5|gasQP-RZ$@52D^F13RjfS2<_qROHHDDd(dRa$B1k%_!HpzI&ZTM00SQ3y!i?SAe@gUZFI!%GcOhj*%Jeeo+z*_c8YIpM5Wa>8NcgzH`bLGd6JQly#KrWgX>u*{j|>-Iziev78U+AZZxvW#>WIQ6uC3xwzFVOsSVfCs-V2r5 zL!-1LG|Kftqg*dEnq>ix3h_w8p4Otf-V5b=rIGiyYpXp#8f78pb450hgQy}0VaP!# z5#%5YIcO3Wau9}&I1_VaEesuzM~sdLLr3)d0y?6U4LTwW9Z{wY9TA3(C^CnR2t!9y zErpH<<6fweoqHjSd!cLq_d*!=LNC;FFNDeS+G6(XpD7PNc;7yqTRH2V{W_uKdAVL` zWJs(`_7utLmr`i*yz6cCOO+||JoiHyIfP5M5?t2N=sReX>t!9~dZE$tDbgsg2i<~X zV)8tfNg6v#T1%7y6R!Z*t64{*q%3BbSgWA;%m>onRRy>IB2^ z1AbqV*zWT+T!GcRB!(Z5t*1VyoS%KJ%$I#`v3Sj@q^GbzWN5!0cci)e?|MEEd zz2(O`+7@VZxS&ys1nbC!WgU&klg7jME9@wZhws;@U1>agzgX!y^!>`hA_rl}L9d@9 z2VuxTRovJIVaP!hpU6QNa!|+vIS4}zYWYSE!Z`bNRCD&jkb^`GIS4}zDnCFD!jOZk zPUIkrvwx-gD+ghm{U&lC2VtE3BHYM9)7#~F%UF4?8$zB}&bsnkYk@qk-N1Et_N%^< z=k@h&oaR@3z0$STv?^|D(5mjFmN<+Wv|cr*k06Xbf=(~JBVp8;r*fz>52Mb!G8F2} z!<3E346Cw7%En*o%!@QD8$DsZIwF;*Z2Yy(ylFhjMlDnDC=r*&z8{r-uGD$u??RF2 zx@K4{joMSt2UXLc55m+3shs`2Dug}z1+|dpT*Up)*BZ3{xf{TO5<_%OC-9E$JwuJ#C3S@|EkEwb$IVz2;Oyg?>B-( zo}Y<2c0^TL@;ny@8kM@Rj;+N}G@xbQv8Smk_O!lUiNu>`Qs6{SCTTiM;F0bcAlX zUTL%md^dwqOdWC4fcacT16UWZm^z|TI@Xc73ypHU(5U{_1pZh`A~oc$aI_v&%>Gj83h$Jx)oVy_Ab zLZbi?{K$QSM!8N&bl<}RN$@%563yU^aPvJ%NrWR3Hs!=+^1My^vRiEfA(H zkSbmpwE=lA)Ru*=i<{`|)Y`72vMTO{!tLsaib>#QW-+|1$N_n&O%7h>&LHWCU%Tt@-L zJx948u4CUyJ{tR0@&}XWmBc}#79wa=-U&ZySc4xmf3c3*aipN=b$Ru{cIzhM!}j~l!Iwr?fhI`*yPu-tRj(KsGxG^PU@mC>?}8%DGL zcmJBuDve%};B&s4abu{Y(c`y#_pkEO6%UQt%2`M4 ze$Z&VEi`(sh;`JWBMoQU+~_Q6d?_!LJxIe^gDgkoB>|L%wFb2@%k$cbTt~@?-RF*_ zGzyyU=PK1;9hp+lsC^$AwN*kR=TsWb6D&j_&kI>fW8duTOcxlH#=hBkpW8P(KbZGI z2}jpap(=Y-(WjXALSa_dVV!v*={c_*0vegD@S`ya(5QWtbrfP`9gWVHMpdM{wnD?w zsGE_`_2_|^=R7leX*4L6@80nD{eM;AJ-+Mw_I3{)al^9r|AnC=Ds@3egds1DKR{l> zke9r8Ah)D!E-B$S3Zho%e2^W1o8m~$v&D9_sh*HJ;-=#3`Y$@9`>uEQD5 zRVT{x#|-DZ3V0p{&l^F)IS+&9h40~c80Wl}E_q(5DSJ>Th<&csnLVi18D2IXj(u*f z6*MZwhDIq@XjJPAjmB?4qw-j371GLp4T$ZI!bNBk6cdnsxc?5qcUXpQJ7E~#vPmVBF}HQ*Phkp zT1msWV<$_V=O()j0ue)qbUz_dHl z*pKgOcc#XbmyVV+j62qWpuA)bu?HC(>_Hd0G>kiTr6@0rQG!No4$w#)K%-h`_BqFg zea?iFhH=LNcIt@AeWdZNyfper8pa*FLgjhMCutaWESaDkQG&s}GVWNIT05f9A$!%h zD%Mdu2{cl>@S~(F>!{XQ8pa*Fk>z>gTBKpzvDPYiUeSr`Fz#6OfjqC+)O8ql%!82U z6%V>s#vLoVljm&}d(~Vk)=}X)G`il{s|v4KN43tt00*v1_{*vQLa z8+ZI*qazO6xZ?*Kc{yz3jvs8~B`mYf0A0ub zAfpu)$@xHrp#}6jYt95p-HtyJWt93?pt96DS zi4gp#))^YqIv?{$CEiG&b&d-`%+5VH>!{Wl8YL3htG+6YjXU0d*|_6j8+ZI*>WGR&q_J_w`|ida58Jro z2b1S5R~j34yzg$@@q@|pYMt4uYMr4`87=%M%m6>Cb%q~}ypYDm9s7UPI!j~Yj`z8Z zJ07-i#}6jYEB%$m#vSjw8+ZI*@;tF}uQu*@-`%)lSf&7bRjo67Rjo5LDpzJ5g>&FX zwa(Jmxa0kojXNH;amNoP&of`7v2n-y?#3NI7(6fihP>2CfsP1!e0S~6hG=F^?*FSJ z6CDwTyj1pzyo7P5lpf?x2}540%0OPOjdXdLyTzT-3z5i681hnCF7gtFycDHDUhb(L z_NrRvmC0VLLr3Heq9ekPmnu?`moRx=t@Fx#*1bG$3s&wMIVI1lb#@&acT8Rq1o+WL zOJn1X_uY*<9=37E4<^rJ8#KBc;YYR3tfQ-zy-F#vj+BlxHtyK}>j^SxY~1law{gc0 zCeJefUB|{9@4Fj!{9y9DV2kV6xZ{0y>oNX>7gYea^UJm^$JH{<8;L?|8pw+%XJ!sWb(72}53*jw#Q-x85Y^%(!DC0p)pDnKX<$_Ds4wzXyw;Yuqu5Ri59YgnZYyW2#-A*VcyYcKx~z zea9%*d7WAc)lCk^9{|NCRy zG0bybt+VSe?%23UZGp@j_Nrbt*3n#N_W34CuWV#0`(5qMOd92-c1~#+cdWBi9Z|6w zd(c(EJ~!7{8pa)aHbZ%-))^WVB15AVRA^)>vsV?CvR91_kcM%`QXT4u<~mDb>m8H7 zYMrHF+_5pK@;vii8pa(fJJfdUN_MZd-m(8z0}?cHqu8rzomoe<&d{h~7k=cDNW-{e zrIPYIGeR219UG}5&vX4;$JRUE9vF8Fljpfnu4C&R@Ar&5hIubEB!#_d;2bn^S6N53 z&hVpJXZEU5deSiN*r;83Uahk?(A!XDq%?(7Cd zN0eSgUUE5+moRihZMfViVaQ9>0?11kcS_Ioq9ekPmquM7FJZ__osq~(81j;4M_$5^ zm&l?c!jP9rv5}WBbVMm@khIPE=m1bw=(RuObcO zj;UwmrIA>!!?kiDUCHxr%ouaI!nX2V^18)^J<-?VcfCjAw1`~wXVasV{%4$rErckj5}s}D=!@d*J0c-uU2`N44di*X&g@mkmvuDP85#}uVy`l}SVy(a(lGAWNFsS&*i0J69sg^Dq%@2> zR`x2-8)_#F?Grb+3#&=5ERJ8%{d5Pc5jP^VB3Xn(GWdn(NFuGHs<{+_BWB zJa6oqG>kjeA}h}u)d@dR?XJVPW40bVZ|uSgK zJ;*^A_CXOwCcbnuWf1B$Je+%=&-)f!zo%hwA_JHHRbIT6fw_HA$ z{QcT@JnwV+hVNnfhVKWPcl!?8H+(-B{Jm#j*uNerUH<+_wX=WCbzc4!HJf+)j&21Z zBL_XTI`6sO=L(1K=bGybjnXOT65jCb_jtqiuzkb#gZ=$?Cfi{(FY#Y$1P80B8mtCo zv2SU3?|18~@2hoY|GGlqQE&K4cjr3a+IFt<2b=kwVbwabww@k^MrkY7R;@F9tJWF5 zRqM>!{`^)pI@HKU2SgeLTXyebCVcCuWY^XS=DXbjU`3L|v;`iu&W(xI7I@S;cLKEq z9=&c!ko#PCl6|fboIPN!RXi`Hgj_M#>OS|dxytoQ_hEB2977rpo2!s2dta^>o|o%| zALV*kZ{bOJUal9Om+O_Tx!%UPc~&2}-fMejy^maP9+>xZxn9@SgC{G04ODe)J5xKp zl?LM+wN>nCxn6kL8@`;?a=omrTrYcCu9rP649wd47;EcM2G&;UPP*oM?%6cgX1=G9 z1K#n?b#}c)Uw7{dU9+bxO}hJjcl_vK57t}x0d&jtLbo@3pms1+Xe47-@nZDAfmA^2~bRpzRk>bhm)oyS`p%^hC?b%aszdj{17xdCw}W zoL(B1bN>B%W}J5)B}AOTn1rmg5NY(mmD8S;acxB(_?$LZ&;Md`UFw*#&?O*^$Jzh( z>|fh4Ys(p8Z9Rp<+A`eHFMqVT8e^?&Wct9jKiXVHZIz8oR`#y|2eQ#zE7!K~C&%+b zzOHTGPrlFX`^kq@l(oDp!0Xz21fI`Tc(Yh#maMHS85+D{)PLa(qr>(MqYs9^)p5h$ zx+BPcxdER0pMPXDfa!15s(`=MsCN9VFz@qBet215FLGK)241E%kkg;~TNg@WZI8a* zB8=+$M_+Fv=hgR*zTWRgUvHTD{?XT)`cvOO`g#iqa-UZj&wU=IY}D7w{&mdJlfQbz zcjchIUSy*ZNX|liy_|(YmdIbvL%^e49BI@5!hgxD6H_*N(ABk7wa9n>r>)l;M$%{k z2j8u&f3ebF(5SB$8LzJw8mUug^pLSMdPy6)zt#8k^-AMQecv-|()iZCudmm2lwsw+ zDEI?S<;O_ruWbwQq6nhjH&0VdUNq_2&4W3=n2Ba!dc=?WH`#N>JA8Z_$bg+?h1Xk=zXqrP7D zsyB?JQ4nhXUwysOC^^RG_6?)>mWla)w(cdn4P6JK=#=8bN$#8f#NL5=sm+EvwIo9% zKoEaQW8W~k&+QvVhwU3iA55M%98DVghS7a@-!S@M@Vsgjcpe7Ni?qP=FnE6M%A-)!~GnoJ&kjDGa;mJO7ux>MC2?qG|^2#xU;2ehGJD7OFl8{=-Ou;l{>PILNcr)pO|%&|79Jy)2!pm zSmcE}IfLbYSx5O_*J1v*u5HiTJ*>5RU;fv1SZ~|a<9Vys7dgEL9HC(x_{x@8N43uI zqYyXz$OL8`wVSez<~qZVYMtT7qt>}=)$>-ZGyJH%!gbi^kcaJgt0m5L>|2uAQn^;H zqlUlT=TctqBXbCTZe|CiraiH$U@HO(wh*Zs22yizrFME_12 z)|uD6MO-v(Ph2#Nn0e2Ca6ZD&IsH5QtuXwpUzHcHyo6zU7p37G-Lso}7JmJ0XvoMx z7`At9eAwP$$VOYhc?&~_RTjy;5Qc5h%CIf&sZiGO-@Yqd0bj!y*sK5cUFrMWzAOE~ z@V6RKi@z1d`OP|z=Ph^7(LHbKI`&=Z`z`yf^aqpY&AO6Ch33oOTrhZE8I*ffF)`m& zAKa6y(D+{sL;Ky#4QMpn5E}W!tfO*FX{d`UnU&{-^`uclBEPSPOu(v+5|if*b(coX z@O-z%axu?&}<1K_yh| zbIfE9s(;=+=n7C?Dno`w;Sy+MNI>J;m`tlxUb+~hVN52^S$WAJbREWIy2_N7{+;VE zCeu^)$V-+Z@)Cx;{7S`0W8am&4;hmQljoT}>{VL;jq0D_$8TdYRY1z~D-M|R@#_-p zVA{ZG5_QCHb8?vs@;tUl!y3q{<>h%-E$5?_Z`aYQ47-j$H71D3^GtbYR8|j-L`@n$ z(Gf{KIwDM-*9;(yB9Hv$su#pO=YOi0=W}&kh{^MOVrev3gYPz^LQJ05JivyY$-QN-keqW;wq)~E$?=}fYOnGV6l{5!|5=&U9Tx#L|9dDodmG!~JfhWzgt)gGOU^HYQVcftXvZ@We60sLMzj(9aY` zuUk{Hyw>URj4%Ify*ZC4nE!x?&xD6&jfl@S|}? ztmA(*qbz@O{iIZ~0&LrPhMg#f6%Q zqlb~3xTgm9_l1#LOzVs)m5mCPU7K}y_YCFQG*z!D8_l{x{+e|q4eRiVzEFo3rfgI$!2UgET{SvJ*?7#l z>N!nn4SHgP{K7puf{Z_AU1hS8U-+2|%l=j30FVCY`_-9&O;}n8KOhX7u*z<1!Z3Wl zOloYxYcu2=<#r=~wSgn!sb|((sGjwf>qTBl?;|hedigDnTyIkhsp$x#rlXW0H63B< z-N(B|JtIpUPniVjc*5N0>YtIJzRG&5f9C9GQnLqz*VyOMZ|rl|Ap2ZOM7k9;?4A5o zBRE(?b;R(?(scOZc|F|IVcgRp$zwC6Ir^ z@w=q>EfxHTsmD`9oTCmkx-Q2@8cnl>MuxkXdi)GZKGz`9#m>+Xqn^6$BTpQr9&gqa zYb*cD+HzRgzk>hBMs1qxUqNZsR?yeA8S`xlxUx|a7uhHwx-_mlV)v?P*Vw>e>|al2 za}S15kKQB;Y8PrtpdKWQvsI`H{T#;rRlUYN7{)!AcS)W-jC(MRNY8he`&a$*%0}%K zoUJTd&Q=&_t1=YMRv2fi=s0I9jI-6$c=U4^^FpUd2Fw!+ZQ zReYkK!_d!FBcY#D&)Cml=-nh7-#LsszV1uz_%QDHD*;-46-GT*V-dOI!?@$SQmEAk zqgEr$MBP^ycYNb0xZ}g9)%|+ZKZoICmjTC?3VYa64VYTJTmAFOOYK7FmoRYveJ z<$70#RceKP$#X`(gsEQ&55db)MN7Bvy=OlXtDh@#!v_A!*M&x+0gc*|cWvzywppeW zHgFg=SGOBBR~R-|Wq8eIL~yxE_+ppCVQ1B4~=|X z?zenh){(C(jf|R+=gd=ip06v70vCL@AOKhnwU|7w^g|lbeuI?ubGb~=$k&BNzAiNKb=j+YU1=ESsx?xc=j%#CU$;(VdH(Qqi!=-Ny9F^M|jSi{m-Z*JZCVhuEuJQ~1$bXTJNx`nqn=$9Ju-%fnG#n(Hi$$G!jK zaqm~Ag}gM^8D2Km85+%XW)Dhvu?Nj{mWKXTX<~ImrPI=|zOIOy@>1Bxby#25C~9>? zg5^4_ugkSpUNRKjE9>h@fy?tQe)g)1m33rFL8Dq{_9};(b=3MG4eRT=Rpt2wn^zBA z;1+8yF%kxWS@!b+bVAj_aF_-6U3wu>a5E_}R&}eK5>nMfG zUX^5)hV^xYg5-I{WzwjlZr2+trQvMXj+;Dh)QdD~oP~z-9V<;C79K_{ylxWwyfFN{ z)E|Cc7=B)r5cqjv_<2R}@bkj3(aW%4qlaOmH-QctJq#N?m5q%ahK=6qW#qxa$b%K` zBoFrE9z+`+g^}-0n(^Pl$bHsjNbWO?+-H-xB-dtzb;cVBY0Lk;z z?)pq!gYvw&&d|6AUH3OP*O_(PL-Tyj8i#8ul7=-7m0!v8t_o>b-@-B8mHi5%kgCs9a1PQC+j^sBoC?{*mX+b(TiWL43DH8!>ra$isCw z)4f!nJg*!R8qIZP9gn%rRp-d_N3U-#m^^>Xb?ylsdEO9l_Nuwg>{TVCuA|{uyMK+_ zWUqR_LK?jk$9Eex31+UXXM^N~sVjhZ zZ0#=S>@ec7g-VIlhY_o`%MtAdB@RpuY^ zQo9Shtgab;6l{@(J=I;S-sdG*q~VOgZgY8lf%KJ^Ojg!WT{ASQYi1pfy5_EZ&vbRo z(zUj+3Tw~w6+Q8rt!=DI%QIaO3wL})BCglB{4!OPjp~}=TcumB&A0pt^D7&Vxz62! z%En`^b6&Et@tEtJd8Iz6#DR4@<~nDMD;u=}ARDEL*IzAyhi~60$A$}g*l@;t>psV~ z&(>St{=X!A{qw`tHVdPlDXe0@e`W2k)!AVcq4Bv2y1*)q5?h_!|7&P9pJT>gpQE<% zu)U|lsIfV0Yi#aiowd;qTN^!$+Q!2+HycK6<6&F(5Jqj|VO#eQMs4F^tM9{@>3-Pi z`!L?{4WqX4uszdZyy1J;p6M{&@I7o}HwA{NZ9Ht_bz$^09Jc;j7(ES#t^XEAPs3pw zuM4B6;jp#a!>DUMZ1sH@bY5MJr>o>?{oh<-a;{NLF!@&NCc3H74(Pvr3c9KCEA(IK zLG)j9t)zj!71J6q=q&8ll1dHP;FnmAOfSH?-11#99tpyFH9p%VB#@hmmVLZ13qXa&3og zoFYCwO&-cK$>YCwObDfcmO0tl@u5M_QI)O&66@06l5WZ#lz_;p};aj<0 zWTRZKG^nxZ0ds0>!l!{p>M~e)hwZjZ7qXREi23 z&2?t)t!wU>&|9Rl>@a-i|MGR=Ti4dgZkvxS6{c+TV~~w*J!$Z6ebvwOlN^Tc+@*po6{c*Y zjQ8xPg|MYMAj(E{&3i60+?9=-1J>5k*uM-^eW!W*w>Gz4P*VHpboWBgP%Z8(N3Kw<;Fl zTPkN`+tAua)y6~-(9QvxdX3li~P8!rV_4_&wbAE4(gEX|c{_C2CMs>}sBVQL9`MS`! z2Q~M%v<1?jzUgyCNrU>PK1Y4iVe-7XX4gS|Q{Sb&=`eX7+gu0rO?{X8ro-g9J-l7D z)Hj7u-*gyyJX;Sv-cuFwqf|cYs5p#|pwz^tQ&Q`S*KCvsp9Z@-UTval5X?!gT}080^x z;X7xd<2#?(E)8Zl_glK+ppii-wzUhH{24m?xqMyr)E?fxYn-cJN1ii`xJvE^ah0q| zY_2fxZhLt9EiaqPm|J6d)f=@Hv48dOvVYESIhRSjQLbb8R@pFd$1rTJ8=Jg#aTsyO zx?PB6gb{blh2>ri<6iySmsbudYvx`JBPLxm79aaYJ+IvN@36VTu(^_Y^nDn7%Oinr zVeqX|Z0_GM_|_5VVvnw<>>n`&h+1;ZDlfyGhKv?Gabg6 zKDMpghbcqOYrgP?GSs|gWGEA4b;;jC@Y1EOG#b>EhEZ2~n6l9e^3tHLwC_?^dKj_N zs_VAKu+JIis&pUQEKGa6)~emBj)%6{6@#sQt}Jrppp&YvR&kFs%ySmaCI(tn0eT}0 zp5M52X;4?%Mp4UonERZsi@ems%Nfi)LcdfRu=jcD)H9e{i+;}6l|~Nc?0r!w&tPII zU2}_7ZK}s}>7+{^UwcI#-(lRVT@~D`X?D+GzV6CZnGWpnFzoTFP}I-4q3mhCF1&2} zSZ@z9v(G((yfR*RS3RDuyYlxpbs*h}W|xB7g47I{K_m4njhvVL&H1|0$f)LX1t`Vb=X_o1TF+JKIrn84 z_hqc)zC89y!+Nd@d2r4ftAP%y^@ej^4=?LYokF)U3G8WG&z^P-vZs|gOV>D8VH)a( z!qnrn3$fnFvfi!$>6%;Yg#zvDu5Q=Mn~8;%QWGmLJyR%MdU&(4>ES($8j)I1_0@7A zpnC(a_qSwjz{^U?SzA54@bVsr;QwiJRU(Yd^|w)k(lF+Bt+diG=GN)(j?dSXhB3EN zxN~1ori6& z(P7(b^ufmN46EPZUzz-1V|O05ae)suGI`j>1wNQE+1CGie(!Uei#cp_F&}K~$-_1m z^TEdVJ8W|?A8dTT!!{T5!A1`qwz-%OHhSo=&Bc7Mxy!=pH~m*PeK2LCe$#*T?tO0i zO%L0C)5CVo#0OI!RQ4r}jSJj&H!kqOlnwe#?{ga$IBeqrA8dS$!!|DP!H|s$(*El& zR4-8wbamnd6#u|vh$tHD@3S-r*hlK0(qpS|j2ih1^{!C3i=MPtV%hkcRHnU@YIR21B}!v)|8??#`Gwx;ta$u$?jU!L$V`zmmq*zTJ0s#>@xP7AT#9 z4r@!HQNQW(=t_^+zXl|+SJmJ`BU42hJ7cEbT!>K`J7eZPw=-rwn6^Ourn`=-!Qh-% z!iT=9-+*%-dskkr27|LuTuK^S_uBbjj`{~vXIFzEjqNqM@2+q9!MM-!;>S0=&#iBI z*!rd)jQhNLi}5S&b7`kJZ&!oiIx4{0&(&`LKT4s&%Z|~?OP;~>CG=ZLeXdMaDLFpp zeQtY=4%=R%4>msMVKttxj+!{Y{*2Fg-`%%#5BocDH^%~ zR}BX1s0M>|l&XXusVivY$Rh`(n30378RVeAJaSOrTe>@Araj;tox^s<%m-5+q++GJ z@95lj_Z^)Nrfig?a!>!KY?M4n-4hbarTQ#x!%XwuLi@lJLTp`Y7aVgGaH5lw^W-Rw*p;yj+ zaVcp$&VDr*(%5{!{pQUFd@$|%;!>_-^8xqW%?Eri;yJlTlPkK7S= z9^|mC2l-&cbFKz=W$kJ(9@yj;Dum6XS^2vs!dJ$x24iLXYA~enXYvb2qeno8AJ$;p z=e7ppu&u%PV4PKJFz$0(gAtaSys}{p#(mCM%hh14Y&2qld%D|$*z46`to&7PL9FF! zFr=Zsbu}2SLw~DkLeA>dU`S(YFm4Yj_*uSHgCX5EfzMUcF<6ld@9Dx3D;u@wOnpvr zUpd3ly&8R`jmPXBZyN<6K+rSzUAm$yPDhR6{J0v7<;T@vEI-N|!;dg*>c7=sEYHL6vEw*Cb{IakH5i>sYA_Dd<_g2d zZmI!3b{H}9GE~IPt_H)sDq25$t1ObZSuP3oc$j*e8jRLP4aQ;GTw&N;jhV&YvIgV+ zpZ?a>V6fh5Fj#Nq)t=RAFqDmIFp!OEFr=Zsbu}2$*mp~B9s6!+SSkk^rTth(CgGmd ztHIc_TIQIudNmlU53UAx&+66SO2c@2HMq(~2Za4g*j$_O_N&2_?#}hQz1q2chnZ`; z8eHk_T))2Cr3c@-K-kk1^y!DYQ&$%u&DZK5VXg ze3}3Eu(=wMC*2Z5e0S?!kM7pJhE)y>-F#j6Rt*McwRA0fs|EwU)n+4&U&=;i7;CEr zL%P~r)nH(Ag?Yy}N1ZcMidnkaT&X5y=%T~B_hlknuQu1!;Ig*LuG!O`^?*j>U!YN1 z9KKb9D-CU~s$SLOnY+^XRyL}^l}5qv-ItysLDx01X6@n|8xN0qN5{1pZ@(H`=^Afu zJPkfX7{B>Xx!(QFVf^N%W#B`E@teD*k>@b(o}NV^E^tq!EI-;k{L7-4_?Kb$mw!qm zu3UxTUsfeeoG=XkvXW0?L1Flph2YV3VZ?%(w2Q6_L)W!?*sNjLtWEF4W)0&W%tYcI z48vxl(ktZ^=|AM29HYd zd5&rY*nRoa&)a`RM_WsEKb#2BS|J;Q+da_Dq?}ZdOXCVd1St!@b+UU1$4>H@4-AAssG&Zq^ zvfb3|6p_K72~(agShefDzz^8I-+jM1hVZ$ab9bM6$o)B;eAj$WuXK4n3M?a&1!UR# za=q}fTrYIX^&%UOTyHl#HtU}rykq|!wa!)0t0Nw@&K*JRp+~KAp(^d6Tv*o8Y<2c3 z*Pgv*nc|KPsc-^xZIQ0eacgZplWmGAESgZtd} z;vKfVcppq1)@*gwR;@ESwon1GQP`HV)z~Q3R;@F9YqmOTtJWF5H3o?N>uQw7&Od0q z)jGSjoquqj(+&&sKDubZ^5ddaU_1ZdXzcuh!*>3`2U9kxb%sW5F|4idIDD(t8NM}| z9=_$)vbM_krMq+9`^}9ThHpLh1m7By0N<*0md4{Atkzi?JOAK*%fklgZ5?Us{DbE4 z=Kt;fsB8VG}lqiyDV8Y8-A<)5>lb_DfSHupPtD<4s*9P7{WmlX*%E zFN_#o+{JDW!^ZrbyS4HhrahGZyL@XxxAuLr)zPQXM#-QjhmM1bnO3S-Lf>?b_}EZ?K2&SFN)&;_!Sox6!ko8sFbi<+NwNTIc;O zQKe2M3?Dns6n`*`I+?PF)X9W#|DLP6>kT80S!X!5Ss3?k9t3;~gKtN|y-LZl&voQ1 z)@=2a``mN*_B)}3MkOrJV77Yq8?)69+xZ6{OrA4a{XVy|)eqa*>K{y=pEJ%L+uH1dC0NB%E7&zxKxk#&kae&WYj&Hr5; z+cZ`5co=%T&<%P#3_V_n1A06RJziAVJHEoSy({j>xQ<=~TAt_s?p^Ua|ChaLSRFJn z|DaI|j5MryN`=YGQcCbL_Yz(<>J)h?6^OhvZykBbM2AN8&(J8O3XSHiL!}haJZaQL&UdSL1lxJ*_gfxzSo7BX zf7U@|O?oc#fBAj+zsT;x|LunIyygG$Tk?OA`$w;D;bPCxt$6n(FNZUeC+a#19`fDC zJy^vf=dF~X>)1I!@uT)FDYHOMOjDrU)IsMG}e&|f&3NDVIAdvS;r&) zTL_+f+3&)WuEV%b9-{t2p$yky?(<9^*RiwjZm+C$4&z>E6~sJxxduNf3>{nKi}i+a zE}K@xdc#<6)7yzroEyLE4Z|mnDzS&K$9nh8p-xfe+VZXKBi0* z(Qty5zwcTzR{p~9D~dc2j|d~~6T-dqT?k;$Q5f-@Qe)T_VcbVe0-=5*j2K>}rq~Q& z-ZRxdFF$T9HhQhHkzGgbjQ2A9aav);ALG(@G=Zuc74FhFnsJAYrks?;~eEJ zsGD+P(JwiPd$xKK9eeFNM`dLr3?4NFLmk#ND2<&5nl3INwrBdg8SHy5!_;-vM6cWz zYR2DcVmfwR5iR_!FwSpRw>q($Fmh0Ffa|a>vPu&CtsDE{I;@NQE?prFd;jy;u@f^l z@VCP7x2m>4$A)=trLH(L6~nTQicQ(8d}8FeoG`N10H@UlRY6fR8%E7+mNGg!&&7K% z6^pD@e(Kux-NUoS_uaz}ramYqERBZ^QZo!>5#S3bjVsEb7ZYj5@hYXq21G>|I&Dz{VM0A@i_a{L`&m8&;Cs{;kP`_ ze&sK$Bk_Yq{lBb(xy0==bBRBgehPDm?{n5d^xP~tv@mjLaR}QxOn>I#6E}5Go~PX5 zN2x&fs^skM^Eq{c<<)XehY_dA66K!0kjK)k3?3inO5>$lg$cjq5BGGP*XZXk^mC;K zoYfnOwP!U?lCye)nO5hN0Vlt8<@~D;Zukx~a`RRn)X{)`zCnDepF8E~=Wcy`t1$F) zp(SDfVbmYw(Q#I9G^A_$t8C=|!nge2mC0XiDYEhKf2%N5kLUmHo)#igkC(bzzMb0G zJ#F`>VF@E9SjNFKofz!eDoxS8FJ&!VeXzoM+V`nC=^78q8rQzBWN~>}=?pRy zh75g|W@k?uH?q7e6p0Llsqa(ID=(>RVwO!6()X(-nmz5fv9{cv)h}r)^h=ofC0}>H z+X*B_TZW3bcNjU0!q4O|E+MeGxInOHD_?i_>Vm#22VvMqd1}P#!Z=$c5;E<{_%8Qii(9q+90`bpLwCXM(sk zb3iv-L>gVxOQW;~ds>^}-h(%wf%SI%B0~+6f|m_pf|uobpG3)AAWjD}$%(}`2BX=CeeN=UhdN&h}J zl@7(G`BkY9dz!E7+8%oMG3%=FQrI+M*feFl)Vq&aS68kKFCVk6ie{^KBMdL|b=lK= zUG}udsC3OaG`$I%CZ&x{6NXK5Bc`QW;mDrV4k2f-Sy!BooCA27p$#uHMp;{i9dt9C zpqsDDp62Varv*${TQ010^>xnyMjvzy`dc1)H(%G^vTyLD$Mbbv??Vse>$1-!UD@Z7 zn(niDs0<|gQX2uWzA)C?Xmw(JVfd0iYYExk62?7qp{m{IFk%4rtl;uIj2J+rAH)EP zG;_~{p?8}`i@h7hJyR%+vlWJ|k{ZHR3By*YGzDAb%2ilzEgUOXX%}pjx~;HP!mw2e z|6!|yVXLG%u~ovbRjP7RhAOe)%+z+WYpWH-GgGd2WvCakk)a~F$k2^;;LMckmF~md zedKy83qppjRc2+kSACJ8Fny+4oLrmzRyU3U9Z{~=J@svgrrT=oHtPzy<$BSH<$75g z@6D#e`WSoKtgDsN!o~Vbyf=IQ@1b{jZ}vWy{hhfuH^-t_IjM!`D8u9ip;xuVBY9+&{kI2f# zM{MdUbv$ACh^0h`)7yXUB~NM)$J?w;S;2bG~}o%j4!4W|q}dVTBKQHDxKtu2+=t_+peg>U6` zS=&9#v-?tBch7H6zF^aI+OUiBu$7_mx}2F(Xwo&eQ^%+>)EEqS`N->Lb$WM~*M)9* zU3ghu7a1zA3olFivCoMM`&_v^dz$$y_8<3A6YPnPmtOVER0hvJ*VoH$$!vmdb{AE;>5@AC&S+a#s#v&BTP)S_Y zTag^=t+-6O551e~0xv5dLWU~Pk?uq9RwV4&%&GsZpcT5A?Mt^19%n0zeW|U3eF zEy9g2St*!zcYVF+h|&h^X??xui28b=TVF4G`snLzED17H_80vUhJLAh4gC_P3>AW6 zPaA*Aozh$v*Jdr#SB2NRS7Dy5(#b1-rI?BJCAq|D!idvkS$MW8(^?+2T>OPFVtr+z zIa{T>@i{LAzI#=ufH+MUahk7&BJ3R%rhcxpj6E#`&)RCqWKSE&1C2s>D}R-366(9dDYPy=M8n}N!2$=DN9h8nJp3^k~nJuN-s+N>e1BS{&m zoPj<4YYk}?Mc(nbDbjVGTP?Ea=P>X1QcB2aeZA~y|kwIExv1e^zhb6f$bBf3{|v)3{?Pw3_afKEvlysRru<9ooAl;q70R?X1$O1 zddr?GL#4csp~~D?+vC07QUuCSZK?3`@m_BxmojusUCX1Iq1e;Md%blaC_|Ozv8P?N z$WUQ^WT-}0={iTVTg$t<(g60f2EwI#rIP!(Fk*eBneZ3FxQ{Z?xsSrIX?oEDe<6(f zsFw!uIm7T5uDo+~QyBh26V$km!tfVzEASV>xQ~83`gOzb7wT9cP7}s`bS0^Kj>7O4 zIz{*kVfYJKIrs~?NB9e2_zR5(CQcLPnJKlia&=|2oTJQQWW12fuD3`eG8Bdk-LtgP zt%zmMZ!JWeqsAPgiz_!<8M@NnJs&*>gbaltL#0xYp)mFCV}^6CDKb>WF)|e9-CgMd zd)f!li9KJ7ZYmVSo_6uGr(LY!Y9yQ8ax=lXfa}~ad5$h{GZLIIh z8M=ix*uTVp{R?CNsv2Sc!q~r_!D9a^7hwOcU3~YiPz(DP#{MOy>|YrB*HjJmFO2=G zOrQM=Bi`OA$9@jOel9$O{Tzn<+|j~*4&w~wO=J6nVf$Qb0ehOsx@V!X3~Zk;@|?Bm zWBY_*`xN@Z=M2N=Ol{zER&k8adF>D@_f={T6AV)}dQNH2Rvc0`{@SZhxDJ~pwT4X- zhD}q)3N}p`c5$y-;!B2kci+QRbM_mFfZpxaNAHHo^V(Ne52c>byID=di?8iubz)vE z@rW?u5tZ=}FAnqW?!j5sTbtMF#I6rzqfto6cx7{}H(z)4b7fW7j$!!hoqT-uFycO4 z=fr)&@Y!oqa-VBmVxMz~_wH%Lhx?qb%i7vf_8?!EeXcl#eeNi51}mgspYwI4Yi=hC z9b2ks3I0bI{zqYc>IB26@ybN6+VV{EISlO?&(M@^#tMn#tJH%IaBLzAkrEzAk&3 zugjj+ipSat@vydzf;7yj7b*3QZ(xQrDqLa@tRcIKWy#xFy`bRw(+L0irJTMZ6G}F z#~|bRy2JCzm9xnI{eNM3aAM2zKIc1yVaxMAXMbqe^1RR49~!ni?{m%u3R|A{IcEcf zEzkR$vw?18mwV-Gpvt|M=lwr@-LU2PMSO`B&%1aovbce0Hgi*tM*vd;7HOyII)G!~mIwFkTk;7JA!syvMY~>}4p1s3X zUcwrN`L7%tw(=6jyynB?x$~O)9P^sPnAd!mJa=AmpJQHg81tGBljqKBu4RRJ&0)-I zK1`lFuer~qigr&+W6!+<37aEBqdsPiHG#a+XUS&o|qXKg1l56Yt zk!w55bKVFcX;9&oX!G>LJhy8$@U z{S?l082l|_1b@Rg({*dCZ_sb%orbWCM}9N&f%_cufe+hQeOL`c{J)y7!FU_1?`HkX z=kk(0`#E}?%N!}re(k2aw$k>V{Y(qaOy#@Mc%1#*L1{eBen~lLJkEYc%XLuSRFInb zrZDQ84%^z4FzQMV+nT_O3~&DYu#F9eaN(>a5SiI3M*&{ z-2#AMB~!)F8~3y}@|Oye2JdwBTX-KLjQ1gmz}GoDzooGTTj$&7cpEE>x3Lb}dWJA+ z=nva^hA?XA58HZ%Fy6*GZ0i}qsG&b>bE#qUE*%Cxide#rF!)iakb1mlzn14+GwN~Q z=DqO|oYk<+$M-q%J%?>xEsPraFly)z+kAW&y-SB}9w&_6rNcJo8btzV~#)>Y*_Fyt0z$@i1(-Izp6ZOn zw^93jyiXFw+o;(kyp4L8ve9fR=r)^bb!<~Pz2iHoYXfICp~u6}<3&)=<6-KJlpQo` z%vv3Lsc3X!x!%>|MHD>KHLYNq)hG;&QV!B6B(b`$a{Xc3O4^xj9+LVSiSJfL^SJnTcw(&5}YAs2~L01ZLkf_0< zO4>L_nF4Ebbu2xrmBdJcw}~=32^@A5WkpUXhtbG!@H=eoG~ zT$g~@=Gpr$dG^D$RwIl&`(fVQ9cg5(l5ONUlM9_w*n9Wy#yBj0!{F~dZRdA+i?~8H z-XcB>UEI|Jf5W(^t6boo4&$D#dzE`SjC;E9F8V$UeP78F_jDNdbkoSVr^C3XyH>fU z!?>rrx$(EEn!^_l+kTQJC+Kg5Vc%cr*6Noq&;BKGSH>?P3iderrRX^`SMX-% zzd6j%sI3wj<$9q}u9tO`>qWoR5-bhoR`mOr(-y{@w!`qTvl#HP!_Wse{>61LmpB^C z$2?4ZP|5qQEfalfgsW(4VC2YdPpS}G3S(_eI$&*KtgSQ{YYS7?<^L{^Di?jM&A8*a z8m_~*W7F4==f(;l&tb@OsXOF34BNJHR_?M!@*>Y+$n&{JtfO~`R-TIpBF|y+yb$A_ znQk|E-Y6Am(9e`rOh40M@;sN$beVy-ugSZIVNPOdILp6IW`F z4SeIm`7I4=+Pgc^B@a;+R(;^xL}hE~D?bb$wz7ZEdHG*|i?PTHBXQpHl;K-Z6!?}H zz_;?h>`NtC{FbinwVP^HP#2f~<+oJU%x|g1gx``&j7*mQMQ1NDR2&+MUe@kftea9R* zxB~5wjXZJhnJXOTbM&0$=+bi*M$g${+BDTaOM{-XzDv*9Vd~DxHaQYPVTxNb0P^fHFz=)=ef-}5;B7IVVq zs_lBiu;s6O*L7%z)zV6iAdLGh@56f`pBNhDgjt906_rily`sa&5nRc!G%8&h{x*36 zTRCiducM)FkXI|uV~8|rWQMMO*^PBx`MWY{*HPq$|HnIV{bt@tKTMvdD4~(kfkua# zb>zk)SBVho$S0PDd7-RRZ1h^7$qR+a^MXdwFfY`W%JV`GuEW^ym7`0;*l?DfJikEo z@~s)p?9~NO`5ZIevbmV?cH_N0=a+Eg|CPKGljo&f;CVS=)?xo|o*(_chf%wgmx2v^ zEe-2471<=0a3k&32dniTpDqlau9q{(C4^~XR?;gCV=_e@@u#m1!*!&2ckjEErBOhK z&o#_j48J>TgWPc#K4M`){FyLv$JaW-Ix?kLM=1x^QM(`OC@0K18u=&<-iU1MK5s;Z z@kZof^1Pg|G_rzsPwzoAunIWDuvm8s&tcktx7ll@o?WCaW}33D9M} zV~v8$cMN0B*kSTKpI90V!-Q^wCB?iKGPAjRN=KoGa)r=C`NZhDa>D4ka>CHaCx%90 zZ)jw4EsY!Vx$A8l3VaKLZ<$T-EsWShDF@=QVc4;Gew^tr&U9l)Iny_qbY-X!F7P)D z{&w2nZy5Z|B!s_V@VC}E_!|a)yW!z)82l|uOdL3j7+zChsRzkqC8iKYJxG(hiNS~A z<6H|Ma#c=v>?Ai<+l9rAaHjnPB3q$?Y>h#`{|FG$xs zL?W(ibj+n&HkIE}GF6QDWG(Z`MnzP}eQj-f=XHA!pX>-K8xKiXukBb1 zQMN+aC?^cxoON2#Pk$>+{&rQc&&_papA#$gxhtOE(o-79P>?kAw;ILI{d*$|rJ=u7 zXj6UPTxZvzzg4M_`u?(6D_1SUbyR%L=k&L3%p&K!6gcwIm5fX_>k66d`0icX+-uLLW5OnX_8SEa#}!CC*WyYiQJ33XPTvjo$TG8co8aPT`(d+W!~EUbPJN>Xx>0 zkQTx|4Z}Vy1b}@SMoz9)Y3l#3eR^drkCR%kFz&pzo;&Z@!CqCGvixX78M?Eu1-5b+ zy0cfN(4E)vEe&UnHN_BHIgC5+M96hGd#tbu_E4DiP&LuW)eVGN{ddEa#5^AjeMG>t6AmS6xc(eHiEM z+8o&D21>8oSK+13X$&Om$W38S3mLI59V7Oo_j>(*_5w6=Pn)pEf7q8E(t$^XOZ+X& z+suZu|1wplEzsj^yN*Jj$a9!FETdt6%XeWxU@96kKsB9`*};s0iGp~J$^VWlBF zZ-pn}ZM}xOb!g$-{o)p!7 zdCb3xyUP7z{#B_g?U%>=t6pT#etFEl%Cl1EJmy~&_11oQ%)iRjP~R8Tg6EI)sl#%`*M2D-sz1}X0?y2@9Gczxo(Dq?er0Iy=bC@TxorLw zG!jW@)G`H)6LM&1hxJmeI_$fJ@ZCT$@3%8n_?$NIjgE##U0ldPPpTkmDN1B5MTD&7 zz)8dWTQ6yle+whNoAjvQm@^&5nXYRV{)TZ!^;#SJ4THax zDZt+__?tMx-!OcfJXrV}27hZ0fWL)|;BOfGt+gKhh7r#xqXd7$;BUtg{)Wll#@Oun zsDlW7p9aHU4O8Dg=3i9~LOiEk(GPgcze<%5&k0lC=l^m(5(Ca)tr?ubS_U|S`M;dO z{9kG4|7LAyzvTa-!}5R8FO3V@Ggt%>H;KjmP|}%5I4Dh2c-9o{e8U=3mw6 zYy9dl|Ef+DVi{rh-GxPsUo|EM9zEt?RX$|=s_{O^!DIeawjS}TLekvlVff-@UAWJ$ zbZccOjQhMR9-ri{{gu;Nq3}t<@JWgSB~{a)?@O@|OAABaS9XZL57QR7 z=K7Vj!dJ-OHGT6r-a9F9&3h+@q3?^9pzp)b_dS)OK4>@wXSMlPtfK)9tfP{5*3sZ7 z&QboaG)n009yI?-8fjEMXAfYup8B9#XXK#tG_qFyckit#vXq1JzsOp(&d}(sBWX19 zX4jF5K%UG0O2gP|l8bL&o2zHvcYXVDkF#H`v+H=A{qn!A<8k(H8Yb&~oc(*1VLw-T z5E_XKdsY4y8ijIMM{gZT<8k(V>nILA&c1IQ^*P@>2$ScDxa;uEgMNzlc?Z~a_~t<+ z+v@uaclIjdmc6Rn0vZ`-oXd_S=d%1SXFs!vvtKBevtJNE8jrL8=>NTNgft$$Ujb-o zJbb_Mzpmrq`&H}gI&uhCo=cOsSN6SM2!(ZA0%`wWi5FI{ByqBs5< zA>G*gHwauB+WbY=unBARz$U!*57(j1e-Api4reeGP9!In#V^mh_IDkX;=uFNGd#aX zZg#J(Wo6e92G0v^!t)#Vzx-%A13V9d=amY<^DuaRPsK~axeM23<2szdR5%tt@17`- zhBG+Z0eJo^6$?KqcU+#QIrRg2*B5@2CT1Otk(0(F?o+KZ>!{RE8jrY7*Mc-2ai40P zrQzK3YiDvDkGN0y-@V^@?TNT;#a6^^!|?MmrSS8@i0jo}MqDq9xL#=p;(B4k^*S-c z^}>kjRV+!KJ&f2*w=c1qitUNrgb};B0{pdA!ie3JP9b&^My$RJB(eH1V)dD)#OlL{ z)i+6iSbdm0ukqM*SZ`YjNS^nxwTJ59kms3j(lFoHZ6wbt_t~>>0|B7hn94nab&)C? z4GiM9G**rCQHkN&f1Ma*qZ077#~T`_Y~0|twKr~vniyxlv>N(8jI&<_J^DTjec!-H z{D3h0fZQ2;zc75i2JGSc-OxSuueXliW#f_HW#jAE(}vl?w_JPpc0*QoPYb<5Hy-v?Tz7nVyRME6Gn`u3M%z|?m7Cts~de^ zt`~j(icS)xl;-$KqGU5b(HI69o0H>Z+#VTUmez1 z9BC8?=5qyA!K^iH%qMZZ%prN6i6o7N7eT{1-=bIYyiq=`!}m@~L!j^bdH7{v*k+Y% zdse$rRzK(3dsb`W-E&mCvuCxY(LG0BHEK%3`nt9hzTGzOo>mEq?HvZ+>KKJ@VeqXM zZSLtX?&-#Rb5DnHPnYuNo(_|5<$76LSNF<&5l~_>g;j{dh2fVqr3kw{Oxb9l(Vnfc zF4*l~iSx>R7W^tYb9OYx4%rKcYL{C_Ox6tG|KfNleK;!lf89> zyp$$c9rjhOm$ennmWF-Sb$LB(J>x#z9`d7$6@GMa!H*O^`_dRMe)Czk%gY=%_9gRx zeR<4vzObz{N&-RSVRPknyS9hTRnW+_J#4PR7RXg5jWn#Wxll7aFCoC%3b?bjLIv#K zuOw#opmAx^(B`Ve$+Man#@ZT#!P*LsBO4V$v40g6ab^l{NTXsG)?t5HH;;P!3R?MY z4uhC_ypTToSFs=aS1}zlDole$MgFYg3g-8>^wtskcZJ-1&OCcByQ{}bLrB9sd#6{~ zs7c4QSz}XKANF|WAGTQ-wplH4*yCZ!MyV5I<1y>GE^B=8N(}ME!-&oOs1}OTPs6h`0O|GXXPaf*{C#>*xWsrx;)CQz&{T|9~3ns<`#zEorldi596G7 zE5OT2SGjA$(CxWB@aWn;*_TJHa}$0!m!%AegM{G=)v3>U3u8~~e~`Gu8MV(>t!8}T<<;gyXW^@V%Cw-z&biItRq&!k8-_x-XhD{Z^Ra7Ka8_ql@@0| zjI-Y@!`Z(!X4hejO%XxjFP8$}byUejj4=$mvnRB$bHd0a6lx)!c;Oe$bn0OFmYDLJ zv%DX_xvBUglTAWG4#JRwACcJoD-?trTr0rJL0vY;K^St-(LxTwkb^6?U>)`UvM=@j zt~@uE4mqeI5SuW}J$>~5X60b(r4G2y!>F4pDusRDL|zoq;y{4EvDZ+YZ@yH%Cb^1tx6Q9kgu^3J_Knh4{b zmj7iPkC_Oq7W+Pod`eLoVya=-?Txr3Z+cH5?%h*YC2^H7?D43Q4-F$HlDUBo7Dn7V z3zJ+&SwDQMdzJ!T<|n%j`_KyW<4=d-Gi44Dw+O>e&N3sW73QAiC!@#nlaZ@jFyyM7 zFmhE%0_P(?8M%7&{}xg8{FW2;_dV?5{ABk2(f?b89C^gr6M^2ZhX8Tcr!o$WLZ%`N`~QIbmciwF{3@2dphW8J=f8OQT_!t2+zxyEfyKO$Adn zGJRZ|Ge}BXDH}H+X@5(u75kT;42^Qa$aATQSx4zX>cS6O`#y}i@Wb|8hEW%O*zz(A zoAt2ut-|ODK5Xj+E{eJL?_qmagyAn7w&y&I+R(!`wi!lkXc)Djhpn#`Ms4U}8@~#p zKJ2iyk;14CJ8aK+81-R??fn);%~CqJ(VnCHWX@I&h`+`6u{(jp@AC}QotXmu7H7e=Rn*;7^-ml)j96c#=hVxG?fEDj zZ=NnpKPr{Y-eN?;AxejfV|V!ciK|L;g|1Od5~aTqf77qd-9w z7ytggFk%H^)QB9maur66$YGm53Zq8ku=ORwh|?UldFL?X@374~hmo&1Z13(cVq}Ny z*>Cf(!wy?H4WmZnu(kQah)*82v9vI3;KNoQm^?4!J2KSgm_>M)JTFf?a@yyZ zMHq%(c9=XbPmG-A6GP)3lv~-o$JxN}iLa!{J3gOybZnoaMkI_Hk;A;>^NGin>T}eH zgi#}M*xn0a)QBAB9bcYUy7UG1UHSqKQ#NWXU{A{vj}FT&p)W9uzQDuQXAh$<@UV@A zhtU^!*xpBB^aUQa@sd2>&Dc5pmdDwzCz$o#V2$NvJ;Bl?4jc{Qz+sQG-_Mh71LB}t z0uHRfwTqRCftU6KR~lM|hVPaT0PE0*c`vl3@Uos@X_SoS|CQJU<4xczXVn&{Cs-O4 zLh=79DiYHcNDN#D`HFrE{Q-w*3uMZpuPO(DMm@p1jw+M4=CjO6#c#8YFm!e!oHrlP zcRl;LwVeGh&VH|;a`wZ}*|iRDJT_&@9NaM8;W!MRy$Oh%=`hZ8t~mF37-#yw#;EVv z3gbSnG60`FjQhMT*!WB9ApR0Y{N=EXzl0Hg2_yb;*v4NfY$X12*v4PNh`$_W{G~wf z%4EM}WAJ^qpykM9nEJlbE#&?JQ+&51pP05li6+igCZ;t0Y75jRB8?ijpquo9@%~YJ z!23tpFT8(r7(B1)dTUAgTmk&0TMC1{Do@Nh3T3d4+KyRAd17g#h@eZJxZjt9#OHE0 zz%ty$;hqlTo-Sm` zJzeJw_jDL>xT^Fw*U@ib7DJgLW-%N_9Ii~;=GFQfvlzmdy?vPazMkNfgL{sC<)E<@ z$Uzu#&{d`!RQ@at&wiCm%0@lGD}UEQv@%}z`POu_-fqH`t5mydD;T+-%k73nCELh& zJ;BiE`3h)MZXu1D524#sHn4AOxT1R4aG3|#aADYRm6l<{g<-=LTHjnu9v$y+oR^0U z7lsX2#{f257&csEPULyv4fd*XIQFVCE%?#TgCC8LSUdaL%g{sRgt0er?bnvi!ra(! z5kX@uVe+F0Dl{rFghu7w=+l~3SVygRtRpjw{kut2D{D1=vVV;)X8#IfBF_zSkVZ-o z8v0w^9_sOavTM`dy7ay@^tWQUXSL8PYiocBYpa!qwN+Nn{@viRU2i2e@GWzfwKa|m zzWp-Rl9(zRwG+d)1~nd-{FM-VS5-_F?*TscdB99!J=HuH^#RXlOtC*RXr! zzE&A&Fnhb-N6krg3A48kQ#QJ0*uQ#$hi=V{#3v8ieF@{t9Jc!s#+f;6_a%%obJ*@n z82RbLR-cBEpFV7T-7xaghpn$0Mt=IR^>xFTTXxv`x>+ir|H{x|d%uM-!{D&J-@=$- zaM<2&VazZHV}`+D^1L&Hy!0{G!3=}`A34%6W*8hM&+mZ<_KG_0zDs`kFnQjYVI3_E z8kO(PneK%VYUso0sX0uZ=QBxzKIXnl{n}yjJfF#R(8t_&>0=H{EO$?T)5qNB=wm)i zo>wO0UeU)~HVV7xFnOK`u~$7s2aT>q)=|yu=-6lwr#@_X-lQRVX~XEHJ?!yadTIL{ zwd@TIrI+?F<)!)v_TY*Umv7Zaum{ygum>qrWG*r4eran%YAv6 z_d+Fnu7mrs@6tbWnDX*Pjb>TXs&IEtdoF=>RBR7F3aP@6#vZ|s8tSCMy!xwZmghA? zNrSrKzRSG&%LvQ!TGL!djwpMOQzs_Ri^REC1#b7dS9KyL&-0nst3o5tsGUw46`(?+ zrbjV(UQ4<(=vAobnwiyy$@AKJT?hSieV2Z^!{m8Blk1?LuJ6)McbGiCL6HCM%T*QZ zK8Im*9k%-%hRt=@?sFJ6*I|3M!mzmx+kFnh<~nTkX+c74uETbp!?3vyTYVaa&2`xB za~L*P7&g~od$z)`xenX26^6}q*q*I0Y_7vLzYs=E;9*<87RJoD!<3EMKgQ3CLv>E9 z{8f@QzF(gsM-@iD#$oHvg#Ft1)nUq7M{9i3K9}Li@1swt&vEv{DoEnH%&qNn%&k3a z<0@gytvzhx<6+FLJ#6FST>x3e`}<0iu-;OT@F|LuF=e;n zywRu8Xh;g*rJt_P{ZS@6tgOxV!O||+Tw&N;hp8j#58(INAJBKPxx%oy4pT?mqZ_*i z_kaZ$Hdp@-n=1^P>oE8E9#4YC059tgU>)@bK%<#)$V;v8(!k~_lYq?? zhRt=D_d+w{q=C)Vcd@w+^IqujN7sSP)pzNW3&Z9*OrAFa2O6~mvyS=$;79!d@T2}v z_|eQ@X<&2piYM>G-piiy-2Tu$hs|}EJg-00bzpP#U2LwylX4vl68vyMhY!H-6D!jJNU(jexRjYQ0?fIhV;haoRrDacEh@=|FOzweyC)f+u& z!5&n|%^qY%um@)>^Z%$#xtC>?mkWsTU20SMF1wI)FQn=^9x*rHhs}N==5`o7uRa2KsXhXE3445(di1LK znOPXd%)-Nvmuex9moVfduMByq6cKp|Ltcuaqa(tQmpVew5n;$n?hHC240)**0v!>C zyi^N;jtE0XRJnk>gds20LZBnU7jwOR&bVV$WXg-V-o9(xF-&I1rloxZoeb>0-f987ooN>oI7v;rVZ{IcUc=Zv;y}91LYuqtRo}26KyX1Pq$n_rP zyCeO|FUU#GC++1&;Bi9>7uJ5s?Qx zdb}%s>&NTnQ_6x$^9dXBP$88psNr z=|w)wvtO=v<)yM$V)~hSTMyU&*2z9qcki>U}X2wDeenzl=j`U3EyQt zSD&lHdp}pM7aG;aviIhCYbG)7Sh`2su}~29Q1!9WFz#4+vHn8oJnTAiz5PDpjyJ*? z+p+ps?oe~R{XgT5S0C#-j5}U^tTc=}<{D@VSnF34A2q3mZB1$zHK~Vf-C>w#KWyuj z`&_?pWGHOwmHS*qHJ@YtOB9&@a@h72g)#r-u${pf#`|E0c`x`rSfAs4u*0|)syy1h zqCQuUd)Hg8m%TcM@VOQz#=Q_XxEI3YdAVL`l(k+OcS(uK^OPd%=%+vz02&kF{*j!G`rgNFTq@kUTIvby*jZv^!@-U!N8VJ_lf@;nm` z8ufy*j#$n*a=Tea$xnD*a#0%Q*>9YJH0Voc9rS^R@kZof@;ot>25&^xxl}RJ?tQsl zzFV%BJ#ZFW|BtsS!g8*l!7R8w$1J!oX2Bh%yf_Q4&oK)wj9GApDKEJ$@Ur*8SVyXk zy(-+qUX|-bUdr`CqZENOcpLB{s_KYxz0zPVXWuQEy8K8zyN;54e6E5iF?B?_UTLH# z`EE)FtOG12&&%~fqbmg(h4r9Ot`{1)0q~<#j5Hb+vA?feuQZqioU`yP&&%~nqd`T` zu*Rm=IeA{L*L9>7`F}-G#N>Hm;9gbeyWcI>3yrRBXcRtWugdj8qg<~vc&{iMsel;2 zrQnhnJg-=Y?^e0Mcdwv^-&d}e-&YEfJ;*iS_m%7A_oYgt(F2pajv7j&QIiv&y-v`2>LE_2o6(TQh%<4IRt&T$P~YsIRt%kLTm**yS7c92o;QF<8daq4cgyucqmU&u%Js5Wxj4|M zrBE6_<#}N#Y4AQy$CnxGhspDDy{?0|iTW;Y6NP1kurCcz1S=Z8m~-FKbj*DVqo3|D z;-^{Y@}suxjoTJIB~~9stUl9LzBTu4W3Q!qcFsh<&l<0^xcn{GyK&$udx+JCk;DDd zxL4$$T<^wmE4|zv_lCHd>&?O>z8gkuK&dR^yNx=f9wdysUlVo6^@fq(&(ozIB#fAT z)z8#}gpv0vTj9M>t{0gsCE}hMcPt90j%aDfeYsv}6!wNjxn6Wcxn9mzxn5})ckHAp z8|8YXVcfBb4s}GiUe{sVvGBe3Lb+boVcfAU0(C^GC-$mbFY72&1&u_Ay(-tsI!Z4{ z!?dER!@3UMg^vbrR2`;%Zqy5V z)!a8|6#8PXYBgsawV|;N-v?{xK5vwUHT-k;AhOcnjncl`fN#DV6)|~U$%HhRIUfyX z&L1YvZxSwac^9w5Q{AQexki|>j^_TdjzWyksLX?P^dyKhDkOt0@80zLy7c&5#X?}b z2h?{vt$Z#g5iEyGOrH15vg@eYh41nfQ@TgRf6y%41QGA0zbmwM;ehc7zRJ`R^dk& z{OC%CA7Sz%Uw3)l7=QHc?@LCHY=m(Ji==S|!{m8vTe+&^LY`ky(b7%Ck*j=N){(CZ zjS4eZN2LMMQ18~NCC?KzX{dLTdU@WlbRE2jd7S|AyyA1$q2B#nU9&WJYatreHWrb_ z_6buq8fmxoP-Y!AQcpZ6L(cupBsAV0rmWQ#vo>6*6MVWlDDl<8sGYeIL)Y=pL%9aX zOJ(8gbG3fRWacFDQY#s}%-4lRApq7}`|sMt_e{vz#bNRzUw3WRb_ze`p52s&G48S& z?q6kT(lEwd=_-E8J#E4|@^#t2d|meMy8^M*8{ZXMfmOI9=9$jdl?L-RbHSLmdDzZ( z3}fErVLNX#jCq@fQ7hTVTjm;s?Yzyt%e>9QcHU<0ptX(ngbZ?!uM3azbvZ}9af2NE z=AEDD^3Km;)FM{7u(SF49B(ZgMlIq!d*eEIYoYJ*&d*`gB33~~En=8FPbs=rO&nSI z%OphBYQbm!da4H*&(}pqJnEW@ys9_ybvX-}W}LSR5b>Lhxn=8N3)FSu8O+y(ZV+_y zb)nk;BKDxVX3lw6nKXXMj~n8*YkSl+_XL3asIHm4ZyCs1zAkd`@O29GieXga8eg4V3^_v#%9iO_A2J_bYF7wvIn74kIGL%TN-pm8&)`rH~ zQYEbIm;LNr@$fec{?@I=*$?CF_sWVowz}q(-8@A6tuXkOR)cS0@U0L$XFm+SWxl|- z@6x8be_`AUS+?8@VcZK>`nEg@<6bC5z`YR0y^wi@zZHhRRb?6XZy5eoRsZt58CEN6 z-<9jJjv7?htLmCp)-Kfn&#P;ehHt5hbyOA!jeK4Bk*~`-QuoqueppWq$@7P=TNX#2-{2nB zk+&x1Iltj7d@dyc)`1g~=lQzsRhzos&9#R{W0auLbBnB_x@KsUmXwA)i(fdxwKWoj|5xR@7`}MbKG^r2 zRP6gO?E4}p*!N-BW`#@ky^|{!z!$IT5MMk@*=UpxYpeK!{Yy{m=*?33vOXFWSAI)o) z#^daF+@$e1`5Bz>u6*RG@94UI-1uEjY`j1M`H)2;ry^vyZXLS zvC_yQ+H=_$O=%Qx;&aXq>-9!?Ub(z9Dn{i0IX|p2BY9rw5%S!VNUZIrai8zXMWI^{ zFKerZ7ampOFJ0q4U6;h+DvjE=jy~m5oYkIjl172T-K$Dm+0)ViuFV-jbrUNajihw{ zoFUY;uWVG}#s2m56#Mtf8A44pP&P_ou#UosOCt@-9Zkua>I=gU@Smtx^wsKbeSA&h&W?sM*iFz$ug z^SJlJxc4)6x%Y33jqC8q*00TNx#J-n?elVXm!?ThDnzwOxm~ zwqCVV4l3`2Zl)RgS6wq}D{Kbc>YCZVo^6)KliK61UKygd7lTyJHd z#DS}9Bn})#>_6>{KNv>rzY&u7gJJlCaU6dzjM#r=s>J@o)c4gjBY%Zn-M`1#FEs{_ z%Jm`})ipySw-y@ZdXc|!z0!D`{n}2X@i_a{HA~}h_RICUj>p-r1jBVae7{ESxsHeL zSF4Qc;CTu@_76RZ5URYrNU*o>0hr1_o z_cxb5r*EgJ8uW995tC`+8GSop^!C+yP2Wx!Hdo`wh_i-abCur5<_aUunrIMb4Wq^; zubUd1Fl??ea-6|1Vlo$gSsOEqTFD}P)Jle-pDWYHHVY$9_p`cY=vLRf^4G)~&T1HO zy+TIBZo-tI8_+s;ccm5R@i6rG9m~C=!Z_2l;1lx=BgdBp!@dtw)*iXuvfb$W%0W2$ zVVwOU=A8X7&VE&loc%ELePK9dt!tWne$+MJGu7yWM_qFq$JRUbCk=hSpOqs?L*K8F z2gPsJs3UyO`Yd2|L;*!z^8C@mn|JBG@aW;q5|!tV9^R%O$n!@JZ_#Xd{^;Q?@}<6i^zc@VF3%r5yqQ<> zyaH(W@#x`gyox-pt{HwjdU%@#CC_VQL9QM>yp{UN^Gbk_-A4~^-BF(NM-Ok-hUffI z*If2jTcAik>v;6==1I!)#|)QDTX|ktDKv6_*@GUEg_muW-!;y9<)ZMi5f#!f&e{ke zeC!)5gpO#mt2B(WHc`xbwH9sHVVw0!T%}>0HTTGS^@lY!b=}F|Mx$+xDl69ew|UL{ zmPC?0eavev8sYuhC=m9vr(7 z*9(s-aabNTd7C&XqL(wNu?eHTE>*&P z9)=B9D+Tv?7&cs1825P?`o58S*zGrlZ}o1PoqS4|vQcXR`v=hW-9H5M#XQeqmUr`SD_aAw6}Ss zYd=$^bn>kbH+-u|Sh~KEQEEax-rKy$MyX@h>%8VHe(v8e?%zy8?%y*Lq5GKETTA+r!l3mHkV@*=F2yPgprrc$5YztuHM!+xf+B;LP2 z%x_gnEZ=&X9NEYXMK&5Ki)>Wa?EabGY6`RWZ$BCu)ipz-x@Pt-(+nO}*9?zxo!P%G z8hDg4mWH!CdNmwBDhxmBo)eaaxwe)@Of`)7+PVAiD5J~$GuPIRs>iEq=KL0XfJQB& z(8$$gZJEIAUk)5RDi{urn%4}E3fxM=`lhd+);Be_TRmO^QyS*l5+QA~5>m)`VHNi; zgL3tAVQ==Ya2PUPF$6S9RYIe>W@r>rU~MIt*}wYQmPfVubN_ZKxqrimh1ZRU9~Fil zmCC`7y7r1)TU`$DEeyUjhJgDwjQh6|7W8-+dc0qP9uGs0rzX+kVfax$s%v&_6?~6u z6k_E5E%ML(8^-;c#flyeLyxD%xqrhr)7QqbG8v|9RM*Vfs%vI#rKeZ!D-}{UdV&I( ztgdxKu=Bg)^jYnN`Q@gq2>$t!+3&S=mYk+MQhEG>09NR36JHAmM-0@-D z@s*Kr$A@{x*Vl%OUm@+vL4~TwZoaPHbw2ftCgprw69+V$PhA$)GuV?p$WWnrWVa9= zviofhc{dODYSp%$!LDRzH110p))myXL(C(Ld$p6my&A^7`lBXP_OB*Sc$B-qxy+2< z>^HQ*wfP=>-Vyg|824%>y7y|MpP=zuo2#g|vXP5}UTY8w>&@3iCVy8TwzAgtA(LHY z>}hEnX+)U+=bO}3%z9RH%aE6bO}aMgo4TQ}$HR!DHw}gOOPG4RkTmP9u9@}TFxy>k zt|WVULst1*l`UXZ!iy+GEv3Quefhe6&bd8# z6`s}JCSng#Y|^NypWpKBS?$QM2QipED0Rmkr0S&c*L}_)g1;FY@VCp`wHfECRNQ^; zm_wtnJ|co- zWFuc!8Wq#=|0+xqQ#J}!v46R+>|eevG#+mg<;^G?kGF{m4{2vV-X^L&UfFoOO;l-x zvXR@(o@S1-r=_CV(+W#n@5AQ0BFNq6D<~8r=at3vu(^!6-I#aeB^QFV_1rOQ%hzRX zJ&%Y?_BQ3vC}2)q!C`y>sv%R2Xj(9=2ycjI)2(p8YV+ z{$cA!g%RUEZ2hP(&i-M0_QN>)hwa%98Df05pq7|C zPXOJk0vCL@Ab{BNyk?hfm482Xm^^Q*_dU&PL5IVlw zKsPb@n;K_dVlOmm--1RxywLcrS!?N51|SXmtuk%+TZhTtdU&OQztwl~w+>tWhUI|p zn+xWG5##Q=#JIzVaUUkn>*19KG42d>V%&$V4Hs78Wq)%$ykl?lIbz(0tqm7Oj5~}P zhr`y73Zus1Fz@(U`^IkSbJRG5QR8sf#>2v>aX4&kvoLBL4qMwSyM!8t!!{lkMvcQ^ z>tlyy$S#j6YZ?2m&t+ioIqFLL9Cf9K$@7~m&i_m0gHc!7|H}~LbJUeK6^weqduCw$ zyu;R(4=ZW2G`jMjTYwE2udbOrEf~al3#>?^p<>W|-1{X(&=DmaIKP?W=(?_H^l3q4 z^l1TEXnZ~H{py;bkqHcqdU&Bx53e*H_kJ#fG#>YUg)-83-1`-EuvfKwOXG3xm$H^d ziJ|3N1%=Xh-1}{ddzB}!|E~gkXjIG&jVlc2yTpC&i41w}yynwP-V4{11P$t&`Y!cN zVbnJrrY&#{5zwf)R7{@N;OJiUFbdy&_>fx0JHRah{ z)RZ44&vS{FMv}JqvKmKfaGX83a?#acVH;=d)}oK}u+5jycjWnIz7<$Vd(pweMR4gq3=sKqVL1d_m$wH@2@PEbri;A z9o03nSJgG|of608d7&U_P}_J>`OT3YCeJhP;YX#!(#SYle*BlA1D4SM=DptpI(gpp zCJp00WqRd#J-n8$t4%+Z~N=2ky9y+k=E z*USDD&VfhOH7|{-1-JvkxC5^2pZzP>yX&YGo;x6nd%vg|_kI|6z=fcoQC&0ZsIHm4 z>iXEb>{=CAhjq;b54`uou=Q&5$JPtu-me;td%tWg_kI}neytST``2FKI=uH&SMt2N zX7;MOX7;Kw3TPDSgCC8QfFI3kmWKEKwS-9Haql-GMHL19@Thss>|fg=U1kZ~OH9Q18%MGA zQhlz*=-roE*QLQMfxgQufy2BP%=MPwdz^i9y?yR+_RaP7xyRYBXo7W=>xD<PCymG1FV`!L$Jwu#2^!^kp;4}vb(HIcMiA?$u2~w7vtO=P8jrJIu2&k5 zvtM1a>v){~a=otOarUcgb{&tiU#{16JkEYiSgfPEW@uE`%sQ%ThDNzw*3s5W!mZlsmGHR^W4@JH%Jb@)U57E>Ye$rZG2bFV>iY)8NW++KX)t+ShzvWn zx@LG(T{HWah(otrFFdNQnYGo|CJlYRQkCfTCas~{!_e)uuWtQD1*yCNAIAKM!_e(b z96+~+ZJl)AWq!nA==REsIr~>~yn9v4EoVOrImm@Y4#KecyJfKXZ-mv#LFr#?{xEF* zzDgW8j5u%-jvVA-BL`tyzfrf9_kNhNQCS-MSFU$ut)(d&8LcbNRUC8ghbbH7dZppL z-*Zpfi`?3nA#~kkTbFUz_CkeGUw7EnWn|ScL+G%r%Lw!AhjI41DLDIKoc+d|$@A)( z*{hOht6!@4#MTRw=ehgvyt-y-Fhi*IGD9egH~J2f=OwqL!3?47t4G}Dk?XDPU42ll zcVh}YeTKhZ^@eBvk?TzjaR-E9>(z$Ay&s0HmnPxf5A*Do>qWnm>t$`_de`PpO>X^U zp3CFxm+M6i%Jssd!d%E&W#*jyN{r?&jmOz9*XueSXTQ>T z)=`-%G|Kg|j&i-wDA&t6%JoX)arVpgO5<_%3nxnBarVpgx{k-$FW2ij9%sK?uj_c6 z{UX(_<8k&2fwGQrz0fGv%R0*SLZe(S>nPVN4d#cnvWCQuZyF}g%k@fw`CLDRJO1;=orrh+eB{ zPa1!T{nuirO<1mX$)_|_teSgIWN~M4f8!!ic{~?NNDPP!npSP zkb~Mzkb^MJej!V2!Z2*Yv>!HM))29vFk(Sn3&euLhy@i@Ar=%y{I8HIv7j(wLHAVh z-qT^kf*P~Ey^(cMRT(}yqO$evxB4AMEm)neTc_XW8Zf~BE2t%=Y?SNWd$lK@m5p5c zmHVo~m5thLq+9s{zlC?XTLM}v z$;ar3Fmy!65*-nSj`-6ItJOnc=!n`E&=FzW3x&S87s9v~;)XoG;{E026~c?j^K!ke zqsHF(Zjpa&fosCza|O8vYZMLjoq4*{cZOl}H?md!7J}zDm+Rf%+$2`{TdsG1b0)R? z&BcL6xn5|L>t*lD^+KauFZ)vHP#WI*ji{Bs<$9&zz2Ave-#>D_rOVX!k6dpZfa?24 zuDAcDzHf%r`ZHM@>icrNtSwiP{VUhY{*~*6M~yUrN9B5@QRCCfZn<7*^fDu#V{T}T zWHk!&Ip&7;Ip&5QM$Gq~mUSJ*d>czl%r}gfZ>}FP-!S4n-N?j!ve1e9gc0}YL=*Q3 zQy-M;Wv@~Q@S_=4@FU@cALV-4t2R&?^i&slpr<;Fp6bKAeIY?-7aHYy*_Xr~8uj(Ej?7|dJkEZ7z0!D`{rY;P@i_bCdR@ok z>~~aM$K&ib!^(9$e7|zNuA}6{^0&TT*73;o-m~VcBXNdC>O~s%_hgC6b7z=#YuVqE zG{f_*GIA3|;jl}>@Iwn8Vwc28{LpIy+Ot|v20t_myQFIsyX3}2t}ZEIfgc)%AKJ4D z_@QC=p#@O67cQvkI_xni8O^;=(vW-M5{|CJ9+OLst0HSHM=dEUr4_NwL)*3nb7(y-pFNP#@>_)5civzq5T=QY$JS2d@xS2guZL;s@; zhUdI6x-|4ZGI!y5<&N+?jC0;JP`$vySzEmU4*W~n!7F<<^B{KzxjXI z)V(mQ4l8X1Kk|RkS1pZobj(>tGn`pR{x5R%@PB)iN1fAHV)&8&i@whpcOA^mKdz}u zF1Wt?m;cK;Ql}4$ym-%aVHbya_c-ghqu|_`ECKA@vXb5@KX}iu|JNf`yS7qKuH#`J zbWL;i8}x~+^{x>-YS<(^y1|Y6TXMmWwQ|D9TH$flQK=;BC?|}p#WvRQ=>P4MtFyZT zSVzahb?|<7TH~RM%L%)Vhc0fEt?PK`;vU_AAEnFSM~^|Uj*c|zXx0_{XpAfTxQCLK zmpwWJKbm#LIyxTkqbF%tN2NWi!&z5N*njBad}7z}(8c-0uH&JLo$DOkhc3=1b{!90 zT>UfrNOi)GdoX(Uxi^g9N2y-c(GxQ8BeNKOG;$t(^oHfGqX-4^@_)AOB+GIf2cqbf zG9$99{co%uG;_Q3<0CuzqDX)sdWOL-{Stl&gI{X53BR1He!njaeo1|SU&7#*Ze!u> z4&&^ui!l5W#@SsvQ1~T`v%ByDc1jp$ch^S3FJYYBsb=s?82pk44}R(E;FmD?CC@AT z5(dAN834b8$uHe?wEH)8lC%5T$t>T8Vf)o$m9smHv%8QDXLlHOe7{7$4f3+Sni` zdkntMpUC$;YKKb^mS4ORDN{0GH|Ii_{PMd^$aC~)?0qo@&$ZBt)gFm?babizaufE*60Ld>8+qrPE{1Q2w2X}xrZWpyO-?EgzxCgM`>c^}-HTFUn_Clr2 z*b8CU3%LOBTbx?}dZfrQkIKfFM~c(x5oJJPFN9$)q!r^^3d3H=vyQzGhP{w01A8G1 zdm+yV_Cgr;LR^5oaBaYmr^SxhABn5ghw5~XUoUpSUI@cpsQnuDLKyzKT9V_t3Bz8< zQ;+W^40|DJ;JXRKUdTO!y%2`IP$`A{QduQ(sD28pb3R7axg(Et{=*)orLf2^j~H{J zNq(uBJbWK1;rl=68=rS5kM{2U+^5c?Oemh?zK-)Y$uIVfK3Amt@~9`SWJP|do)~&m zPs}_@HDw;D7R=)=x!JjOk1?`2l4D{$uVCP{@Z&wd!FWg0=d<%C} zCBgpFUg+XT=fS<8xqK@I*fHAX%%gm2=1~eC^mz2n>Iwzzh0?E}$1nTlb*ySHWS(;_ zbPb#H;7-=&TP6?lE%RSYdm*(GdQ>LjJj`iJ70_PDrNBH2eKL>4DfF;Ubj;TR?mVg; z-u=;a9q>!i8GZ@FzAXF!zg$RUzppYm_$3T}`F#nV^UY!KOV^3OFJai1sgv+a82nPm z27bv(#=Z=LU#{G5*EtM+DN_x834>o!cd;+S;FnGX_$3T}Df9%tgkfJ+q6oi)$uF6R z%lBEe*bAk6VlSk&V=shZUltm}UI@d!OgdvPgkdjq;}!No8205|HM9HoS`zKKkR^$| z5Qe=_I1hUv411vrQtZnx?8~aluose&*q3403zu5UJiI6P!j0Gq7lK?llt&-?G7S6j z_anzT411yLd$1S6uop@P#$E`+UdV#QUP#x&Ug*?UzSv{GBH>@kmu%-fSG#dd`I7C- z`ei#KC$pXRJj%7Hd`TE1ClhS!%LE7f+=hb>VqWLL{RXW;!TgoaDX7dNrI2}47Kofo z!A84=E-#ucnf9VEca0V#ttbM8!>(_lstU;~<=26_4HBC%9hWQqCgHI0RAo)hi}PrX1>|U%r`rEzaUBlUdX3 zWXCYBkrzqcC>Ps#JkF?cu^po%xs?&+V!Kwxl+uvojZ^`~C>NVC3Ux3>m*g^!%sker zTx`eSPTLZ!xzqMAXN$!`Nr3y3)M3Gh?LMGLM#& zF$kK)eI;}LgGEs^HMogL#L4?0#ILwRr^SLb2OzYa|9^ITevq5ri%uAZ-{;pAgU;Nlctk%9<4msP z8$Bls-7#_yPY%OJTe<*#%u6BIvpY$QJ`{$ISu_;CKp4Kc%GB|XhjGSt!zOlF7`kZJ zdEq|@!-tpmPnuuzr=>?`#p)%$9yal{QD?2PiI;bC8DW!zp@+3S&}~cAz+MQ$FJIO$ z@;;2cpBD~!A0}_)PF$TZHNl%h0luX${JU9i z$cQjxL>@BEg)nTyQerq4E=^|T(63_5D~G~37s}@1TnOV_C?g*|F_Fl*5XQNXt|xC) zQqA`z+*cO2D%h7{(zm=D*5G$pEW5V~eL99dnc7O?=L*Aj_$%j}V;DoLO$UC4yQX2! zl)R_dt6}&6O7X(aALiaKNWd5^6>C)q8}lgK!&+tkB44t99ixKcUHgaqn|gpx;ZoI* zYmdC^Dwo{T#g37k#g5t2C89Wn=l;3*nOg~{%Yuo(p-- zm|GEa=2ikZasRHmV^oB-^u2;6Fk?k^cvIeA(F(s!=qz@{6k_TE9Usi2 zlmy18#hYVrw{|x^bGPH-z!I|g@a=LNC;vR%nu4Rfy+6K1d0cY(dy9ZT?9p*DD} zwg&KX7lS&6zVI_Y9K-s{D;aeRa~J9cs(h~R7BuRPCC4>yq|UDd1nQu-v=9`NaTIL|)TT z=6>P)4MV3ciJhE9vL_4TB!n=6!i1 z40@El!JfX9j^&MZ2YWhbn%i@qVp!|=o90!5CWTXf}1Z7Y-qwGn|vrQEHI zts?^YYwc8QwJ>b8yr-P;VaV|-7h5W|j+Ctn|5Y~2n*L#~LATj>Ue;rp`DW|x z+=_;}w`yJKxaK>iQDZxYxz5?Tj{A_isjSGR$|c!vk9y+5y6RHdy6|cB#H{n5`nk$v zSI_QstZ4@Wb34JiGAAMCxZY=7C|VxMC~@49J{iND=%$O^b4$RUNpW(W?KhJ5%DtL% zg!$%1hlhSyKUg}tXZNq7Z9BJG!Z6>+#+p_d4iDv!Wo|hwS<@VVj`7gB3RXI|ZmZy% zt(%Rl)W>5r*Uuct+%M|mC3P^jY+dG-t;?EL4!h%~J!8*=Vb5I4`IX~g?7`AO*n?rL zUne)~7l!WBwQlUeF!o@giTKfptL2Tm5`EXN41d-y4Bh9CY+cqbTX%V*F3g;RVVr|i zBeJ)`*jp!J9mCjSRw(j03|qHU9M8drty}iJyz#Jgi#W&|4_o(+h23xG7TrCaMvWgN z4Eg*=w(jzMn7om#>lnrs%i5GTT0Y0H&(pbbom&Hsj3~W{Gyd97t}Llsh%-J6o4Blh zY~nC%;*MJM=P>l=b3ZfRY~7V5RVWg#2*bBoBoNaV~Rg z;xKIDvUfQz!?1O)tqbe&uys?{h(m;7>)zEN$f4r8j$vKurTW3oW=A^T7ytpoBMeG6(W$QYI_1Hz2mE);g%&jzY)-P8i`!ZXX^}8a<-K#aJ zU|+`K&aEc<{PsWmAf4RuMz${FHVx)hdm84Jt;^i9b(vdck7Ja{v)^1AsAI%fJeNa4 z%rm~FXZ>oo&iZBRI!28|7^A~hOy0=Wb#4h%erxS(t(D}B*oF0b*t%y%F}H`Un-uqq zf7rUE+hXfpsj6d`TUHjb@;Mft^{aKlL+8rYWv#Mx;kDec^S)XvJan$wMM95kUB`In zT!lK|gG#W_hw7aLuN4+@TzmVMvh7}NyE(Uq&h_Y>^+&A}pize{Jl?^@+;SE$w~TD& zmaWSe8G5W~A_BT)lrXm(yzqFUo%PGsb&QA3mGjHFS^rxW1%9bKefXuqu!-;LYUfr% z)!pYEN6xL}vVAUFm$_x@GDfy8V`S?>x55k1El~{JvUTB&Y+cr`Bh)eM@11K2pJkZ5 zQR0Ym^InfDL16t#B6a<|*P|AB_$

    k~gw-nOn9l>vxI&OSgxuTPp$OMzM~eTcX-!S}Khbd!o+s^v+9Dc4G^~4trTOBy8=*iDDIBa#`FlwL=TOBy;_xeB& zTmMxUJqr(8|5aE;PJAEr=RMag6g=0hbz-|$`z`%~4%@vNhR$`^>RfeZLFYP5ny2c` zp6NMst}t}2!&c`CL+3h7nislbt#awJR`$v2edKh6k<)RQG%r2TF-iho8kNof&9ik~ zt1j5x-xh{ojB0%uBU_g-vUM3FTh}qTS0To&_?2&|;vNj0tKSk|2qUNWFy%p^ZRbl) zZ@(p{_b_=QhMBXX=kU3O;dATYCZFpt>1+S1o}-5MFzH*dAoHzcigm7r7y4Gi3w^J+ zerZ&p`kWtqO9}2gN3XDwBPzVx=Sn4JO>2+DxRrS^x0-w~x2{EDZiNh)TlXnB20d4< zb=#i5VHKe=5AIUyIeO$Bw&!mc{cgf)D99K!7y$diiFLPuDpVKB%r2KB&wZ8r22^KBxrHxzW?OZ|SgLz6oBi z|H&JLo*1{fUii;`(&;Y+xOR+sVllVM79E3{>fT3hkudW44^wUwE^}P!+52scyncN1 zC2?;q>|vV=`(d*`4%<6u58L~vKWt>;VQa5`*u-!T+Zu!q8~x?5&58N2$$L0#d(nK@ z@abXeC;YJC)39{bpZo2@Mm8O`cYlA_=o^P^F5+S9EBLVStsl1h@?j%O4%@i+ht2tM z*!pNcY;?lI)<^qc;|o7*dk22l_}32G-hm%BIU-@z-TmbI4;#PPVOx81*!B+mu-U7J zZBD|6%^o~#{o@}tXZK-y|ICMt&UM({dH-P}cMt0p-`&&oqH$eTN4no`-P2)P^Y~#S zC&N14;QK=AKV{-QxA`E4Z9d3{jZf>a?e+X&69YPIYac#r&b-66);z2!HkRfnzr>ci$7Jglyx%P)y>Xjy3~ z>yjSJx}?W)rqnJ7o=lH*UA8XazHjx|!&Z;|Fy&B359^#r{Hd4p+hR69^@9&nwx{~A zF6ptX3;BunzV#m*meRUwPt4|?+nSKWwkG7mCT@Dz+7KT$dg5VwC+mleZ|<-PV)^Dg z7mHDg*Ka%G;g^mc_@!Dr)+P5n{E}M_`d*hFzGeFt-?wZ%&SBfX_`@dFaoFbMf0(kp zGAh4i`ybqIw+`~dlr!Z9?CE=M`?wyq zcZGhKa)>^z_uTezJ#2GkKTJ79AJ=rCPzUDnOQ9m=Py!nICKTb91c%?UefRI1*QfAdlfQP@#&HhY zzWX1h97>t;TiS3d|1v|_`^9lsm(q}t&!x$*F4X7sebnb2w)J@*HvXK$a`N!aB?o|Q z4DEiqc}s_Fjm}}4FZyBVm`MZWQ1;FMZhPY z>(*dni-+X}Wt}&Vw&!XEviq%2{`f5Kx$PBpSk>1%MsAgrLq+b8Lt)6Fau$(8Vc1Ny z6`%O;eare7535N4-?wqydv0@>KMXlkZsWvj@44;Mc39rr9k(UVl-zVDP`(iuH zqu37ns60mBw|8duedIBQB|8~6m4I~`s}xw{=io?(BYfu~y54(k^KL#&IaCY?`CPuE^Vrz? zy|0E#e2X^3fc4l|zN1U6<`;apP`3^RU8*d`s=Q*hf`8vX5%#0l(D875di4 z6~3>JEBtadI_$bsD((C9A(w8Z9LjcPT}lT=zTD;CjN3s7w)eQ-`X!)wZhHybbL9FJ z5~k+y!o(wo`eC8tpWl2Kd7y`FPD0q)5cgZ+l3^)(Kjv}Ir8t3+f8TTT_Bw3zp?hCR z1iWwi`?OXiGQwAB7w|!v_Rn>>-xi7ZF~(t=5AtEkp_0$x)3(RYb-CZ>U}KEEnB4bew6iW5z^qFKChL-6293yp zY#uq0*>C53OaMm^49 z6EC~x3T`tGdQbFRL06um*K^NxF$K?c$paYm=Xbl`=$L(9Z3v)I?VxyHF6p0qb-yJ) z?6A>??m23q4jb8i&rySV*vR&Kjy~ImjcmW?{u$Z+VVg(WbJRl|Hgf2GyFTQ@)`$FI z<3kS1E&6lsf7ryb4xTOaaa+y!>n$o6}VeDuRc$LzUER({H`4;vlxFzy07Y-IaAN6(8Oa%T=3 z8=~LV3LSb}1L$4TO1ar@shH5CCcp62HOJ+B+y&9Ma2G`CDLt_c8(X(|Tod*kBUb_Q zC|8_sNiBvR)e8DP?nG)_?nDZsR`4+8P(5J%7J3o(Tkb?UOgU6~vGX8bwBOPL_OQ{1 zT2p(#9yUJYdv1Nmhpi9!!<0kyfQ4V~V%4Q(qGu9+1ZhgpyQ4@UF_`~iw>Qk;F7+W_Cy5(sepW9uEvbhk4tseVf z&@HVK9Wx9$luLDDkoP|7R}aJ1%|nZ=8#X?-d*9|leAw8!hixvzhe@}zbod}O0)Fmz zgP$*>Yxi4MIr~2D^6R*ycKEQdb>o9`oH54cLfmsPCC_ax#63rU!Z7Lw4^y^ZFo7}X z3Egk0Av#QbsNg&AE4aLs0;gkbBR^ZsD^3K{E~b4?dHO^rk8ZW zbDIl$&r!b>M*Y@d%At}VU6=nRK6qoKN$@RcsEd`>$GX(`mvt$G!MfCFmUXEmG_u`0 zP;OT$+jIRx-(1rBErpNJhc2Y#bL9A6SrK+wm~yDl^U|`;+sdIr1pCc(j8hJk8oFzr zw+T6PVKC-Vuk2m>D}`D<2*WO`6(({h47=>Ehge#MA&36^XLBKvr(Nsew{S<*nIz+L zyWeguL|E-hmM6o|+lyMEw})ZtmTrqa6owosv^YMu`xa_j&WswL+da3r5Ql9p#D`() z76BUH|2?<45Fdu#UfMAJgE0IDMLN(i!_bG$9EAU>DTM#3DTH4-l6UWS%RI6@4B7sl z{c|!y=@lEcxv;HEt^)Wa6V`dGAM<{@e$2ySwvm%z%Arg@*QFQo+s%c&F;aB)x!fD< zqYhx?OKKg@m3rxOn+wsn)mbBly3UpP{^I_ge#`wmpBu#YZ7#%(ySWe_rW`6=l=ZWx zSO?kWLiE1stXY@ptXY>_WP3KA>xOw$=E}Nse+oRF`t18Mu9m*FqV|283vu($15JH^$~dg#A^Bdgsw~Ma-l2j;u>p{jx59<%H*bn+tK{ZZ5=! zDTitu4Zmcj_$`|Yd+*y^*uyp#_QTLIFV)3)Y%c8mmL8^I^e{aPzkJs{DThj3K(6Hu zLk?B4!n#yS!Maoe2)|Tk2*2ctWFK{7IrOc6EbC(b*z_>^$A-~A_AvZmrBLA?$~BCC zC=7k5-GM$7h8(Kh9C9cOedx-bcKz-OvR%JR@!IwKw_?y;zc9}3xQes;j&9$#xe(=D z=3HNTbh`m^C=7iljT(I@OgVH}EW4*Ka0<4$5bclDjh#m={M3g^GlIuU2-%7OfTQ(Qs=C-*Ihixvzhp7);;uq_e`Mg+19^X<(iFGN|!TV~I z>iejD&C{~EuzhoG5Z0w49lvFBVehw_3;SWpp(|=-zMBhszopOTVcJZ&$b8?{)7|^F zp6r&{Bbt$BTd@j_%K1zMweN?LweQt9hk_X;1b>TeD?hEnx zK5dA!V&zb3_VQI(0Lr0SiLM?|#hG%bYmIjO?s~~xze146p$khgkAF57qIIc_rr*LH zUp<#&V0bbNIaJpzFzmw$VEEvA&23+xpaBfr5qhCfFCZlQRW{czLj#K9Lkl(JSul) zU25ayx0I~QJW6~OQx4@CVZW7n};`AVlL(Q?1}uaXwQ zswNY|ha9)y@4Pe~-^YE6SGlPi%9X~tRPGGF)T0L)<(6k%>d^zg)T0M}sTGauVlHgi zD$1eUtbPmkE~V2{vb$?qj~>?9yI1rzWu)+O7Sb;)JPx>Q!a z^QbjFawv?m`=0{4J8l@Uog@=-C=5B23PNnBHi5{YFyv5HJaQ-uIn+)?4uv6y%5Fjq zg&~IuFK~8;adw|7we$!>4iynW4uv6yu5@nYP?&P4q-geA6}#|N>!r z?{gJtvo2lI!n)Ktm37H>_I)|c86yX@m~yD_D(jNT?zhmht3U$1>BH!gdYE!3+u3=f z@bb<2kTVywnX;XEUv388m-)-ONg9TeROgU7@)%PV}W}P!HvCG1g?e&G&{ZZR3{6kkxy!#^zx?Sot>q1|!jQzsI z%!9sQ_Z)YJgmHJsVdz77HqnQ!1R6dlt!np26=L|Q3uB{WUTB+jp)c4uH_V0T^E@w0 z-(X$n3wH10j?%-BLzklN`wCbrzoe|NF5TP0x}0N$-!?n2?F)9_obk+a+ZXJf%c$eI z?F)9#bztsu=eQL^4t07w^r3Ev)#o^aX2f7qH-4wlCN{ zS0V+^ZC|i^&Rp197^n}`-noUtV^aE>yin< zy5!nqUFr)4eG}t;3-{)hbW@Jl@?U+yd>?mb_S?L}{FdIR&leKa;W1HekmN~x1?S0KJJRIWQyLx zVHK_L+cK-bxX+>A<{H@N>I=sEy32+4RZh&h6xv~3N;!dF>I=rY)ECTe;U1lm^^(T( z&8b|-p)lmol^ri%g&~Ko#BRU&t{z=Jy>vp}my2T8uWshZp;SWTP#AJ3PYZG=3^`N@ zAadx+0++8!cSH_d3Z(O>Xm8iK6UlY4o-WTBc3Dv?{6k^Lp(K-XsHKOmg7#Z3Z4hhlN$P#AKk zGB4y%82V6|p~#^tb6_5YPFR=PTd*!A-muQOuY8~NbZH05p%PWuN7>HsOUaUcOAT+9 zhbkF>Un+=zU+N3NbET2-TxFWfx9RfDsbRdYR7u~b4Us2YIn-m&qjE6zTYVw?7VGI+ zDdkWkV*OGborm>wd61Pu^#$`=QnZ&wm9V)k+7KO4%Av|(S(n&~bxGajTT;W|t4d|y ztNMbmE}3-9!@FV9imj&$bh7@SF~0+ zREsFq#d}aoAZT;#xS18qqkB;Kmcq%bbA7>lUjb#t$iNgsAIg)V94b8Mw^&bCS+8=a zR0G!~ryJk0cS~e3)fbF;6o%kiDi!2?^#x;H3TgVj5>e(`(rnR(E>!Mw=2KT8h8!y5 zj~u$zXuIF)w2K_-Mjzx*7;-3&AZK?NXLsR!;x1vxp(5qTp)h z3^`O^FnBz1zw6RgP!5$UzVk@xst?t451CkBuwCaXhwy#e&skv$ccLGr9O{U2U8?+K z{VF67Qx0W2vo7@oV;+@oFpo-0ksI{|<6BZ+d|ypI_WSCo?fbYJwaf~A$k&R2b*a3^ zZ>ivvajmDjE1z8#>*;bQVuw}pk+b`+6q6k{OgYp+xa-%cr5s8%TREP~ zS2)biD} zAYoln<@uIejI4|IMc?&r$e}6=kVAJRy7RD}?plfZEg6%nONJR(&5y;9LuJmO4^@$g zJ`{!=ssjmfC=5B2WrZ9HLk?Z57v_=6oOzT`$hwp&$-0yf=le>A*mWsf!9FUD0)8nm z9DXVB+Hc92WDM)+%HBl|-8F#BBiosI6v|>AnMZs}Da^j_7jc&=;_%&s5&x}J5IgkB z2U(Xwiz|08(k;?X7 z2Okvj_gm}>){WHC?a>#k)LQBG=nEFrq+2Btev9>VnNjFNWkjP7g<+RfBCBj~o3k#Z zV)HGJzF=v_&@sc%hpr`{?<)y>b&FD0;nPZL*uRD8c3tv(DThjH zVZYUSY)Xm%H&iIWe&P76+O;AFC9)flxu1Epi`Q&JB+jYt`1?HQ#*a1^>nF7 z$f0Wq!@87+2aW0r#=7JVgI{vfur6(V)+Ls79^AWLC6B#@>y+c!U4pFdv!1S!J?yfs zC`As1A%_aP5FflNT3DCVd)B4!IqQ;3hIOehka<*O2Yp)>Xq4O0_qDH=Ckxa0KI`e~ z%z{7cTIj+rg`)iy@0KXT204_+oIHgv?9i$Vu|vbKLkoFfGlgL@UE5#QrM?iXUv0a6 zE=S0&X|^+RsJ;+;F5J~btY1A9S-;Xo;HzAb@KxnP@Kt>wm`ABmd`l_YzR!BPLZr%} z`hu}8zpSUb*3qm>DHwiBNin;om0q(hrE|G1RiN|R93f)Lp^j<4#d^ASD*mA|*_A_; zWw9et6t3WwaDFy42lEk`H{szCKM!+u}Z_J|-1lA?Pn{}!6gzvMSuG9eKP~{)2 zOW^?cCEFQ(N%iqtYR0g9U*ObrDI7D;;z5sGs>@e( z=|B$k6mlpGIaC`5u%7O&Er4H2|64x27LEJ9vQ)`a z2vZIfo@W0RHeG%xrAU1!+j;pV_o;HI)KK(l(6fZcN~4A*<$ycinQxohA{q@+Qgv4g(*8T1AL$P z*?ExN=a2mCqTTNEM}GFHb-T`C=o@t-L*EF)?ny&Zc3xq}(6W?8bht2O=OaJ6kPZ91 zYJ2v1t#{bxVeIoX3-);!`bH_0=o?|^8-g!aLv}1|4RQ` zIh3`JJy`m=36cf^DSMJxg{o9`|4QumU6Kfm;3F@t*cavF{)#B4C|Qdx-TtLpP5H> z%#LCHU#7UacLLCPcqdn1tgJi6Up_r10@G)CR}wl8dw`WO<~fs?WQ=-%F^_tHF-ASW zSgT64m`8T9V`!`0HHwa*t=5$h(mXraF|?C&jY#uc*UrOStJp=FXD7Q>`Y6g;m*&No zS*rpd%;SOy%Lk7bb5RX-i(<@<@i+&IF*}C$ewA(^%}Zc&jE4?VjM*{Fttivkea^kj z)$2WchhgZ-x%H6GVd#WK>5#i&*nXAqVW)&~)+Q#gyTi~OOV7dX&f>&IJXhrIkD^?#X(?M;nG6U&cGSXc%XA-Bmcd!=!oL1X!zT zmUo|*B_qvqAM75?5|ZYrwvJ(*Wg06quN4wB?`VYPVbDC!FfWNvzvNkm zU&7#*Qp(|%OEcd!NZo~B!r+&>w81Z7@Jly>C?irMmX>*`;g>M@rOpoUOBnppio!2p z$cRz{;Fk+|Ex&|G^Hd+^k^KwJD-Bw{PuffK>|f|ndX8i04=dU&%@YUC!@8_0ex!NL zker9U$UiHyVT^ivF^_tCF^}BTjFJ14wMv9JhV_V5&q(t^1dgE(z7h#(USDkI5o3*> zm`NwiOB;3`+J04?Nb}TU$1s1bY%^(IITLI3xKk>VQ<^_w$5n_aBiamnUom0Uxt3V0 zK@;Zt9x>sv3gnlR8pp7|S(R1tOEF<+nQ6s5N)cg<$31&j8pJ%#XjXtMwu)!F)W0}XJ7P$;QWke<{^teF#u4#R| z9K$;Bs-2~IBEm7ek095&=fWi<@h$f8s%?rgq7nq>;aw1wjY;!MHf3D%MpAR6d2Vmk zDpizubfp<%pt6U(mYY@7%4D2-@-?ZVa;vbMx=S}4aZ1$GKRh1 zQ;YHChLK-VrX;b6PCVkeVZ&BTC&z*419mG3>dVrKXICVHl%@W{gL_>soes zEoQ4IaL)=o>kdi38sk_G51q zj)L!VOF4!)i?NV0qL!$RQ4-MZt&8) zDkI91W{gLk^rcoX#y|G!%iSc+bN@MpIqKcyEX}JiX01}CSgXQnj$wY-rGq#R`&8y4 zljgZB9mCwbS^`S*;=jxz^^bW}W6T)Ef7u_I?9Ag4|7~YU^W3bCVNPlyQJUXH9LsB| ztj;6m;5qYwJN2b`@n6TVw{;ai(!BVuYh^uWEhXINsoBh<(k13mIviubbgg{^YeVVnByUqg(}J zlk^-l5@E!D4|DDBqxlwVB(9D1%%fnQH4O!y{9%WAzNJn=kGuGF=}}HJe3eZM zU)|-N{I-iS!N`N^`yM(-eYZxQ_FMsU-iI%;=eWBejJq2S+noF`@=(%2um=y@^DT_K z8xGs^t*$BL<%ZFh^Dud%*RiITFtF=V@EzXxvrDRY4*z)La!2W5@5c4R6!8}TGg*QrXg2xl>@ObH`&V&1f`ex#iVcaitn7q+6oCi4x{TAQw zVXOP3z;VCOVXkTJZ044F#X8sG4Z0PAWc_jtGmnaH+55GBVVz4OgKjn8fS*hA^_y!J zvAkPKmh+%~wRPbhov?>ZQh3XG)L55s$%#%cAt(AU_oX?}Jx9&_VVe^jMo#o$@|ro( zJx5M-*h2>~C%WhGQ5@zzzh;iRR+*u@et9DK=DSXPc`b}HzUr;b<1V3%KK^%`@aFCx z#y+~#LlDpT-<(yY$J_s)o_;@Gxm!Xx4eqGriwZCw!PR&)MQUN@izn^sDcE zX$|vS79BJ{<8Q~vK=RzGtO!|`Xm<>HY(?@4PxzKPmw|Cl!?6oAFER$rFV)^Ly1|w) z=#ktQk8|)3ddu}3by;E5WgWKl8nG^QS%*RMD-U2Eh3%O~k3o;@Wav>M)b5W;@TGYs z=Z;ZE48Of{js3nN7?0mlE1kHa-{xV;h{Qc(*t7pcqB0^E%C143kl>dqF>ws;OK1#w z1RUnMP)+czbGK0`BWf4PI+tR-v^;mG^QbZ6@bi_$I1la#Nnmr=;9>B~e_i{)7?m0^ zMrF9nBbOla$VJOMx^m4ixMQ>Lqd$6>I&hdYuRVifaKCBqqc?pR_nRIj&1;S37Np1XQgq00FGU#lQXGaJ zkvcBF6t;36+zHbAxD(_sX`Y(nJh+b~?KyAw@?_=_YgJ7!^vI>f7=_xHM^~>n2EEYx zmfzAm)yy&elIGdJjzMhVOdQXJ>|e*Iu^;QA{d;Aku2ot(zcntD7gL&F)7JfMrMZle z{mU5Hzsw{1mwD7X%Q5r=mvt!3Q-K|WzSEhA^u`F|?!v>Q`CVMV8qo7Qv8&#hg~)Hi z;FnrEz%O?l->!3_9exReU&`KqU&1(3u6)KZ=m*f;=m&5Z{8Bm+{L;|_zl6araWni9 z2EY89(!cx?hKwk46@CeWU-Bv=Bf^joowS?_VerejnwMX~r1=FvhOe$n33@zY!evHD z^O6X5Z$%+#o}0@t^nIlYcrFyw+%d|~gyvUTwPS=q^HR{Dc^EX$!wt>Dpn0kaG!KL3 zX{^vZ44Pj#nDd|?K*hhEqC?9tX#QWx_Dl0HXr6k-J`aQDd7q(q7&N~UHs)cE>GUCb zOdlrAs|ntD)HPt^;@3%lSWH>I#4xU;aQ%s4#9@nmY(JS)YVI%(BPZc7VjWc*?EMfu z$K5|++#h<_#@WNVRDSp%4F7%UqT5%k-*R_r82w5Q+jxAKe#bEQrQ5CGmoWIH>Pz?~ z3_YT3DP%+#{Bo_3;FrYT^5k91vwB4BhLI6r$cUKOVR^C%!AYSn~{2t!5` zzC=b`NYi=fcg)N~Ml=F4;!0$hN2N=Q(I>AQD!o{m*X|2?lzQYC`W=&g(!5Q*=R)nD zrFl%`82TN{ewOCF&@uEorkP3e6a?2wzhf1*(%gR1r|U`cniFiS?Lzb$JE_`K8BtB} z#>;YLC?o6>oy&u}W)4$El(Ov@+*e*fD?J(y+di&g=G}zt{V6@iU7?40E>siDT2&K_ zJgv--c_dyoj#yUx=2bN=_ZuAMxo{2VR*qNB=@|5d?R_mjzpX$|OqwSW8Kd|wd{s>_ zW2DA1Mm53Aqxi35Q~T5^^SH7?yu;5 zIXwB6ESkZ}W+g}X+GXrFhmossZ6$pldEvR0$qPSM^VR|!wz-#Kgo2-#YI=0;uMVbVO+7QVU!?cv?7zl7#th&^!#9{~PJ*7b??a9$j&R4qTXy^DT`0*dlU# zUl_V=Cn)Du82Pbfxxs5;*ePAX!+8`&&RAMIJQRlg@lT?7*Ex)wtZU!IUahQhb^ata zIz!PTav;N?d7)2i#4z-~ZVDg=GK~FOM+xkJFwXcQ+vGrou`km^vFXA%yX#^@4rCbn zt*|b(S{S-_*6-GT6hJcvG7KB6)M@mqF!E!|EZh2z#xVZYu;@);TmMlgn%)?PVJFwx z3mrI&9K+JRJ-13bT)VLd0e-HQW$QmKRE}(_^AmP$7&>cihOPhTee@*>Gw&e`T{O?q z)_?R{?zT%;(f1IBT@lHt|H!L?+zpdovXfViS4JSeRC2p#PbK*HUwTS@NgY~0$fac< zhq9B`ACdb?e#xbU9IAH~^T#NB1eM|0NM2zZ5!V90MJ zkaWH6lU2eKJzFm=4H*%Jj3_ez8FANKEKi0ZBkK5rj0i(UG+X3u82V%xO3)|_S&~Nq z9VrZ27KT9PTxeqVOx+`(c^GF|QEYUsFl?q&J!DuIy4j@!u51cJ)@8w>!-c_XW!T`q z596Ha8c%e+F!bkBPgmB3vCr$eh3pKI*DAGizUGIeC2G55CnMJ?qe4zvJDm5$dbOef z+Bn(C$iHfb9fP~=8Y4&C%Fg1%%WHXpw1sK~;uzfF(HM_&usE?}q@3|BC3=WqcNc2I z?hf<3%uZ&E+Lthf_bIi@OMcsLY0EH=>}1Dy$e~KKkyrIjSbjMZanG{9>zxHp);kNn zFU62Ca{V(#y|WmjwmpoIP3#!fN2SSgeuQx*m+gq26Nc_sN;LLc7&dXG!=5wQ#Lk1B z{dqv?*?$;zUc+KLhvAQ?!zyQdm^6>Epht>3^r$@&^GNZ89`(+G<|#UkLC^k9H~I#I zS*sd`f1|7sX`W&0Jm}fq81(E9vu8t?G|#E(81(GVxuFi6Ca=y=D2uf!pvM>m%%Df= zJ@jb0(4*D~jzQ1OA=+HOw)rQ!m3tekr|)wMwOS9`x+*Tj<#zX1|s&WkkKR9HWd()l}lg{rxt<%eN%N z#H4v?w2qOX!f)x>-?#;u_PJ6LSgV$RF$xo!PvMs^ z_@&l1oGI7tW@(xB2fu{DFGW(}moWIH@DcnH#<`G6jUEvOzxDhmnG|w%td+V=jBr}PsgXWoA&^!#9M{#H##y+p4m3Q_IvG?2j@LHP-evWx}+_J9WgE08u zT0k(yqbF83JHZFJO5uY`DPqrL!aBxd@0SMZJRW<$nqbFx?EQ=!)+!awF&=wA2c=^? z_I{2t#>iR482`BUYpcQD50mDlfjWkJKbN#L&sonrauq<2V#1E$-Y=U$nm=N~cV(hu zxc57KrTHabt$e;@CNcJY>V`DGgq8j6C4Y!X^GizQIro0uccpoyc#fg%SBOBGUvUBB zYWwB2kme4*&(sjoZ7?t`uMmL8uE4ij zCfIpAY`?^s^U(JDeT8x}-!Njw=Z@IDA4ZNt*E|JsU!-(786$eC4bK&10V`l3Ce3TE=@>bs`E5i8YXQZed0QWvhe7koCZPFU zueM{#RHL zQWq-tB@BK!xAJ~p82pk23%`WHFGaTDmoWIHN>%vfu0nJk=0DeA8h$xfxbyf2eko-P zehGtLQZ3+@G6&(8F!<%JZ)6@NO)S5ZEicV;-!qS5z3@x1UdQ+?&5QLePo@b-^F*g( zMBwGC63Cs0Id>Owa2{2$@jm-F*!ld~X)$l&^9q*b<=5d7+``co@tko5C@?6D{ zU=oBUFEkTEK$VT@wEjL|k{os0Ft zFU5Kt!(I$`rLAL_Z=0p2j3}h(81`Z)^;dpLG&v7@F;podzobsOR<&hY9;yt|G3>>E zVOZu-td}v0^)f~TW{hIJ(4#>d!+Q{_PLbx7ZaRj!rWZPPj57asT@t*`<6mV&0>OFI zF^%`>_qpqi9iwE){cVaTW2D$IMoJR%$O*+59b1l3b8yD3(YBa0&*keFMXva57gK=! zBh4!ra2^%k@Y}kE3|2K0^r*`n^aw*{w`HJ581(p+O1<+4gC4n?php<=r~@bT2!kF~ z%tMbb=#lpxdW1obU)Lmg*Y6q*i$RaL1$u-@kNRIZhH^JC#2yS|50;w39t@M_r4Km| ztc5UMtHS|9u$#`;J;-d__{ps}Cc`I=4K2*4mfgXAQ&88VBKL4a3ix z>Vuy(3_oiXXZY5`@Us>+z_%WzjOdu*T&VvQ`?szuj!gKb(=^7JcL>Mxnb~ng~ zFl0n3Fft-cnpX_!Jly+rKal3t|FTxq|FTx8AdFG}E7t0oA?;c{>VJ#&Nb|0HatwRm zR535juc;m5+5;!eN19*bGJb0hoGOwy7cxJj`8E3FefGdf`bqO^=D5GT#)x3{z{&I@ z)*D8>Zdw1F0b%qZsDlQwJ&b;7g;$Z;VbojYeWun8d6hd$^Arx&szaGE>VLKIyk9vG9K*i*h1sQf;=nQNyWa@XJV)^AQdhR^JnXyw zD`%hcunh9g;J25CvcJ7H1iJ=_F!&`5e#xzejQF!yuVdJE zzs`{GOBno;*8&+42EQa?;g>M@rLJJ`OBnpp>cKBz@JkVOWJDPJQfd$U5{8WESb$%` z;FlyjG9pZx*Z&H7)c*>a-zAoFu9lrG%~O$n}ndHt^(!@m1jrqDc>FEkH>=3Na4 z&F{Lvok!_X&^!#9cho}jFlb)28Z-}s=DDe%c^EXWGd(m9gXTp|q4}kKEj_Zfpm`WH zzxE)mm3{YftwZy?InX=|npauHJ`a=Tsn5)#Snu*~YK}C2^uN06FZZ{9UJ=6b_$Bl& zcFFN#@JlKt{1OJgRPhMEguyR`XW*AG_@z~bU&7#*BJc1^82pmO4!>MlBx_Zy*R`_m zejz3JC66Hd5(d9iod>^!!7p|5fM3F-d9hyRQLLAF6mo^;#d?<~D}$8g*MMXBrBVgQ zuU)>Qvl zn-C%MyI;#a&xPuG;f-Rw(6_DS81{Tj*W+C13Q+vxg@w>_!kAkTb?Oqrh@GTnQ_U+b0wb6!~V~O2c`L=|8p9WG=KDe?j(ce zbxnchVbHu1RA_!>sXLD>HE13N&CA|}=3&shs2?;BgXWoy&^!#9ccMV^E2VWF_82S- z3(f2L0nNjpd9A6Sc^LaVO9PshY05qigXUNM%REjQSlRyQ{~UFt`J?}HUJz(rHy+|C z&4PH!m1FO>WbG3}3*$^KyiW`*j59elAchu33@vSgvo?$vTIJ%Lp;togJj``2bI^0) zk?UNV0x`5&vY|JHp^L`i#L&uCCTAZvy9j2#Is2D++yx~(XRdP|X=#3!kn!6R8oXLyXK{HV({#TDN+o6>|8w28V53`G<|(dD!ZmA2#$jY;~>=n{_^Hdx?J7_z%LU z>Ho0t1s&GG@Pn2gHnzcGtMh-@oP&q0zWZUL7az8{1|K#xo`Wbl@ZVt%dX)PC|CRgU`!?V9-nTZ`hmCAH zZ1Zgo+ulkaHa6H{kG)Wx|IfX8&u#qo!{&TDZ0|t%u*Y-T-WbKb~{C4{(+!&kZao9udmgm75l;;5trACNt zj@ON`y;MHTv#~r6)-?0nxow`uy)VOi*PtTa2j)IX^u2w>2hVmFoZW z9e&u@?1yc>W&6P!e{XM*8@H};vo7UyAj86tb$LoTQ^Gh? z@+NYImcKDRfHR`@-i*UMQ^F=s`ku?dyYnbSzx22=Ip$l7;w%`5spku&^KXl#6V_uS?-hUEZc zZaK-8*UIT|{}$xq?8z0xx)f+)9$gd6I&-J@ec$#GIjn|rJKqu-oX6&s-fuUj{IKoa z_F>}}J#6n({;=_L9rlp1mmIaYnrLDbE9W5XM{C({~K=KwuwE55R%a6G<(@;oNLfC&u#wmVcYBK!(7wSeVm8Bukt}$(`;hrkwb^MZT{(f%f{cr zDzkw%uF=!JuSQDnMkz|nqmT{ss7)X|UPD3VQSJxx$UOu-N`-*O$^E#wsTW`P(s`&C zSJ|w*x~A@|i+XV$!N;0zt#98~`2yck?2|Qp*uPhD#=2zxvMzJg((6{iV-?BL; z_sv^#QBG-Y)?Jsd$$h)$HjemV6H_`Yfja9PhCC=&1Gy3Qc;DX9a$|VLm#ZarXP|_7Og;fb#Ir<#{-djrHDd_a2kOHs|ic@Il=b zWXP~1@P5lJmY8Q$u1Mxlf-Lj6WK-U^HD`Tuha-Exg9e#hi6`?&C_0a=d%rREUZcY{ z|M|nz^|F)U$)@WX)O3aUZVlFrvG=Qe7_y|)8D!3tld#S?DHgk2ja{qD)ezfSnf7lN zJuW@U)!@tv!)KJ+2Y+H1XJfe<(|4h9vtgJ2%GE&UZyVuv3?r6Rt_HS27(V@SH9RY- z)n`rX%f9rD8lEYo&^wR4kMHKYJsLlZGrqix$#c8s_D+VdT0-xB3xi+EWP(q_;QR77 z&~3xmZ)s-i%P{tDxf<;AFl_reiy$|`kT2&ZgdT0n-K*tlAlJf>e~DP+WEk=^Z3zF> z1`%`;aWFGOp?++T~W`IVO@6THG+pQ71_Z5Zwxu%CH*J^u-JU9W!Z_U-n z#8$2ylk?jQ1~7fsm0>8?Qudt3)`;DFwO7N`o3dA3)5n>2#Tom}8O6xm3`}H6+kgw*46Io3S;*a#k^JjvuhS zgXi8yd?t(~_mfvpgiTiDb0M8MN>ACH9eZOs^ z^V=vawmNHi$@aYMeX$z!CGME zY<1Q!@(&JMoi&VDWl~6cH4J_!O?vI~e!KSt{&Owj;g_)W-Sphna^G+NSsN#e7~f&= zOIMD=FLw?3@_nh@Yvc63PK)KW3_|#&m@E8J%oTn~M8Ge`TysdL?lJD(pBF#J;yaJ5 zkF{}nj+(B+|bRN`n_1xYibiXC% z^Dym&#GmsZ-!|QcT93oD7rI9ldR(L9o$nQxiLDQ>F@A6T)?wNUiEQR^P3#$?%fAP^ z_M%JQGP0m=81yY=5&DKf-#RR;AL*{}fWB$F)4M2{NX`6V+q>w)pl@0Z^bNxo*-e+q z_S{#l6|tDUCB>aJC6~D8sHeM*%kV)Md{Apw_#g~EsN~TzAbWLrqZCs3B@BKk{3gE? zl4HKLIbOccipO5a?7?0L!(M2oVlRYYFVry(dm#+_@~(V=mferdJPJ85k6I?}{*B_; z3q|Cx7s56-AYyC}gLpKR5E^B#?*7dKioHFZ8<24d}PqOZ0wAPSIi53;&f5%(|5F$+~n>?|FIG z|L%DidDo|Z5nSn;y~?_D{KBWDOu(mA;lrn;12d0~59U$GpLta7>^!zFVr!bc3ZG`L zI*;uQdB3Gz<1p!48&~I%BwF4r=IT81e(iI`Tshy2xn7yNXFzHW^eE;EJ&L(Pk7BOO zqhp$Rlv=?&{@Nb3tzZ4ood>yt=V?*Dr$73=kG!wL(C?G5==Wjh_m>XhJc__AJt|FO z9@(q#RrV@;l{yJMN&$i%wUdS(g%P1g>JaoOg@t*PH|#v9r%P&(TYDJsfGh*_`!MwT zZUsQU4@18%#RmQU(r%c?KjaCXw~lq`iV@Z&jCJWmW?jOFla%sAoFt5OxssoyZh7U z6kmlNi5IbleN>^Q?|aDD(jr;Y3i%$`<2h=z?uM4fa}VE5sj$qWUcku2D|p^D%`E_p zO67z`H8pu)>g}1e(6UrSXxZyH18TCsT3y2ezL`E99lm=XOMF`TscTTe=)A8;4E+3W zt~sCE{(2d@m7(prgu%~U6$L+sVZWti!!Kd*U#Y9`a~O8nzqu2g2RYl#gPiTdpl>aX zu*<@*%evtex~1tsk1)@kQbd-AiX3~Uv;@l=XErX)^A4dGhjBLk+wr?R8HQ|6niAIt z!$ zF=S$FfJ_WSCKk1KZ`BWlwMrdl4RV9926yS{e&1CHfEmxL<2UwODgM}RVc2iEpxhs| zwt<{yTg*9niE7v}z`pSj<>cUU$?r6}z4 z%KZ7}($=9-{mh|xE>&n=D2sjGQ3gMkBMk4BBmBVR=W>LZZ&%+sH*(cl19H_4lb;K} zIX80E`YpL?hiT6gK7#)$`C%TFS~8E?*FmF7|DjQ?YiPuMuJJ)C12n2#Bs3}&8+sJ- zavt=1XbkGw4)e_GK3C_l_qg9T)Boi#&&K+EI*$y;-Is;wm`9~m(Bq$~`uQ#O-RU%Y zw^+$_xr}z)T=lG97(TL8By@%_?8dkmyD`jl&a7pfOXGoGx^fM^&&>tDWR|hcrNZuf zQ|nx3`jkiC>{Zsj?ZMh-ud)|PJ#uaj8CyAob9=~G^MU(5@_`Ri7H6-*S8ZwLmc7c{ zvRB2Zw<-vh!+3cz^A}o{56pbCSD|GpHMA@Y0WA|l&Ta3ki)4u7Bp%)`xC)xhLO3ztXN9e%KYc9i5wT zmpn4sAGHI3maUd^GwxD257`u^%(;j+b2Fc-vQ+G-FyaukU?L6?MjYbOftS8v%r~=^ z`GzTDQ~8&colwLfia4OhhM~vydDw4Z$kMm|zsf{YDA#>NK7*f3;l8F$1r!jQ4G zwnoNYt9xi^O>1qj>D?Pv0^97PFl2G8i!2U97MI?RUVQDycCY45#Lm0x=~nKBp%-@> zE_!hozLvHYGBykuTlzgRHVheChA^?uFk+v%o0K{B?@Tu{wjHL-DMWzGDJ5Ery3dL$ z>UO&HZA-Jx)wHsYa*e=O^(AK9Oe=UOGk)cLtqJ4}?w`Jb5dEE{(@68gkmH)Gme)}l zl@IJ1?ETYy3-?cdm~x!^r|-GFfBLY8+^1~xvLZIj(t;kw|&pmT_G3*GiT2jL(dBT>4gYWeN8_9OjvP&BvIVwTE>& zz@7=io=Iz0Z-3+n=R#2*FGrZUl_Lx-YfHlV)jk5c)q{z--38A(-*SZ63#B!~FW20a z-|qdt?dckkvKLCrb&S3L_kO$g|9+Tzn)_FK?ixz(_Z4$x{jy~y6va`IATa@#+qX$_WhAb{?4p|(=`CG*;I#(FyZ{;rdf$u7&M9mp8)D$CEC|@i6vkZP3`O zl@PI4!`Q2(Zn0Ox*sIqX99}D-VP##a3jU@r{3?H!$Os>#VmgMk8I{5l&x;!PH^PY1 z<>tq45k`DE^@=k-j5GdP%kBOROYWULrw%>3EPeOa&SG<;WF8zvcspSRV z@|#}IjbTnq7=E7%gE?-3lJB#xOBu7+*kvDJW8d{Dj-g+r>@a-SVfahZCh>!Wp_^4T zfo>Lt-=faU%KOqS;Gx=(LbtA;W^UcVgM7&}fOort2l-t42*-Ww{Yq{f5!)wK6;k9z};I(q|9D`nF zeILEd4ny9@R_J=&T%&BSrxV{?Pba>)(oMd(o=$wTJ)LS`s;?y$SGMO`hvubx!n>vG zLGxm`@a`k$xDyH;JjQe7}vmf$L-Q*)~cRP ztW`ao9E1L`XECYkm5SpS4_`}7(;cHE48B=^b{QSgys(w?D07qd{U^=uA_<9i!|aWOmhH$m}p=cB%j}I}Dkf z^?}R|!)KnfVo!%b-#p0BH;g@9#RYr%uSXto81${32lNfYe#^}deZ#QdE`4p!k1*`F zu5REw55o^vhczfZd&r#y@tfTU9P8pA*olzf{7jd`?I>k2-p+yo!05N5%nTG&T4j1(o%yy(4_!eY1Bp zFS=P_adfjVbhEr%p53We%&k68&W+yDeT#YFY1HUuVan%o$nKh+a}&&dJf*06c6Tg5 zw}OGpEf!+j`lhgd>+=cSF1>cY@6k7<^aIcCk|rECrsRFb+I~OkYjbls-}05=p&nxm z9`&_(MU|7;y6nO7m09QVl^x?T-$%Z3RvC6m7`ADVa_p2a_rhIPv1{5EbRISD;JFTY zFk_2}Q_rnzUCxDUU1*-I3(X(4ZmkZKyM^fC$!uNbk*&)-GO^)}Y+dM9YMJw>VeYO= z7lX3ScgZHtSuWh8$EhUAvpZFQ^~)@SH|~b39rtd| z1+yL}FFLwU7`kX`77IBIaNhH^+adI9F@y?72`KF7tTQ;kHzqt9h?DSHrN03!ihY zmOjq88pgR=_YLy-!{|{K*`#^4?w;M1SxfWGiq#MLVrib^%(dcf*@Av`)L)*=)@6)r z-PHwRHEEu$%RI7m9iw(vj2j1vN%L%7$H;TWZ>=5qo$J9d%J}BD)FUb-yoLmw9CCGRC7vXl^cPo~`Q`_5Nt(BO&?C1k^eDM|$GD4r zkg=tCa8{IV%URI{FUZ*Pnvt=wvtw9;UmBxlMd?kBVejMAV$X_FQ=Esry$T=6FO|+g z%eElvTpL{0`7Zcdekm;je(B<2#^`QY#weYJF=~Cx7~U;gLb>)S*cp4P?Qm0gV>%`5$a9;GcYk6NffkM8P$ z=H1o9JQB~2(ZRnwR4WU|(9cz85oN@$1SRiF=!hXBN{5r?b%k*r`hAL=Nb}n1I}dv$ zrkY9fY+dH@xaT5nRu^btmL8>XV|SPJPmWR;_H>lQPZ)+@G*2IX(J*}Gc|h@*hv757 z;`)_qVff5DW$>AYk&_yE$w>_(FD;h`ajSEKtbDHN1#zn|{C`E-h+AFbtvzST#w0H- zjJ&j1k~qmVDsrv#wImUxd1l3)WtE>x^VC1aDCLBCl-JB!l@jO}){~^IN%K-U9YY^? zR-QDEP4`SLEkl}@CgT_d&_{06{8*Y_^JAVXNGc}HbCEGd<{N9()>?UR&FLAp)E>sY zi*)u|O1*Ska~u+3_zH62;UBsd$&O(?NtO`4f;=Pm3c~OY<+;XJ5Qcv!T@PPDUIEuR zgOGJjHDgV4kF%yJ_{=w@nl&w)>=@n^Rc4fXD~FR~*aM=}DEC(CB=aah!#wJd%ow=< zm`5RS=JDu}+|ljcDyZ%l*70RIySGw-oky9{%g+V$9E1C(ON7Yz%5UvCm1|v^*YeRZ za#ry^@A@bSQh8dUCG)5ho-r;daK|X=j&VzBTU{k-Aa9gx&l;4Fj(mC4@RlU(Ud`>e z`c)c;yjIcF>O*;{-P0BGINv&bvIgA(0QT7X6+|$%e@eLB-{vlX9;IkPkMf%NmZr;^ zR@mkk+!dOpZ2z?j&p1XI%Y3u<-lXfvyQMX=rl|s~U$!o5m8}bX6D82H8eYdRkGpGD z$ooo*CGRVY7-^9XVxM8eG&5&BFSB)>hjo0VxDt;FBe%Pn}_JPJ)Pj~p;Oh~2eQ(Z|Ek$4lo&9}hzxFOw5LO&I!Q+Xy)n2CwB>!k-g{yt?b6 zcb&t~Rmyrmu7$z7UEPI$C=461@_pprmGm!foQQZ}$jKrE=qh38Dn${Ir(x`wPC@)q zVd&4b96;`dVW*r}TiFzbo}H@?T_p@%rSuohaKsy6|{ORE(S2ymTrX+9V3I4 z=j?-4%AImImyBarJJQUQr@7G`*SfLPKY63jr{h{TmZu7xAxz%rm|^{j>oP`hUDhwx zKl7-~1T@M;>lpTFOVg7#N@H|f@1^)N*O%jV>EF_~xUO^SK;k*?%qgUV{~%1>D6Y#G zkGmiLEUwG?<*q~K6xU^L#dVomAr{AdoO#7{9k;}SU4!Dfj#0py=Sq|olQ*i@<$Wy` z?<*|H`$|zlK35*aJW3^D9+j^;uKIl%A^Lrod$sgz$56k&P^xoNzb~UwdX)a+80z<> zCS&{k*)lWkqvvv_F1BA7w%>(+S-(=j97F%^4FQc3sLbt=!<-jR-ssvsc%vL<$MD{- z3t2m^Im~$g)Sp`x$2Et!YA|`@k;7cYsJxLe&KMcC%q@eEdE{J&M&&R=qezL~nWNQl zjjQ#=@!ndFXq{Wl6q37&#(o zVdM*h$s3P;RUH-b#-m?VUUYfm(XZ-{N587vtn$XAUsb6`*y3Tt4eCUVjU9#z>&TPl z*}A*8@>F5#hM{BD-cedU;<~wzkvUabN~2V7zU6=Ved>&XPMC%5zRXn$U)9Ec^}j48 zbiH$NBTGv2K<1S0y>hMUea?l{2=`H~K=z!ulBu<8!}QJ7uZ4M(Ow4byiCNRs2|p&>6ze@7rz4*kZk|i}`hBU*We6!)H`DiZecpGyYOE zS(jqHtaIi*>zo+?@76XMekuKhJy(_x-l)$lqGr($*7wzA&7?pL|zpP8{I@TromvzbhMNU5MlDyJV=$m@Lx}>uDE#^2B zzEsv_YWP0moauV2v*yrxoKb%~&Zs}kJu0f99+92QT0QLKQmowz2}RZ_I~jiN^H}>w zU#rBuvOPPQwK|1&d-eK$9Jfm_)ct)M^yx*50%DSXJvo7^; zW^Nf4zR!MwMW>bRIS<(%u>tE+*ot+2^l)xhD%&qe#eA)`Ed4;)UVy=GsR_xxuUu!= zCDvtb#eaEU@n7F(FUPLwlAp`GW?hQ^viD1v@>|SzY-=fpa!bK~C6mB^r3Lvd-Yr|_ z31T*-bjZ)SUVWdv^m38O&)LM#JT;bedDz6)HUJuB`az?TqGw$$6ti;Zu%%lVz19v} zx`p90KWybtSdDgm^5kJFhYAZ(i*nf7oEJXY^W(6kTNpK{hpjCcM$eV7f+zdU{}LPP zIr`ikwmN1QapJ>P#|-2C!Nb=62+I)V`?%M<=coZZY-M|4HSXd(Y-M{G{caB1y%0u^ z!7zFZ9=1AW7_p+mR^Acy0il7QV&xOl_w2fwalzb;Y+`T z_~oTDtUeT$fwZ)Y#aWjcExIn$1@=B-7>6l`GI8J+Yl5p*qaVOw%Av#`^JrVLF2#=F zzf>vK+1PR8(swY7yxg!ZXxcR`eB`%ei1Hlqquxh7_F>AQ)IaAzj!3_y_t;@;>!z1* z_xxeXp>m?(7jvTfE%&P(w!YG^D%E#g%87F7ZY-Ep)$epkRV zu05ekWuhN^82xDuQw|lTWBp3c-ft=Lq#UwO)}>V`hbk*_9@I+rTVm>A_%RRj>@Ii$ zJ^r8*_62v)9iiJ2_L2$I%@Jh{FoUP^awaiIh0Avx|HzEIu}o7 zol{F#=Z?$WzezCVQ1N8e#dz|i32w~hFy&BYJnK>ctMi~gL+_(E%VF!s3`@D)wJ%+n zb;(>{T~cFN7yCb_`;^r?V^pcXaiPmJSfATr(5=%Px`jcvGb{G{!l2ugU+!KFLmw*h z7kwxUeW*6Q>!T>el|Ip7)KG?1jk0T%DYSf0HXL-jG}@(G9suYT2Hk2SjE~}43;Qkf z=kJ@j3o(rQe-FbhEA+YfQawkH?l9_C58D`3>A>_Y3!|3eu#HiL;m16T^YTni)}7P>)U0aeidQqsQh7l5V9EBTq{)T>YS}fFHA6&Y2vhY%e_M`}AYp z)!MF$e$3og%J%wcAx}$-+;gz)i~pb4(YBu@LX` zPT+n^y+K%wV)k1SldMZ7#I8%(CCH(!eLxQ79!CzH%Y1nH*)^u?1h%?seZ+ z28ME|D^Pr&e$2|e@MGrER}SUo_gnO1o=SsWSKTpmv+g(xOh0BB{_2<|6#72>m?6rc zLKCiwe#}$DmJh;|LnT?WE}3-f{o3)cE|)aBJXu)>^iA9&Hwvd96T8aA_vy#16^(MJ zTx`~*bQ<L&wadL&pq5$E;EiAH`jfyL^?VxA`R{_;a`2VaTD% zbvM7H=k#M1u0;-op%10`Acw+`LzgDK`|Vm{tsMHd{OskcFyv6DK5{4weW(yKe#|iB z(3#V$bA7jV|K3k`zjbR1awrTrl(z(1Hw-yc2pm6V7;-3cA31a`0Os)@`Iwbn>&GlJ z3ON*pT~^sPa_CCAT^IeBb=*S^g&~K|Z2`YzOu{db2!83OD~Bp8$A1upj#-wBG9vTH z_vy!MM`MSctA%yR1+en@*lOi-m@*X0``S$SK64?G2Adb& z8YHn8Bd>=TF{*!SA>_K0!Lh%sr8evGH}@}GYYb(3WfQDRsgkTqWdy8q>FumbY1h85 zfIQz^a9a$YTPaza7k=$LuyxP(0t%OCm_g>ffHSP4n{&AE~MmWoVxjyv#rAH7Nr zQy&V2U(OO>j1nHjh?SS7s%$SSaQEtk%J#xr@Jl8W{E{l~y6DF&;;L-VEwJ+_{TZLzg>`q_Fzm8SSnRSe zxhK_lmXy-xy&N!U=62iDI;V|s7D1==Wh8!w=9DV3g z%ArTK%`1lrpCgCr?0_5!Lk^|IB8S3|LoF3Pw=i_fQr^*r($=uc!m!JVP9ulH(1&tw zV3&mOO=V3PTR1`5=eFkVBWIuyP{|TQ`>sHd7dOS?q@#3PTQ6f{z@^ zV}xHm3^`PU6df}RIh4x?IdpCA*hiI{t{iI1pkrQ(fR%}1*i4l};Fk}>FJI~h@xd_c z&^!wG<*zj#-_q8CmXUnVfJ*xuqbAtw)w@t+&Va%*>X_BGuzvON=Y6@ze4n`xl^LQB zg<+TFbyT+7@2g6!ng%lu`l$CDcPJdDY|pLdJlg1tk;6$0yR0-&<>qdMTH!$Nd#y+*^8>vc1}7=Rse;erqm7m~yBK>3tu4CVF2< zF|1z+CSuC=LIkW!sSE6*)FIBi(#_!e(xBj%YMYryE?;Q$cef4hH`mA7_t9Uf5244$ zVfe#Jhf}tfBImc{;ow{7G1C~_+Y(kH55FxbX0bwEtV^L7zNHkAeP5S8BX+ zr~*gmQTr?8P%c%!#hR{jgFws5CE=^uI5-b$y6RS?j#=XwzeO9Ow0~`gnv1wD=0cq7 zhIOgLlXa=}1m99^GjgcrPpnG~mspn?RkAMCHbdXqi!zVeu=p+J!e&O{`wvqNrSh|X zufgr^=|a7(i#1(QTsc&w7wb~%7}lkxYe3HjgiIC`>!F z+Gf_JVOf`7)buwlHT_}K^jAc0E<~7eDA&lY-=!Yy`rY*g`^{n45Owdwh6qCrb<7}# z!jMC?nnVsIA&^61$f2%ZKn{hW59Jz04uv6y+8xNDFwXA3YrDUE8ioyVWlyYgE~w?x zu8cqqg`p4S&PEP}A&25Lo01?13`m+js!Z4NnfsdTJMVvTuZZm}*UU$T$7+t~N%L#`tm@xd^B z$Z2)Rp)lmorT_UY=E4^0AU+s|t(#hht$WvVvM&EK7dCGgHdAGM*i2#gZi)yKAI!>A z4kbIGNA304Z}o-PbD``P@I_VSk|TL z^1k|l!Kc~ItV`)qtV?~tSeIPGs}JRgR1TFA$hy=Q4Eh%SfWD;{JCBM+mzMPf`+r;a z&sx_JgmJjnr*Pxiwxrk*7*|QaRlq4j3fa~LLx{m~5%THt8#O`mY&+b0&hDJucjxcB zKF=6(C#^VCI9t8g(-obxI211!V<}~WUMh)Zy=0!Emw3ULM;jBpw8+s*3(K(>LyiKB z7;>%6;*j?p7v$ou^JLt?Gcx_qIi&V?3*6O0WzdIQ* z)vPseC>c03OJjeJWZ=-W$M5}?3>+%j2@WO09_scea44BL6ffA;U)GRkcgvaYk(s^q zJT3h`5AMogVHStv1?zWh;Jr4YbOMKlaE{+)q$?ALat)jZcVsjcW5~%oyX6Jzzwm;k zP|mwKDgOEme+V=i9W^Ozf%mFY8i2Xb1jiHQGZ zO)0|PizKQLV5M8=2x*@igs8cW8Q&?20An1Nxts{F~RSAXX$Hq(sw$~ksjhPH=Z`FhC$-tp9EW~b-fkRc46GKjh zJv8Ru-uubG_Oc7qDI~+j%!8m#A;w#5%w%ADDI2go8Q7kA3J#6Q%KAI-f<@ayokEs3 zG2~?AvSN=V9+nJjzdi7RB?H^DI>Gj2V0*MeV0*S6*j^i{_RxVBqRbsWM5#b==)emx zZV&tSzzZ?dPpqrT@b;OK7pjd}%3+@YmGp{3@j~pgvGk=l6fYQKIq-r-O@$9JbXBaY z120$}oj7#h1*?Tu96IoV<)w&2r2^GU-EJFC8?t)26NlmjLoWwju({fa=N)*#DyJ5Q z4!mGRfyJQ%FIaA}I211!XGQlXqnFZt=w%N1t*Z`9zV949{LUD1E<3TCWa7|)7i@;i zK05G%RedTB9eBZtFp5LoDOE*f0jxc@(!}VczzTYa7Yw}|c)?OVv71`W$z>(e4?XaL zb@~zy%hn^O-fH0;$#_QmJ4aNSt_!euPnZ&1}R z_Zy6i_)w)YVtdordYLfc)=M(6Jzg;9k%7gSxo@ZOao^6!@XIRg5rGk!m&P&`wbc&_Zy7NcvxlY z>cv{vD$B(7c)?gNQOvMj3e~fZ3L!I(LYwHN(n0i6O9Of-O|qXCO9DQ`Tx;p?Dg<4+ z@2U@RXp$`a&VIU^1204}aj0^dt-rdm#G!a0w*HEmfkVl#he|`>LnOn87?TUVWD1~{ zQf>QtL`8#NR&^rrp=97t5h!pd88}qx1RP2R4vm?}JPy2Iom%)1RrTRRBm;*kcLax$ zfkUA)IFt+=%8Uhv#_I|uz zoE3AZ@Lp>nN_UIx0S9aHzzdeUFSZ|e!J3-b9xoVri5CpLj4`$6(e_0z1$5BM1Wfp^ zwGe#`vAs3|&Wb(QN-;3=N++@u5(^Y;T4ow1+|JqMCO9;J8l4}3A z&>>@~y&JtuB7*-`)S*lqnqmWfCofn_Tt9RY%KX7OQrU=Jn(`Q?$pLS@PY;H+L&{p4e!v0C;~4I&82nx*II}yZ*i!0h`nAaZ_>sr zY|DN-@Pc(ji9-iouxL8Op+e@&BQp%W)GC7wGM6uJ9mEUf^X#W9{ET1Lu__L|vKDrz zMbOKE7pyF{Hs*mBY)ZzQhqbVU$Bl=*vY#$fKpd)EPrcYrSDTmdu*_Z7OJO*^QLQrQ z<-iLzA^m-BWv+=sQ?R_gZ!OE>Q0~V*YpdwMhv+&gVj0QAp&C#2_bBZm4%H9=4%J-1 zSmK4)`m5?e9Lioq{SXe z1`ds@fnJ70ZSRExFWB{g7pzDXIMm65uRCS5tli2x_c=HzL)J?$#QMuULNAptqnCKW z*hle#ITmYSEAs`1ru3J6bl?S>G^g{h7Is`Z$6^dQN6?P;( zl+1V3Zh-D{{m^}nL-*0RqWeIW`PS;onjApbYqE{x^X#YV2p~R`411{Q;+KsISd(KU z?Xir(fy`QnDS1LK1rV6WffuZhuhQr)R;%1T;@@T5xo?wN6!^G_&odRCX5aymdIxB-`Y>tRuJ3U9_VF? z!M08di?Uu0ykL2uVtd6i>cv{vn5~K3Bol|G*mRF?3OAA2PgkT~Y|lL48`bE*H>zoX zZxk<>W3d)?LUa2Yb*TXFNX9#=*25l}lFI!(ipYRNlRnyG&MXFp##G+=3!TBCWZ+N~ zLf}v`aHx_`Y|JSGbspA2#DtEGnGAa*u$2RAV`dgJk6M=)OZ1}XITxF~pX=xItcA_W7Kf&aWq;o)%^i!i zuv6B|dWj7Qy~GRVJgkKschz}BTEqD4r^{R;hFpeTKeUetCXQm(I|>yLADZyN)_u(= zydxR!$m2Y`!&->S;IT2MG=A^ZZfL!1iQd`;@gXkGX7Nk1t*@^b#)^dil{>*dUB}SdtXKOMz-bzr>=a6MV-A%HBEqfl` z4OrHojL-gExVz4Zp;*{9VTVk`oa?_;8ErqR4mM({Jr5rL#u72udR{wvpFRDqK=t}v z$H&H@j6XQklEG_G$1?XSB+Kwz@5r>+XX7K4%3ixgMR!cP-_iHprsTZrcPV0UmNx$?y@AVb>*tTezyt8hiIx!g0n|FVDiJ{#zp&|E=JA z%SuD+^P}$H?SoAgY-3{ImsrM7v+S5<|0TDRjJmI6@Ja?v+y|75_;WJ+lz}yHEn3(e zd*~R%H%La_AQ|>uqsba7^u>GS>F59@o|p`dQ->`?;mCU!wBk-ycIre^((7WbjJU{HAO6kzc+yT!#4(EQez<#dVp0&{`aD0`A zp_hZtROW8S9l?+a&iT;5vLou_@k6P#0Z>2SMoGU*- ze6CDz#~p`JXZwEn-JGGxsDDld=VJ+$ZV>oiSKq(gfBEy_+tu5*FW&!k`T8ttX~GcC zKD>DU)3e{--d^rLcFw(dc=hq_`u_U!r_Y63KEL|mlJWZb_Hy&??cL?>^{3m*_07lo zo0lKs<+{ASy)T}4dwc&-dG6)iA1^+9{rbHJKh_%b;NQOd`|$XlH}BqE{r&y^+xLII VJzTEhQtafozIy)r=U;!h`VYA@V%Y!y literal 0 HcmV?d00001 diff --git a/embedded-examples/stm32h7-rtic/docs/um1974-stm32-nucleo144-boards-mb1137-stmicroelectronics.pdf b/embedded-examples/stm32h7-rtic/docs/um1974-stm32-nucleo144-boards-mb1137-stmicroelectronics.pdf new file mode 100644 index 0000000000000000000000000000000000000000..57d4957624bfa9ca25d8e8edcc28be2de4570a28 GIT binary patch literal 2348772 zcmeFacOaGT|35BTc99X`gt9sNIJWG)C6RgT6~`V8nWf0i9$6U)5s}JFva?Gho05?< zzPEE4yxw}hUY~k>exE;n{Nb$YzRu%3ujlo=p7;HD+|TQny0k1Ggdaw7Y;>%xiv$7! zgB(q5NJK;gv|LTGo=zA6Jr!jE?TsfY7_5o83D!hF8RKAywE{sQXhAVC7pybJ#GXV% z+{4Ml%oc+MnPM!h9Yk3dsvfX{tj$GPPa;&nDo#=uD{FZ#XN;DYs?3>APv`G6LDE}jlp6AwNI7q*Qa1ip14CL-|T8^u8X`+p?= z`(FXt`Hp{X*y^8K;GehL@v9wM{c{Wa^Oie)^*^xH&lCjX0OTF+8wm#R>|25Y zJljZYpg$8FDMtq^#sTXBf&uSo;&U8z6HAPMCdS3l)!7W=0)pc{k#uly#JZeDY`g#= zasN@c|AM&xXxx7Y82_-5L1}^@8{Y#w;JOAuaQ%QF_#PnmE+F_mAoxxo_+Fs+UZD71 zp!i;(_+Fs+UZD71p!i;(_+Fs+UZD71VEA5O_+DW6USPOh3ddZ0B}R~69D{oOaOtx_|bq3;81?(cM~8HaQ@9>u;B~a0>C-RPXK>1 z24M6i3qavpEwJH*{{R5s`oG}>-z++_TF1W5Rwi~#}#i~;=nARq*R zL4a>^1IVUxsjX9C%P=5*Zz_DZ0s{EqKO6ypL;$ZgW7rh#{tE^;9Q`{ZfuD{DC@>j* zLVy9YVT&0y!p^osfs0lDiZ{Xq1vfV-?=@v8mDmcP%i_~`v zP+*b!gP{NeL-E5S;SgXbwoHm&#YZ@9h5U{Ih4>RYzyvpiCm8xK;ptZaaw`I;AnFgS z07Js~1(Dyw6AW0T1UD~}xJ+ZaNdd&fjdbYSN{v9m{saRY$uIb0rGy~_fmfR*1uo6m z7Qy)|LLWtQ8H!Tw_<<^{)rVJ8zJ$hLxTU3xZqA?w)4oX7@)wp#P5#;1O?#-q8$Xt9w5MffDeiRZU zh}!T1Abkf;D$#=c$jwn{V=esl#Zr!Tj?P*D$$u97)r%qh(~g6(w0^xsag7=TVS*2NXNK%`~i#9~ql8SG1AUb2y@W!Z-}3GZHysi6JP)i(sPm+$NQtKZ4*Pwga>iV2a>=%PnjdFC2|bHYKV=aX_f$ez zjO;~Iq|GVHByRJ5k>1DW)clSJW+b+;J-?B-ctp+RMN_Y(*JO00%)G}?Ni)H^x$J9? zNzSNZ8IAqpi|N6pIu!i-$XRk<1}M{5WQ&IwGaRJ)O8#CZD^cnGwc1N24ev$`Oka@6 zNnQ<-J#~24$-JF9DyFC--`R7oXK6Ql?`)`%l2;Xt)O1%r|N6l&(Rs6&DY4buDl@-9 zQLzGx1lvi~D{ZQ!)aD7RM0{^dM?zE6+vC~H;`Z|dN31;AdtI-6!`gtX`i zJ>rmS#_DI|Pqz2Yw>q{&+GrHK>BUsvXsaW!y{7hD^67K+=qDuEr{3|mUDRs>AIxLt zvw0P!1yNFd{V=2-;VzMIoQN#|RA5tVHQ7{(VMw$ZY-ckozQ0!|E-^QlNp5eL9<{#< z4Igb+T$Dw|Lj?H?(kx$jEL?OTM|s9ABunN!Gn(F_GY)=KaIhmTl`XZH>4FL(t5#_E zNV`~zrG)0%<@wLIe!Y- znK}A_9FaB|jasT2OG{Fes)cLaO`WigqsV;uY0Uvo*3d+cvUaXZwzDTWA9Y`x8i{Y1 zmVTHLoZchEZBZDXFnPkoF`1eQ8pC3~KZ^|_#F;QtXi_{_+m5~OG+0Y@SYM1Ze~jMc zg-nS~@Jh%tts)ieL*<#?*E{OMu?u>ufrkoDt=4!480xLf5)u$crLgFT-LDM35yhh1 z)_ezknRfs1BfSVC;z#TnT_whyRefaa;frssnp_i{?EiXEmD@s(J;Q{1C5Uk>EklU_ zxdfuDITqH*@Rsfpv$E?2zLt6BSKLDV@dc8l_EUShu0|(y`K9_ymsP5*S=dNjua@k; zMEqi)bOClJ8^+YS(gSfbJF1m_LSDO}!sSA>nCI;$&ayBk<&~!*rwG-kKkoH%b9?l) zVFJ~4>{w?>VQNJVrI>gy-7uf%t;N=LtIZXyttZoo`UNpL-f5 zOR{Llt#;z=-uv?pJ%>LvpWAzHnugHnhTGk9uV*#NJ6Gw>-K74Gm4ls>69Pl`OlBpZ^bR+ATViI$@%NHwO_TLsxvFhoR} z$B}|yj+rC)bY|5mjUcD&%e@)4k4IVhKZZoqXQw@)o9E=772|$(frL%G(AGhqNF$-f zGT4qvTKCu#PXK7OzTJ3g=z5(T^Z6k9*GSG1>Lx5<#LZWd$4Jj!wm2^qpL9BgSC?;^ z+w&12+w0j?E@~In7+YPzfH;A@pK5YqC}g-~>qYx+zr89!@rqo!SHT(NB`ud_bFc7Z z^W)wg%x9BIZg(=z^Ew>YuBRY;PTm>gSJ}s~aCc<*c!o&xY+r`P3@BA2yeOs|dt0WX z=}pFgeWa!jd``BxkBKkF_=2vK6F-ad?_ZA8l$jK+d8zcFk%`&zhU_V}TQt$#hNeRy zAQ}B8luh$EPtMKogtgfuz1}XGt4)_y*>wD8-mUcMzly*F^z-q|3y_gWX>hNXo}X|Q zs%EC}@Dm^LRV&;jkgHXv#DA_nFRz7^f~fDMu*3{cQ-J;KVBTo(OTqo+TKWUDAP5&L zJRmYgoJ@hTt7lK6fBlkKS#CYn^QcA7qO)I_WS#t5iJOiTG+>pb`0T~FcEwoY#o&~* zzIlmM;RE#EhwOJVS%H@BQg~a5PePO9+NBmugg%EfK`i#6*LNW^Q?n8Xykj2Og!mLo zPn8~^yEV1%P)cycXr#pAs&p^%u8q5({nF>7vlA`av<~ziTt$7WIO|&?vqxvR_6bjk zD4{&>L_c!V6d8lQ`Dj1(kZwFcFojH12b)3H=J~cQ7yQBclNzj3*zXIbP9Zyan9*?V z>;dGXz|>JDOXlUPl9H!xPKh1rc&OEyF>d2`y6`y`Vxs^Zdlhj2To0+FKUSmv(W5Ox z&hHD!xmRbZ#u%75f|?BMm>$h1E?-BI+jcoCTzRERD7K%*84h2pf1h$L{o*5qz1J!d ziwYA=xtm&Bdu+TU7oM@Jm+{U)`$#;>mk*lFC*9-ldGV3d@SU5?<*?K7d@cEFCx#^| zmwiIi-DIq&mKTmy9aww1Uq(7gAcyG&h{?%cm5AqTiD_k}V%ro#JdX0vbE@1u4qPqe zjQUJG)JM4oY?o-yskC*(}#Lopha4H%;OO~mv%fdyhEaI2lnaR|9GbuLFEst_sF&~9W z)OPrA{@#Zs8XcRwijaNLmz|#h-5ERFxcei4M1R1|=Sf=e&vFeS zopK1h&~|kV_ecwGzZf}u?Cr9~(^!pR75^y%cT^iUleRXMTmRfi-OyYLiOM`nS_FqZ0N{GCP6;Jy1&_IX6CdWjtF|Un~RE=G!Mu}N}+`lvuQIC?N^`OtO%=Yn*ZM^%; zt6SssIlpko{Jc&|rOUg{CWc9?mUn{AB6pojWCJdQgBb{~JFZ1Lzz$xS<{qBkllNZw z#%IoZ{vwKg4R8IOS1FvFmTkf$m3%%|fi?@1zR3aGIkWEykSwO>0- zeAVx>S2*jXh^QAE3E!h59UU>@PCd%FHW*%%`*Gq+(PUjy?C~%mxizWPoUpdK2FnjE zno$!Rrgw8J7OX^>s)lQXEZ;SDRW<}q&Wp=8ypUXs#fGyscS&9^YP=QbAtytzZ{Wq@ z_g`n5nuuFi#E=eSYD3&@@F!ggo`F%8VIQV~#6vFm>67h=FLfVDjjK^(HtuU8T6&i( zcg@Esp!(^dk14fZd1?t9$K##AQW)!gaV^YEug$0 zC>RP603!sTU_LNd2qq{5Tvz_~2MC}*f3Bed;ro!rxR^OxJ7KLI9Y7nRDic#jSF9*2 zD~_rDrs1-8`XSJAaN)=4x%kZ-?FBqcoCF~JV1d6hR#Exy&F$^C9AR$uqq&o-vmH+S zWo{;bvBTJJu(`lDK(@4Z+N2lT0tfg2Xbi(Q24rYLDvsvX7M{|;8I&k1U{Ao3a6T|X z8v+-CBZc5F9xzl0490=qXe|Zk-hgwhf7trFcasKX{zzHr$+1k|E#Mu)B0mgX4=KzlZdcs;`?J&4kcn6ZS!{T4z zU)ou3$ohorOdKplSv~m7F%~ASc34(186krcCJtv^F$UUdYRXy$DpJx$+K!HPE(TiK zDln+5k@B~fIw}S_DiAaZZltOsr7WXn1cAeWHb!=imX1anqiAGm=ZZ0Ma5Tc2SlU@T zV2qSc$nd+kS>i>3cGlmp;Ut3J!HEcLMviZ}$@76jeo6`fb>UA<;r|zcjqme%+s7RM zI~Lfnz>WoWEU;sN9Si*5Tj1yE!G5L?(OH~{JQ88Q?M(6s#EDp3eP*|Awqf-~rL7ywli@XNm|DSnqB!wddU z07Lc@0zi;$LGUZ?To5-{-w`0dza{hfCN)EWH?%z8Sz{Cg;BPncgg8gq)(SXJ`Hle) zF8;wtAU9MDKg@vKkTGnr!>`zLK|Iy=P16FL^!y11Kv(k<0wAl|g5X!&IlylIYrX); z7B`Iq5&;m`TSj8jwTvxu0+17J!T^Zo{=^E<4aWYvHy{BHdCN%PLdUk=fQt>^z2T2^ zzz9GG{8M;{+|U7UHUlnf{R0R9v-NUWE!5 z+$2awKm@l+A92gn-y=Yx|71$Q0XfN!aexbMmHgpW$bWzU`9}xT9@B0EA@P(g}KRDnETO?Mv+~6Nz_@i05AwvBj`GW%*)Xfqr)UU!L`d?hG z;ae6<_+J-GTu!vD6}Bwce-s{J$jzc?7~qdvMA5i>Y#RuFs;NOhfZH;FyFzfHnr{-b zzp83*dFi+B{y&!00FobEezI9sgUhJD!TYtY27-n_ey{*mFJ@0EI5Nu7*1SL2T|7u| zxlBOf04V)6h=_Ack8E$8;J!ec=EPT{aBIxs!i0BGWkQq+pFi&t6N&TrCk-8qZlTxm zh6NnoT(15wdbxSFm$LPB>nFE+@e8qot+7VQNosPDMMb3*!(q=XGMeoyF42}GXwZ_R z@G8I66po0-s-A+Jz7|!%6n0ayA-Lc4Qh^t#s(^L&0=4%eHzw9!KE?xyu5 zYrcT% zoFp|_{Pivf;EM3XLNp3b)KP**eUt&o(|C>bV!7C23 zOfMIQ_8co&TSC93J|uiXO>xR>Y0;xrOr*wgzMbbO=|VJ|`E`2i!$Z-pSUYL;`&2(Q zuerTDOYU3u+L?s7IaYjZNb^d140BX8o6Yfu4yO6lmt<-`vJ}~0g^5Ilmp1IoIf zeY^NoCHcah$6|*B8x|wBa<=;F`bDrs7Ez^Fx!>*H(AU5e)Cq1vm z^H0dE+6ZPtw0jPVWHy_-SqBpl!XNqCIqZIWoBi>~U^pEpja`7}3$HAyNcJVu`n^dK zcaF^04_kGGjP6g%%kv1QNZATTAEP_z7_#=T7m?*eQav&!ttmiZNRprJ@>*UXy4tUb^)p5zZN$=;{y-wJ; z*iFkBA`BIsveM+ta<}u6T6u2gCC>Yb_O7TUf$8qM*C+?hTo8*Fi_0L>+7s0NSb}!R zL*bp$N35DInOAJ3|Dm*}181LROna-=q+Y&&J|5a~ep&6c^s>2?n?pMlKwbw_;IwK$&J(-^*>E*yTJyeTHw_<5F*KvTjq zGO+i_YK>9biO`H^dsNr1*J#RI#YjUuwLBMz{8R+p+Umy-y?m+R8}!*(A@NRU zlgkHop*-p!2NEw%QqDPXZOQa&Yuvp}UU^O3+I$QXJtrM)yLV}|+H_x452<&n{t{eL zInXViY;$kq>N8=&z8AVD7K4ZtAwKke2R@NEb`0<_sA33LjpJk=o57DKvcNNlB@$lW z{`6%!KlQ8cN|}f6vAYEo4)ZaW17G)AF$!c$igeb3j2Eoc+`F7V<0+JfbrL7yk30qzITsF|447j1I2eCZ?x^)N#Z5b9F>Fx zlDse2fAG*Tt@@DM7E2%zoWM^YR(eymnCXRpyS%><7cq8OZrr9cds;pF8q*TmY@hwa z9HQ{5yHZ}!sOaU&x_F!3XttP`^--ltPVwkFB<}G}QFm%c&lx^~xgNH?)r+WMC~aI* zRJNuX+a31#(A}}t@vE)x3cW;=YHpD(^j6+R(kB@zz!B^c^=OaqYlR_!4o){5g0fxi z+;A+cN1ddbFco_Fv}mGk?XeB|Wjp;In@LN4mTWAi|C=7a8pr3KpqGxDDdwDS{wy6> zG9qtMd7fORo=m1*+~9EFM}iVv1<@k0ib?ir*6A6k>7KbH(3*-`?!mpuwT3rus9sxU z#*RfE@{|imuM54!yjx9X0CsIP;`x-qk8e&p=OKnw^E8NI+S=YX?KE@)9Neubr>vH!`C4UN9^CX5>ZoR_bc^Sf zI82n8D`rcepZ9nj%UVIdUks|vOc@&-ZNMqA{^9J{^jC+}`Y|TUNuex73~yE3JKOlL zBw&JU)zQ`eyp9Ik(E8&N*$$DvT``pZe-rsAAsGCh6ZzY;{(fWoWEU;sN zO$84BJCTpOocQhH;rCn-ggYw%?g;!&ku%Wpof6*3_S#lr|2PIJVy@!<4Jl5AQ=XF0YSicnjQki^Yjpa7RJ5*qlD47 z{=OG6`d-BdS4RUl{(Bvx?}d!MS2Fru%II4yBjBb^e81nS89@M|4EH_X>KQ?Bx1DSL zBT2y4ibSygNkt-@wYIs?eH!+YlHGuU1n809pgr*P(*_m&ll1`9xLa8d{7`KR;wLA& z0RlMk4?qCy_)idcNSmlYyq|0f;wLG)0RpHM@dqG)Iw+e!;2>=QvEc;Uf!I|00tW(^ zNWVWExJnb>2}vXl(iRXKGh|y3fAI;RAlC1L*i!rg`PbqX`02SVh^@l`2ks93eGstC zj)}xU+G2@K*GsmD1%NvqHfAf1qQ-e90`dnapj$i>hiMCnP4V!*qJRT;s{g(%AX{7$ zhiMCnjk&npfNT;I{jqBz05WXT{J=rl0%BwEw*#?NO$7VBvQhYGwV_k^i2;vj3x;1& zq+9hwFrfI{Zx6|l z^}T;*av%_!-4cPrv<1blA|?c1{O?~v0o&}CcuZSR{3>KZ@U;j3B^1yto{7V>1;xhT zZ$BcqB<2SS1W?rK_x%F0#W!)7wxIZB(8O0>{DA_{3jP5K@D}gHVcLS?mq8O>`0?LC z0Y`7~P8_B!D1H?*fhrz9Ch8wAAaLYnzrbVKg5p$`7hZ5D75%9X5leyLGi1& z3Her7b3=gm=eEFQm*0~cI1bZh6u+$O1=&=Da{~n+)%bnygl)-q;s25G{<5~0;LnM= zQ3>?-D!t*?G1!)b7msL*C4Ln-{~~?^)|%gr6|gP&E*{Yq48Mw-Xxv%aPsar6MgBgB zE%`1U(iRZE2%CQ@_W4#o2MH9-`CdS0qbTd9ug0CZehb+Dw^AQqT0rope4DjCxRdU0 z_ZVY zwoy=e`A=jk8t{9!1y(^{~ zQ9ACe{5-JEweEMvVEnFne4NpKKgMxioe#6arTTBaGWzwFRNlTxS?l8wtgIZO%)WYV zU2_~`QZmVh5Mx*|#^weD1(Bq;A8+6!nK2_X)mY~DvUW3YOyW&Hb88}CsuX-iM_)54 zpzJ=Y!KLSd)E>n#mS*p3TK3-KSEd~?lnV2wsSYsJ>rm29euM-YzRP-4emcS|>H16; zrFvhyZ@8wbWm`|{>%r-VuY7!5bXs*y-!4ihT?qc%sb$LQg{)-Ve~j-$CXq4Q$2{IE zkCVm44#L8%Z|4!9ql$S;Cpk!NrLDxi7*Rp&QK=}Pc+<6J>a0^h8(FMJu<-CuLf|j1Y(U9wY;6wTF01T~OJB;R@zw>XLM~GL*k*Lz^qzLC<_#IrI6@ z!&6=D?UH-c!|v`O%S$Cq?ONJZ8I`=3k8One*S+RoAUXt{&>6)@&+ByI7hl(50bKu$n`B{W)c(OXbnDGM+0Z zRUTri&ue#4ja8o4&5WqVvX31Q2}|Eklc|&?+RKo2u|lSQjKS53*IVgMFQ=2t9f5?C zwE>sZjuR*nK3a7rVaXTT8}P`z(#-t2_feu=@ZPISq1;z^6cJjiN{pIP+L{bQ*9ZJr zc$>c@njVbQ2V1q%H0*-~29dGl*Rf4Q^ddm|;)>>U! z>3qb4V;&OG2BfbtrJ{3fSr0m~cLUBbI#W+(Cu01UJhMm61I) zbl!cjqc$niBabs#Q}Cv|Q2JZ+r}GB`_WFVgi-M||-VMpz&TN+z*O3#yEgkCj_=r8x zC`xwWNIR(+ep0BuS0m6rlr>pn}3=t{LfX_S=t*3XXAg&uoken*Fbv)J`szGA?All1ge0ygbnO4P~T z9>QtF@g_!6=P>!9LIBp6uSdSr6$ck~EH*S)ls6fh1WxJo(d&iZbS78qPV?`)VV%p@ z#cdm|?WR{MTER+&w6p&cJpyVbQ(plkdu~Z9wOPID;3weG5FRe$aR+1LaRc7!*f;r}!v0rBzJ{~IRNJ+d^k38w^{jrtt+4DeI12qdJ<;Sf1 z-k;N*U+QnngIuRzA29BAIAa>R+O0B2p*ny_J(wVR_k<#$na-#+GxOVvt%+h8B+_Bj zb}Gbo+onHAuY(E>8U`zToeNw6^PhWgc6jVlRNh(V{)55dr>lc%wF?;Xjdf|Wmeo{z z#T)f@hx3}|Rt_c?)P6Po(6#&p@or!ra`=&3=Dox|;^vZbv*5nMYSa`1LFW!HQ)0`^J^q@ZH)Pye)Wq2BTT{pkw z+UZn&#zhh%GEuyP50k7|7EMs0{D@!`d%7@3R^c`B#l&f;QuFp7wk2Xsp zraaCpma{G$3T58ac^0Z19u@@OwMT+R4xJRV>he_TTEWc|as>|`T(yu+$r!r+w8Dgf zb~IOYrsT-`k8BZRvAg;a(W=fw3$W{`JQU5d;5i+c8OR+1qcow)d(j_nv-DEIUkiw% z?UOMBls)f#J*Y1aTzEM%ytbCBa6Qt93VD2W3nl^5a^9XDqbcpGgNH=u#=z z?}}8x`BLJljbFk9b$!m-!2NQKg{SD4o}4H|d}_aER8J|dJta08W!!q;-LovlWlZWV zHSEY&CgRzw=8q>dWGzo$JNc40&W&x%{E6Sui1Y<@u`k_PD2`osDK4bxIw(DvyW@DT zTT9WTwf}Odnc~ri$H&hk2HNrEx=by7G;*1}H~!8L)ddcVQ?U{iS$BVamRx|uJaR}_ z|0~z2x9SG1X%4&i(ca?O!iPOFY~vFh)NilKsC0i3jYsy;KpXg;XxTI!B_iB=r*Kd* zJ!H<<$>y%ZhubX64@K-g?0T?&fXuG7{1DQfTvJd$OR#ZiDz}fbj{a-u+DO`PzN4+j z^DKhy?4t$3!d_3b^63ckPb|$p44{9U>|l0u8FJO|bkI1LcW{c_+*=V>`2*FO?u^+% z3SvccDIcO77wbTKN zVfNu!EV=fxTq|D1n?0Yo*2fgOeM1i}m!iz1-@BF!4IH0(6I^Fmb{hk!@iR{>iNe$(IqL^Mu&hnKPd?|Je*Pg4h0O*c>EcPE$rlf%JFbRx*dv5 zTY_!Sw8%eU2Jzar?_}{t{hTf381VkI9S8`1Ckh-tiQBR9PsU>lJ^7zlZJ(sm#=ZI6cI?*D|bM*fW)4>ygs1@Tug#h(nv->C6$F=Sg1Kc!cA6~mu^ z_!}`EE`V(d;-@YV@Jr;M2m=33i-!xI+hV{)+keF^|4xgC3*6gb*jm#HD2DX=@e;2& z`yM3lh&FNf5Zt1%9R>)#LfyY(h`*7+;a03|LEy^bZK|{O2VRNz8x zhzcT-qy0?RVN%avj!KkY@94J`HbjVmt-kRN z36$3IW@Kf%#32>zXd_q6@MWrrQf{7%DEmwvk*kzSZ1x&ei?JO>8dXXI7-|oq4^-)J z$-2qR0#C}+9xv^p>(ekpuW(`#1uD+{1D*%KUSXHR9@kgc-ijX^o^?}Uq?wDZNH0G; ztAeaY9B@5*Qdj?mDWdw=g~p6svnLK8e3fQs@dAmqTlNu%4S?NTQr;BP{-E7dB&9CUkm(#Rw5)r^~Sc^rSQ zsfXKcS;-IQ0_tIqV12IxMMpy6h&X-i0VPKDui{3*$Aa^cVw{e=^sttW=1dIiH`@1@ zCELa6*7}r&u;a;+dTjL#l{yl0%4-h(aYK&+Z`vdtU%!`gu_B3pEs?k_U8YOkk!|ki zUXJ6W3`S(N7-N<&iBF|*9F@lhsK^;RkR8#*AVZ>_IC*Vyl3n^lS4mWj)ARZEch%Mn zfk!&euU@obi7QmOhSank4v}ZOftBt9M>K$?oRD3$skZx5T1nLNF6;q46Or(yQOI=+ zFW-w%z7>eIv1a7R>zf-;Or#vKzwHjxdBG}%$q~$3Vdc^%YVpsdrbhDD1rCvQSozDp{**G{#2djg}qcu*|g-k_eD*dlK&w3gyXq1>wv_mmHnFbm8-<*Q_5My zhK9YKe2F2s2jVneyYj08bzBr_nqwbcKBzK&aG%GG_MnLmv%z1;0d7kvzspiCyC`6E z@e|P}!S(FX9HK14i;*uhUlreWFXQS+J!s0SBdVfvEtI*Zs(+bD7s*eOxz@~YQYGA{ zl|ppM)zF<$KJ+Z9o`afvMmyCLn^Fqynf0n>p8;Kl>rfVV#8aMau>9c;<{0gSGWzpD0}qsuTp3jMNprI+OL}cGk1( zHU<)}-a1`ZpT0)UVBPskSho;+b22tFO1Ag8hDCz`6)7qY4lsl>nFJl69$XifV7ZZ2 z&2YXiPD*abtW;ejb>*60{S7(vdxoLHhQ$RY$JOlnc_memVy4Gm_Ek@lU6U(mp0^@! zb|Gi>^$lvWokXp1@roa&u__NOA1fWbo?_G>d?e)q+Va9&X1?h=#|T{x#Mn$-R2&^R zKkaTh^ND>K_KY{fH9F%4XHBAN_y}Lp8#zlgy$Wk#A%Eh|BIxQS9g~SV`i5Y}Fekcs zs?Bjv{7h#Gk8JqFo3WPzRS2>@{_3kP=i5%zEtu~+2f93KxSDxMyo_J<97)yfrW}zR zo;H=zC_0rBd5RY#opJzz%yC zUpOd?`Ruh?JK%hOW|lyKf~KY(dof;!Hbsf|>e#p)G&wS=rRM{w_q?4apM_F!ThtrdzuO1DMF30ik z6x*$rhJLZ(Gs95qi~5i6ql})(9b1vI zd=6HLkSyX;x&_yG_U;o$GGj@DQg@ky7TvC5f4Zw{rD?+Ud8bgfR=f~rQk^^ad9GHz zKR6xO%HYI8mOaPY#5MWuAl#_+&CJSevzkP%f~mo_;?IQrZMQ$)PSJq}9q)!*Bo=>k zcY2i8|ErI7$AJ6&m8H)w1HXbrGO308#sc>|Iy;3v)9&wciEkl}V8-mqo#ie)rJ@#g z-gC#L3s5n`OQ*_)`E@)~A z&V5RB&au2Wr|P-Us{Xk~(R7>q8D8maT)H77@fy0`}DQny;7~zO{ppi_hUx3G<-sg@wqb<=o^;CB7CCnlg5gx7dkO?;#*UF2xRJh)l_OdiJ#=CJYxwKS z8q?~HFB;Vg%b%>&1dAA+Tw>yUQ&;J3ag9{WEJ>xBuhO-aamdmy!m0}$XR?pfNzWNP zYnw;Kv{c9KefCPrq4TR>R}VW|G4&$B9@HomcHy+AZu7@12g`~>#$WA`WT9{IEPps3 zec>!I{rUPs9I6SIQSxU)PivBURoro|=st5lAkmVc?wZF(%l>ntLAK1}KF@$);{K`M z-qqrslWPGQQ;jV!(Ig?~3J8okk)|J8$zwe7szxa->;2msB170tgHe)C_eVO+K9zv9 z3n16{72aSpFID-rK_RUj#xY5C&PryfS6}(QVo(;6=334>&1*59w!ZcFa}XZ!8QeWObW{6Izjzt(rh|KJ}cvU7CSaxyW)h=>SCxgbG!>4BJ-fQ$!LP74ccpb2~+ zrv(AwwFtlm!0wV}S{UqU0bpB60d0&2)=)s!(E$rI)7A!VTgF>cTN~JH0bi~~7C82s z0%PM?CyX=J8sh>qSCImW6F~5r8v!qXd%+>V1`B`?Vhdxic>|wcGX^l=uJcWdLH?;h zXU4{ZzKtiJjy)PToFB3@WQh_Stl>l!X0 zmB_Nc$sNEwyJWEbLR8eU?QT{59QLl(xsP4_ug9dkR~|1p6erKqCM@?Fi9ZlzN%D0m z=rKfji5*T-Ij(ArxW|Ohq1Fy#L7m7~R)V{%xfmAef@cIVpK+W zMTav>*vFvw<=x>Aj**UX&$OR4UaCG$cfX$r~KVj(7K4n)gq1%gXp*HKU7F zx^kY>XDQeWUUiT=OnKNnt&qV5%FiCBd~qZt!g2Zu`eF5Ms)hqpR)QQ&L1zz#mK5jO zMGRHI>4~HHU!+!r*7x-7YKQNe%`b{*)2Cw5m%OOK`n+L#I#^l#3(E;Z^%9Ewyy*sOi~E;d)rZMQA1aQf>%OSq z?zt#UrrN42Lf~+NAs8N7k=Fx#9AeS}26rGI-z@GsLu8|D&iSgYGnLxg)H(lpy#>Q% zbA*t={9B;rxPNW)k%w7%W%K1tqBq)tCE_H_%q5-N&KFB?M&NhC+tnY&-F0A)M~Xw@A?xeL*+4=H6;rY=d*=`1s)19jCM;tf1Ik2R%FlFQ+_HkLS^QH9)ZQelD9c7 zT9xloENijd;{dJ}T-3lce9jiu2kg8(ns1v~$O%z~$7g0Ojx5JE z`DCEhdkawO{plVR!T^wZtJehK>1sVE9l7TglaS_;6?S4D6+zne@gDS-b0Xvs?A-Ck z3XUY&U9^pq@K>>=a0|rdq)BL5EvF~V3ks@!QI+&x5v%fxE98>uz^%ho>=fS<<8$t!IO@PzhY+ci*ep zJ2bu}%Brw04>cWjAgk|?dGK;Bkg;X_&1X~JM*C#9!6&p;XNkWWG-(V%N(-#+4IeFK zmHUuK8L#}AC5y8zly@&T0v1ClNeX0nV54KLO&)vUoc5Wp!C^onYdOa(i&DViRL~ZE-;K-rXRnkzSb1 z(YTA^LpLweq#WXMqP{Q$u1xbeDs4_+eV{Bc`F-KnPnyaRA#tOz5J#scM=MzM#E@X3 zAo+e*HDMp2h4g1Avyl_cr@03x)zYY%#*>t?qMgRi&rD}2oAjUYR#Cl$N69`GacKEIV9bgHjB4R%SA$HZJf z96X_Ulpv7}P33=t*ts~FZ}ok^)BBO})BQ7-Q34OvzYz1>aniX>&a!N&A7LMU20czx zB^cW(U*&V5nCfJA!m@02S!v>8Yu&-6xB?5~Tdo|uAO>CKD?H5esPxFX2n6ck!7otC zA<)y>M~9fW&K;8#%Az!W_gCz>xS!AM z@$+aR72_65f%+r1pakXDtjY}I_O8jih>TcTzu9FabslN}DMw5T7^Ylb9~))*;zd)> zm#gCL1-zo(eNS}V3Dr*We7Sqyh9^)d--2=>R8wrmH#z2H#HDVIGrK}urvrrQ!jyZJ zql`m?jYET`T21fu`9{?gHDq>m6`HNMwQG5N5$HMHxuVD0aOt^&IL&x5mL_c9_+gRU z=45Q{GwZ?KUm{BGU-WZuM?ZhMM%pmDP$3)?d!9$e{!0^qHwy!)Q0f8U(;@pj5BS?H z)IT4IV~%jMAJm;KiEJ*fTI~{nBuqG;Wn^ZJDKjSJeaoSUs&%7f$`O`33< zd+#oYoOxMwy?fq-%Pi?i?oM6-uO>1dp3)UG1=&~?TOr=uI&UaF6LmDE*jtUbFzp>=u6F!=*x&2;nI_RCpE zwdF-uM_Edo===8H9#$}jv+O7wXnvpg2$V|F-xEl`(_|j14oN1t~+?hLU@YVZoLl~PP8+e3f!oy9= zheZdCs|;IWcb`9_c(*^4CFZi-c$I%r&HelLdGB?{oBOqDT_K2$hG?x0%Mp)`B+U^+ zHBTJ#J^%Hq-y(TRnMvzz`?sCt>*G{|R7yFKpBZH`JlFTLt<4_N9!veIwpX8`+|6Nm zDm_@naGw1_jU{?dXg5aJ>EmoeluGrNn7oD>r!VKK-n_AKtm(3OsqLy+m?ad*9hN=1 zIML(nx$h>Ex?t4`(tKMc8rTmx6-bmKtg2jEW zISnmgGirl*dybqbe=s#-m20KP3%q-zxZ&>WPfp^Qf=huG^cBknrNNh)y!`I==ehNl zEi4S)FD>wz`ds{4)n>KgRdV-yTEdgPoV$l~Qniy6ogEI`yiBeV9CEy(j=J{E<4b7+ zUD{t#DPQzPe^vIKwEaXw!~B9w(6wD1x9_q%e$id_^;)?5HN+RgyH(rkkfDm8wLN!Tft(n(qrqIf zmL=5T&bv{2(=zXhQvzwlT?)@koAkhA(zp7;rX^(hy}8}@HjY1IDA6S%gJ@K%$5uCUqmJ;X6bTKjD6>aT5nud*yuRW@L#s*g_$uL9(a5%zjKg%9 z<&a!0WlJ{s!;sY_8XMm0;Dt+cfl^Cuq+>CH?VJCu`RO-Pq@; zci*3~PjjTE&|PP~WN97Ozf`gRKDpx*F(tP+vD8Q^jNpAk`Y!n_v~nhlshIHTV#>ub zcwC554&nT+8k0cHl{2U3pS5ZxyTWt#z)3{05w!>N4VrxKFO;cjjf)YR+j!dKT_F!$ zBzY4UHT$Z4y6%`|I0aF8#~Yd8bJpsn9==`#*CWoaGe5|;!i+qgJWDzXl49EB7I=!` zentoVsVQFRE~&eUVr`f>nG*|!fhkny_DC@n2%nEpVIdIZHJJ&dIALnPHpeb>hZCgM zMu!D!dRC?`!VWvbq@-oJ6xj=FyBH5EDaf8Jql#>gINIXqPSd}q8l)&`B=um|yY=K- zIWZpRHEqlb?|)gYlK?$i;}8lKIk%hI_W*BHhhSP8wfXC1j!N0g(?OsmG?h=(=`$qx zR22hD?N=O52d2 zHiZMX9q>UgU^E}x40vV=F+uVPnweveP^6$K7zY1u1S3)qV*)ot!TC%eXj4A8pa~o} z6bbAy0x=bYg3ZAeP&gWQ{0_mmLoojSCDq?P@?Sb|=a#h{3+z~6#{xSR*s;L>y#@X` z!MMRv{U^bQyI1!o!3aTZ+_d|x*)}ICP&62UCmO#eoIiM}pGZtGmevlU91B$sI6&6s zq8uj?Dqs~SDU6l1Ja9={%S%<;%*)mcZO$PsMk3-NQshS;B()#0v5ai3oX{&F@E+;7b zqc_kU7ooAey)`=k;N;{)>%>57WorbWXJcan&;bFz4l3UdDhxDqtTc3t3Un;&Ol<5- zOn-e)`EOePzHk0GsQh*Ne{@jM)n@|&bqrW&=nUvV2Nilf1CY#-o<0p58_3&`5oBbj zLr3>l2Nhig13d-?W+oaPT{b3=%n=gWWdbCpwGx`_@6N`WTK@b1bp9g zfFHXKwBG@LZ1_i)z~A@g|H}1$|Lg02W!gV=`YQszBJe8$zasD}0>2{gzaN3WJwpGr z(cf=OvcG$ne|&cT$?EUXa^Mdt-0y5bmfzF@{-F{O%WqO2zq18FLO74gJuHvn8~+2= zAoIV)8hq^L$9R9X;`;x}8vK{U1sR?t?pDa?@JQOWs+}>Z zDsAAy`@V$o;i#3dQ3i;2M7PbZg^gmemAUYARlW%D3DnF*jk}XIU1I|mhTO@LENcOH z!s|U{L)&;U$=9}(iDhv-4{vQ9+0mO@Cf7DCt%10q-FigT=p#@yGFL_ZFj}T$rX;EI zN}*QJ;-BH)$x-NazGeRuW~Y0%up)m_fenQb5;88PX^bR{nD7qk3hrUG8Y zBuOwQ#zqo~o9yQ_FouvJ2U>AU^qHol)kffN2|FCZxN<(gIGPwk`dYQcLP-m=ZXIw=RXl_Zzgb30E(MJpMmcbKw9jq|b%N7U zt-CdpZ=S>Nxi9%pmNj-KG%bO({F)1nde4O2d2?A%gt(bli3+-GoTw1mKV;yF99Xm* zm{f0VpYG|4Rkd~PEHGq_isms((%AC|4lnI%CeeUVY}S1FOu z&REYfK{2bi9uFKi@i!O`Oh3#IF=Xn*nm-{T<1V{0tZdobTNYP-mV_n>@(D0PSUl?( z634C;y`+I1QPnSr@#x90D9f_Xgc5qUv-F9c)(p~|{`w=iNu0^^Bdf;yOUH{>r&dPl zLRg=2CiBySD+2_dN|iJMV9#a|z9*gdCJ zmPF;0Es==bUyCE9s0Wq>U8g2+N^XY01dfEf<8npf^8B=c?f)dV78@T3u}i;?WhTMw$}o;LQSCLBO|o`Fz-JX=3b?^adLjLJ zd!^?N^Gx)4Z&Hh$$3N-}f7J6hapWGldHp1{)gm&J;q{lSMLo9~TdL2NsV2W=}$PDlgyFckR5! zp^LBJ1XQ)&`(2g>9W;v$6~|}f`poQ<;|_1<2acm2Vd9o_g9m7 z)g+Xb5_E0B3v1Sp8vu}g?AE^^gT>-T zA3Akd#P>;vc;#-$pnC|B`vj$}vc-g1gH?*{yoMBVL>ysulOeW)_+?moz~M@^d0c+g z)W8t7_Y+AY1R3~9>sHG{we(F}x@ydtAnHoSDo4~EZWJDOPIqV~udeIcRjTZ@sl5&8 z6m|5_dOeIyM&b1}Kd@?Y8CDBOcCxG~G-e=R9?LbVwA&1pB-9tZyh&!x zoD>QwE7(Iz_7G&7l6TULTP^B1_?+{I4kyX2rc~xr7A%c&`s0&8qYfB$08xaDm(xvM zsfRC8gk;4%1U0VLP6a>WwW5}ZJ}>9L$>k0u&#RW$a;H@5h$j=xB_Y_XShn|F8%fkL z_JHM_|C;T6BLav}&@X$Vz?cV>6R)2JFt%P{k}q6SY*GG7NuOr) zX+G?mMyEZNIOf?BD!ngfj_CtkI&+7joIVWX7z*ywds_a+m&Ig+A0%XKB(^i-=e~|f z^!fSnNyANC4;>N{M}mkHzVQY~_8nqzAN=&RfZt#|KI6XuNQ)=Qi$9-i}JwTi;XUebx5 zz=`Eg@=Ql)vuJ=53JZ)opI%51;$2eiXwf`dl+?P6hTnEDdFR?Awh|0=nk zqC{4fE|g1_R)b{P?lo&R6@HT9G>2F`Wz{gmVMVo_Yb0lx8KY{t)gC5;!9!0Y_|kEX zKNka>qJ|eyxx~}iT;t64d8HaywI=(Q;&*%OZt8ooh!1bxeCs-B7WdU{Ma9NI$wt(4 z>bH<}T8Wf!Z-f~gkxDcuvmlkn}ra_)eA%A+leAXo9OS&EXBuoFURSDLnd zF`uXk!XK~{f9}b4mjZ+oxT+DoPX6S+SeEB0CTx;`MC0e!-M6ldYxA09^U39)Vul;@I=od-f22Wq7v)i;U2NUCHCiP z=TCvn8R{nog>vJVPu{xEUe_m1jqC|NtvlmKU0Jtz;(Q+n7O}e({!DaF1acM(OzolM zo`whDv@d;pVj7`&R9WQi*xYdletVR0<9uI!6L_z8oBxIAwxgNrF8wtBq66IAU4vJP zhwu{~6Fv=|&I|___m`O_Fzy$T3=uAlaweuJe0gZ{)3{SWw8AcO6{f;AXGmczeb zjqgcnK?6HITN7)06Dv#n@Ao>oRu1-DgpcX;-w_%M>pw6POFP;}w1!sC$^!6K#~MIS zO9%Kbjisdiy19kLpWdOb_eXPU2V3(;JVsv+U|?=wVPI)*2kL?TPwlOLf^hyc9MB&? zjTwGx3<8(dy5APH7I5IIYimEo^o4OU%ddL0H99U73PA|uUrtp^am zp$nv8Ghkr^nM3LTSr}O!-~Wq$^^1S?69)3{{rbP3CHwcr`=4+9D+0eF@GAnpBJe8$ zzasFjyEi=M^M54oe}7m6W%++-2Yf%WeE;LmE^~h~Zvg2WJfa8A)&_w8D)7Mao3X?1 zkPYh((*@Q?l;?i{*|7dwkj-N^KW6oxglv8YJ+RU;{%-CK`jz!(VerR!|LFNYEC&5= z<24`?0eaR)=yht{S50376%?(Bj(LvR;VX?a^Zfq;{h~@LWnajloYQvBQL?604 zJ>5M}i-^Ace9M5@w-ZB% zO&vC_%c&6yHLUz!%{_v3-F=E;Et_?EZga9(OWK|(N27&3LnYtK5)9TVK5~AtRE-&2 z?eozTq|usa(!R?)qgQ|U_wk=GsuqfN$-BpINxZ7x!+ zIG>;;m`d*^?9(o(rjDNF1c3k*^8zy-hjr5H={7uPhVN@PW8)x}^>Y5bxDm$w8ZAlr zvKsm7@Mry_i-ZV_>L$IA)?{Wb$e$?_XJg(djWz02!KT410(HP&9+PFwRI>`q#eFWN zPW1wl_!O}i28R7^1N}~o{|P3gkLua7OQkdL`UKXvNKQPqyQlzguPzBc&mcmVJU!8ukRU)%b^Yf3~oZi0(QQL-&@41fOO zJltet__aN5fsB%@sjxyp@q6Nrd=1Di-Kr0I%-Sawybax?hC8%o6$;Sda@h{uHi`il z#rA8)!q_j<*pZO0=2@Y(9qD_HB4%tBNlPJKkK?n#>$j<)kTztc=+-!OO2Yb%m0eIxby)8SE-(g4$3# z)m@<$L3mYNY}sC&vvCqSS%Vp-f~!_%1^z0fNfhFGRN8AHK9o*Z?B@iF>y5K~@t2Ix zo-jEUd*#Kvnbv#OAVOlGfApT*1n>PCPf%4zpxL)HQ>T|7x`8}%&)2{hAgK{igm zzm8)(wY>L;;s?_RPL^6K!7T3tJIQ zrI78a!q8FGzH4tK8p1H1ZH|g^f~=Cx#?$nknD=JO85BY*aX5$uwmlq_GcAK9E{EPx z@cYRkFR^P>=?z}3A))HGUw+2KWczmbHDKrT`fLl}VVO5M)F#EU806>NU=y@4qKRIqKIu}p(iIEgwZzO<{>BaO!5XNv~XD7sOWH?$D+Kcy=v zgVBXTbCF|n?@K>Wb+hRt?{#fS-Gol#PHUlXQjq>$`VNmbsfqhV_6XbY^JsBuyNpX?U*f~ zpGe>RZ8Oi=Sdtq*AU;^`o0^^Cv1e2%&Ehx!Q=FlK4SP@BKa{ur?Fn!BSUsy>P41FS zj#Wf+*({c{3uND5{-vAOGjwd3_T8-zv;t>JKB4(dO6A)ngx;_IX5=0vv-_B_1@gXr zF|DX24VFUAo~*jly-uQ(8;*08U5DT7zojc%+92qw>c^P*RCJ-OEyN!UFPcyvM8j>L z7jfE=j0}{~*E?3JMB>L)xwY3mU%6#m%j38>dTW2vKghZsC3_`}#~^W8KKoT1fvPn# z-kC$LBfRVUnRfZMRT8)@^*A_}Ex{nFHp-g4;%gpqrsa|)9IbST#LPk;blA6^h91kX z>mRl#tu#VHz-A~)2qX3;79mZQ2cSL&igvZmB5kudz0jT(A)7oS;#~W9TyIqYe8A&t zCCHN4pXpmy4l!PPNLp~yg`l`PLD*>j215r%>)Y4x4^qdh-$^h({uloTjQC%vW6&w! zkyZcyXzKV^>8>RJlxly>EQ7A>AQd!y2R#GZ-^(>XLTdPuCc3sdw$AwUAb8+=6$WU> zfRfPmCidnAzp>ySHxQq>{SQw3Lcff(&T*uOgi|{RtzJZ~RgSkB+w-CDqzmBDi zgMo&Ew6vtWhLnJywt|(Fxt)f*f)oQ#SX=VPt)i5Mq7*$F3#0aHMFB}6X>E|@7^sc5 zxs{QXHmI(FPFvU9!9d&6O50w?$lS!zKwFYuh}O>0=r_K;xyg^QJ+k+IAKb6h@&7oZ z`YT=VD+0eF@GAnpBJe8$zasFjr;Z;}i$6{ve@h)do=bja`~Q?}{9Ec63Ey&UpC;O0o0SegMq2MT*~5hhxY^D!MW6C1^E z44uc}0{E?L^$l#*zh}GYGy!r3diLr-AR{PU%)|yFh%n*FfspZW*DosV7nlU?7pQuNE8Vq7<>a2U!qWG-nV3n+!Z7gj~DIk#VgwV z4iW_{dadq0V1wf{%(c-KEE-6%kV^^96`$6k4aM%f6fvZz8n(M&C9Q;KwHuQ@u$M>E zlV{d?d)PI%v$nB}rlyG)f>zYkXKE0uR8%CCseAXTKZkZ)>6MMXMM}YxESadGU_xd0=6bca z7;QU{sKNBn^n1l4~Lt3ktkkEJx8MTd+gK#sBsIgb;If}Ae(}lOmOZBslh*+SO{ogW0yW>Xp!`MX z3jwuPLL1ScCcSb+g)|XXXmqHfvgDO4CCs?P7_ZTx2-r`K$B93cK6yo|N8aNt;mfzu zxklap5`SWrxQYJFy_a3EPCRT%GS{qN#q>*9o~-Loct{50P;*fWV8?8R9qX{=)8|vO z;9MiFU`?#+0-AiTcG#rW8pnz3;;=<=bAk@YV{Wi95C`3Y%!af~6<&*pV=n_2W%DLr zIt|e!fPnB61AJQa(eNj|FVk}2QeGtbbmC&LKgmntbEmdUIK311X)7b3@oEpO#y-=o zslPEi8E8rKS1#a?{+yN+)5|_qNJ1FXyN9hI5JXG^Ng%=-_2L7JAnek|o8Uld(+=xh zbSOt3B+qAr<_xht(?_rBM>&%X^qKNDBUCH(XGfGl}!nm^x}RfwLKEbFy|OY8;mAmYBdKE}YR%6?UxcRurU>8E!pLIOHWqjxu4md& zO`C1=9lDB~5@TDV`r(+@Q0%>T=L$$S9s}H1txF1_gK|fZkRvXR515r?`&wNr&jV`+bka#EhSFq~C)$ z-oO^-i_qDAG252{cKtVa2F0550tMiX=9;NC^E1`a>+ZCEDa-F@XG4dOVYm>NGzz?- zEF+J6OSdXcw0GTo?{JHoTnVU?RW{LL@{XBD1y1w~F`SLFc4k)6mwk|5g~E8iVYCql zK;?ZLL3mFxCz%h3h+ItbSGX#`Oa6-Lm)n*u5-0{QSH^~K$VSCW45>@Y2i<8eDy*xg zv;rZ1nuxqbA=UuNpXxV=Obx&=?KTSj@&&wxricYi#JH-Fm9Sv;MvmH!KkbI5z^`Ql zoj1ud_enW1DcooS%Z(EnfIoQ4Tg{(5MC15{V(N2C8RzyuUlK0!V@`WEdNjH4NqsC% z_*t2@n?ru} z{u^4m*oZic)+^KnE>MjmJX!^m#Qpt9d$i6M@8YKrVfWUD(@4olodi4Q_V?vg_^dy} zQCIauXx4q;j+MF4$Cic&(1tZq%w2{ z7#BeVZ#xuIW9Hz>$XSGE@kxn^rWAi5c}?!#G13&^=$;*pgoUke*n% z>qJofF>OTl)x@+kv9pq;pG%%dK@%$QtwzPZ?4?QJ`q_tf&ejfm#PYE+i}qxhFEHa$ z;s8z2(6=?zbK;eoW-*0E8AXk8WFm-G%%G1g4)~knP=+U}dJF|vJRKjj<1ElsmHT0y zy{VR?D@&4{x zs)Knx%i>FDwziuvZdZx+GMEkHDuXW6V8CFr@w5G1J1ishqK3KMfaP+D0l6GOJbn=( z{Iscj_yyf*e^cXDjeuyOF9%{8i)tSu4O!Onp?=9|rj}v#Dpb?4i(0+Fc1Sd5Y$`!1 zU&+O60~!)=c_3iGhZC}cf6K8gk?mT)Mn*hBk+@Wg^bams;2n+;)Z z#LVobd|9BxfMHP3MfH9m8KZhsc@n=dWrdm3f*=Awlb(jlARsy#a!cYC^fQ{d`8-P} zw9t}c_537Kjb@PD$e&9LJE~P_J>g~!YZ|b9Xs-L*bXRwG-%ZwH%4B9K^Q&&mEYCfD z*4{nD1Q}stnA3~zB3ikTc*IX>q;~N4Q|Mm3AGf=r3!Evbd0$m@L3m z4tJHz(!~{Pp{niG`C2$!(<=I4iZ*KJ-rijelGOOtk@hmN;ND2$Ri=R6@GSTqYA+af)JR^*=tDD)hUkERdDuAXslG@` z7OVoOjEG2z8hJZhXJctyDRp29q$+I`almePCH4Xe&TGJz0$x(WDJ6KMmpFLLvB_#- zkq^hA4M^0@8O8S&qp`dRNze{`pUqUYr|(HFsN6ARc@|Hr<|ps=uLk;nIl7|iRAvQg zneiS&5v__8>59|40Ij!e7L3-X1v)6a@F_SE)HV2(Ks$G)Z@}OUDB=t;3nf=pn=zZjyh=oYndDkmJSu^Pii)He8t5^iAWZ{Y7QP_sh{7Q zPrvj;w?Fqc=vhKZ*n(8?mc^OKEkG%ZqmtcS{vhiN;abzyMgLVEQhx(8*#qVli8u~d z&F|vL7?lR)q;1dVvt+FL`@Py=a`Lf7XME%jGvL>de#$q`=+*t|0IzXBBF=r$w0|L+ zC%ecw-GOPjP!Yg%EUB$MAh?dTBo=tC8r+(7kn?sjW2TC))nrEt^-G!?D{goYK)N@| z{`8Z}*HUZTUZfxi`O)RXQQO+g-k095ix%BdkY|;rtq@B?HTacM- zyNMFwV>?9d)K&En&EX62hHd7_dik!&A_?a`Rq|@QT{Z0)U?Hv6-G@%e#NCFz{OiU#IsMP*1~_Hc@K_ronTu04a* z4ry-2wTbTbYbW$sIA2Mp&U))!*P!w!aWZWBao>8ru(XG!W1SLRj(X z*&ZdnK-V8ID%%ejmF*Em1-%7y8a&hf7&%j=HdHdJx-e*$OxJ@{l7GC#_#h6dA@=!Kh2w- z<-gARuaIo@|7qtxX3ogQ@V#?-RytbH$bTEkpJx6O{{Q#u&GfkIeqV1OXwCnn^=4$C zWx{7(Bzwepx@pJM=$QeNU@?Ywjo{g3nh|kFUIBSqC z4J|!r2rS!eRo;S2;q&Ag{5yJyEFbw-eD8)uBF4}X-1wd+4Maw&Zf@815@Be{=&Ie+mcU7 z`_D9evUZBHN%rHj4DbGe(aj~Ww1OKM;9Xln!>>lWJT`9lAp7<_s5YGQ;KK6uj)ZJ+&=9!`7<+eQa>!6XCESm{i6$T7RO zXS(_VDt$(+`X};C=MPHv7c4T>_T6pgNeZ_=<>L>Q7?v(DN-8M7A-EEyo}^@E?&WU~ zOj1$Ye+yR3NI)A+rTanYeKtcLQJ&3995xpdLh~}dFQf*P9YGSlulIxcOd^G5CH10; zg##RLY>E@YUuH5eWJ@8La+$BXFs4VXfz|Vas$dh7Vv<2u z7!VF7j?%weAyZJ^VkM$utKg!u2S=gyze`w@`BWhm+U6-B_Xz<4*{;{KOZTi}?H-iD zjLiGcZzoEa)`u~T6rw`22!u@;Juw%Jntf%A^)f2oe&!ix*Lup`sh54BE_+a7_n}~0 zR$$hmFeO}xI+cB?GozH)OMVZYUSfKppgA$5T$lZVa1IqF8Y@ow;}CcYJkr5+YKd!< zAvpqeRQC5{q6LzRNJl$iq!NCYan4qOt4Ypy5mLSWi6f+7Bu~yJpc4^`h8d&=r3VLl z`BjCmh}VB}89pauk)y|07?dI=`O-mC#Fg@9@N2xW4t~7{;l!Qw`QNK8h6(zo67_u7dgQGmMm1JcI%j7%YZ-m84Ib5pVVS$WHieumFrcpn>ui>I_caF;F#erDV~@Wv8SWR}1i>zat;C~h>K z{%i`k&PF=@Xpui%XliL-I(95NQ{-?!`hM?lq0&hm$BjI3=KXHv#u(PM!cbZ(N2f~T z>mh}*7V}%9-O=||XE(z1diXC8nEVNzu*+-=ch_~D)}9)MG02ylt}-`wGy)PgH1%^@ zAn~INCCGYM^ZANIU&395FJ8yAC%8LKHJR=!&r3z@5Y%gR@xIMWNz|QVF~un&jB}b@rL}wKc2TW{B-XH3{wFm}+Eh z*-46nqQxH7ID+VVHR`dw=+@iX22Kn+W4D6v#8V6qUU_kGtxB2lb zd%K@xlv2;$%?3zIJNNHd$eyNVoN?pod`vH!uhb7D!Nz%>WD^@pi78u>QfDGIg-}$S ze`7`}?%?XW*8^s#wW6NDC&SnjV>{xT6Yw^nkp_?hVY?#ahi%~PGF5F#hE+%6+1YDq zY4y2xID*Srmk46wTEE9ot+&>^H|~1j(;d(%=*#@P$#haO6QWk5YQoc`#=Qk5ERiE3!gg}ZJku#Ly@};g^)&V1C@y)H1xqSY0dhXFY=w>|p6WfD zS`{wDOEfzI?+`7aQ9A~F^>XBWeV)G7`*fUXhL(84>#?uH#e%r)99y;ZI!k}@%$kv+ z+~{KF@-hT>cH|wwmnUcUftgC2kP1Gj?P-cW=oc&g2LjM4;|sTFQ1OON?!YsSa6=Rt zk|Z06EY%cw^j5T?ENYxA)r_gu{uUz$mKV`jXk#lqcy7vf(t2ts;7<%AI;)kDeJLSH zD&T^xG_Mug)l|{Gph(f?L}Vk6#Mkt9Rk&0&vsYs`h|6`Ftg4SuWyPzt6Ri=kB-Iqx z6W2-O#22a!tTN##Xh>fsbyYUpIw~Qt`wB3sHC~DCj$O^>#+8QONlVib^CS6Qmp})= zRZG?OD$qE#pqXkD5NPWbszdJ#c-`#x!C+|}pq|wRDR0dRzEjJ6%FWxb-YT_kpBSK81RIZE@ZZDLeZ5S(4@`}&_lf+5s|s$0S0ZY%IWS#gsHrN z<7DTdDkE96E;IG^jmL9Xn+;FsOZprS7v6RIlY8UI&frJ%_O0uwB3Th_(%dVHLPOlA zu(~aMjw_LV$}olVm9vp7@C}!jVY8tELPntyoG>zKyp;`jF_O_>Fioczjx->) z%^cXE7TmJO$;r`y6N%`3%-snjNcDPs1PfiJmC|HDt(95kB3no;w7xVWl%0&r?Xv z+f+pr7r(FJ^>DLD8+}j9%KbqM>NML30siH7hu1s?_-Exnz3EUd+CsV9&n~a?*JtBB zFG-xLYbGP9YOLxV_HLlTj$X4v9kVB6quAt0n6};uNy>SUF|F3+Ok!(zvJp*8EhV0pixQ(1iSCfIW!EE6Xo<5NoF-cH$Up0h z+_B8)HwjNZbkRqQzp(gHTo`lT^Rj13`Ub3?VSHD1N~BN7JJzYQI_NUJhiEnBB zZZ0_UF3)s!yeJ!V5H!&JQ42AK?lxlET#Sr}y*M(T@v_wP8{WLm@Ml|$F+&oX&kY|K z3kmaKaz@$;;$r5scqiG(b$VVxH(2OoH5Uzg`W#~`uH0*YPr>{s7rlW2r84H!gd0LL znL7Q-4RDn8O!||))U*y;gUj>euuc`}Wi?78>qH__<&m_yIi%T*dHBydalqOUGFHTL|&C3BjWq%5m+h!^FkzokB1VeWh23Es?x>qFNz+b(cnX3ifpRGx3^Yq6L0JA*+U!@NR>N z_>qE-;{#KL{Zn$ES4Hox`)neI9dH&TS%B$$@`xt4W@qfL6mE&Rr(FXY(Z7(r|r!ukm1ho)0KKUTz*xQafxjMEVh zNm}T5S*u#1g~1-JyO9Xs@KmX0!>M)G`i$;V-lSp~0okf|BliAsj`c)^li4D@8gtNJ z0o+nlMpM4@^7oAX(bU@C_zf+Qv~1olgMV2F?RQp)uzc~EWbuTkY&X46@B~_5&ffa^ zjBuGt(soKbjrLi#Qkizz35gbiJ1H%`j*s^ZbiJ68Cxs`+2A){2JdmL>Ua zH(+<|lcEMoyu`CNOL`;a(ws!Y;b}H?E6C8%;F8=csrDK&K|WO2XS!VoY~ae-1XJ1| zkX|w2lTBtTd>9pr4MQw%i+E4l!Ajn%;MAhX}jT9tp*;5)nna%W) zu-W^xlz9{pbBuOF57R9zN;e)6|Kufe=qH_{zIenZg)zH}GO5FwudJ^OOt)YcO5=o+ z&E3VDR>Qj+mx@2KLJrhSBB$>8i*3cEGZ38yrPNpleGZSOizXs`LizlcX-aZqmd%5Y?y0{(`L`LylCz?i1c=vA_ir#gK2n|Oq_0Bf()Vpw7GRJjUp$9mMe4My^M5F}Qg zz`F?WcmV6Hm(-FJG7LBeCK>8>>3UM1r)WvNJyQV_7d);T)EXh!ZDHpx7a4i@&SmJ( zPF?u>(r-|S=-(%kRHP&Uk_MJW_Qv?XxD24Q^#99ThF_)Mzqky)xD5Y2{gD+2#Am*F>1?+1eS2bbZubIDI!29U+^AE5UiTm~TBZ@}w6GI|4o zaNO@W_>av8T2v;OZ<(y0Hphky8xv7!Cj#L7q|;R`rkMd^7gh4diF9p zw%=9z@#z`=9r6P3v7`SVVBLSAO8d9i{11U$fQUR0A4va03lsp-Lei5ruvZ6wM34Xq z25;>FVir0^1_GJ@VJk~}PEL>^+(+02=-Nx)-?r{x`W;*X2Ae@{c#ol z9u5Xoa|7vrV>(C)(0{Ln2Vv)+h5Z>E{%w2wnC3qRhnbm}8GZtX2i4W>u<_ZJhLnv-QI(M5CjTvf;zwvNDhRJ+v~d((rEworp(P%7E9( zJ^A@M#~nLOQ;e*4EC`t!WBEF^dg8Rt^W&*lK5APCZ}DTkuA^drZ1I_mgor2CJ&s9~LWm7z)-xt? z903edDLwNnxSXB>Q^={cFrI$GYvY%;$@2-*-#i@8zMjnug?nPq4nOpT?MXX{ICG`Y z*So-7OfQ&uHMY1oJv>Ydy-2hZc3+{I-oMy^73Wpx!drev-)WNcj(*C-v}4Hf!ZKI9 z|L8-#4eX^Sw7V}E>c$XTD{*`}$Hm>*68fvN=Pb64dcALy&s2P_px66}P4qbzPSidJIOcBA*~29O5!_M^r>2d){hS ze!=_&W*Cb(c-!diCSvNOt_e=E0?!Fh1}L=}205LxY%Q!peT>jviqmn0D-mHK8F?)^ z^?ub6jvrkZ>RKnM8=GoRMgp@o{_f7U2IjeoB*YLej|RDAD3KodTK1Y$;L4$Qo(u-l zv(J#6kka<0dLeH%`G_aqMxOHxL#%5+)|G$Kv0uW1b6-;x_HTz5K=O&!?ZH1zh%`BT zl8H-S0j&eOC)PmWB?X2Ej-5&vAF&kywE?(1i6W5(?1KGOUXj?9J$L*fh>>YE)8?J)015$n1hg!0z2!-;jG~PKK8Zwb16W_+LxQL)43? zyUsF@hJA|eFrU_}ir8|^p{u=^A#-%c_jGl1Ka_%pyG>ID+kEDxjd-)<&C}50*9B|G zFR+nY^_0hQ{Eo!+JRa^H8`FU(L#z;4+Sx245YV#L)y7Gt-^-iS17n8n=_Ubmg}BfB za!Yg_^Y%>z{=OIED_mu*J%qi^0rE2yr0{nDbTUT=5@%jjk1&s*%4o`*F{of5k&1o! z*EnGY|8Qq}ce7@IIkG}9eoqzcE3MN?HxXrLT0PVW<3`DKuhvZJfUS$<_^V5-u=7Sl z!Yk8)_&7^o1wrXh6A)eRN?H}a1Z4?vz{>(@qTtB8`d()XYq)&fqRb$F-Tady>-fsm z#`@eV19&Sfj|)@lX!3osXRhj1BG1~>cll=m!OK}J%6-e3^YO})58uVen^!sClS0jV zd44l-t!P@pCJ}#%oR+f+edij+sS`Hj?CuNYXuOm$Vzske*R*hUdG*EgGS2B3E3f%M zFrt28QO}lkq>g2Fc}D#$BnJmmnP@i-Pjme&OtSiQV&$t=?$)fkH(Y^QS?eO4`bIie z83|o-`yH)`L$B8uRi8F0CAuJGjc<5~} zC9b}|XnBtb4kA!2AOYW~J!@gTy>O5rK3?27DlR=#J%Ku4QpoOh_2#T(mGR<@-XMLCb7cHRl5%-a!^4%Mi=rfH_PPFSf27>7Znvvln%YX<8Ek+{xorsl< ziyqJd36ld<+FFYndiq{myz>j(X(5>RYY0A@D9uS^50P zl4>i4!^6>T0B86rzwUfw6jaojViT42n5Mp!FRT05T2{$IYwyX4B4UD~{YIHjZ zex2!h>**;pW2AJ>e`QD2$km*MmNLDAAG4GAs(IsdKln8#Q)~VSO|=d7^RmP1G2_^9 zE*{L@b`?@6aI6=oD?=}rE+4!adb7KaV?G{r@F^kYjj`hyzC^5SQ>Auq1DkAo_Mwa4 z^nl$%K%k6tGgc6iP}qJ2X&&(=l=SUSu{^rbW>{v9W(>e#6hU-b$n zFS{e|-t5KWj+*LWOTVjw;s7qS0>>izne+&9CM|7*I4G{e%)9;eV1L^OE^V&Ha(#OB z1OYW+*|c{lhsJ^f9j37m5dIM7377gjO~bojT+iTV~={SZZqJC^$r zZ~yqM+PZy@QCw;cZ?&;!ef`pdrs#57y3IP*eX!e?{qc8ZN2H^-)gNKcy@#s>x=qDk z7`Q_1XDz?4X`$w*rX~AC#b<^(<3VK!13uDHoEB7?h%F zlSljTFzLYQu;>PHv$@d|y*K%=eLx!P(#q)#c5}4xwh`YmK!sxUm!xN=x_p#p`yBUGEYr7re}*7;YR% zeNGus!v}a3EzlV5-I#yZM{%%~!?q|_S9+5aak;QZg}rr64e)I$b1-|d5RPZ^UP$nx zT7^Jp?(Ua6mHW=Ly3(4{)M&@WL3cz7#N~z$eM`(bhWmRD2YWFy`GvD?h-hj!A(w&L z2~zgX?Al!fpsLm9XhktgSbeW~*;lV^&06TX#(h;%tkqVNb%gC>un#Iz%35D)8nRhT zI865KCi_RkiPww~0T;k%Emv0I8XJP&a`Pd!JfMQz%jaSNyzTva>JssJ`{{%t`<4$( zPps#h(?{g|g5A$a&$deT57ryy;Jfg-a?S2rXKFkW^*rPHqxI;+D8>)It$sP&Zo$w% ze#<;crdA?Ao?Y@430zxUJ!0sd_EJ@&^oqtr`v4083@acfCwz(HTxh$uA?H?hPr3|O z_0mG}eF}%p)U?0d3r_w%2<_?tWG>^pvb5LvI)um!9ge%n{5OGgWRw*exiw$AQnCmk2%w`EO>Q#7gj-21~zgyef#wxcO1HnS;t z`TLo4iDchmxm-2Un8``}R9p~G$}kusOgCoiUmnfV@Zb(!>RNWDMbUrDG_y-|@mGsG zQ{W)(-2dznAa!K(1`vFH!#cL+aPC9Dv>e)UGXkxypQ8{Dg@)yt9fMHW67-bW!Q+Up zfw@+2ry{WlTMlZ8{JfBalzK0)^I&QCYO|;e_i#2LE)XoBrs6q|Y~pCSu!#kZ%w|&z z8X_9iv_ocBa51EvVS~zxbkfFFjl=Plu_g?)_F=#+>7a-Un&46Jku;?FUN33i?fYfy zr!I@{k<_gDE35k(H{Lx}FCH*FwCmLmU5KT}AneKqE=t2_i;6P|yHJ^6Ox2O)BlbWV zg$u5$ylkcJt|!$#r1+po>Y}PxG7wLJQD`QR6H30U9kPd}6=hL)em%U|jQT(9ePvW#J-6=0-Jww2Demshrnp0q;_eQ`tw7NhheC08 zr&x#%MOF;H$~gq3 z2^h;Q3>b!IbjYY-jtUM!wnLkaT>Cr}Otn9vMiZYP6q$8=1SKPGj2zQV?VG#g3;R^I z4N;8N>P6ndv&phs2nha(!t@t|zLK*B49oebtnv)_Zw;4Otyekk2(xVWq=Jy3Sq$Qw zx@cen>=r3a&&D!12R>R+w5^Kd%9`X^qm!l4XIUJ^o33rDO2rh&vwn)sOeA-zpbaEo z>W-B9fL;#WC+UoNUr5zF8wFl|R#_`%ZM|;kOD^prO(+hO$_cOQxmIGxQ%r<^O{qi> z@g*L^8D^l4EH)pqIP_T2OVyVKaW$-wP_jLA{x-ZFx&u3SN>=3SdrhaH!*@AxntPiP za&H;c&{#R5!OS50B$7Tutk0prse(^POy!UC>Bb>fW_NN)lUorw6C*Qsw4md1I?0mY z9esR>mBCh7v@C8(lS`6gZ-z4efrD5Y&mgCvb(2n8#oV||8lp3U41Fz9d8%!FL4GZHRUVj;8t;tL5ciccLVBocI}SflD& zC>z4d%BUPzO+Ddv$kAaC)PR7+MV2H8F`(lVC>$jco5qOQIo4!adVASw{5tis@!`c3{yNFI_nsF@EW(P&-Qs}~*h%N98YJ#9GQc6)He^gMYH9@sBkk))ptzRt?$B(m) zbtV=bM-6#J63s;SM#UN{!vZ2FR}pFt$12{Ln1Q)t{*hlD68xLOghkFPMb5U+{rA0q z#)j&ON{$nDA@mx~B2qppPqg{kR?HHkqSPswX@4o0WhBwv{7fuI9oe@MHXWM8Y#-~9 zKe&o-NR(P;OUUG&zB6LnjJ|;Tgw`1pXHm+c;z-;G5%2xhWN=*rW*I|tm;RHzsCq*7 zs8>~oVAP5Ak)m_=n`6JYZtk4(!9p_C`HUBgVwHE)oU>G_(bgY)D>R3C5?*w5L`yTh zSWX&1s+!*vF|J5{KTMcx)TNM8i1_UGtq$+Lo8A=1FL1`JwrMV z3RIt7OwTh?PN$sj5P9M8V}Kd?qCoMe0NbI4iR@JDy^^OOGoeClT2^y|j#{m}w4=MTb1nZq%zvW`kwiwd*_i9>IQsMInB-5KK! zFQ>?qBuPJsK4WCdOgv`6Q+YQHkfOMXDk+yFe5ks4s8L$s}FV@RL9a%xS>@#ikV(oRnJhzCm@?3i-CKf`c7b?cSW}=o)Tm^0? zfX0FUMLYdHK>=(4>B4M5xuu*JWoj)O&vynwHXhle1B5N;=OGUh8%C0(nWFJ!VwuSf zJ8Ew;Y&zMV@iL+JR1Eb-j=={Q1Pz1mrjE7sQcL+`n-L|zi4XQ|;9JP9ha#_{TD%>~ zlO~~3UowKuM`Q&s%`CY6v}flV(&t_E0h90>NU{iq%2F zKqSN}VE8RuU%%WIO;_aBaqVoecy_9VYaoeptgwB?aEzYp0sR8T#|sdR-?3V zY)X#KV{{}#7xZ0P6p^3qcWDH`I6Ct%`?)^CYio!@B1ErXNGry{GGNdxl=lmgYy_|NoP~Sap$^LLZ5@D*kjdMif1W&#Zw_5dcQS74tHgbT?2_DCw)jDA+1 zZws;MRb32iaf0TLThP7nycfwW9FHf3mKMJ8-kmLlhJEZL=KBsBDR!hfkjSJV3{s$U=_fID&AJwOzqu2d|QoNZykFuW#TIt}Y_G&ob`2SoO_@LsN3 zP)ieKtTXrEHUPq;BY2<;5XBn4@8UYa?!YR7u(22fV3jLk`H5WHB}SBTg}iuS`0=tc zx@~}npH_9*^?jcr;2U#v7ZYzG+4GG^fI-~G%P3;qMbwvu6y6l!;O<87);Me7j)ghK z0#4HAN-2}c?Fg(Q88q7sjneI#O;8^TPXhtkOh|zV)pfKkaR3M6=EC$-D7LsT6~~?& z;oUYIFPVt8*9L7faKs@B&!a@RIpAZe2iyHwy1gi3t}=G59P(fI6$UEoQBR!(?deQc zD7O1CMYDezu=dM;?dQ>9?Kqn9@r^TC+S2AX$(h_NVzfcXDhaK@2D)?~jYD5U!plGs zGWTPrtXB1d#bKH-i;Q@Icd;FAKtD3!iW0ynmFH>S5Nua&A_6#n`t) zM7{V4u~KFT^YBsxrc}at##&PnsHccXc%wdbkY4_!Q03jKT`S*?H}zz2SXi@);(;o< zYD<`pLYlwE0UEv?pu_%+M{!t@D-bzF;`W$^;hL#ul-=$NHT?&ev$fkA`UENWmgO4U zf-=3Jl}qh$)SSBFcPraffmtR?oRc5)q=4^F-^S=_O71+aN(#*iRkVdDE^$rChmodci{*n9`e%Ssu_1T8~)|4bkqlV zC~{5}EMpzQ3HcwU$0~bFYj*6R>*nxldE@e$X-PvpxW|59I`VcSz-Vb??{@6Ge26iX3*zj_-gyhi^z((I2(`_%;`})mmDh<9cNqraZY_5RK ztlVU^E&$Ui{;AL=waGC^cfLlFiUB*k4{5uV$J{WyrokJy9^K_q?|oBu)pZ2AFw zwGD>&C=^Ag-XI}tp#WfaB^wmK$XIktR5Y0&eJ@#bpRfSF>qCCH2?Kd;->$qsefv4C z*-Kkp=4-unIf@hg14?5&qtot?(lkmqgjtN(lXe|7db zhrT}O>~bz{dRBc7eR?)_E-rd5c0&$&LlzbjW&?c=4o+_7e|Pq|i6JX98|Z`>dSer2 zLwYt=eM5R~4iheVBX%Qwc93^#R(2MH|Be_hk>Nki)ob*x_#Dv;jRlOo>VPUT<$ooVu?s^2ePD}R~H|29bijk*7A zlX)i4OrD;JU4@w)G?V9H{ZA(I|B3XkvG?a>{@=_0tI0ekD+?Qk5sMMMK8G?Hae4C7u;iZ?Do>U|e_g0N+NS&=_BZ#4AlIRfhA50c z8z+!i5a`@SD}5((7vm=Zb8BNK2XjM4DPwa}Gbdse#$W53C!3j{o=N{OfMHZOHv&0e zU}h9CcXCuPb`Z6-wzIVXl}OC>%Q*wbpH?f>PuD-Ugqi&>8zX-+n__;lb^2MI0?1eg z1k%yg*}>4*k(h<$rx(p%W>YLrmQMfPmFq8aC?#7beJ5jLCPrCfBXfNbTeqjPE7`f( z8CY0|x!73#>eTY7+&{ScA9ZTU&A`b<%=LIgB^T(HN>NSwPk*MRNx7EkK z1DP9%yE#cJKQ;%HAgRnu4DufP*s1?*+b574)1OvKDk>o7s!t|L|FOLj$chQX)K35) zyDB?l2Pbo5M-YNX6DJN(;?I%w7~%wl{xFUD>xle|o6`T-;E9Fn?+l(kYgyakaiZUs z>a|BH*=gBd%4NJznBCGD;uJx#P zgm;IaztWtK8~B^nK1^(2m~5)5Y}J&T}Yb|!KcZDbHq{3FenO=Oq2QRlSEKs_*WrC zze@#!~xsE{;f`KtST9_-n(PlA4U&!*;Bm@e6i zq3kp&l51{dJ<~s)dCw}d@v%z8v9c|_@(AX=%v9^vo+(u0=?H%IyfiG;lvHwk99$Vz zeTPxqN*Uo(05)zBD^!9(n7UzvI%7@HdkxZ;yuTO^0Yl5V=gDXs*%fdr>QcdAOr$Txz%!x39x6}jPK`wUN z`lFP63&pv8ttFS+Pp3=FhF~b(V2oiBx*Zb~J)d(e&Y?6t7dlM_?{U;B1ZBV+p2;TD zd2eT^{i4C3Etqk)o%7v`GB6+E&80RRv8v^LxKa`Ep-iOQy?9;NJ;>dj8^2@YF{PB_ zv$13&uYk%j5%YU5t87sL_Sqdr6DYzbq3H9bS877Fs*4~)F%(C z4WEn}-rl>sXvIYtP<67RqUg2zeBvDGHz*Z({E=jsZ9COv8GBa^eUP+-rQ5{D((`JK2=#W87oweF-uh+b8v1 zrB{LWmkn~2gz*-TAr2eppC^d%QI*mVQgs-U$-jDIFWqBJn@x#F+rKly9h5ScDDd%c zSz*&YP9u2tfa&Lce)Rl&rL}5BJ^Bo4|Gop; zh+uy%5zjdx%J|iHI%RDVzWmeq@1X4jXbsVp_)%qgMpx?`Y_T6kRC1~?}1OW_83@4zNvOUF?&BnGigI%5J>3x^r$>64xR~Z8-^9V3Xq~ObY5hbG2>kZ%`a5G~% ztF;OClw%a8lXaLGt$>x#7jT(rZ|N*^PcHPDpLQdwhMDvUK7T;SPzY=-C%OS)GPX51-?) z$^l_J6gjr_rcoCuYRsuddp~jb263#2gmib?A7wQsW?BM=T;3Pb^RRTvl zjT=@6T`6U8 zjuoF}6%&<d zMNH11fP@HWAW?KhWKi4bAEI^U)+-#U>h0ES2lL9DUON25)#hs`m425|3bhXg2}c>E zmPqmyLI+KFT|&bTbt?2_pJbBw@)tCY^~`ozSQNJ})0db>yZ)kJRt8nN^v?hg$&#fM zleM9BTfRUyoGg^381E(S;#g1I)2)a?h7&G^wk7n5h#S}smTJvhyRlQj7b_^fgKho}5 z@)}-2t6*e}0SKAF8A|AqeasgcS{8YV+IcjcqB|;JTDm!^yuK(10-RXMq!R95q#}i> z_2-5gt=A`VBc9F{O(&lWVj;YPp4`Km>c_ZF@o+b|>?UNYSycEw6JMaI0z2(g!)84k z06JMToM)5|9qiJ^L>*-=1UTu;wfI@kydeoEaP3>|p7E0JX4hBBwp%$TdR6Q0)c_HW z%;)T8;9L`eN>?xPS;CO2zv0v5haW#UHcuDF(|wGSE2Oym?$sGz0Sm(;4foBIaBmyi zCdP_0E-QHAkQ{=sVqp@34Zeq>n(d|72Qkbra2H#QI-VqcF7ejxn(Sb^I!&oJZ#AnD zH<{_Q&ikhNB!W_gae6roayJ6_aU|uWOt=NI<;I4KQl>q)nsv)|HiNO=&0cJ3eCU%z z-gG$vS3ly|T!@UEnR?GXPC~0(2aklUHuAGs*IwF#KqkgE z&ina+Qj-)l1sd7mm#GB$%{HHlqE0IW>FUb!?9;r6#NmVQMSRg zvE8EnFp=E!>G%>;Hv4ag1203ggpqYlFbW7{&5h4Qshsa7i^`besa#@s+y; zM3*TYJ&L=pXpbm!LT0|%{(QjaLnLTzFaPv>>%!YOhQrBHsfMfukE_>y11Nie{GPJ9 zVc|l#Z^%Y_Qsm&7^6|%9{Wu} zs|zrq+tBUy^Obux1(MuLPpguFJ;Nqb47sf24J2%46}^{y7nNCO{jLGit9HkNT~lTP z+q!cf8L?0O3+fdwu{I3XVICf4^q!Bx(SS*`t$4xJBvvE~L-m7fHlgh^shfb?UagO{ zrpNaWSg!#D(b35NyvAaAI&k5S1;wxR-0%G=cN-^tH+maKGE$H#(f{iGs^2d3e!I~7 z?LzOrvh();{}bVV1?B%uncocjX5cpizZv+=z;6cr>xy+x`vQNgKz=DVf%XTUHXi=6 zJHYa|{qP?zRDN5@fX3YaHpRMsuw!BQZ&}Iwqx7$__orgrzc2k?Db}$W8nLl~wzlZm z4D`9_+4Q+M>ABgNndpsJ4OrMY*$s`j^#84u3=Zw7ud@ZXrs|3$0p*Mtf* zng7GP@t-{rd|H2?+@e84V8&4G$j^ z4HKUN9~&E=f`x(%kBowamxY0jj^Q7({CxorZ2&Y_XdMV@2ryCrI2srP8rVYzm>>ur z6xh=Rg8Fzt0KmW@p`c-4;ouR#{xJ~@01ojh5fuOi2?hZU2@VAV4GRT<$O=kCgMcJK zXND41)W;yT_hW&^jQLa{LPn0I)Na7a=HUNb6ow*J`EcpT(2@OFW@P}S*n1Tt@h@3U z9M~hO%f`o5fnP_RS573TK4b?~$90UYp61kaj<21mnYaYU=hk*jtejQXd$04!9YW?KS3a2Mu!9;uqVZUV)2W?d_>T$^!<>P++fMUKUP#3%g~YS zhywZ%01PGjmk|(%ENmmtv8YbQ%YQ=nj}cJ)4Z_0=01@J;PBefZV4tTWZ-0VuG`@TS0}qNX`WNxB zKotVLtHFey5cEz`7~9kB@6SFYW)~vY-EQ>%fpO>$f!?2BB=r8k_^$N{qX?+feFtSfk-q?Oz~jjG{3#(;I%DSn@GW%++9&yuh0&xZkz%JX z>5N^Ue~wp)RfRFUcQ$cjy&ZjIr}$?^!|d36UZRsC)<@W{p2K*Nwp=R>6}x&OfVm zeDkYnnWsAbl2r8zRp%qB)(sciCsYe3O`zXf{sHhS;g`hOTM)B*4}c-Wg~zTL zc>sKT-ttI7F&l_M+j}>Y%byGmAs#$YZ}13q=xQnLR~PZIetznr;6Dn&UjX?m9~7KV zxpz0YRDbNe$CQ1aA-X>j5^j$N56eK^vV#PoWDZm#_xw+?8Lw8-mh`UjZYIz{Bc$O0 zz<2Wbj~45l4DmkkP|Vg#6VUXplgL4kUGLpD+#ah1_3lU4ARka4XuyGfK@Wi2({E4J zZnbXY{*gcpO1Stl-e*YW;3xKS<$ucI^RuYO90#|jLqAIb<#+)6k>zpd9$f9DKQ-Wy z$Ar3e z!zrkdKKGs*PuzkU+42*a_Vv%A1b9Lok>1AYovh?P67c}&rGvtZ6W;XM=vH`y{Q!u4 zdH=>4P|MBmvloPV9+%;RE0}jc7vfjKV`p`jai=S-`dCeUX~M=ym%Y-2*_i zh?xTELsIW3Hw86$EKnLq9;=K^C9}FkElnd$O$`c4Yxa__#wx`E$v@;^Q&T!fD}f>t zvtxk_8rdw

    I3@7i`D&N z+(`@PH&=t-!#6vr$27;_JLYVz^CAQ4;zq(^!G4n-IUKLa|yWz z5EtYnIG7*qINgXEB%g9yDxvj$D_`@dC?OO3@Sdk?cu-TYV!DBCY+AfzAKDSp)kYKb!B zhdZiEq6S8BkT_5VgZinoC#;~6mh+bi3^Mb!THEeAe-`|REBqE^PU&=E(R(>Iky82T zCL#L)0C9l{szVS6Y7xyoj93$Q&>p=NPKdmqgHbjyT}d4`g=_w4KNBI&GQQN0J($o- znwAt$Ns5p%8ZRL~G;|;X%|c8;1hywlt_J4e5Xf!WD@pcrRE861;)Mgz z!6H!aurG<~^9ue%lKzM!COg-{$L}Ug_X(X6+lS^yshrJ6=oHMrm!pq~wvVl)ruG*g zRIZ@M<`fwn>P7kfm>`jNg6y}dBoXB`Vtr$b)Hn!4hda@tW!>I=#{o_p>?=}dXzdll ztbreC{ET!eI!(2*C|OYKSVu4ojmS>z6>}LiwbT3T*mxk1fs#@kT;N>cmlVB{DB^(J zDO_*reCy(J|3%G>(;N!!AFla=c~xWPV`;4vUl;6~*S`?g_g}z#57#*ruP2EZ z{jjheen;B(dTPC04Fe>N1Z;d> z+j*?iU*7VNTkNeEagsD8wUHCORU>iq!r+?pOuVOK;RDklR$fYPz|&HN69JCpYoP~j zk>`ARAr~wCpWYh68XqdEp{Q)DFa0P72%{tV)TplKyb!}l)+UhAj}9aF9ZVtecHk%SZ54Vr5vN$C;SW?mihpyfjHOIPKgM5di7>ZC z3=Zwj9m}U$la@S7@Lr>!y>#YI>PKE(b)XQUBRLY<$NDaq>j7sQBXksU8L6X}f(4V2 zi<98SP1Op$?UqnU&(+}Q=+c@*h0|I2O5dy^2GqxD4}*P#1$ZlEtgm3a&3c|FP?EMQ zmu*usR9MG@3x@t3H=W%PA-{_5S-Ww>wJcvyKVlPevKKG@7f&__9(tP~(-O_bO1fSr zn1r-OWP!IlR1S-t!Yr&Sqie3S`7oV^tIo-0)e~Ak^9T|I!LnDrORti<371NFyb;Jq zk77?OolwaA7F6|8!L!!;FoNRu%&`xL@l*A9t6i)`n|&UN1Eqb)fzl;7bRsyu8#1XV1D2>yvC}6%C{x zuD-%@qw!)wk)0>ZdH`(qHKE278ATk1>!Js~&uB1{#>kFM)|_520_-s|*nwrms$y?A zD|WH+>w6^nA z5&3piBiY4D%{-}UYN71l%!roJbGQjN;SyD?(bpDf$jNsc&@ydnSX@>?com+518`?@T%YMUlfoCteOxl2;AC?5U+dE6Str9d%Lz*VX9&lK7EhG7` zt{tAX16th@4YIeek`${6{&TIlZaERtih;XX26D&BBce&}ZOOc+h z_w|v8nx`d;xzv-wEvpT+7j>p=Y>&i;1`B8Qa)PyK?U~z#ivaMrHlr+@^cJ-QlN~#< z{a?AQ01YJ+gNMX+Ij*Yg3u;_ejXmV&;BYsm8l@$d^@zR2FLmT*@p!y&pe)z;KTR!0 zBOl7+TRD&eR9K|KcnIjn&_zB_nt~Md}8tN-NkbIUq zjf70zWCawQ%NcD6231Mf2jR6$neC2cqXwKmPlW4}2kwuCx%RR!3g@UD!3;BT5BF&o#QP?qApdE7a4?!XhFOS5neTh~d+L-IkGmX=uo#p-l{D1<}8*Ihz z$F_ZQ7kh}fumlq+U$qgWqTS);UcFnv_smXndJ{oWa2A#8{jHzg47?6$P(W{2)57^% z*|_bMT+|NHb!;=Ud-`7jD03XUVFZ$AJ|h3^bvzgFcS zVDDhj(LJ*}lt}A&Wto26F|tETjDf^QY5X=-N>CgIpDTb&1TiR6pxnrhaFDNcrb
    P3F8c0&nPv;jWpI zz|_tP#x#tiV-cPvkf2%O(~L^#aa#E};rbO}Aj4o-x-lMOX2?#r_9H<&cDiI?TrkES z5qY>>0QW_eWEdodPAsRqglNSaeOXL?oI_M`5~9x*gjAZCunO^^J1okYXdeNhI(B_m zpUF$8xFjeXbakXrkA|%)|N8iuDcm+lthpfDEP`p0Xt^XF;6EXFj7XGLieajno-nH- zUan48K!*)LM+3hPm+>VgEDfQ7&gE`j_4Fks3LCJUuwvOvfMez*fH+jQ9V#OzaSoBJ zXA?@Ipm~82x_#oKdA#c_+)Z_A4e(nR8R%T=JD6aRbG%2o^;7J2gZ$>3@9rzd0x-g> z?7v-j_ko9nuG_6LQ)?-Mtolez{cj6y_b&%L;Yc z3cn0==r92zbO87kpoae1bh%N!Fv>8+Xy>(`V9)LN;FR&|Rmnji(KnqtwR@$KuWPSp z%1_5tX8aIQ;i%T7Nks#1n`4HJFW5azePwYtQJSMcJR3AN zM84|?@0)e3Zw1xKGs{AZ0R=WG+6C%rw3X=^_VkvxhGR}P-3o3|7}oY)Q%~xqi)N18OErS$ zM{|1i%%CPOn#5crXVlja76YO~Sp5@_ASgeD1M7=Y;T(ROuJ%EI0jfQt^8v9(G;foY z{9J18ZJvVX%NZ?1bl49ZlV(wFmy$P1N=_fdE^fwYi_0}@=`QPR7CI?7-`9D=V26|UzTXQSe2CE1F#Ucp~d|xrILvK_~>am*ifJ#UrT7dY&^Chb}=-j zs}^k|YYT;EUm8_@snO-@4uE~JMLo6YB6{v(b^2Av3>6XH0nbz+ z=v?8W3vPung6Sl8@9=)nnXYiW;%9dxN3S?uuT0|=>HC2TF=5FRI?y=Fd_gqUA2soX zeE?WE$(?q-u=e8Rb~?2@VlgjhKqiJk>mic1oAPo?Ndh4HaWFX^HR+ulJ#e1e)G+QBNVHdLXG zSZ!&U_)*QnPBG%y0o7gFzjN`u`@ZS{K&)*+7c)J64f?J|t$1hn08moTWn}%kAbIJZ zOD+|9y`l%ecbK!VzMpHbzeT)6{`P*DVEvuD_N2;l99N-=wa76`FqQSd>gdhr@2ywe zJ{tEbY}fbp?>7pK%DbKmrCIQh7u`wsT$hj)Ra8NER^!tW$QN*;HQ|*+?oFYvD{uQz z6^^aUy}u~>&RagDf9gfETWi?n9s62{f&A7V&m&EIK8YM7V{VcSWQ$=^zw%=z82d&F z5_}n@zmm`~%~_;&vauTg#05JUJ)XAclmLMCzQ=TU)a^R4bR^B?4As9;y7P_qHn*Z7=U8q3*b@*6RH&3Dq`CTfg9Eip7r8LK+8aHSh$+M zBB>s5YpT1-u{8mGm^it8fAvgK;#Qdlmn}?Q7#}Z0hmd@gX5N`dof_5bT-^!O@>5frJk z3p~S5->#C6(R!X}-DfkR4NL5gh$<4v0KpudMzQanfGw6@4|q!qvE0ekr$i8t7BN;( z_PnGb9>)ykRGy^!c8aD|p?f+ae4B%?pBAED$A@$ZsSG}mJYH>HE*EA^^Ng3Mv{KV> zhSrW(Vj3Anv|S!Xm*#t<;;_h_YE{5l2t{jkI`I3fme|F45O5y-)7easpc&qCCftbx zNoc{auDh(5HrGYL2DY&4DiNV5dV=~xp}qkVCcGM|a!sFV7D3NLqPchu26^RrRVfY) zXFqVVeK2;<3Y~}wzdatpZJ&_ka<7+%AGd1^XY||rJ`u&ppGV5OdL!Mh#@T#(UjQ*^ zb0=Mspy@rzD=N9BEqZcHXRhx~eC~JG5Bl1SUDMdAXGbb36@$(`x&DzM)G!8LasRxX z=XDx$42Z&~FGR@=iJ0pXmd&3A_~SV4w6>N?%yP;{$%a-|PShO;9K}X(S8ns3MYZQB z6>)NOw^ZopWf2pN@Wz5Y03_E_8R`t8gTkNb;1(FuAr?bd!vbIdZ!q7+`UOQhm>FjS zw88wolqdHf$K!W9^HgZB*K?(H3-R5rMoqxu_3K@r94HKKAu@Q~q~%lcJpdYv7Z>Ds z)3#aNAp!W1K)6)HsDQ%lkso=c*Jrk+O$hRKFc*DM^1X%{@+^CXF`3!(S+arbiN*H2 zkUp!*MkYoxU-j~kT>NpjeBC6&cxMV`Zp0l60C_j6dY`eGH|I^Kjt0!!iO8D~&i7~T zJ`WHItgiBN&R8y@`q?-uAiT2>A{gqA^R=kQp?9MSMZRCNNG%TQ5Xf9d7B+`D#+=2| z+EBa$R+saSf980N4s*m3a^oGjw6ZGLtl+AY;SbFVlZtX1RwnCg^y-->u8bM)U5~W= zTkJ_AfZVrQLT7i*8?bBgZSYY54Hp4@qS0q`ZTCa<(c$dJZ&{$RErYN$tL%|>sp6Pu z-O?DIinF*Zs=fZ)%GHYSRvM%2Yh#5@)E!xEJojwgjNGCQ!w8>YFRmfW_;BTLR|1zW zAXXmC5oX8H&zIcI?|$5HhY4>>u%{6vAy=K8OXef7Mej9Zu&h*3UP1TuTpO^ajJ0c6 z@K_r3`_n>d6b48yBju%@2&| zDI=+7UlxUcxNFX?KRJY7kQ=P*x9a?iqLd#y59^BSLfu7YNX*aqW^5$nrzoC)yH~tN zcq4^c>Ttx=MK{x5sjK{#ciL`Cs~5h{j^Hs=SAiy3Rngh2d!?-F?)&UA8C}!A1b{l; zQS?vWeDk$h)gJ(vC_7kje;>w-CrIPcXw|TNZD~%by(fH(VD9(;(DX@teGj}XsEop4 zeqmp;9}A?xxvVhGxe3lwXfrW{<>9kCKsivnE*W8Y(O1OMj>tgrxxY0I*Q~TKUG+LV z@}*r`{ZRaGrVF)(Qr$f>0M(Mcd~c(GMh0F z8NQyuNpQpV`y8zHXsbCpOm%Lge5PUsZp*M!*_&n+14N&E&g54$3GnV^T+drcN18~I zF!{$DO7Y}MNWKQ8eD_)H_A!@7+j;=NvK^=N=IvwZsis$^q%E2rYV23k&fWMTad6dl zhmBv?+!5Y+>rJbeZ*i-YYjpMS1Q7dR>7_1FipYFUKT$juQ+0sO*hV4i)T*qTSnhu7 z=tLH$b6c4DY3^CCBy!W-(068(Z1kGOO_Ze-oZstE`Hk==962u$97 zPp?Tcx3uV2FN1)v^)3cB@-C(XiUXX$`2PG|y!8`c4Fb+cSL!2illnGy9QDcr| zsim_$J`%65o8RUZVb1r@Hdf;E3CAgg=Tc#0kgzy?4cugST0&t9zjO$%et*TSeQzyu zV`zpwM`RdEQtJC1rk98GTd_OkYcTNnz($OHP0SUq5Xy3!ilwD5gjxP~{)k>W5Siy8{sj4a0bh_Q3An7MMMbq_(!++Tzz1*| zoA#WZ`4jF964#?Ff104SHqv0MiS$e!o~Z98J5gMkC=+`g&GpV1u`xuniltwBR#ekb zRC{FjP>9eH?*V|9`}|#qq^;TgSx#E;Erd=)%ZBVbNd9YKJ{L7de(luSr2}WHDP8Ta z)dRPuVgU;h%rwoge0nJ%4}geSpMB8o73UpjUq|-3BxV#>Ga~kReXl^u%c$kz)zgjF z6ApOqoyw(9yw{1sLME`URl@HqY25*A>>c3VupO4dO6=%HD0w+GJW*qlXV~EmEMRVE zq_x#C8<5hVjqr$BUL&0QvKzJjYs-p6#vAdD5DwutQH~+8Q4{L$G-sVdB}Yh>pd%?r zP^I8mnf=HKcy#xb1v^)8gt2>}h3Fu?RfnCvC7EEA)y|4XmILI5hq4Y;t+%=fB0d}G zN;x_?o?dXdlbs!Ymn_`T(==nQ=xj=xa{n|LNPyuv5T)WWJ^^zl?bV;0m)CqLNpBo2}fOtRa;!16gQQfktfPPj1C#iMo67sF~P*WH?z!c6#&H^L*vvt zBF#zA91o+|%f;EUU0TqTIi84dy7BszP;0s-OG zweV8!hm{)V-L@1GT@9+i2W{kOtAo(cvea@f^E9Rw;y$^=37CZhT_|4|~yO@}uE zRkV0Yy(ZAw>_sUFzS|#hgjw4WL~~D9)VJsXXl;iG8X2qlRm6bfC46hgB+x=iL}9H^ zsAmcf>bS>E7D;*vJ2lls>j>FVm_$P)GuUu~=5=tj5TR7x_aASqi_i@hQyg-}?2m0B zb|*c^9bDK?E(*OEiuVFYccWCeV{tSlEPOr)HlVWA1RK2!PHT{X@7d&be=bnoYe(6H zAY?WSb{tn3gK6$$L{8to?HYZ(W$ZbBEJYq)(sr%$$&%M6v-3o`~2It>2a^GhQ22g>_G)`NfGxPiH}3jbgOjp!GVTrgwri5LXHa1 z{q_wnlLN9ue=}uyO-%spX;o3{c&Cdw0$pQnmfWM!Ls> zm~3Sq0>-2z7w95xh^GNCBTL7;(mbf1j6&_xzFbkSPyZOY4Es^VosIl_bGGvhTFbXO z>7tS1xuv3NI6c$cFUQXKQfnF&ZEQ?pfM>d1$BS)FxLfO$859W=@!nCk-&wj$$mktK z1jm|GzC?CR?pvn2vkw~T*AQ_dwq8bdaoBh0D7=-n;6R$B#EwvZvE`@StLy# zcR+Lgx&!(v5Zic1`08mZMxn+Vv{VoynxLiq`!F>fFOMa}4$j2{FccD*JiV`O9JvCt zm2PIplQgqZq*EG+kEw9{IUD36LND?}wx)mTB@j83Y!&oPo?CFSb<3v$0?kFDu;trm zHM$c-tS6mMVXj9L>=-r=d|ujwUi^Y=!0UZV(_>me6)6I_{3GTIYO>au?)SD8r*Dd( zSGhFctRsfGT<&2Jx8fT{V?2uiJpgEwREKJRJF;HRxA`lf93unUSO)bRqmU77RL67| zXB_y2>92CKt(^keX)e^iKF7qlmK|fza0@8=s+tzRln|O~b?!2~#rzGojS`E>88Ix8 zzOr_gnWB`U%}3qrwutxq=+q0F)qWOT2P3a7o2Q`9(*9G;0McwO*;?PQA3C6XC|rra z)MW6B@1!ua4kWSw0^UwIy$!2XCnO&a&sIqRqgD%N_^w0KO51&nFK%o z-1OtnPDlSS!hn`I) z&Qui8)B9S18?m>5}kS16LQGlFyTe{foS0Mx0`UF&9mV#njI-_b1#qnAVgYT>|Te0L9V zK$=!npf4Du3;8@@g^WE&bl_6NMD2#-XEGH_^TqAZ9G7n<%2b-C&b*_{>`5}3{Ajw| zyUG1RPt1-JO@q-f@Y3+(Cj;VLHOvbOu8C_($*#l*1Q1*y6=)&wY;(D0#E4mQTLiN; zGGsmGwtr1t=tb@ePqOfF|)%W)>qmv2j+$M2G%gOkfuDNzxzR%N5S zT3Zauddr9dLD?p5-wjOkjWzn2MYSv{MhLZyV4hzym(8cr7Ua^UZO>w=M#;$ycc-{) zUSJEn5=`E}AT$)W+;z6)h+(h`5P+{`e@@vo?cIhm0?;RqHSCduGhSSOrSHWpkOGVP zBf^Qq;6ifqhdU$zbz&0gIs2fOhn2l!t^B^f6hvDPLsnWqQ1qnHODm-KdKdz@d-<=8 z(3~Q@CF4`OiFAw5C|Nh3kB(l6#M^Rs+XFCGK`s@WnqYmCBIhW&d|qu`sZD%s*l?3<*N1v5W`u0?=IFpIA*(V^@&EuvEgvCmer*i;umPQ_)#hb0thM3k>-xbnYdQB8G1=DZaxL-RNv9262h!_PenUvszv!anG%xEMZiNeU6`J2}5eggQ z+Z3Us_9HtZr)riJvRzo%O!|k=*%lfDPtOIpd@=^g%$xlwO1#g-7 z_>$oQGe&dKj+^zgb^ctKLvD*IG2bIy&Cg?tNo&wZ@%m*+yUx8G`6 zQm>+BuC1bY=t&_J#Yb7zwxHJ)#d_0COj|?1MBkYr@CNC@F|1HNp;JtQqYT|4H!RE0<;A5YLN3_A&JU- zab36J+=vMX)l=!sJS{F>@FA(oxnT60kY-U}IaHV~KqNu-s+&+cO)5-#YM7UdG`95@ zgX&sRDAI=`#4$zG56C3&oSv~#YGlZu%Q3d%sN{m~6I&gB?5W_As10)sG1b8wV z!w}a!cq~8)KT(%tg)|&^Bb$UGxK<~h^}&^?0Ovq9r4M@YQ*uG1zYfX{+_vgV^FIwI zPtdS~4P|ofrPO=%_ZLR5Ploccl5a3VUWPBPF$UJ(pcTty+OD>rWK0+1> zps|lFDav^L;$77^vG^;gWRYSF5nFVEi&yH!PTp?bW99z<#cbwi_|(a@)xUbUqXD>{ z_wbmAbmjkd8Z2{=I?b$j{UY(dn;pMgO8zf{kB3)Yj-|9yf{eB|q~FrJGM-f584JV=3_R3DdV+rT_fc2< zaR6@CJboE^%X!nvv&%hN^>-VMsRyYaAtVEdPTk1WUFs^NTsQjP?uLtSp|PS^DqR?;r|w>x4=^3XAe|?*h`fV2Ez>J6TiU_et}uAi=~JMa+$+m@YRz1={08 zZ*4%V6_d-V4Cavj72{o^o+O_1AN*3zNS#T7SKR)Ci*iUFJnTs9-Oe~NKm1N)=I?hJt=%!X8bP_*h@|q#Upj|S|0qpl~P=veUrqY&jM{el=?lLuFb zk?(F!bFuP&d32KNE{O6xW~bg%m2;ka@mKtXi%Kl4V~ABY>O=*L)mWWz{^j1>hbm0@ zBTH}6t;a!Y{c#!UG<{F{n#xKk-pi!q*fODMgf6;3!#7DZa>9R7+y45CY~SKDy-d0$ z0fX|^M6}^c7MVw#(qDbzF~wFm>a=UcqFN;vnSl`3d^uvrq7>=8%(msv$F!|&FP&P) zZfP4phxeVdZQj~zY_`r5D8MqbifMvep`OaYqI&O8V|6DA2X586qV1J5HtZjO2-zYEBsyic zMe9-0{|DIOQ!r^kAgTTt^vMYRKmMQ2DcdWupG**w(};Y9#VSrWC@zb`e67L=puWb# zAwY$or{e8Dz?-G(By~G8H>hz>v)+x2)CBTmlBE@O9YIGCmC@&8e3r zQ#0I5C%Kfkq%;EN8wt4YGfS!jwVxml2c|yHLjM3e?<6-RPfwIXcvc1E$8{##8(dpk zi_f{MsrFi9=Z<}(1AGm|NR?&;0RqXUEYI&dpIRbBNt+=fY|A160TMPZ!(N3=k|F>=!pRasEj8v*G!=Ha-1010ma z*T>hq87 zPnYf1+GU2&GNkd|-4g@-E!B4<)Lm5kiq_9n9?NyA^l4|l$pmoVmdkns`)=qtk%&6E zPcT*Z@I4P=3Fd1Ittw07_$K+J0W)?~p5N;0n=_i?V&fl!Df(V!7le`?ocO5Y-g!uV zTLipwy^44j-JXfN;SEz*Z6@AelwAZ@-=U(gxS^J;X6UehM`*w6(tEAn^&?hOCS2?a z$m^QQOPt^1rK~7ezxLPn>Am+prJQ{w7ST_=z(6;Fd|QWza~%yvw))#MJq3A|ZZjrhB$` zMUtGiDQ-~bOJwSW_C0#+&6bXGMX&JK00*vs*!Rwr(^Hj^qlha@DA6DuhB_@lI2I1f;zF6fV$DJGb9RogTfvM3^3;OQu%&^ko5VR z^}OA_s($K^`S8;>x*~Uffp!WU<0pTx27G7cP|%M&zGGcr$um-%{6}eR8YTaW|I1)P zK(#3nv(<2E^eK*D#7uI?aB`$UkYB}f5NxE}B%2?HtwdWln1kw~{uCk0AV4;Vi*OPD*; z>7hTLBiXF7GwDk;MOw*-yws!+yRH3|A0wQRNmFM$+`qS+Y|sG$@sNN|tCN>lz*qNA zTmJxYCYX}FoJ5vGAa1nGMW<0Zr8ecnX%WALowZ6E42kd+2>inw5mu|@Qk;LWujf{T z826$%v;45QR$%s;up&|@jflfZpk5UcVdfqW{79$0x0s<@eZhw7z-Ks5_!a-FkWd=7 z>sFr*(X}9w#m}bQ6MUGr51uL<$ux0Vbqf$`eG*7tHW>z0=gpKfmuL5DZQjl+F?)G} z+o84Jthem~e~Za$U}YZ@hfEt-=QzyV4{sB(;$e zD#wH&KT(h!UVmX`)*d5yopF!4rDu8lu&?=K{VdfuL;ioSV)7gDQd!K{0R#RLin3v4 zy|!7JLjV3E%ZTSDgjU5K>I6)t9fWR1ID0fbY}6sInz)cKfzdj948;(>19E+gl3!qR zG2TnDbr!)Ff0a(dF=MkUsLknq>%j8ZSsO1qkcgxCMnP^V`#zZakH)X|+5*7u7#Ou> zrarrSs515x6Iisj!ONejf*FIQ=RBasAZ=^sXHdKC7IDOY<;;Cyn%+7OFHmidf}ePX zV8Q}DIfD-vb@=LWGz2Vnr{M1w(bGtwkSLs?uket*q_6BY=%E0`vq>L$jfjDYF$${FBSGv5X zFMF=ZIeX=a@<|!b;3H3C42$6*yg5>wg};+8s5WTPVbRmv3w%`N7NS;^#lHkPK#tB+DzA@Q22z)c{xa}Kw3=c zRf7tm?*(dhr-=bO*Zyn=CwzTY4`bR~4Cxhy$?ZUg>fzTUlJ4yvGbHgu&%S;Oz1F-i z6FD{Q{%Q{#`B=IM5b1H*CJ2iS0#SZu_l$L=mm605Ym!R%tW`>UJLSF>gzu>wc=bK9ef3MF znxZ8@hhhkJIb63Fm{N~|y2CkE1itq?erO5~vczB8WMDuwSa59mI~s&4JWG`BzfOx; za;w20RQy~nK&n^q{$26HJX*WuIMvZlpnH6drPOp6JIu# z`a_%1-P1IAoibdyljQ^X-})>H3ElBO&72`G3Vv>;CsA_cOOvN2&)*<2ClpI1ziJ4H z74y|Inr}|@^m!4GHVu%?{&IE5=IImp8JsQQ&ym#k2Zd<;DIBLsO@bE};zmWMh>d97 zKh&`huj3MR_WANfBKA^GqWS8`zaVVoYrlBnDIUy)19RZ+jxFlIJrIjy;w}*+N-?~_ zh0iO(jMF59)5r>)Jo!m>uCw5Ki4PBz?{9A@Zr;2RF9*b-*Zu?aLWf%L%l!l?i$A~O zHNMenD~HJ+0Pbmtt#5SJI3C7t*_u4Zn=AX`7?JDIDze>S*<}05lOVFd7wwk+06Zcj z1@j%Jj?X_$gXXK8FTHh==aU3NxievxfEd2#SCZ8Z%uJbhUb8k98iz&vK^Um6yq3v= zugXH*K60Z2%-$oHvDDagw<(v%Q<#|PwThI;n)HdAiOudz`f|EI*6Ydr7|WU#&zHvH zxsOTNJ6Eg6U{)NEY$uw{Lx4QBK&t+Dt!{r)CKjFOMG<}ML$2Z{%psp_=T^`UM03ch zq;vU(rmYk`?_AKy8c^4oPeMyuk*Mrqc2Hcc@aBPLeC%RSVT*D3&k$}l&+JSUrG>@EaKw9+9>3tR{A$_P?)B2?^1t3H@Zm|v zG$>%^rjI?K^I?7iP`sm77CG`^v*!SJ;kktFy@O7Ov3P(epi9wth>fR@z3fx(7ZJb|ZqG0%9u7$1iiEPQ#R%L^FXjjkm+az-> z%(XZR?FVf|e`re+V z5l+ktT2@1HVoQBHc6ERL}FOssu>doGvAgSq`-wZfm14{>5!SCtM>HgdRAhSu`EtZj0G=BkczCjc0fXHBonLC%{gp*chA|r|nQW zY>a|AkWH|}M4zcODZl8P5}F}{%Wq@=cC~deHlcCaVCj}M^wv&^9SH*cHZaS#uyaEw z%l14HPWSJI$AQQ;_vWX54VTr$IFZ!jP#aob`U)o!Okb3N?V5L+qB(#eBu&dImyI!N z*eZZn6i$Zpmsg9@m2_|IQcqO^ZxjB1)V^&$C{2F&KjVDDeh?MVIX2RnVHST>O*SlE zfzsU>j(jH>7bOa(Qc%zh0FaJ5nDc;NTdn|G0DQMFHz}6z!$7|@eX?&To`F1Z#Y3rmVDj3O_Weil8bvKooyJT3>;a+!J zpVKwG!FUCGEzJ^u zPv4HBjHcqnU?GL(P~xp#K{L9EH+_Fn({K^+3Go&)UqmvYygHJ5zq&!x1t6-lORu%x zaRF-aV`9CB{J|l*zj?x^~%{sFEZXT$i|9O3g<;D3PkH@XbaWYV`7YTEaO_slQf zZx?z0V0HL;{^{PAr%(0B$g=bst*)g`&~Y^i1sKotJ4{mQzvIK*Cy@|g@!x#+6^j4E zd~QrFwlqyEcx#GDdp`%!_eUZ_S|*O6C%na(*H7`BmH&j$cWl}fT4WmS(%Gf zuGsH?fKcoop^?enoNQRNC+maGF8Th~pd1s+yNA-5(AQW5jp~wor~3=zC^6`jtAL|oa(!3xsBklRa}kS!(jlbjTKmmUQdUH4{QF)Kld=4^V?f#{?Vb?+ zX34eK?ceGKE>z>2+VP->3{BvKd!QnRE1udsYE5&DiPu`R=LtTfa6VQTrCqY+QZ0;v zgnS?}d$EPFQ2+AAU3U&uO28rBSJqwk>>Kq@-P`Djcq-=4wSUIP;40PY)$?F5bdSau z9UlW32fE;(!q6BpT={|vk}{OWQns&Ck@zBAxe$;%a1sY(7lW2A-0)Rm*4}kKU%v?_ z06L7Y#!{;{@Y?v=Y@u!D-M~(XJsA9z)1KNCb9uqTzYaD$A2pFx8#I!|97}h&K{;MX z6NoP1aDH0Sj7gFeRCWdZ`x6`lB#n50jSnK_mWJ~-G`0B?ku%1Oh&V)l6bFfYHN}F6 z;KL;0@N%`CNQ<@b_i|U8?iWHM=8NMU!Q&kjAejDWMN$fd;6DH`SE3RWq>1bn`5cr3 zxlqKiYHMN0KH(J-S^yxZ;2FmO+%STioO9y`k!K;dA9c@XQpoTK@D$Mn-LI~s!B$Mh zJ$}l~VPE|KkDi|C$-~C&FyiLJ!?!uvLqR)X0&niPWQi*C)b=rU%00(PSM}>`Nn#L^3XpFw8}Zowgm5D^mf zwfPrnwd?pPr=V7pzALK_<43%|Rt9$A>gYwi@K>kq$B#iXQY<SW%ZP=S z!%08BIinOVl=sJL2e6bM+GD>j6(4n>n36w8=H94->FLuT#(#DMY6BwJLZUGot;&F4 z5hB7;x1%|8o`zMhoh_I0Z!)YOJWc-q=N(mT%taDg;Ll$6ypM0JP@Vr4pEO_B&qDMo z-?{%yRZ)q9*F4Of8jV#@k{Ld{_{vGMd7P>EbV54z+xfP_;vaw_=eZv)c~%ES;Hgoy z%6iJd%Q@_8kT>bfl|`gbvpv(r=%k zTL#Pkll?tkSwEH92zw)P62k-TDm^Xrl$SIu8NM2cyGxwCIy8!lHi>e-5zY)nYZM~B zROt01x@J|7`STADX8rWhm0N+FuShXYt)(xP|J>Lz4T2+7KhnJPci6<{MZu{_7U4@X zZscl3>>uDz^de78b3i&t7K@tait=^fKm^NR<);?4=TviSq zRyF|{8cN^oH&vXolr09`6F=*5zCVnmt*?P>9U&(gR%IMZ&AFdF4(nh<+syu$TVU#< zmks){r~l%8#tY>#w+Dh*rnYPS7*5Zs=Uv^H~*g zjlviRbV|)EXLLt|O2ZcxnYth7yLQ^^?GL9WfINfJ5F?5)cM%OzkdCO zfI%(qBU6t$#ani0sfmW`(YIX!c+1ivl2!cT`^7>E$AFo;XUGT>{}6x9D0sZDk3QNV z#uqGsf|luLK2SoZ9lK{>@&n5m80dMakA5ie%~O@eul>5@*7MA1u5Ar+nj$_a%I_ml zEu>`OX9N!|+zi~1S;TebE`lPVG0+O~S&7bB#|BHc}UG(!u zAk~mL$ToJgbiC+)^(MUgUwae&pFvOJ{QkwdzGPkoJhu058EQe3*Bt|Yv94>|nlUZ&3$?rJbWzN^@HpI)3tn2fYr~52)WnDqxoAgxCYMiC# zT8aE#NDz%v&0>P#Tw4mpFpe_D6xJO|%ek!V6jK5!S}RduO)zjy?|o@AqJ(5>lMYTt zO)BoMngSG=Zv2iWMXE5*;iunI*#$&9V@taHXW+itEZWgt(PjrUTjwjWU3@537s*u_z z?vPAx(XUlOp}I1P_^s^A)6xuWm*yMmCGLt|PL9B-TNS|p{^1GloLh{UUO5!CuOM@7W*T#b^O*}#R=~-6C=7B8r9f1bi3amw6`87sab#N_g zC-aw%Dd8N9Rp_t7$cR;}FwAVe;%9@#h&RHqvz6A}%Pk^I0U5TBk*S@B{9iVyz-W$vwGeP8rfRIw=y|Yjo`P`9cKLk(0zq#xr>DA0UV2f%AEL z4eR~w`7Os+>~#k>^~ym30nuC28W+`4_KnxF<)k@RKF;PbS!1=Wsy}FLFfV2C+x5JW zJ9t{)NY#FD9)7DgbXn)8#qQ}*!X+Kuacn}TiSDkYny@1RTsrbJ4402ovvTe^oP{ke>THah@f+yyVR}eM35X1{L3-cHf^$Gu5)*a?u~e16^rp z-gJI{6(jJX&cw2!ZVWsUa^tw8-P~fjX<&i>QqywqIGW!C#qTYqUh{obrfnLyOdK~&TQZF{C0jpZ_#{{U(gBN+pFsz_sz``ToAAVviH zVp~;R;fK-YZ@D#2rFG+>3;kgm)Vi^(TN#Z)ML^wX_L1fkegpJaSH=&O%hL-cB}e>0 z5^>H~o4#Vi?bWL8r>;GUP^MHflbl;KNsPn0!gH%QUeem)L@`Z1MaSJ4o-i-whFU4) z|FA!_i!$#QHwr$dfEfIidW?H-yLxrrCK~PewPc$*B}bD3Mv*NZ+Uh3k>qS3-;bFCT z1he~iW0JRInZg= z{?&s(?B_V9F&1?~B|Spqr{z}pxe)e3GWPUc1ywG;B?)zE(p(?m}FcgQD_58Euq@ryr@U zFmg&$`~tzFQcCOT+_xnN`1h_YiJSiTpTawB6a!<@|hyH(}Rzy_O%pHI&Bq%~rss3UsF(0Dt9FK>gvs_7u8+IlsFh&$N@v5^8%hoVjlXp zP1Zji#Qbpy$E6I2Upp&tqltQqOtZmp?Li|1ZHKmT<4Irf{suujYd!y>-BgaPc7 zCIGFc6CX+$i=!-crG7+5ZuYQLP+ zX_S>=QD5+sGFWIceqRij2S`Y8;I}?%W6kTQlgdiu?vf?V6P1!WKif6v&3wjL^x`<7 zSS>hbxnN`Y)hDw2Q7py!o>r6zPjQO z>6j#JHkYLzOD)N_dzX>AY?m0so{Jhud`qaFAgy`*hyCotLl$A?FPKy2$gvEB;xiNr zzB~pSNtJCtojATKB+onzJ$W&m5;p=A)7^A~6eL(>SYs!7d$7*Kw*j>(ry*VZ z>wkIFlS-_D6}{7JwqHfo!J&=6JAiw%Cu>_eD}0v5A^zRjc28H!R2IDK7idJpXDm zsxpX!BNkHo!PQ+H!L4@Wf2xCQgzCw)n_p~32`aqvEHs$Gg=Wr3k%&;yslPn2U&JY! z!6~@B)zyr55wTIa3+Gp2{CYX%uc$wjs7>`jAwQvW zLrA8$YNPhBY0OjdH8R$DGO!9>pmc+RM~-}!CM3=lFLa$N;G_$b_8(xl#vYjCN%00G zd(-CjIs&`Y3XNP1!$GXjHy^_)u^sUct5SYUy&Xfql*`p(lo7WcY9s1Ab{x{JP2{$` zE6QQikuZ5Hc~i!vrFW~02H>>&R|WA}wdD@D zSa=R%vmHOFKW}ARvOiyAZeLTbuvLd*kP4{v-!L}&h7+(<$aSL1waGI}x8=qWEPgYw z88dn|i*tSAjsfFsNV7EWiVQ?GJbv=DWMJ%VqR5vr$WLItI7(x(w5<2m4jV2Ex}Ur) z-+uAuYWzS4V&Eb3qwDf@c_f*^Jx6Z4iP7LW5pAMPa-wd(StG*7{U#+5pZ607*J?%7 zP*9h>BWBOg)-gh1Q_op*U`6mK=A5U|$3N~a;lyZXBHO1DSq_=@3Q17?&sxdURZN7v z8PVlU;*@a0;1kjKZ%)Vmpp;@?7053=!^_0RqeY_Jkv}CP0e16S>|Az*VoixnKVJTP zcc)V|`ViJ&weYx@Xg;R(ZNo{!NPNKnY7*-53+wU~|JJ8b!@i(3)PkF%h7&h_?yhnd z+1A>$f^Ku#h-g2(`Mmlq?m3Zef>OR144A)B&ehx6K`z_Gpkpn@)}wl7+eXDf02Rs(vC z7_g%sa9sD@K+&SyCW}0&R@9obxi1|?lQq-ft4AW9`=&Px z4$*6Q@EdOPrJ%pkIXwqlO4wa!7GgJq&L?91uL?_I1XXALtEHn_iS^_tK1tutRb=J& zSN8=(Z;UHU)ONDEKAf?8)Z*HhbZ2|35mkR`bCbHBsoCzO$4Uk+ZsqPApJ=2xR5e-e zDJ@B)^G0~mc5OFqy`jOB$j{7ejI@D%w_jjtVryFze^fT-)e4)vA85utdIcNW;UXWs zVrA&#`R)lT#m&fOAeS4~*zUnUq2lw|!r zys;j~?su2%Oi~M&Y+Z7LI3~43(7V0SJ+IqgG3@4e1=+=rW{O!znztJ& zxz!8Th3~BG^L9GA*`ACw0FJEleggPge%%Fa^}m`|@3f*eFH2!IRx9Tei%+fW=t_uT z04%uKSK5}hvkDui_7u&vb{k?z0v#l<;}JWvLfxg8B^%FJ3t1;BvK+g-I6@=sed}`Y zjs`REw-WQRRApIp;9VIUZuu4G^U`D{TBY&&?5k90sDnu$TDU0Gy%y5;X#jB?%OR0y zfAyW9+4^EX4@RzLC3F*sE*!SmPi`gA)D{b135gkI$@2sC!KL_K4~HQzGm=hyVU08I zwqM(XY+I7Yu%^C{EDE#Cw~Ole~_2`&P?J zr_4cT1G5pp?g_x8k4^gXopsw|d!rMHVn+%K;DUR2~}+wg&6 zDJbNY^tE#9HL`DZ@6qH+Yz>;>G+H|Mwa|V>h|J?c3B{ZBFBgC_ehQu)q})D*U9ug9 z3@#cgz*>0=Vks9Ge`h^lt!c9O#YsPRSX`IwxKJ^7RN{3|8sSe*oQrABCEB zmfsUfUFBx&@O#$1Z0cHt(1^C1&a+oWZ5&l?YyhZqUHF%3Rn%`@AjgdLdU@QM} zJrQ3`@?Ilu!bQV*A7T0xX=>@y0seARWoiCs`S#I z!+zy6%F0==6~DXv%7i=h=mkA!SCWNu^MyniaXgxGkhBC#|3+AJl`?%8344-zYDrT| ziHotAG&+;zQE9*y(VvoN*zkGV#~#^0F>IfA2@AI=&Zi>jL$wzu383<*b1KbEH;G9z z=!VvVoJ9a$K{WCE{_#Zh!sOCMGP-(3^)akIS1fU2J?T{&V-J(%oBrc1^>$L_X&U2h zW;f#w!{;RHUK|&4*6+G}pMs74BjV84Ow~s<4>w{qbe8Y5A}8c7VV$6dE)V;^T(k!2 za?q|XyR&ljNg~S>CmZ}#1-*Ip#2+7cC^pGD6+q8<(j!M4u7Z;V!I3e`K`G$jP(Vlk zWIuRl@e0~gK9$N7*>?jFO_OYMcK2gEXT6y1&Sq(OGdRu0_CH&YERdBkg3b6>@Kz+# zJd@az2#q?V+FSh$OabsAJ0xUFC4!zrD#9Ow-2|3lR5D~!ixWr~uj7>tlYDX39!#p7 z9kHgWE74C-=;Zh;%c0=BQEc8pKPFKlMUeZgT()3C;=9SDM1`<}f<~VuG*j1>L_`VS zrE|rDj5;*F%2Zxb;g9*+{K;&-6h7zK*{d}xH&3asIqcRXtn2y1d5tno4*w+-dn2OFUc$U|;#uE0 z_i*>UqQMcZf48Z{drs#Vr?zgCB4C%hlVAr6bX{6%1)DPLOH%}sO-g=qi`L*C>hk&f z7W3n7d--+g?~x$6Jx`7m9E`qpsgL{I7Y4DbBgyE|(zdV+ac$OWeYL{PpNDJw2zzKq zXF1`A%~M3LA31DM?GJV&NNXO04BWIUM(|2B)NSE-*k4YK6ZgHtakJtA-yNj1IO-*DJmI$#=Tg+zq-QO&%(- zTjZ*m&J|9zCtAoe{j)`xY}xo_io_y^ORgYA`rnJ;MTTC zg8khfs}3#kMj$)CNDP?E#_xujg2}7h)aasAU_ptK$8CC}L89TB*I%m7JcKvRl@lp) z?7f=WadzqtJ2A!&bD`P3!G7?VSNa&BWo ziY^o_3#I$i^yiewPmyTUxnx(FP$!=lQsG&NBE;v_AWj=}SiCW$X6y1{!oT>MclrX4 zc%xR|lS`oEsaR}9IIEwiaDRM={9;kO398cEAkqGW^IvUH{ha-W2QUzlD_M`_6xQ(g zzc*FC)fBM%otWsMUt9na5_RH|#Xr@#kBaaA#HWFm=#FjF)*RHOpOVa3Juf+1SM3ST z#19D*e_tHsL$!=`wW35jX!s9MS2?~1ZA69_zc$u%Iw}9SHhvjCW2Bw;_ZP>S=>rzQ zd-r3K&JX>~C4Go6C+a^usc)EG&P1mlT1bn$0$XnC!B%0o!s#2uWd{u-qV&6s6BxCk zkNbvB32!U1y8)_AO;2JSlt2JC+jp1c(CmueP!?E50^$>kGJ*<26e zrJC2{Lcwr-7j;Px+h;@~1)vZlBT}D~D&qUo-L5V>7rVrRoXH^Ps7Gyg03B0~daAlQAJD)$Qy{E3G;r zRER_IhFRj(;>I!ddhN|+6sCbncYhbR0jMSxIGqrZ3?*BeTS+AA*+X_gL!Y0%z+o1j z<}J5hn@&jAZ4)q#dS819@X{a0D>TTI+O%%bJ{q_!vDX~DbVH>NE=oerzXKJovA)xYYKQE{O;EeLabriT zzNc%fC6o$h^%5|n)2Yj8asobeLWiK*;sO{WooFWpi)mWF*x4(|Ulnl2>-Y9nGk!_l zc2hpz7Z8qqkB>X``BAh)LDYu~u}Sln=Oq#$5RYx|3iL~0E$r2G5^T8X$(yJSS$dw> zmW|HT7x zLh#Q~XYqgC~^}?&# zxR6SIj8tyU@^W^5dg+l8jLF0IrkUc6PJ8^uiwb60Tu9S55+ufBtIJmo;0q9QHP*>c zc~sv~fT2q*<#z$&b#>n&ivj<$V~Exuvar$7mG)rPcIEIXzFE1nzevG?hCB* z%cYn0znaFT04GV@1_1PlIvMqn;x%I5LlYe}B-kj$BNG1phYH9>NJ@yZVxx;z(&u*6 z!t>6$&_ib1W|dDunS$Om!?^00Ur(3G`veH9_wjwT{oFP458(U{uuFTQfc$=Z%}q9z zRI)fq0YaVsc_`yCB56S+<3#eY`)SD0!6fR#RLFohYB}HG4B!V zTrCCQd~2#GTDY&XkUU1|3hwy5Fz1irj_(7u+VdkADiCw|v8_^!+z-X=7dfL)48c=5 z_n`rmk9GYI2yrh6KK*3vud`n|-p2)0+W2tU>?t|tv7@^4J;!CsB4u7$iTDKSbABN> z_xV_)Kl$R5ZvU*|vXwbLAUgDe*q>CON~WwT%^?Z^&awDsynXrCe6#d1?&h23#wYW# zBYi7>He|Y1*Rsq;f(}rFX!~qp^0Ns1!#j!&29M}O5j(a58A&xl2p$GtINue-G zqvuhe$AA1(qS@AZbE$s?Y9VZRihz&O#iR9Ybl-P7IZgT28omEsy!XB!Z{4xX64-7L zt5$IOW6-)ka*wZGBjH)|T5Xxur^*akoef_D*}5o99${;Pl6Z??+piB*{rmasQ3Bus zhwCGc377A>;Gcs0ERRd~Cpj4W(QQgT9Z?C0lzN-jzw~GvB)DXyaePTJ2tB#SsihQY z`){VR_bzyF2oAwD!GZ^ZyGyVD!952J?hpb8cPChaySoK<5AN>n!R2H&uYBL{ zPSu^7np^V^^gh+Py7%hctJiuSCTPT&DHG&LOCwcyrQ)81&AjqgZ}B7xj^f6C6ivMl zh3{80w6p>ev@G^;H`6!QUmp$$l-ej57WFanZg9wmJ)*X?gv>5jH3ENAz>kfB%DR5A z1xM)dx(gB0QN3c=_?p>{jT8lc@rh0*lArZs?Qm(pmN|TUMEq4~5o1;FY=e3y^plI< z_SO$*G^sA4P*&8Xaxu=Bz$<%q7W*Z|oMZqX>4)kv;0fB7PYPKefGqm`0lltk1z?%N z`MfIlX6gxN7(uc6Wc>O4Zgfxg0cR1|&@k%9`{qGMvc$|?=U3_^7YCNIRwBZL(=SSf z7s3qU^-S}zP)cH@PB2XNZh*o#yr|CqKaKpCDDyZZ(Ox8! zilkC$q#1oOp6SKhN*_#)h5Zx?7f~M7LtPVx!oX9G|9&QMFCCNf)^?>U-(H}!M7V^* zls7A5&z>1Rf*WDf-L0g&sJ_{mYf`B50$g)jDl=|4&<%QZxn3aq&P_$Z*pcrXy~m!C zOa$j=6z3#xDHUpV@`Duz8S2#?!rr=0LPsdvG%M%&8+l#W{?YrziF@a~ z@ZyCb5WFubgpxjih|IIq0#u=OwVO4!)@xkT0Gy7XkY73xwdMEJgxe%1xtkwr(>}1j zE6zA}XP59M-nFR*E!^TgdeiUz1>I>B5 z>Ud)Jl0Z#L(eFbWHr3N+t&F~YhIwV5xYb14WfCa+NT6$LDv9A~s;%vO$HM4jxt2AA z@g}-~Jd^6jYm5RZUjg-(g!$aUBPHvTP z>T48beQHegL0R}c7A@+1fOQ4G-RY1R1qDOe zP7xt28u#T?W2AJWdnxDNw{#o+m3>n+{WzA{o;0Zs7Hth)e?ZqX|0d`^?&VasS=HZQ zt^)BTi_A}5H$bom4WBI2>hr^P`NPXLtEeSm$OF!}qHpNvQ<<>c(;v{0+;x)@+F!pa ziH6UjroSKDy`Sxig_b+a~#<6nEN0@jyDXudF&D0&28?6$s6pIUfgfUKcet`+ zsWFj|uZG>$|A1T{K2}`JC!8JZN`vIx1Im?@v#xavjbO9HePB&8rLQA65nHbK>Toz; zRSLg0B2A)+w!8l5C_&CRJ{5tJBv$?ETgBW3oJgz(sAx-{{p?BG=hZR1TZdBeTc3EV z3{e5Dbx)hYe*D=FF|xig#5S|P6EK0>PF%8D?bOfpq2Kk%>ZeFY->Qq*Fy%AB2d@jp z9iPdBZJe7Ov~@r2eGfBvii4%v;_23e7=`c)B#mO4p zz$N0!uGXG>dy+8T)E8G$cC`CIFM*!2w$6Z0GHwhYTGG{gu;lyCIAErS(t5bV)+=eqla`y7#9@ACJS@5FY5E$VL| zc*R%N*QP6{lKz49OQOWGz`?xwTZ$bu>KQl!SN;Bt(+y6gP{qzWvS|W0K9M^rr(wn- zx2g_UWMAVfXMtC-+Nb8|$t-7Ty;eaK6o_q&{a|c{7XIejLrz@uWjfKmn^K#$q&Fri zGB_x3YsKS1B9!ya+E|}-=5-I?NZ64ZE6J_p!q`HheJG~275U+Uc_I!uQU&k#+l70a zZ?C_EW0182`_)|Kz{GtBv;un76nwv`dD-tM5nsu(Koap#ApkLJ$Hquc|1a$ z2yBOgqXVlGWdjHBNa{Nkh<=64lU;y@R}LmlX!b$mMakgC$TO{Z4n<{QEY=@9XNMcM z$zA*E5?>y1l?Kh2pzn5E;1D{4PmhJ@??$;Qr7ir-zh!WLJGz2P#>e$)xVzoQ^u86= zU9bMOs;z&oDw?6@huB+oW*QSZ$9y~g&UW<{o~6Eq0y+xm4%d5rAa%BC1iWb zo0Inw9PGy4X2~!-rO_myC}gF|EH{igNaa>*!`u+txtEfRJheBjd1drO1)ip0dw4h`2Wp zfMuXYc)9&BV8508FiCH>MMU*v=u6mgTtdLpel7rzTjY?JYmpN0_}er|&P+22^&YHc zUrFPv*3HJ%+#4;LRlY5iR_Te3#NMG$M45!&50B7oB#H)b%O!@t67Wx-GxmB&eu9Bd zJ2WVn_e8^=5P^$9P46G7eiNi=>_aM+8OKz)HM@P(Y~?-bwB)7oH7*s8rC6_SvMvrO z7K!D>rFj@C_LG5!)uFYcyzeGbpX9#WaBIQ3?z_+Z%&+Sj>b`CU?03jA`;lT6>01lj zO$cbj0H2b!cUrx|9rYKiM*V%(mDIr-1dKCnJ$0;F4aRygjs{qAa?TX?YMDeR{X;h4 z-S-Cc`>PY5eeRAYA4EOmsEu1W?)knZ3LD&Jc9wP=5B9@ji6%G*S2YeRhAz3YR9^FR zCrTvUy&4vCh!A&b_g2Ur93uQlnb6Wci#6WVNRF{Iblkt-Nyov3JQZC_771{Es{YQl z9YDSIRN0GZ7WD;ho~ug)C@+^72{E=QnZ}0`jlP@#<@(DtFW!}^vzM5%g?>k`BLkg$ zRf<+E&ttEEBRxX`@s8iA2(nij)jRq{QR84&euh=cm^$eVC6nS`_|0Nx|CS>b4_cKZ5)L1FbkRa z1IpA{U1(m+GhBJBn-dK^ve_l)WSnNrOy>NaMw27@Vr(Kz9~|C~G2;4x>d3i@<^&y` zSaEM$DI14@>1&uM|87&W*NeUG(%gLWgkYowl*RB~O>4L%3EZvteOau+^IH|uvz#Qm zRJM5FSpOby5RXlBJ>0gf&oZv6(7oZT0L6M9>(ib@qypz#02_vFb*a_+;71EmAX%9Y zd<0@~didyNcEqbpae=em&mO6Xy@=X*`z3bwRSQG96xZy_Ib@Uj@teYx)omw&Z99Oo zIl}4m=>vHV!4W&SnF(iU0Dghvv;sbNSe#6&j0Ra|*-7KJs&x92A?Zwf;enc5bVWl4 z^zokD4(iX?H?4J0;QRg z(|=lg;|@mTq@RdcdmXsIhUV2usT6F1y0{;7Wc71VCW=FYx3xaMwz4J-7CCb#p^g(X z?k4;D^5JO&oMP)|f3xU_2QC@pfKr~F}~mrP5|K$pv*!xJEew|{p- zYj4~#D)~{JAczEUCc;k~MyjbztS@?Emk-TnDOaDrt1RZyCxB$n&P2g4a|$z9M2W>e z?lBYy1T}T5_->hPE;M1xhc}X{HvLiZL40XrvkttZRzUt)PUT2VRZ}b?_I-lVjM5(d z^_bU(+UVoLx|+&P(JdOX7gca?qWL+~KAXrBNKMvQZst&$Z0UFg;ZlfEdGwEG+`2X7 z_w5lTU85#VwlvGK!020}gHz6jg43h@OY{Po*AzB%8ZN(XLxcNRe*$8 zy4?m8dn(ih)_+F!6=LK{FK%!a&>oZXiJ?wa4k2^ZiN&D`q!J_C2H-aGD<2m3YhVf2 zWR@vX3zF3NxC_`AF@3p$z1=kYzdn8tx9l$9@X#EG>wiW6#r&vQ1Vs~w-70OtAKq&a zV6TgE8jWhdEz`M16+V%Kc(h$G=H4%QuoI~k*}W=f=X_j0yU@K*9so!>UwY7vR3QTq zS@qDZcc-pUQPw$hzzT==bOJ!RWKoMjDEyUyxDb8C-KmrB_O_MBwPiNrluD53Gd;T` ztXB>cYO>ZRE^CA&@VEnys~e3j=~DHY`d09<)WPKMc}MtSU6v4KBqHA2rInUe6_N+} ztpqAXj`=-Utp2<$PMY^>;_k+tmv{%~QU3M>%c~23TM{+6%Os)5-`v14FD;=<%|Q7` zF=NGY&4CYPxF$CmFmjorlE_YxnNBYftl-S3v#in{ofenN*PFz?&~m#r)$%bKK=)H- zrc4%E9Z^@(VfRdNFGK@4WGpq8%g~u&-DwIocV1C-a-a0Yq1DFk&kyzH|HgLW zY;8}C5-)fwSgCb`d7{FzNTkj`fLT;mJKo*tSE;iUufg%N&F+jsNkOY}qpO`Ki?vAC z#mHDdh1HywhB zlJ}v&s!~rE>E_jf^GAjrIgY0`QVE$$()y}H{>*Qp#Y7d3fmp4ieZVm<@&qMlC3cqgiXfziD(YCav~k!EO5`Z3j*;;ajr zYvUA}bU&0r9b~T5Vd!Lu!HLl~khg|<<2!@ncFWAi7w=SWZ8ZowfJot`JhqDeBK6ki z!q5?78|sCh3i6d7$@kQ1^^@mF2=?g+QEzOqG9l>=vYTS~1FEw~zH~6_GZL*YRI_vu zOqQ%>+rNF4j-dr+3$DI<_jHKzx@LW~r~I32Z%LZhME?bFp`yX+IVUzG>sZf&<38SF z-0WFB(bH4ZfzQ>)*LwtF)9&7TlE%(zbkJs8lCf!b7h=!rfkR{ShuY1sZw#Bk*fF`u%|);4ykDd#kwwuBt{G|SOwTk59R z-IrPJ?y+?9v#%y;&5nRQi@&~d6;%JfMgR8w^8X6`o5s-71nSUs06>2aWjd~Ercr>o zVzQOC-z($7lSOlvl>v6?fhl(=QOY*X%`td-W+Did%5A5=dWbl&)#daV> zZ|FUpI$G9-K-U44|GuwNuQV$FHLePTQO7@$H0@0-wKtD_sT@t+?PT)mD&+n9vnAG2PwnB*??+8?y4Sv_1MoNm zWz*?~wO4VJ)*-*e09p~UT*cu%CkO#9bBw0! zB@R!%MgpS3zB{@LmRCV~8d^c_ZFnP&^-^nO*spnYgPid-?In7?!((AO?O~F|2#O+` zOsIKjb>#affRi_k*rdxsBT&^qcpYJ5dO{pCP+JO?H5e>$u`(9tu;Xql?vabg@qOlDPwBt0I&Ei#vW$1X{P zo(2XJYN$!SiFwJ%kECmtD%ng=TTTDZ&4a22b6T!RllX4s+ZVmv=7TG}o9v7(6R0g! z0)oW`oTHYQdD`So2umnh;hjVK+asC3JPc0UD-H#3FXR^dd-`F&yd7~2572YYJI4RP z)^BZSP4k0J$s!7Kt=j%OyQfq&kvH3R8A%N_#7xaSUMO4!{2kz0w;!+i4PC~nthC)< z$Z8yO-!x3m=bxC!9FkdCj>NKA$QFlLheaHbhe@e;Wh=j7l^Qx_Us;^ynD=PnZpmmudkZG(F zx%746u!}?<*U%Q5e0lk&%H`F$@>Yd3=0?-YaG#B_pwCS@h6&Nqv0)cQh^XGecn0}g zgy3Xd4UPM&NxQ1!-r73b(i4k7Us^AE|Ek)2EKZ z)+V;Db}-_`8cBBk@Gb5B@;h8c+FtP<9qk>tl=)lBw|U*vV8^ivlYx#`gon1;-YC4Du_A|b@(KGa&DuW&5;DvznLX0gu$eoQfXVQ?=LRc;S27>%$X z=^twF)$2&c*YES@U?yqZx!v(jJtZGm5*iX9oxH`gHJ|2#hh;To^8QhJ&%yrA%EdZf zvd%-Nwl;PQ#iq(k5<+q{Y{r&(TqU*BoQNfD-9J;!Q0%Hgd_N?@Y`-9S+wtv*w_d!g zC9|xuV^MTXgrWn@+c*m4pyc(3_~nD&>6+(yA*$%P*^YgVa}=kVUQNPop6jljW*O5n z;v|kKa43|>r_k+qAn4@;^K||u>O}cd588)<^lXkQMX7%Gbp5s!+m*zk(G`j>`)%hf z?N1Lv=T8HWO%I=kYtPMxZ{PS!Hxg}oM)vT~nn(wS&y~fuQj&hZ+OA%~P`taB zOveX2(fnBs-u=`C%%~p+tBFc3hvi4Si!3!*5t1Wdx`WS@w{Us-`*IptUQ+Ya0e5$n z#?Z3|-^|%B0oUu=ebL$?sYt;(s})60yIvGa)k2j{-}m=#G*Ls$i3y+93O9Ow+51s} zi~dG(2-YfAJ=mdgsA}QHLF_dCDi+3# z?SsWhGhTdUbqZZ>y3x5UZsBLzuKBqJi)L{q+k)@S8T-{ltGCME$1}gMvrHJNt2k+G9y`r zbxVtyNoXdu-P>c2hSh<#vjO$X(J$XNk=l;a1+@Nqx`+5z(5Oz)B$cWi0JlKjy-w=x6J6m3lB6A1r4^3y9A8?-7o? z7B}TRN71-IVIHa*mb1p17&oMpkBEC3k_xIDTsSkvLZzXq!)T-tlJdj8iNW_Ro#9#Dsf+W*6QqUF|VFe;3% zG$Z1Q@BJH(p|B$)y9jxfu*R^-^7r7k5$DdBXh%iTtmfxa=7|eMPuGwXJe%qFN_jHh!i+^L|^&nFB$UW)}}+$JA~zJf$LI=u|dIQ9f2i17MdK+2!AlHaW<{YSj2&1l*@BCGz|&Ac=3m$a91{8 zy?$IA4br3U$=NByQvNvbVkbv9%{L`Z1p2djYA#>_+Mb7R?}!yEGe z3c}Xa-@b?jD#c_!d@$&|CmAWOF+XgMRe2%E0g2tQ>LIa2v?z)5Zf6@$^~#y6&nxSN z_QJlG`wxkjSFYJ;{0tt+Mh%U+x)a3Xs10XQVugg;-6lFZI(+$B2w5^m%|Had*;Hiy zjANuCza_e(xY20v;sxV`_vFV6hD{2zaG$&6+nW4-HUQYHUOR7QK}UJv4D23D{OsyN zfphWOqy&ArPQ+y$u+!BL;~pWK^qqv#N%Vf))$zA#vSYCnr^Iil*Ane1dt^9*Ny|+M zQY^ns$14&0kPkoYWXRJq$DxZxusyZ$P&t&gdE&L+*VWFL>`uhlWNCq4b0!*fs%m9m)`XeBca`x+Jus)_*`Vc;Z5o7Wt2G;*q;lot!XVJ!vB#`q(DItYki4g z<*t~FpM0TmVJMXqPNmFRp3ZK7@C6e1j%kHMRUV#B!Q|P%^9}Hv&gU+kV(D|#D{%ad z*<~kEPIGGv-X=1-#}uI0YWk%+T08Yoza2G4L_n4jA$e*wQMCwWz0|d~yN1W+$jjn< zN>%4omdSJ&Blt|8kd)E+y9116$>S=5QDVxwYpbrt>TIj$irS%S;5>rHq=q0K-cAwh zV<(3B7EQ2mUC=vc$$$~IV#8av*PIj4pDE?Pvya!02lI4U(ELcPNncL4T`SKVyKeCr zrmQ)W#tKeywdxZgp0{={geC_iBncsMXsth~3q{ZhEYs*6;5U9Z0Ke9rkci+=?l?dn zvL&&ikj;;V{N%wwn68vTd0!GpMw2X`Z=;3KzNf<%#Q`v~0fD-upNzGPDic6tIvFt? zSTDK>k?f}4yn9c1AB_Ji9B{yN=5xJFd|sy)@dXupG}l_uH-Z6vhQ!e) zDA23s7i_P^Hmml{oi$4af1@}qS$G87+w25(pxZ~vXe%YFy%{9Xync%{L%2Mb2Kd88 zTXiw_7MKsV%p;WLc4l$dhaiorzQNe)7}shN9&Z}2tRS7xHrtODii*(;5<2ewLJcv0 zQ#DAn!_h`ajm1fMaJnYd#dpw5av1ekoBRhv{s(lQdm@N)qo)(Lpmh>VYbH73ER+~v zsutyi%0#o3h&6Bjtz}LuB9!Tytr<`Nk00*c&;seW4hqH;;quea__;yE)FJFby9YdP zByk1M#i2bxZnB8hM*GF6gLf9paQfgV6m1O+wG(hPhnU*^di7l99gSeSJGMu!Vl%_pW@iNP;JEaH6onuNz{Pzj}R`I^gwt5wx-< zW1d8sF=?tl_8>09_9~8Qm#@InFn88SbFg<8i{pG6Bl4$YZq0;30wFxe={((+Gq*DN zOFwNBwW-O-@FjUWz^O6ePE*OYU6dxJmbD%wf-ufPYY3}!!!YkDM_zJJ?2);~n%GVJGk3K;S7u{LzwhI%mWvj6za>L3+N2tu2)ZSdpJv}-jw2_J zJ=YnfIAm%gnf&sE^Vm{6!&`>-lNUq1c4lwq4rh15HEvl^IXArs9QM6-^?D(?QXIp@ zF*EtD{YL6MSKox|N`acG#yH_=da$ZzvQbm($gRL<8lm3%GMmjSe!{2n#1l$%H;wzi z&B$|u31%NgR6;%Q!tT_Lc+e}SM(nN3KKkKdgBuMQ7=y4L&p2x7eWeWo$*}{Djfat4 z0vuWO^hnGGMB7v}3*0*+Ih>s>l|>N{j||FKU!p!e7pkg@hfoKBMB!p;7*^}d`MO$9ud@@pa>GxF7ciHKkICWG zRFifEO9BPB6~f(y*JwO)@{1VO3gWTJiF zsQFg97`x?Mc!t3oI~_hpka+UtKwpx$CozR(+taIuX_neJ%d;a4duAW19Rh9UzH_s+ zDroh*?0!8W{)rDZMKhk&*PpmZOO1QO4z~OE&6;cUZz5kN6ze@(z(18aWqE>$$?`7( z6s$ben23U=f-gsfv9d?W1x&fSHd+xQCf$?qXSiy+F+sIonCrCl$z@?*t97^thY7=L z>*?f7liL+s%wh1ZQV{qt?Sel`PK6MjE)Jl6b6yF^NNDh#O9+_LJ?kal_vydTyz}%5 zrS~i?cg^d(9Wx(;{M2M??le5?x~TNqd;Oj17cr*dz-#H6YjHuzK*6ZlXSAx@E9E~R zJHQ@q1JL`<-&aH5zPWFI&|XsgP{I+bOLhsk^w679!|b8c@Kdkl0qSJFHBtIBMOmm+-7xcIB1Uv67& zZ5IfAEcu5n;CJ?wWyO1m!s7jWELsw-HtkEcP5MhulaO%LS!|M9~+#oGG7gw7|b|qTyt5lwk2n^ivfHX?;!v2N+u1?$B$N zEr~DW;TpN>Pu_Pn7{5`A_3BehT|_)FeE-@HWr6y0Uba<4Qgk)BUR=_FT*{34m}ede zi?&0xfx?st-~9qP`51C)CCd0gPh7s`G}4EwbGEv=PF-C%*&P%qQ=JlP$Ly{yUAo3d zDK04!hcnX{>;b) ztTyz|ua)KYr+WIpdK{P&UU-JS+%J(9t$mur#z=@hRBNzf>wN0!NfHO*3d?*=^v)Qu zv$0Cx6LtWeYA22Sc;&dQsiC{HCI%;Zt~7y1u_17g^X#0lvq1GjAO>tD%H%7P=oc@s z4a9B0#jY3@7PnYMrcPZ(_#aLccY-mzjoq-9%?tJiMZOXGHK`(@ZC0Gxdq%SFlJDL! z%v@TJI`#yqz7_GgW!A${-s(eZ6>}+-Y}6(K)Rl0Ep)>8BdML z8t#oeX4Rl5GRGo))CX2G2QS^UD*TZe!n{!FOCY0cUF~! zB3SK{qRf#-+%+sKN6nqA=`;oMoxn({#TxYSn^SP#ciGAi;TwhY76kBq0sLiV#-_Tx zC#HQ?7_3x2^}oFm{7Z?K$j5HCsGUAg#*L3WNODc;l+VpZlf&Igk0h?o*AOu|VcZ8W zrf7;VAy!bQZEnAC!*p&q^7JLurjw|f25GWA>Kl-h=qNB{&Z!GenuDS}ASNMPI2&vO(b$}o3Fn%8aKO2v6R9qXMR-*Yb2Zqa+7_{drx)3FSG}VM*!|ZRLtL!U(3} z9@n}-)e6Vyy}(0z2pw4{q_7&MLS>iZBZAVS=IZu#Y-bBvk-ak$#(}}`1y$X~AwHa* z8or_k?SjIuy?pM(CiiZyRmcutVb<{SK(gvx16?oueWxYi$)>r~rcut{LDB2Gt*$JorgHLLYv-LB&>v=d z11rb{`Hma@Ir&m^{0v0T4)-SMMjIoe!C4JlNvbKkw9^F+{zA1Jdo)-)$ZTyGJJFlu zxb`4FY{6*!79HfX6=N=t>+kUa>!>f5j=G_I?>DcWEiT`0g&C_pqx-kA)th+{DhKN0 z`l`R`g-N5Ab5jH>&jg6{2<8u;#&77HnIRLn2k$R?b|C+K=*ImoFq&fc zVu4Ms;4jklw|Jv}Q%$id>`j|aFuU7P#}HGiUs^yX+nyMqR|L);I)$}eJL*60D@7k~ zpcG4i07f0p=}sSzOzsSNwW|5rbQiM)eN~%~x8LseL_5J#st0l_9rYXX6Z8iZ*8C2N zzj`mcl!zJno#J<1+e0*DAL1Z=sONcs`NVpnA$(p3AnwW8K2e+~09M01f_ojv9-vrs z1+5j7?q&_123&z`a!}`4;72_m=Rj3I2=+^4({5mAJ9vXE+)BfW#_aTs-@(*YN zy4AL;Cj57D+xEEt#Xkkw&Z|5A>7QIs54zIbywX#@@ZSx50D_0;pouq(kmp+S{;Cxi zLmI)ofm!oh#z(yX|_A!Ke{|b6c~6c&VQ|rIfGe5nCg671wg^KEJ$VVAd7^lh5;Cf zY)!`L_XbNb_v=x>EI!Y>B+#3#e|p3EXnFRZktjLUj?TUiJ^`Hma-Rn24g-Y$=_MdU z;zx>0z|91h#Mf>-BFYgWK8v%ulCBAuuNb^v`qhu>3R#6iI)GJ#ATC0-R|#b^M^; z|61Zu&IaNAQUD_EssF4H_|L!pPl189U0@tEs~&AXf&0kyGpF$mEm}_&0np^0)iZXj50t@o>Toi2FhQ!EF;|RxPG?Fi%`TD0cL+Z zpwY5_8eOA2=%*n*PX)_8?&Kh5K;L?jKi%58lJ{GO86QM_8@17>+}U~Gb|sCPF?4>zH;bNo!Y-41 z%RJ@%K30~GK}+p`7mQ0jG~nGkAoOAaUy7gI(peqFazR@Y>~9kHECYiu=e5pLZ1B96vmVV?Ek^etLM8 zM=UUFy|Jqg39G*`p|adijs!qbd4E7jkPBHm`Y0$52NY-kATb7%RwRP(a~l`$(`;{B z^AS3diH0rseD;BuNjw9nnGs~^8nUPay*xF&%Ib9lhh$S8KsE!E{u&A}MUm)0>2!*f zf{iDM-k}OLbw{~TxCy)T9&^a1v@H-{bQc7`xRqY&5lMaOs2HU<{6*0GZrTH7tx0sW zyX-X2n4dPV<-F zk!iQ9H%$L*Xsz6T4@eW3={SI$GymV7@j>oA+V&t@Dr@Je22hVpVCI3&%RTQL|0%x> z2F9(Q4oy3~r?`9BcAf{kX#0S1=2-*C`6xi{PM<~h+$A~aS1{!73gV+4Ex!C)InZk2 ze_CZh-~=}3-hX<;dUyVx0STXH|Iey{R)zj;wc+Le!-%5(Q#T;%e;RcVcu^z#dyx>h ziUHmcxrey=!vNMz>hvFNKM5hBUqO)czeIqSzR`q`z7EVv_S1kJodom!SXZxj)GuIa zVj*2krwo(AJtHJMbyi#1p2#KiBNO1fkQMSnmSsee;_YX4UHpHI(RpOF8B-1Gm=_1Wv!tQMjv?USk^DN3Y_7h%=o6YU5~JyM)f_!>|eP8 zUVJIzJmsuBW+BT@Ka~pQ379@u_Ma%MF9J8NN|swxcW`>@5ck#P%}v~d!2Nt5rDorK zWi#lmR&~mu(TwiO0ZCaC4vn-CFDz(@X%xZj&B5G=f76e8W<6-3b*&SpN(PQF=FDlg z%olw!L4D`I=4aIeE_zFnzNUPB2DNtWA^M!_=vQP+*h>8-XZGY+ThJl|6nLKaCfn}jM(o&EK1S6_GkkTNSxuzJ z5%y>{drR2GhozMrS;Z1bv0X0`r5YbsMFB$bGhSBsKka#cEOuys! z#aiob9;2ZFku#=_ZV5~HlcCb@gs3?6IIT8r`aEar&$~yVk4kIByF0uE!_ou;oy?j) z^$n=ytE9G!o$c<`@GhZQXQ&a@#ZFC0lz6~v%=^V{Y(Z!j;?RpuB{b7PceI1#c#N7? z6ljez-hNafzf5G?$!x}gjQDoqwN#2?ZL+j#U&)7kquK~+xSYp$Iid2knT;-!STu_4 zry zkknmmDQIn5OR8l;DwOnH+CbmLpL@K)hKwE$hW4u%-G@}A?jxtZ2bQ6Elkqn}ZvNId48p0Lt{&Tn++wo4I$yYtM1 z3?V>lR5)#p5uuFBGOIsG4(5Ibi-^Ocd3G_!u3Ig&rFcTiEnkevO}s$5%XwlKBrzna zGiA2DrOs@^9E3uV6WO+ngE?}B)ykV0fp z)lN;b&#UZM)i2{{H~So3rS>!DA(RCDZ#|OV9O8TtLtu;H=1?5fXM2YaeI zVsz3Dk?lBr;1&e)WY#qXvL0E|B*;`N`8Pj zy!cX=+1zcd90!OY)6${1g7ji)5EsGKZ z3~K7dA8X}PX&8aOiN9!Ai>y`da(~Op9sKy%YC)a*`5U0--cK{De9L{#A}3Mv*V8yN zj!LcaG+@1}mHQYgt3(eF@d2BZ)?WylF2V+_fqygfMH@$sluz5ziHa zKvlBu`=B}H^0InfQOs9<_gc^b?KTa7b`bLrFaCdhem1yr?8jvV#D43M`1Wl?<-`+Kv*kX*Wsaf=n1?BqG-hQiW^>F81>#xIiiX&7> z`kn_b+c|0Mtb*V#iYxd0RLLewl~k`t*rvDnDfG%MC>3L;R}s3O#M=|KzOA#NTL2D- zRy>lQG4_5CzXs8>(r}xh`z3u1zDmhv*84D)G2Sg)b%LiP4J!Jnbpyw z_FeAj+KZr3F?94JQon(t465SVe;DJ@BO+^}eZ?aisadY#?EcvwW6Kf$r#xZq;yAP zD~K5;O)*X`ig(#J*%nv(qk4+5sv_c@M9u|79@)h+1uvNau>FB$2u+oo?u_?@fY_|> zx0lwWNP7AfmoFBXbiEp{ zcB|F?w@<;5#WOXgt0RP0i2EU?FEYyB?GkM_xxx~gehrHKb#O;V z8)iUa9yckk_)~Hre@pforY(vglu=VP*^z;KU zPsbX?cPlnQY3fv|8H)?8RMtFGwS*xi%Q_?+S*qaM;&89w;r#CCg~7@PCI!y2;uwK%!jhc>%Zfeb3`0Z6rOkElHdc20u7fPsW*`ndxx-}d`?P6V z!()acO5-5}d0f|A!&W~eCFHb`y@mEw-v=YzXBLNc#D8f~86Q0$SUkMPZ=PeUOL_}) zqvWk4s6lppeCb0iA6>;#7J6t{ZeL;i&fSgVPWS*n`#ybs>0roJJhGZJ-8YQO{8Jf~ zd=lFbWpvZ*k>rfF*2EH7wx5o$n`&95XC3FLqnt~-Wtbs8=0?$#Zd=W|{$8-91jIs) zEy-PpX#iZW0m2U&NbtMM{zdA5+l$PF!dCw?9dU)}K2c}ain~q*?yOMV_?RAb6p}d?oakQ0 z6$;K|984XP_~jJcRg05)$CQ!~+NZ=!=9D6R#7+%*G6P()GA#di6 zO20l;WyJoqHgD`X$V;a5x{+~!b7mdfj_%h;3i0UM$`4`pm;Vw-WEaxk?|i(qxL?v| zqt|APj)rDPlVTIMz7=-m+cz0x5|2ar8kz3``^=%JzuZ&Yrb0p#>6dhIsL+tv0wg)g z4!1kc?*M=JlVBB0F-c$@xt_OY9E)U=XE`xa)Y>UtYFwOi3msp6tAawMPhTuodSFZZ zNtPBsX5liS`#>28S3q^Am-*rc{ZrcgzG-Jy?J!?g$J5FFPiiVS1_`ENROsyPqLy_HOKW1L)jVjnz}_EPJIwGAdXWnu-HhAYacbv0ndV&J-I z;S{z;BleP_B>&Y$xGzHWu`5Yi+_`@I#5)Xy51Xep0hE?vS$lv}Kiw;B+B;gh)DO(V zdA=mzg$XwdN*Wnz^g+)RS(}Rw_;n&N)H1&n4yz$~GOM__CDcDq=mrEbt2zv6a0q+vHyS4aZ!KE3Peix?XjWy5`XQP z5x3uDDZ=lh^R;9KtQTP&Rls>%aYC6|;kA*9+k`J_>~he>@`G*9+PP7eoGWfE(*=Cw z#cSl~DiDO3=xjFD*jqhK*&u8W=Z*I@GHEkj?+Od#)POsn7(%9*OSA{B`|e_8$aYjU zGq)cbNsVj@YGVo=`$JKf)KK8-_N^Nd4j%8Dhj@mC7Gc%?outkU9je=n%+?c$?(CFFrDo<#PF9E*G*v}Bn@LEBG+Lg_ z>WjVnEjr`CT%cFkQ_&l3=BqDO8oblki|ctN<9kfF`OwDc)p%Mvqpv-tJ2q3h+$B5p zXdWYucD{&F`_(YxU_`X2{tpq!yb(NCn}WF;G`*lHcZ4=q z70%e1Dj7ERkcc!JJ0mNZkynnpz5x7J2nZNSJvpsl*B)E-iQ&UADNj0sSx(QKAED6jI z4Y%CZgpRIoi-Y3%>?u7je95}HL@m9JENy3!uNg(cHr1`njrIe7K&@rjbW}&jm?3dk zMcl=Fp2I@#)3h#jL`;HSK2-N%d_d_FB6M-6w5wugs;3={Fq+2a%<+|7yf{14R_bbk zzrW3PS>v94i*inmpx0kDHg-Rx4T{x8q4-AmX*tJlpEyKnJWRGYY`pIRB35nWL=pCKfj4$7x+%T<_|2{rTA-iH{*SPS3$N zSy^yC0F#?=9{_~ZB5LER26na6tp6%w`~QjkD7H(Y$oLnq!N_kwEWk*otV-IfbGR%bRe@gQB4h@ z*Wfb5?hXhTl5(OY^Bp2TICR;mhyg|N%SLe)sHFP!2#1NheuM5C_~yW*Br>;Doi5ko z*kXK5=2-YdVXC6ni@$)D8$+!v)S5@^*TM;MQqrY^r@+Sjk+VE)-OomW!~cu6w+w1? zUHeB9+_hM6FIwCkic4GEOM?e@Dee?^C=SKl-QC^Yy+CnWCu{A!-!t!-|Cu@G!~T*? z^1x&!FwcEmKW(+WcG@N`bm~-)SDd zT2rfUU7iz(g@|G#6_*#q*Sr62NKP=BagFKfIxAib(w~#l-PeHX7K!rH?wVYjfRC8u zuF0=gQV(PLo(0GA*Wt3PvDN?^&(e*(N99Ks_1jG;n8ms_@(GB_rH)q01USPa#y}5> z@Kbr^@Yo19_*(cBTpE)N?g&=6{I1~ra;UMumNpEDh$Hcd-wUJh4eg+U>ESb1F!{TMkp`2tsh>5j5^#B1>Ff(2v>h<>z zvi{6**1Qow5JtCvNI?_cM*Ki4@#n5hCkyC1ec~rWN8$SM3@RbB9)PprF*AF zr?J#h`dxhRG!CPXgWb+uaDq{csZ9+?ls=dSy9oF9dMc!^Z?ZoW!t}Et<1-^ve(tMI z0yl)x;<7XK^QM6kF=5&R!P+UYOu;^6j>y|iX8bBa^tWQ!cqRsH_ zgqmrN7L>onC>qcRRA%;tWL2>TnX2p8Igjc%${bbch{0W%V0(3sOfpiA=jBsJk0z&wg6wg|80BZ63Aey-UdLM(-Jq6-r(V6%x9-wh#EkO}>QpAGd3#ZgAj-uC$!eq}M@>^z`yC-k~c4}7~ z!lp5b#}tngH{?f9kT=3-Uz2^zWN-j<9o?89^NT=9;A;lHIuspb_@}y9`QK8R|G_2y zz7ockP_n$3mddhs35B7|^>?A+4DLKbyGlm#?6~0u5es zT%)(DTG}fbDVo>1l)J}!xgx+VzrsJ^YrV~l{soZ#3-BjA?4;S}*zi74o7N(-_F0AL zl?mEI&fxt&*UREG3c)A8)f>ikBONm@Pwrt3Wr>wL6P*KsdS7*L=LcUDI#2vBVC4VC zHWlb71-`{)fu4qM7fZHph0;CB%}rdIv+?VWFfx+G-&nk>%$(bP4Cn^*TUlT&H1Oi? z?=?l2H@V|Ge{bX|jInWtR-Eb62<{vXZJBdB>NOa+kFp|B~<%QhR;m zNqEpwzEQB99s6+dEAPhALkznK@weSoBazwLRS5j z*y2Okxz>*PVmbHhA*ijZcjz(BHcfxj?2fp^;eL~Kc>w6Joh%tn@+S+kWd{=EipqSzqDI&3PJByFtzAoUOXFVv9_rYa zO5{IZq{y&!xlLuT5xp-OSkQ9|7ABxkCWCJ^udXLe`YQBfr8-3V6%cWVvhNE)#m$01 zo8=NT$OHs5z`Je5q?)KsI+(kjbsVD154d=sBVA>Gl-%(7L0-n+Zn_qmcDb#qCB?;q z8g}`>nkNX;);ekkRlXx?ARf36I`{d6oq3u!ewYXUY_1>Jk~-O6e7fkar4X**(b_o~ zvas7-eJGS7`&o5B6@ourrYIP+d(QiVq`MhfITTRQ0r`pxDkD)0G1Znn;L|r~;ET-m zRD5yP&5LxC*Yv39*Aw9U*G@VDZ6Qc@+>1+<|B}-wC!3H^`&?#|acsOWIkRN7f(-l} zRHetS-4wz^RxoFgkxRp88M+)UId|B~v6~3iS05;7;pLw21&O-0mE$H8s5+7;@zgbr zy0VB0tAD?!wpBu01nZ$jE!m|!xHAg~B(E@?9Ddco^AKRBT zyp-yT=9M7;kRvzK^QM2-EbfKGNm@Hfw*g?Px+rA&UKY$$hMd-Is3n7C$~pkQK-{Pm)&3jETIkZ{7hZ?<^!+>@V{OPqsAfz9pq6b2{oA5|F82 zh@xwJdg^x};r^+QVefvX5N+$mT!0W>G3j zTDFG~$Jsc%rb5k#OeY41nT!VyPYI{{ZnBpoNq-a;F0bi=b+v}WK#dWp7S6+600&sX z;bVDb-Mw4mm(~hUOYQj#7iluZzplwiWj$0f$eqt$4qQPG%5xnXnJyGK{PwY9^%SZ^ zknT7*y|mLCfBn2THTG@6cLCpDRM4J$t)(IZTtjI16*vIIe$J*H`@`N3EGT8)86J=m zyg561t%%L>6ekG-Q5+a^Kiq-zNF>p$Im83UONlO^C4Yv*p%jX=u?owo9Rq};vQu4Q zf2476VI)hLo9f|5`^Rs}$SthrtD3kg9M=xoTBB`E%*aL0ZudBPn{RNokmGc=n>*L? ztoc(jGhC`P7Ml1cFfeEuyLr`)D;o~d9`0-BIiyBKMX4@ zOtq9w3MMY2v$XR%NE8=}J8Obn)hf~pjA9fvkm2kIh;BU)Ka~inK-01g29j+lQ~(1- zfmlw3Ol8JshX~#(aG9tap&EV>{n;o=Y$QP>7a0t8d2}{3D|zCoAlQ5 zHtq{nEJeo42jBESH1i~FhXmxE`Uymhx&QpuSL$;msOP+AVWjO-&!5$x(Zg3GPZFt9 zAxwBW8ymi8biL@0)%#7iHOH?ZrDPmG{{mPC&es-!4L@~UfyrL;5fI)igkBQKQWrOk zQt2>q){`c5UuAZi?5twn!10%k?2}(nD2dpf)l0Lfa1{2EO4NVv?Cx1mp!q*9Xq}&g8W%8+&7N{BUk%?vMawia|JTbeS}soHC8g1R8cgXY zeFP`!eSK6Yy;$bA_H39ZQHU}3DjQWIRZeR^H*5xpqoybvTBW~f>MDQ$aKmI4UU9`K zYVJ`6?wlmmm8GmiXl{L|{jX|A%Y?cYL(`K0gt%5kB}?0GzP>USq;m7Ge%Z)NY3hhIdCpR zi{5dFehV7J!r@E>h3Efo<*em_X)XHC&Au)&TKLq3-w^AfIzcgU41d4HC4E^6S;)%n z9#BJMRh-4#j&=afAwwcXF&djdtwbQS<$kvg3j)fVDRfa9^Ip(wuAbP!Lo;J@W^#sI zn#NrxW*z0Q;=550^S^(Xj*;!i>%aahYQ;H5z3Wr;k%XICjNVFBs~oC&S>LZ0Xq&`*c7TGG7GZMn1#(K8rR3L8`9qlN z>nHuT3hI-|mom{E=xC)zAf6EmW}(dYD+upoPyY%drSl*)HsyRzpE&v2@Oy*73;MuV z`J_VrZS>yV72_peoh%hxX7qux(%A)XNzueNW(ptX{p^GZv6rS~o*^K5#?@nHw^b4( z<3B%ia||xCLjc;(b{o$xSXbwcRFlKWQrn`ucX*8OOL&FN{gsAYt&`yY^Us-Q|3n#g ze@H%rrcBvxF3=3q_nI(0+Tv8N z-Apj>MEGa!rwA{J7oEpKrun?HHJtO=L6AJ%NB6s`>Yxbwt;iW}vDexd?q}L8D%|;n zGq1DU6>{yW7-Z@y&2Q+yf=K1}%X3drQRTV;2oLL^oa@mqMDROL> z!|Ic0wdAEjEJY&}r=^M0uM+nJd{}^3EWaOfwk6N&Rvp1hI^VTlw{1BJ8Z~_Bhf$)k zH2B@WzS;LrWa0ekLZeg_`f@RtKr|#TpebG6*q*#_Cj>eZRPdG>)rfZnm1wQgMR*EJ z!%~UC9ZmVTb{l@dlOiiYwv7AsNiScJxDA`;yC8#{I>+10BH}cL8HUBwi?|eX?9|a* zSx1}h*)56Q<|mk4_No+#6WRwp=w@9pn9PI5KQl|KZAuFDn`Xg`^2PzD2qy1~z_0p) z#p6}Ue2rR;KLUH~s7v4FaWD#4wA3*8pS4~%GNBWT35p@`U(Lg!a*Ra~uN~T=V?qqO zJ;k2nZ=vHgWUX7*s>lyUQg*iOx1Xv~9hnc%@6nIcxG zn@T;Rb?Fi`E5cY}%Ytb`H}K1yr?EE+ulCckuoYMif#yYiKs3>!leNawTVBLmUJaon zDlO-P2y;3xj!ssf#B?wQBu8O~{H0;?^Zp3R0HIwMd}IVlP$R$%^g60^--aVj;f|LY zVpqHuk28b)d)Rc+B2J1ib(>)irp^GRy5@|(UWhNCy*%}0#!deU=stmXlh(OQ^eA!R zk)*VAZaxSxxaZ?SBqYIo!F%Wf_7Lt}O!J&4+niu`WOa#`&A zgXOLvG7VLuO{u@ROAmSd?y6o!hcGW411?Aizo3TZeJrPP40I5B_(b_f{AlPJ2X~@oCN5_NUkWjVw`Ji&K6ZA^Jm9T_NyISPSOK| z^aLyS_b5!2X9Pqvr=B!F546u4YwQ|Q_6^07C%V2OAsB%8&?zn7Mh6AXkrKAlB^#^6 zw>6&|BomBdLbuPB?y0+ETu3F7brsUM>4WyObUb+MhxyeM(iEf@GQ?3A!z;~{3wjjN z==+s~IClA|%a6phiKcR|gmLj_27ZD*Mw!F8S5%ygOA^HwRbf71XfpPeWMu z8uHYibf=@;RPUFwVo|KdAN9>{h`q~F+XzS@_rYduKq&Mgn#unuZ{9HJl!-%Y$&OA= ze_c5V7Wt*YV&Y4%_?K`FF%))YtLFMw7Oap@m6OUEwt7Qe>Pwej>swfHsVj6p{_IUR z;O0=Y%t_{qQ>7++)JAwe#Ua>HG79gnVz`=rcv<#VawaE-2v4l98({*(Me zgz~qQt0=u@q=+Jngu~g}fCW3qk*7F2EksiZtWlr+;EQkMo+)qZ`b|TzgPr)Mt6_U& z?E5w1(WzHv`|4ezYXtI_r75%Ky)s`FhlDKL`vUR^%ynauW>Rg_+r@9%$0!Duf`R0Z zP}$pawAs^3?10695&h?bNSRO0Q4j?wJWtHN=I_}kLP{3b%Vn{`LMb;LH39+YJ-xbV zG$C#*{MuT%0*RY*mUEh>00FDocVW>*%SbgG!uS_q*Xp7W%ym%@det{uWfK!`Ci0&L z4!c8O*gRJfYD$yo*D_JpE4|-(ZN|pQeq>XW=4IZ$UalVC-c(YC<0&JeKbi~@MuLXv zlB+rlhxp{@zWEf8TbG2Xhh z*G-*6q;loTG3|(URtpCaaa|(fM%nV|es!)_E7irl-C1_vseRZ@cv;8V|1k1O#ii|s18e$fBMr5ZHvA~_- z#;&GA!YnR_jravmHZI~-@meG?LN9GIuN@0q9*NBj#Gszuq0Qb7B(n zgsYl*J>cQ$>m1xhw5bve1}QYb#VC}#>9_$z>YwBZrAvXfF0S}em8v{^()q|Jm?&_ZfG%+)$cSk{6DQN=A zTnvH*k~jf$13@F;UE>AH{t3v6@N)u5gT+2#=$9Rav4R5~SZDJdOYbQQ|?o9Ey$+6yEV`2j7x9iHU zH{T-;JQ9I`fdV|nB?CzrnlAkig^qN~cKRk5OmbRs&h%Y?lt;Lj9s3jHswI+e(fp9K ztFgQGb+l^o%^~_>@_9E2-$viC-u?oT53C!!4kPckIe^OKWL|7vuSO>Lae>s$Xox>P z$>3O2xDd{}MgPyWH z=UgMW6QmI}AL{_gam#+vL;Y|Y#=Ed9me zD;@AwE=rBHdJG_aacjGpfi*Nzfy?}WKF zsa*^F;v&r-zCKG!)GeABk!ocXLFYiEfIPm4$E9Jbfd z=|$pmDtZk+P%(OXKmG6A6ic+&<@qP5l9%E0EBJ4;qu>MQ|9VMN(J7Pk)cpz-MXRwG zmO|Ylh_~S*|IarRFecKRhNmT%2+4RdPjQRA^h!k)bg9D-ErMFyWf>psBq`yJWIlCp zvM=`?8)}vwk24pE(J7`fS(p1EGtlq$lep@{H>>5Fv?_2S8LOoDsv4s3 z6WRQu`FUL+O0Nu>CBoi*S|Tk0&s}xp1wBX8`M}^zULb-Z3>^V@p>w}~vg#9ftN2Cbbpmh1M^IFBX;F?Q`TbEbO+2lfct$zv zqxYxiA1{Br-xF<)weCNDF7h4)UNRms{gD~ReU$$WCYAqv!fjsDwapT6$wXV``4qGr zVzIQu{=OX(w8BU=rD>G&0`P8*LDi^JYg-c5x4tMG>CIqyQRaDgZI01FPiTwiqc_;stz$=Shpme zXh0>m+@ho6n;eZ==oh*7`A~3aKI>7-r?2&`h@~Ru5Gx311W)yvyMJ9i*FXvqb;M3+M$d1yhiTS@nsCJNl!ukt-P|t zuBUZ@)WO(z*gXR8O;cNMHTKJ&Ir&alDnv^@)v*0xFXd?&z~ZJXrzy=qxZeyWw_kgm zfO{b+CTUD#?id}lwh-yYLiORbb=r>5hDYShmvzP$BINymgy~j4n3b*iTAr$%(v#G| z#2R-Hn`61wQ+@wwl!e$p2bm0>FmTPTKQt5R^B-{{4&WdY@%(#a|6f(~B=jFsgEzS% zzg`!_$s1LRbmc>zP#RngrtUe95lE}Y2Eu15Q*HAU&R|^XQqHrbY#MY4(C?s**Qz6X z?oQ2as0&b`ZKOVYW~GKWN)FJZS=PPU>r>}TYKnJT({h3_L?^pGgj>?U!CdikU?F6Y zjSvk-S2Up*X}KJ?IrdF$v|x8vJ8D)}GQ?rK0?H_H>*9@9o~sNX0B1y^wl+2#Qk>Hp zx(Hn?X<~FoM9B1a(eLy%3!b_u+hBnx0tri82noDKGgS=3119${&m`*;G@0Hvq>MHi z!p3QL9FEH+cAi2&c*CptXkG7HhZC)QH$UdU1=C-Aw!jgdwarh{^SF&wJeybo+ zzut%D9bpFNm^!&yDl}C+vsok+>?uzM4#&vf$TF~# zS&f$Oc`*@B_Obq9tOcL2ybNA&Zr4TcG@+q$FfNAFz7?_l;H2!VvnJ3WSAeDMiqURz zy+S#o{)HZZp>3xb=Apl@aZ+H<0<~JY?lJLFm3`+`g@9&t*A|8x!;i_u_=nO~-2KI_n+c(O86W0%QR6ZIzA>@j~pA z$-DKjK{WGd{BB|PI3}$7X{t2ppJbdxN>MSL2X8xz6(?D07UH(ES*H3bW)td^hXa-M z#4bmhq|785F}Y{n%F|s?x>mXXxMT1$>_0&uLHgMmIiqe8vDjk4M0r!)V!4mB0)q+v zO=;FF{YNC5+F1*St`ku$X{H7og%?Es^-&{FB-F5{;n`~g=!uq;OaPc79t%nxm-jx3 zyzFXV2lgmwyLy-z+I$tnC>PmsM|G~)0HXRo<;*y+XIM9*JL(;oV_Xops`9V_ml)ht z*%_oCvU!*|G=fSqGVbi;pgwOo;UvtLGxJ)Dlnl}p{Ais%p6WA_CJQFt(WB*M0uQ4o z3TzrLEcsGa{{5Kr2R9dE08%)CZQ-`b)A`~KW~j!#@r{+H+JL{@($uo>kj}|p02T&$ zz|ivV^&b>4It);@SR4Ewa=4HlYJia&fU?SO$WN*F(f-kzc0`1IF#z{CZ%C!t0k!^dAAmZ8FmW2kG2E9WhG^11jvXC1*S(nN}{n+-8F!9z>$?lC4$ zM!~GaFco63ohL>Cyw<^+|DhWYuu2^%(HgM5oF^^$twyi!{g017pyR~)UjY31B18*q z!f41-Vt+n%bsJysIGw-{y89uJ7trb84ki*{cd#3Gd)A+zWvOk(I zLM?qKR2^a7452Re5%LB)8`cm%_d9HALuwHz5Eh<62mYv1S0nN9`!(NE6M|4QvCyfOMf$RH3t#u3oYd7mn#{k{FtaqIA)jws2 zK9Hrdk*=sZi!Fk+^nk&9rZdKPAJS>(*yU^=C`4!I|3+g?2x5FGeDx zOQ+DK65mVa$93uPWbT+^s}ij#7pl)0H}WJswQ5&YdA+BP`4Jf(4fm-{JHSDzLiDCmGxQc$diz!M8eae^?|7(t(V%Ke{5#mEj$$%l|^ zP29P}&?_6%yFAT$WEfS3O-#uS8h6CBJ~nmMdad#%nLB0t1xPYcoOdl+PxSCznC6iV z#Jy>|Ro+JR8g_A6_O#B3vWSLd)Ln2@8iAD6l8!-`jd2_ON&6U_BW6P)p3{WKVd(o3 zm~`|9_!OhMJ3HKzp}AcRD;<;JWXDYzlP|x`v-T#E;_9|lfT8cJaa zy z!LWw&%s1@ftU~d<5|wjyH6C>06@Mr$J%v~gpqc2xzE2;|GhNz(1VswBHmG{;fnAPa zfnLH3SIT#89~$Qm@9AH2G_%%PUA<(FJ20TDDBn};taMJr^VDpMT%rX*#!=H<9P8kx%tfI>M;^K`)wvtpBVL5>$weU+Jobo9R-COXYDmQ{M)ar&e;q^f` zD*YUWoLnN}du5~O&KDN`r8}G84cLev_uGbn-oSjuuM~bgsLOwYbH6eim$pE%GisM- zj)(R%K}I(}pJLP z*F5BTq<^4&^w+apQZn_lg~;R*VbdRv(5sznf|D8mu$s77^&qEx2T@%C$Td@guXAjO8B&B`KJrKBq=;Dr)qW{^2wNCBd#I&&^X&n|u=9Du|3t6Ff zLmV)4-d$y3A~9(!FI9{c?3>Y6SBIgNI`%7hb!Qu|FnmpqidVznu+ZzP;|+q?9+{?+ zZcro!38^ORboKcXDOk3uPWF=4)h+SKnL886D(^`5nQlj*kPW7oQI4ig=Qlmn1O?r2 zp%f;xJNN%!g8C0P{r{h+{@ZR!h+RT_mGXziGE~vQ&lF53wwDEuAsK zV24eK(AJ>cy*N%tq&(Pd5E)}zim0(DD?BGM_~~V;;8|BM*&NYX%Nd_QO41XLWCEMA zUr)g|(R>vmhQ);`{DFzxpnu`|p2=C7d2!Kr7e19GMoA0{m zh9=gmLW$%{T82kybvVkqNefyN?{Q73UeZ$51tK&FzD&(Hv6V~6$-~82RRVxw=J~&* z67sW?K_p?;hA99jX1?sJG&*z+Z0@b#0HSH*11 zr6ZI=5}jInvzHi}IC)psv2tpD#i|QPjJ+*H>1#J%GQBbV!g z<~OPfy_NeYkJur48Dm!H?8h*V?;W1K((z+EW9m zs1S{Bo!Jv1tB&K`%cZ{eyuZ(xBt^@<&FlM^+IgmI=^%n&xStm}Ux%~J@vi0GH3q`m zpH;9IO_tf#ST0S$`lWK7Q~U*hD?N1=lrE1((kAlgbamiXbGMj`2qQM6Kq{F& zxe}?~70Eu;V{+<-hXhc`I3Fa(5UTi*hu@?{*Fklfy~l{Hg!B;ub;vk@A;?n(2hOaG z(nu^c#Bh?HCm}N)rB4AQ_gq}{(|}2nNiVZEXl!JF&xwRh@*K;6k%=)t@v`)fRH&X3 zod|2?0$H&))5*Jx{9*bSH33nbUuEtiH7u-gDu~;cKoe)H zNrXLKP3UoJyO~ZNdRa*@#|((}D*utCO{SHC^L-p@3J`EafV$Uc@6qs4tv-?p05H!v zIOLlSTW1S}HB~&7K@{1AxN=Xf=m~O{YVwxuXh(uq@q>ZQc_|Ki4rk7d0)q~E%9+sE z#2sGtR4(Q9bDIt<`NmLxVC;Md1r;3|apU`mXYRygu#SQB0wSLP;8L@i6|KKYWAMuX zsVfmp%Hq|hMq-{-i&n6X#R76he-GE?v`!(}YH9s~wMBK8FUA(E80dA#5wx3(Io_K) zMj}bEv+~S^;5D5$#^gqXzqE>)E(bC8W(-PfDYUlZsH!5RZs$+>@~$ms$iX8b zl!@c=@(9$-?+)CLWCQwKB#fKwxG1EqLQv|!I?fFG!{RE;i1y9AA< z(cXa0vD_aX=QDOD|7Zxv(H?skE_hl&eK@?f6ub~OU16O&ju03z_G~(o-lw#ImQgtv zF)MO(`CqrWgMvlEQ5*}wzCu9rgs~VRDzNiTkrt0ZNUkw^V$5M3WX*5cBBI2{E9(&c zpjd)%`&>-=+$of9qqEF}cY&CU+7^7!z+OWH7K5ZI+9A=p_pChSyh4fk{?_ZjH8%wQ z5lz==It_qpM=fObBI+w-^%y=+Wy<^#_L@Pf>#DvB%N^e2R?#tZEH<)j$)OsHF=Qj&WV(iKY5E>Ltiz_jWA04h^i;WR9MA$sOV~s#+Y@*Z2gz#7HGE zWy&Fz(xLo+SjAH+LxzNYBERn#SfCw%`N6^D4#1KV_mKMAF-J?VHZs}dm!ChX^|)e+ zqiS1doUWK;EESweJ^DJDd-^JIs5f%80r~2vv%~)r^Ac1h5};Mf(|}EJ0Y}Ddp^xsP z$!yA2TnWE3ZNwUfFgufgR;UERr5_gj&}dkeU3BGS7Cl=s=-*v*p`NHqT%;PC zr`!RrO+p>L%6?9^n8(<80?$>Rifk=EVwEpN-`nsp+md{FO#L z3ZFpssa{hFaT*R0OEFb|<&pya0}Ci&AG;U#+P{{vO0dZb%sy6r=La7k_FWS_cClih zsX0IS+IiYT!v-*Pnfa$16}qdWYTX%FSMjC7Ax}w^GMn;aHj`0K1&dSm0Ju(_zSn|5 zN7QrX>{|^Ir6HjVq=mz}n$3C+gDA;M{V@S7*oL0=r#zy;VT>U!yS-PgYtainIm@r= z15C`e(20ZZ@OLgH+poKl(1Vx{=6*t$9^#xG{w;A zQc>OT(`%{O!IR^kweqsIX)oT0@Sp8DLBH}cKZD9s%XJ?4H6wm3>qhW2B>C;AFls5| zH+k@5c&2%6uMhUtsHu8p*ch3cByk~Bulv6K4o4l3_Vjy~X0QljAiMie3|a{&kMp2% z{qn0m?kpKK@JKmc1gnv)%<~vr?t2Yn@98U@LxV*JVF~0J622y{1;vhfg9_^>Co51F_x>g65NXT|D77_;dEi1(n1G1wD{x?dH)DlC@#UAwef zbh~B};fS8p$+%VFU|``PTp@v(Dr*I>XLM3dsANr9jkjGyddrwXvQa=@Tq>H_m|xQNZiVM z2YAC?Ga>GiYq0B?9mNV5A4f!E-zMNf#{?+tT8t7b99fns^E4ZKd`lk!TUFn3hiI8| z(%9#9qzoe&tgl9c9y?td>uF^SZ`ir7bixU}@S$aN<9$EED5mL2AbY5^pK`lusq@AF z8|)JE3P-?x^j(|zmJ=1Wu(X^1PodAlVi^f23S?wEGS05R{gl*?Em#ZolQY@{2X0aH ziLJMc1N#DxWJBhF`?=zrBhuplwwoI@m=U~i6)6)hW*R-yFP74UN zX~8s)5v{AM7&IAQxkQyBq?RJC28}r?NdQ2A+%a2{B8WnDU)T=;R|m`Sl})>3%v|}Y zW4NWk<^egU)T^L0wu|U&mEa$$zcijo?c6Bh^Iz~q86E7O1|>E|dwUD+c2^#qfWQ`j zAWKwYoV5|gp6eAV%aZ1W$e=@=fVAKV#s_RR0D}Yg{bcI^8+F52u z=5{v)^Uo*2@xb1(_#!&IjUTi6kw%^YfuY0^rB?^QDHz&CXy?-KjeQ8| zRY7qr`eBfQsnDU9Jb{5GPTXw@8<{3dh=gqeOyzPWhdeJI(~YEd?o zc21sIc(U7cjKIu8#(|HoX(QoEToFM0G6c7+%v4JIoX$_;}mb@7RdRe85 zUYgf6R1+=8f}+Ue+%l0Q0IaQgzo0H>r= z)+bxc8#y5#8>%*&w=Y&eDs_aJBJ%r0>Kp6xSX*d>S7ImR6X3}w=q>5f-nPr20Ckhq zq9l_!1OTuDOrsWu_BD1^LkVCO^_IdLU7w=gL!XI*l$(@96#qG_$)UJ;dDg#FVV{%7 zR54@RS<;cqtPj+`Yw!ulzTk(R#eKW|d+*K5?0JSmr&d$skvc}1qXmt~JBd_4qnq;G zv!H@vSj#_(%)J3_L*{GRWn|p?TPKmVgvN6;IMWC|{9WES(a6~vM18UYuaaNZMe&AS ztxjzFOp^UXeoDa}NUV6f=ttCyfCdqoyI?t75=bU8H!5YDeesu;SX`Y|(+8=s8Jhx5 z(3&`;;t+!CXtz8J4ZN)bxtjAmL+MOh+Yu>Oe^7MeR+@}E{VoDyzF+J5GX~ph;-b%4 zNMhl*BVUN-nnEHr0PEsDWEZ29&@Ml#4i~8?@rSkbHgU<_46o}9SfD$R?c;H)aB{n% zRZG&&#Va{evk6vAo#G?AY)w}X)=B#d zfYsa)eQW=4%TX?7j!ttervK3juZ{}|wni_BK|<;+`lBhu%!xb$3qHaBM&QXSH~-zU zKExqo_W#<{71#3rsj15XdYGfh%kwM|&U_~joqKw=r*gJG+fcV!>tn}4su?CEvbtel zX8pPNGnq2@tFQRfNh8SYQ&xx&#}5!$4o+D3@jS`e@7hTiei2mUES=H~$uM*T*l4&S z`UwdR_FUO@yFn_JlP1Molnye@72-0LWd9KL_N9m44W0Q2;TsHSzJ#|%sdwERM->%j z7@H>*>?{5)o}3tlDz$b$V1;`GE54}?m81BDs*da%?!u_}1#f$i8khk@SqE z!VpIbKU%2B4b4QEN~t1)>b@0S;8x$w$SLwXmfSm?d=M$M2!;MB>-no9u)lD|4TN;QTf_#PU ztGkZ2Po}T^t`>RYRNt|?cML$CSMFdE5^G5I7}nQvk-TXRhhxG=9yKO%nbk&%H~C}F z>)NMcb8Z5zCfhk62TwDW^RM_wh%Jps&QmZ^OwV^1!#`*jOB^7T5a)JBg)*;OwUdv| zf@@+nJ2KdNk=|r6k!zl5u zE8+mDAekaiNq&17q|6B+4x!Q&Wl^P{FrlH~5~0I{%E=I@DjH@T2#t=JCCYRyaU%Z1 zT0iFetyK(;zcsp!S>?qB%7rR!HdP!IDs#}gut%Vl&i`49E+#j@^_JA3R>CxK&2}o> z3GoV`D#Y>XYf%27da8B?aIBubuO=>9R2Eh@m|D;xThGT(sEckE3BuSfXCUK;aq@K^ z>j>Yd_5KS`H-FM6XwH&#SEPT7KF8Jmhz60ak%DiXv1k8%TsRMa?T`aSDtFRa&(CMg zE}d06D(D=Oj1Zw2nd-tnJdAxL|BO#&-MMqo3#T`O8N2P2ft$NLn|?1;cYzMzvIcOI zMg5AFgtv|X0O0Km&<(P8XvO$LN4i)7LjBPi75S7qf_8upGvaWeUyIoWWCK5I7k=x5X?vBXq4zzIkh5}k4tsnPwT>T{ClK@kTwxEsFEHwDHLyd z^dtk5Zf#UQtoq!3&16d4^;rVzse0aLy7py%_U0^{314mGRgmdPX|FrOtgV#1!JJIg zA=sTdN}bxks;N6c9Jq%#Us2+8a|+D`M0pFEE3$)>8qs%;wiPlYbI#cU)oRPLxY=c3HwP%4R_n8hRC@bw(f{R5>@buasbKQP1Faza$SU=d{niRL)B6SiA2`kg5S zm}yJTSX@B8DZ?a+7YQ!1JtS?Z45nMCOMGO!@?GTXgE**7EQMO&ahx|iT*pA z&mgO4rh@hge!{3#dy3e{%D2Y*yeH>_I-Y~bF^Z(gX(u0hP5REYq8*Fa*n>N$S{eR3 zjw^&P)STzNFl#!{B?Qut!aBX_fv@?gk8lAzb3_{jz`AhB!Xx_uv2f8{lhk6hr@5PY zZ``nvr+RIb^ktxFVdFwjrFpZ9-mernmaU3gm|Vzb=3lyNjY%j&4LxZY;vRp1$w#2; zFy*Rk{`mBJXH!%XVmNu!pU8hJux0s)zWD=j8IFeVCt~8_g!p$M;`CLZk<(gpZw7>H zef^6vJ_zM=p=+;_2EqKFr(2n>IB#P4i&trXXAttCZzjDM#u!H*T14f6BYR5_U;Wak z90tBnAJP2aXbZ1YKVM2jd7r;=j63{*MhKO=c7|$c1ckIeT%5A+dq>kKzALh_l&g5k zt&>pUnV>=S+l2OKKRV_3Zhd;?$!AsNZ43*4m;iF#D2FW&z6F?CW6$j*_9180yg2BB z1b)Ko)r7nCs~uv@bZL&@2X+!2ayd7Z3(5M|>#r8`SnqCpmj}0aC`>MOTTPcrslX&Mc9Pfs0Hu z>Nt%PLjkO^d)lC`fGmmfS0NjCCC~ifaPY!sAGCrktW$A5bF?zSw35XBxi;)||LEqv^ZB=s%@%d88fLhT0r>?t8H*w}TIq6k+ z#SgAPS`I~ZX=h6^F}Tx0l;yuq)|cluMt!Cy6T?N@{m+wcUeKIW*2Gti%G1W{JkkY~ zeG!#%-B4@6#x{3Yp!!(9GafN9co-Ydsw^>mR_ZKzbn)n|=Ep0aAVx4>w;`k!4|nvB zEF(!#kzN+cn1J7^jM)1t>a!y;1@Htt7HE|qn_x*j+QPo~dpq-Y;lT^1El!}LqQf+c z6Fd)Jlztm*kV8HUZ8^gPuX8)1A-O<$fk#3Ue+Q)kIb&PW@1hW7Dw*){fr_@DWschK zk`SONH3@$KG`eiq&9{WNyp9W~!p???;wc0O)Y@Q+1OKdlyCv4MW})fYf;Y=w+CfE2 zx?>C$u~T6fRM*%2GWZ#%eVr?ggkDoCDUkRoXaXGOKWIa4k2Fk^ukmFSq;oEg<&Dby zN;b%)deSt-y$S=(3D|}dL>>7{%pzsTT6V%|JF?Qtcdsl>;jU|=N1ez`>HibgQX@Ab zr|hbBtaQS%a){qWC6kKy@IER|A8gezC~7v11p+>@(8TU=E1HqWag-~BPnKS3E{{)e z%h6^d#M8NJa@zOW-!+OY`R!FQK6Z}3qjL*xsfeH^4dNT9E}8dd7p;E5|27X0C4}uZ z?R2Q5Kr{JeJ~{`n6_AcU#A#p~Jdwggv?~&2i9fEwlr@)esH=9F4Oc+(MTR%It`1Eo zTH5AW8j*vQ!8A)9P3GfH8Jsg3N}xw`;g4p5QkY+2_x>;K+4*B zmJRlXIih%_)5Z(0p3us1?VH88-=FijqVt84LKLf`!9m|ZXt2AvLS;;}o019+^1Eug z`;%qIR0h44qJrvr2jxdTGu+-X^L@Wx;*Q`?*s zJHK_#JoB~pWb$;ST&|C>WKafxtJf@@tyhq6&oBM!5Rn%Su`Q5fY7;in*h}m8l9#S7 zoW$9}{u5|A&R$RKA2u0w(L3Z!o7YOUgd>ID82AUH$p^2Kgk(`n{j1HEa;cy4l z&in=VDWd#_N*A5I{)r7H>a?8U`~3v~{sn+Gf}kmiQ7eyH1$=FRB5EQX;9G+{Wm2{F;6W@BSqjp8 z=vQ?N4*CP_m}wE+f06L<+I&18Jp%Sfum|_V$O*Q&E_RlwzZ&9+oUDJXYXU6Inv-ud zr(<44MkdCqvkbcN2$#b(nd=!r^SDW4kD(e0oynp3LsGcGFMT2ei_rgmth*ek803pg zYIWNgG4tA55B`oxol--E2stb9paMSSe7MLYc^HXM`$@5)xfO+U@76n766ptwtM3dNj!z9NB>J}P4*Bz5l zAW0U!$t;U7P6j9y)_0zNh*;iXx5a-*#+?Ak_$zx#_12wCJWCn{l&=mc(qe91#moUh z1oYZ+N_y#TS|^Zeh52hWKEmBWR~^oeoOZqT&zC)zVTx4E%Yv&L&x?POt$ zygM9bi^Jg;Jc5P42tyQ>E+DegJ7_wGB=$F9>aWx3P3<2i`GmDAO(#g#e31LvDvWpL z6C93B>U*#c{LrSF>4nmt&c*F$``>!}z>VEJfPjatoVk*ME5%tVco?KSnUIQqu3S7}<;z`21dG%)H2u~4IF^c`L)y#m+8&=u!lN1;Q zl$q=EE7{I~E&K`HbcbDRJeP}1OtE;o&Af~T`YMAP8)4)Uf=p{3!>{8azqA8v2XkS5 z+LnD@k%gGb@RjQYH)MW;<4hFoYqLdLS$gKCpOb?3hRs9+YW>nrW~%Q6>0cVePqLsR2&EoYJOr0&}g5FU$;fh?FTMC z`t6B=LZz8QUowrz|IAy2+6)r4|Mdv&g2{*R9O%ISo7g`Hu>u6}GoG&@7wywhE={=$ zWVpO;r)zp|Rz`)nR1!vOW)&(FXjkGXONvYLCU;s;?%*Nh`yN6mHWfi0Oi#Kb2c5G9d92dH-Q&_}5JPpHC<5r3A9+Q7=c|Zft+JyO8;2<49lnW^%lggpL|baVPv$CFQq}3q&pyO@ z28;NEtz{NjX3PnKYNH_XmHKq*yPm5Q)KWhXhVl-g!!9Q+-KSUYx8f=8ZTs@OCmtJb z%f9eaR%JjW>)s4Ly>JPhnD$Dq_sZ=zfOx0EMvBwBCc63wMRI-Bt%ai%#Rp>D{qWnq zjL=Jo=e9%@c0w-=b~ArJqwtC0)-O68(KJUAxu?#n&_o3!@Q44P)woVZpo+=#Cw@(y zQedi_P;|i&yMZZrM_YTX^Mkxm;});tOv$pJP-|M7wWuZibQ+J+ky3d~wEMui;8&JJ zykO6*r)uY*S-&VF1wnjT-&>?_niu85BuqDN?(JegQ6`oTvRRsqNvBRNAeGIMEf*%g zE32ZPVfGe9h1?IVJ^M*u1$yDO4L$-w1O-kL@pTmtK=(f;1>lCp9<-zm*YYiA1`hx9#Payeft zSclCWZ&Y>}l|%8qgCiQ{wZ#lH3C4?w+<#si?ed!Nb;@Nj>1tiSz2)koY6ADW^^Nu^ z@oyrwkGPj;WuBCWzEq(B%-l!(GTnzHZ$^f`n$MkBx!vEDS$|31(pkz>DHukFC#N_K z7#}1O&3upYUCT2SBd`$t=K?6ksX16y8v+K8zn*MHR_YlkKYV4vY9*u~o%^PRLj#QC zl(PJ(f8xQ99q$Fy$P_(I*I9ZU-Cf@M)egc{9&W%Z*?ZQ``|;`D%>ijEOS&eca@MyU zi7`=EPWkFi;1P4=x)Z8sQ=Hvq<(kxe3WrrE5MWsbswq7*u&}CIV24WKZc1T%kip_I zJD~N=+UsGF1yfzIZgA2`7XodE+pI|3^Q`5o6FaetnX_uH?=AC&mkMD>rl;8DrY^$P zE6}eoCI)rUu|k&W;LJod(mo4L-^EL?4)xmLbG3uoZ|S#)A(d15%?Nr7u4#_>Wv!(C z(lJ*zU!MQc*b@CiWBd4bKJsf@-FJ7$@kVSbOm{IZ)+;<061~myt+rfPrbPUJ(Lh3x zg1Um>Ue!8JY+;beH|0$^Ipqe~ylpeS?1J^(@xa>hY5tcF2oy0Eg(h&8aZLp#56P5Q zp7$<#BPkEzhcCu@fq3)bVe+$iA@|^0p=x`R6a{hRc!0md@oapbl_sW`t8-sM>$2&-|RGL6NfiZP`=OQ zYI*iU%te-8|IFukY**A6EAFHMJ9S{?o0a>_3HC2NML!MV70V4O*2u-zL>_>X&p&OvKNB@ zs@SnUH%TqrdWN94u;}XICWB-B`^{9f#sB^ISU-kF=>nt0xp-^fPcxYQ&ar`_xEc92oPl~MRlwIyw9K;5q!B?5{E z2Fn`R%I2^??wvXaH`dzY2fK7l*X3Ww(N{`oj6cR{Yy=Bz(qd-lSa5QQ?kz47+7#lE zEF_2F-1EZ~WX1-Kmp5=TrV0=)bQdg8ofFYA;#EZOfN&<(%%-%PDD-Ew4zCevdxij? zm!C6YANk)_ma>3I8D1agoBa&l=iFEqojBe3x&$d4p`;yJ36g2k zR^hGnFkesfCpC2t@eGKf&b%Vzq%jrW?;0xuvU(^@Btxja5yTl%34D4vGSZX~9}(Gc zR0fkVYPrbRF3}E34g-j6DqrFo<7fIJB`w^tN}V$W_T?c0rYnKKeZ}Mir+&qfcIJQfdqX)m1BEs294I+q*=X1ar!< z)z(;&DVN9ibH?DVq)H1`3sW3jeCh`+txqVztGK|;Sh{>{bn+7WnL%JJOkZD|VZZ8S z4U&VQdrj|FALp#(rs_&B>(?{K-Q3DaN#UzNa@fkRF}DQUSObxCx>QKd%R=M_9EST0 z>vjTdEm=WNxF!cGfyLETMw|+@;z{pv5vV3Gyy3r-m`C+tpT%+X^Ra2fnmTNN|S>ZN$`sY&`v?WvoKc-}j9ul{i zE(KZW%G7IU@Jwe5PfSi<(S4L%TdulU8T&;1x=(n_@!1GxSflS;EwV>F)iatHw_v-( z?On^;b=>I=Pv7gNkY4cAjq_ad+2%>9Qzx!7RkQc@Jr$_W6gBQhv8t$5`*2&UU!;r` zs+;Q)rQ)r6uZ-Gfva*M)Z4&WKw{L5`hBAKIy17Qns2s%s0~;-e;go4@_Fl=RY2BuL z7%hcHq=+IB9^JRBIcMmgUT2t-zw-S}GOl+lCYO}MW#Uqi!vM5_XI|H}7NLkaFh0D%_56drVsV*S-=W^16JPAPC*JqHUd=+#tRyE1 zR2FMx(?j%R>C5!qVw8re>&J<%4$_Z^wulE6F3HoB;SRd8=&wbyd_h0S4guunEfp5( zEF@Ymd-iA%s8==wqv|`xioX$HWzQiKCcQqjr;XKfc~tM_s&rU&B`Y1YJz{i;`8lRw z)W5Q}iGv{h8XKiSX2L7=W41lIi7d!bA@{&*iE4elo$yF+9$DQaV&HLo>k*st{MGOX zp)vL*@!M#-Ve9K}>TK!52krEaj(TKH0VT&9JG+_P*+$&tZ`3^xk4@EV7760uo1dP| z&ZNA0#oiSkm7te(Ri1!P*L@g9RY_*dcHTc~;+ZD3VvYn{wo(t?ANf|tX!VJ19m-w* zK3TnZ6Xn}FVjq8Lp}=ild`V2aJ^&@(!e{vq(Bdsw#WyKR7!fl>mAc>k$dhVgnD2-YM zd#d>gs*y*k;6U!&K9eq2Ww&+&W{5dPsa2|Q&~g8QvJk|V_)&TAiT#k#sb`m=i756_ zS@V*b;xSQa>QUr*R4hJr&q)tAcvn8H>K(%lkvMgkB8XIugH0_}RF&s6D1ZG3{y+qb7w0UC4B>pVgaGxcm~vutESzIXA@amQtbPk#}mV|xGU=5v!rbUE&1Uk~{Wp(s*Kvve+$ z;!<-ia?CvePptvcFY}bs%zWE?^H54wt4`dwl>TVfM!#@%$LYMUdT8`K4S^f8dVUpWOP%T?aQq60C*lFp?_k z!cs_|PWA3>oJ@tb1zy(%fYr>zEMZ;I+SHVMJzYUQH*;GVDNr8tRn~K$=Q_n9c~>68 zFeO^v7R;CAPdtIxl7d!TBIcq`Ur!^kwH8;|nY}mTQxnMlnGUv}@ne&Uubj`koDZ13lfkAte@2l7> zifmxS`<6&jO`j>ySqu@+@LOy5dSBu7(axH7WQkWGwb|M&UPc+qF^E@%%ndc1d^LwHdb#Umhry@%xDPMfb5`r2 zSo4j;?D;_ho1_qsuNOM-xq7jKWcn* zp*En6(pxG^ap}a>taeg02M=;cVU{G!RW}Os7Y{>8%S#)|V<{f3OF}>loh5)IY}Mpx z^&=DU!JRN0bh1Ym*}swZc>ML(B>A5myxafK!5h*UlRb}`T_Ls1RrQWi5p&;BTc>XI znrSMFG-$2(Zx}jI5xs8f?nlCn(ba~Hs#fr}4Idc9=+mIVu~9jqJkwc65mGdsR4@e_ zH;!%U@i#5Wr0QBH_Zyl zTsha!JVDPSd>T5%)NaZXJHRx03pRtOfSu(BkYY{n8XdW{^#ir_cT2?m*Xd=h+~0S17IpPCPn#vd zHLXeY+Y0CfWqxet25%fTOK=~%-LQ@q>st_&EZa2NvKc~ks)wklsup>LxPjMYg z+NduC>n@>aodZASs`9X>5M0PVz1I1v7I-+wSI>!qPhQ#g!Mv! zpJu7NRMViSQBWKR+}(UL`Hn_K(49d?_6J*fWQ*e|!gN2`lBq2V?fHJKwh;@a`;w=> zbm+tv^)JoL^@Uu&dE>sHi^u643j**A3?qJWeC&|2=GdsHj2By>TRfHw{7c|W$NA^5 z7Pt#dHdeZtNc0$-c*qmJE|HSLpz?YW>b&wrgCkO+E^m$r2x%ZxV5(QA(DN+6CN_G#g&uZxC$<> zX^yf6xVmkx>|j*edEjIa$n=kmQ*;RIX^dV?i-w+8vs_nxenZxj-Ty__`5J|oZ<;}@Qg53eh192?um4SC@UV|r=6hT43*qdqyjA>V7a|%~CtrnqQkiV7u z1;_HB!mO-%St%$g_@E(^!bP$@=k;!?X%mb9|MOa3`W(lQsAD!%JtI z!_3aL{giC`@a*qlbY0FzHRQn@bGnj1xKUIYmAD}Bd0babNGz9?O%^seT)?7vbnrE7 z_v6`KXyrxA$EAHwR-IS2BE|(MCgLCux=D`B7McLE{qjk|S^>-+ctT}Db+!Q)H;thc z@b1h$c)gp{9lP8GGj?PTxp|lj9%K^nCQGzv`tEwkYdNI#Liq8^bdW}VwDA}5K0%=o zQYN>6>!C=is{6lz(#qyWeR-f@#>}nl6n=}?s>P7K-uGD+Q2-pUUx;++Qx%M|e-zcPp8P(#3(Imb@7^MRfM~v1x+GK>+gT9QAjM zB={2OlS>GI8;`{Mt)85dN zi>uYSc!iJu|E>YBfjKe{2Ew}u>fgWq}-zT)j_K=Qr5=Dc%$Z=R4J zzl6J5d;%>3VPYi(XN-`6_cGqEvD=#2?%Ak#6%#t zd@>p@TvE61NitUP<|>^!>==7el=ah`jb4Y0 z-EfKHAz}7h#i-2rb^K67#8WDGJ!kSedUijNM1%U~V-qJ3roXrYu*-(_yhAW&lrO)L znJG1E;eDEZ@xgKb*S5Z+^^G(`o?7_xe)qS)5kQ!eeKXgh|ZI=43K% zA2dk`9!B^c{_zI>lNDt;Sm*Z*;=XNfrq--S;r;>%@hRO&c|9~&_m>!vEwd?xa1xRH zxKA;Y*VV$PI0gXY4-g)?DIw4_O8mcSg#1`XQZ)2id{gETjbDC`p%e+*)?hRl z_{uq1^3t_;tSorEk8~UuE_zn=0?L33s%)JZ-ViL{>IFPhU5tCjNBD1`qn7guAaV@P z+V1!`L_AZ6q1F0RnceM=0~HSkzClQe{q|$B#1(hW)}`ihO!!Bw-MSuuvAno-VSE$O z*J)uyi*Bf&C%b@{hp^2L|;8%$eai?hcGkTJZhfxpHe zuK;wamH`NId%ujkkolnwAP(LY2Hxiv%j@6<-WSZ$F=`Igs_B+-Ny0(C#9e*3|Nf)_ z_*MAKBuF2bou@g5Xt4TxA0abaY2>Z;!8fS;`kUQiaZMab0oTDp@p2)HBI^13V|cqd zWwGv(77%B_QwWbr$|;WsFSmd9_777R*eumGpZ>01RZ1Qi1}M9Hh@CS>1HVzf>PlxZ zkVE`;fI$&s!~zx-egLTeHZU++JV-?#qe~X>C6!B-wL=~#Z{V^tY&tdc0@B=Ia4V~^ zQ;3iA)czgTGqMYJ$oi5mPd-Ppy1pCMoA@~WaY{PN?vr~Nv4v^UhjlFk{y2;pdt5!x zk_>}dktUaY$sqRcQmGs1D1nD*(Y~4kY^dYTlNc4uqwzE7dmyAeKI7U;V`r}rBH{*0P z7n{qjDjs!>E@hso1Q4IZ>y2G9WENNJk7ci_+DnXb&!-B>00^9ngsqwXYN~b?fMyGr z$N$xANx*~z|F=2viT}=#FS+u$r+Ti^Hqa8w&pZtJ$5PH{aauW5@DixRw@)FRR;Aa4#eB|hJ#Q!XeQGETGE_U> zXssk{u7*PQ<8Igz4@LN-sS~^j1EoE<#J|6w5y?T;3`=UV);KNcKWg zC@^#iN%eX{4DBF~?+E`mc6w<&7 zZD*1EkScTBSe)qNdu7`fKS%V-ob9D3e=!@{S8;|cu$yTj320MSKqX~GI(01-yofeM z(?p1X-xA97pBS^^J1*(N6{^%1#EJTMv-O=H4nJs{VKYfL*2|vTVjRe8RPySc@Mw!`6^-{m|wTfmzwRsUg1iDqWEJy*j8aE8Yt zDySjtHbI4f#Atke=*!7~4al+ZrAs2W-{NKg_vNq_!KnGh>v_O={YIcO`OU$M?8`Q5 z2Kp&ZeG-&QM?re#?@kc`zMN!Xx(Iud2(Dz8%G==>_ie3Zz zt{N;>(7G2~nq5%msGqv?EuHNiKxX#T&l?l?GkWEK&4SO;qU(kSOU@cr`HB1{h*&R> z;8NtzaTE%S-(sM}hs}QP?=u0L&qd|?dPantd%yajQp``x8};mR4>~0iSv}M76rMiT zWaD?9=gfG=2AA{Ifz<|!U+0#OFw-;enN;zG0dDhmFCW9|u03ot)Yv(cjL~Upw#-ku z@3$m+1j<~`@Z;g&@d|1fdGb7F|Fv_0hyaq|ANCVc8{5GpE(*=`stQYE^3ojJ_CBr?etT;oi+*>5l5~j5-3ZdcG zWerFB?AO;o>bpoJOYgq4D0BFEQ^zOv*MNrIyJ>zTDH89@1sw{-=MuX|-S$Iymrul{ zaY91>gNIPT@GeW`9WqRzoPgT_@e#Xt%qAKG6!!aVbMVJ5RDTeyGN7Mutk4iTa{mU8 z_B9Lucxc+GYq3%2zu--}*E`3A6Dq&1>g-S%RUk~(P~NzZQ+m!!SW#Gpb~+&rP;|a< zt2di%ZXS4cq#c%{jC0U`;deL7yVUSbVrxHfp1(j6YJ!d=D1oHBn%{=Qq1MYe9DZ$E zLmlH(Vg*~sq|xNQDlGPjOEN$VU(P~~XU^~YURS-(CI}PO;QzRvX$MWyZEmOsItSVy znXh3~-Y561Ux}lye~U02abFyBQFpKCE-`Gkv;`%7+|rF4h!aJN+W#9!jWWRV+qs@Q zRQz%A@fYvP--V&T>r)nxjnfo zsA);1kzHvhyMbd)Mwkuxh<&D_UiSzd>g}6y-H5dXr!pet*$|5tU8@~=aIji6RkP}j zl^W`#CrsoJ;Z~t${TsJQIc~y0l1u@P6@=>Mt8b%txj?oaLPw(4?v!)fW3`(0FXI3p zjOO3Q0snBg|7UvY|Dka}Y#N@nVJQ(9zHjutW5j(${2MZz=IDqdA?&b?Bca|kzRr04 z>e@8S3JcW9daN<5Poq|~6RqkXWJ{P5SENxit2lDKb%3iO>0LX+aycA0g1Y&^<8`S+ zGU}{5AfDKdXIk(GprW9Pe#3h6GR0s3lyb}Xd&CsfUqb$a-$$cCN33Z1Nxf%ipX>8C zWpn1${n`(^7p<~*>rHFx`JA+G>hbW1@a=|+0{z!fj^$~=V1zUYsEB8e)C(L0QQD=cDf?v5S+ZN(^k`W#)(H$Y04jvP|OYS7_-- zZ2(VSI2oHd>ul}1#PE%Tpj0^sBLOClX>SPGN6JU64$MbQMX&l+qKAeOD}x>4?ec-! zD;mQp6w)zEg)K|EZ2mq0-MYD2`|$nx^=&{7PhQqro%4i(L>V#RcYL)E;SqdV8|3eq zbMd$(Y_l^C{D-a_`<=pIit$5ldth5dr|Qp{(@$?$DlG-T%-HGayQ&7i_(8-u%LnFD z<31E&J6b~n0#{#YOsZdjz7T**%$FhzV?CpXb=*QwbH5+Xf{Q$gen>UVHrP$$ad#Qi zMz-nZ3g3Mwd3KEf^sn%Mv2FKbJ5%9JdR@D#Ey^l?`zL;=e(l2`!uET+_n4j~7+e!_ zvlces3{hpyn1cG(_z>O)SW3fm~#O*1pyCvba2 zJ5%MkH-KRu`ha|Qt8b2TqeZ^59!_hP)Lhi}kh&o=Nc>l@8uer7pH|Mkj%fxm641FB8NWB0MY_F|k8wq`<9JJe!{H5)A zt%>L|IW6+e8%8Qukx03eRTquHaq%)D=3=iSW+?j^GZP4f$ZNZT-8^e#+OmE9oU5>^ zWtHSFA8_BTt82~5u_?ub{_MSQ=19>#d34)yp5@V6*BmUc;7XR+yd`TDJ8|&FO@j=> zuTaKDyzm~{{#}epUF<}teM`)rYquIM`_vER=UC0f^~zHH{s#9emn*~Tre$YSm675b zAGwnI_Kso~AAYwE?+^K-X2{-$*iqJpEycBjQ3W62D0yPk?b=9vWX93B23KXSbniZ7 z_Q?!+Uy5EO>#Lo}$jDrOU+PCn@d*4nOW&_q+bzL;rro}N@Z1ErC!;PtKDEy}Qhpv@ zyL2IKYr9bL`=_iiNZL9&jer{Obk60rLXJ?KJ z>38%r=+sw|c6nZ6>5hIAA@$=&tXzvbdNG97WHT`u+^U)JgR&w+a|ap9Cb+hYUzIWLm^lB;lTx=s7FRD3{I;wtqR1zVYHx*LDeU-W zxii5$2H(3(IAEEVJ=a^Ubl1hTxdpr{AXxRv@Y8)>2VE zEqqMi^dRrM?pG%{_+)GWp%l1BRY7kPr%yyYgo88?%A7B;W%zXFUja#&oD+d2Gh^er zE$rj^1X8unYH@wl=c~l6=Jb%)*;kE8Ap-pNw5xcl#1T9-kYfJH5S{uMjhv_5rJW8< z#NZ6HMnT$DEmwkizImN_jZM^LoQ7IZ4ddY@g12gw{}B~ejfpb`sap(h&g8*mMm5Df zUWvQt%#$;8L0G2qg8v_#%D;VfbdyFzRkzdah2xJ<{nd8=-mJ($l8PAHCjxh8KuB_k zV9~HgBBww-OY`e{TUOjfAd)(G{wrKrZ#0GjrX3BbrcKf?yUPdO9lsL?2TIuviU-FG zjTcGWOhPu3)3ZFiwV!S5C?TK_ds#y)YtJ ziFet=n2JxYlH=8r)z5Q;G^w)&dnetbDIKbS@2bYT4%@sGSZ3#bq)Br>t`xNy%c|;X z8_y6+(SMb8`3hLy9;yy!f?4TGTbMW!>agCB!3#ku&)O;@oWBTZC6)DIi_`jNgs=(c zPI!kVpevUEu9apLe*l;NI=}vx^zZ+(^NTd~gzdX8F!34jGMlT!MiWOKVV4Gat04L3 zWc4D%IWEFqqJ)kdQ=vTTT&BUHgU;b!h=?|CdiD2| zm?+_=I4Fc}r)+TTS3BZ^7WbO3*quJk9qO$TWw^XNt)cobaexg9$o|;vD#SL~Z9wqO zIoKAGid_3jBr>JI44a4dy#NVmJAL}P7mpqZIvEOHvMHBq|s)yu;h`NgW*3!A8#3-kwe##lat|_Qs@0FiQrhyd5|IYRuF5)9lBlL(^VKY{e(v0 z61`@!jz=bi#i~9AZylAS=s?4xsl6(LFh{m{8Df@$_a=mocqc3})r1z$sK4W&w|}Nq zoE%{l`aA0ZHc5+DY>xh}8yo&$UN6LS=IFAGiE&l>t43EroyR@}BK|ZUk9a~AjrWa z5F+I;IBQ{GUo;E+rMX2+=i4Wbp2~ZZ1<+o~9ZN61=ut$q`_AgTh*$p%IOT~Ulk^ea z$4&@Jb`WFq&0~JO8m4gC=*b+dzxtn7_)4s->8k!mz>-`lU=cPf$KJegT>je4Rre}t zv~l$yN_t`SxrcqZd;z+^>w1uR%~Bg5!y0<2N#4?ebw9KPwYdzbm9pJCdt;&Rq;q`? zzWvqL{vrt`lhot(68PBa|8b2BB3?aOBAoXsqP({(3Gv>*^)~LT&OWuCl-d=D1poQ& zBr6(4=75if61H`wEeCt3|LeQcy1F5K3r_Jhw|EMTyzkqvYMCZ&u(kzK);`1mZyDH% zZwb1}zRm9VKtb=F{6G+M2QZ5-X&<+qhSTJfi2FcNmhe+@qU;5g$vl)eUHyk%95GWf z5I3}sFg`5b_mEfIo55N$Z9!ikhR%+k?G!hcJ;)JRbjdW;2QaCKc;iIYS(vXTu5g>yV)Q&!xZQ@<)SBsIP@C-=l4bb0$jr_(Ezb3roY z=P7N-7h(LRN11n=PXfiXmV(~u2FDqK4an6o?$jMHkB6B}RiYnN@+7FFG9e{U>UtMl z40!G6DV>W>fM+chzgfprR#(ZHh2)n-{w6zQh6EaA)S{esOQ8`PIIxY^ygx)`Qnc!P zkVi-TnwiQVM*WNxs!whUHxVtX?Y0qJy6E|BT)LH45 zyJE~CX-A(8LlNOOs7}K!jvK!v**++Ujsohu)k{w? zYqgE$S{lxa;B?+eRik7ka-o@>E<7URo+DAd9no@> z3J>u+hMF*uY1)8Q^<9Vb1nKIqX7gTZN`}6+61297!wwGVn#GO^m3itxM6d4A^Yv+lSb7EI?pN%iX{$nA3bm?JNN;x%t^=9_I{R!Jg ztF+?3mU)%O2Y|mDK8J9&Zlb<{V!wa1SzA0>GSR%?kCZVgV!y@~Sdqo9B0Xn^UIdFF zge48jPJg6Q0p(R|h1h+~RrttFa|!zEw%w$I#uuwwE6Y$Uaz>6lZhAZd&Pm)TRisXH zMa460X&c>Z*~IwS`{22zlZ;Ya@zciA_A(OUWRy9@SSeqzAL1TGL*@cOp{K3J1dc*& zITU$O8aAn^B+9Hk7#LRmdn0(;0h3N|PY_8k$%31i=1onF8>TUwytwoz3kx>HGS*Ht zJv<^SH{n}JTYI;7ZPL?$rfoxSKC~(T8e*lFHb1&%-LyUfo)>aE^$eGT{aU7ODjE`{n43Sj+in>a1~{s)G9!cC4n4U|6a}Szs}}jlJ@^P zxikK*F067@7i_q+cx*q6Uz@4=y;?nAR}OEOs3ugjW%~Z`s4BmY3Za4;gt4>;*gG6W z<9+8CNaP{WkjKk|rSw$;u6nSfz-&Pv>$O+VI88dC@a6q#>vIZ*`^uY8XyF~8{!RZ- zQTKIxA5iYZX4zEy;J#o+&s3eSh_H>azVH~0j6giSq3{rw8MA*)h)rcHNX6Rf^XmN5 zup=-J5PA8B^%VaPPDTpw4CB8D8mWS&|B7T+_=Cv*^~zgFVT0Y!SCMe(jl&>iRy_B0 zZ-XamcNS!ORg(?Gc}%D8NAhZ%40O1zXZp z5JOZ(*b;p~Af;M@vUs9qW7|d-XrCVc=7r)w`D@g*I6W`woAM-FCsJoB?7WS+NjstG zqt`_K(-@=!TW^}FIlrsg*i=aSio(h96N*_<;ua}{#vrL~Cl4}SD2=;t&Bk<^^RxaC zWoo3D(>kA+(353jIA`TtH3O8PHih){$!U6WmSJ2!S7^Z_+AN>=<$pFv{yba)e_V*G zI%n9#2oJ>0#mZcRH+!1TQZwc9cl`c)po$)9ekQat-I06c~&sE)K$r3aL`*2|lw@ghJo~rn4 z??HU1x2w4JKmrR3r+G4BAjL|6Bz3PaR1KY?BNkw}~3G-3AgwSvrZB)a0g< zZ34E4ok*15QZ1j0Z+7ORSLcY&PqSyZ`b12}^290T)4dGum(2+^7duwO5gRjHo@O4K zb|FTQ4l0iIoXFawSOv4O!#Zf_<8V0kPqamC6JX3{9P&5ERqP}LXh_w`>?02ixJ(k- z)Ln2$UCuB$T@w$niZvO^`|(FVhvBOKaQr3@fVjGNm-q~Q@X-ROZHWgFBhUY_3S+LA z+-a-yQ4pI&(*%%@ONl4r>i=a8>#ixt;BtN!KvSU}R02El(bP}EVs%683Dt*%8M+3_ zo~b*YcS8a@i=s>8GEvH7sINQ^8;)-TYLkgN*l6t3O-NQ%F>{avWfmGiXIqbb9&0bT!0xqCg&t#L}g6yyZ05-4$VYn zxx2TS{oGA>Tia|-w73kBLP6+YcHQFICj^O8#e_;7JFB{6r*~*Lm=NdC5;6|Ru6%Q< z_zDHUX`~q~Ql1;(=Y75Vlg}qC4H&=d5o>L&M8Y={@>bnh1Krtsb@CGM^ZyVU2N?|z z4+=Zk@e|g8kQL!y;hBoh$(oNQK1{)O7h|_Ymw)CKefh% zt5!73d14R2?lN`CV%ns*tlt%EuwR$B3;diFg<|q931P+W4*PRlq=L*j1>gk#fowXx z1Jt%aj%xAzR+5`!)_AqKUli&#wfs=nfhfHZEv?5OGn{!DUK0Y?^J)NQ3S*R$V)%3y z1PjmNNr#kXwobBeQ7eGo^-Zz+&T}~hvGBuhTvc}c4c`d~k!|b>c(LsL#_jywLupQewIK}RRs|LE z0(!vA5gg8~a~-Q>XV-{n<_xk-c%jQiodD--Qe(`u!M}Qe8FM9Zt4-hK7EKD8BXXPK zpit%0qB?`0x$NgaC1;rT&y8U{x}mp~a2PZR#2~$M@1_pQLp|2a^fJFsdK?yU?X{Z_ zXjUK>`|(+&SK_K}lN=7Y3U#_e@pjYQ?C!gK5RTDSvy7A%x|ubiFM^xLiv?9RD?<}0 zxJ4l?V(J`ZSQ_zbhw#yr=>7ED?*L9K$IJ}=-_%Zs|G#llg*gCBz5frU?m!O`MBUYh zc?XS>G4gN3Dt*pkb4TI}<)qPYJJR%G$J`c`Fx z>1ix!7>k%GdMNVgt8s@Zj3@eNtU1WFonacTcL{}5GEp_2-a3%v4CR4 z38qKxwLDM7R5TpkJ)PR6lBxxN8*n@)i3+i$9b~&fLMU3G5miWu8(JFcFaOHIUTsV8 z5carvk>~Lu;$=?|C693&C%+C6ExX{Z&TiEN!=Tls$lQl{fkA4d(+vFzb}rO2nSYE} z70qP*l5RBB(x(wIaAsIawWg*!&*YSOJ#Lagda@As`mO>&GevuMquK)wwLi+ z?I3ds*wZ8JcHWIXd#n+NrarM%-1hy8m=cPAUaYU75IGCgq4ghK>tWJ99qsLR>FR5& zRkYrFhT#VYt_V>LBN7y#p|@fWEEP8>z5z@yU1kd>I2Rxa!2aUUqw#)Rw9mnS{MHCNdz%8r|* z${6$vxR*t9xkHG>L} zZc=S&omWi4bR4c7M6qagcxQQLR>i|!RpC1qpP zd;WV(qg0vK?ugHL8vT9fjV7$xnyJaQ49i-(pQe6)obC@kct$e^1d>8M^1EEC{*u4< z%eJU{YB^iFCC8soPMdXt=it^sN=g3nn~7@%kUj!fnT3X)qpCD}9=08Z?dNWV?>^3O;4J5vHZ}2nuaqb{8=$Pj5J9T=!P@+0rsKwXk* zGcJ}t{$$R!lQr_RZu(VOvmb&3y4{CwL&U(9A3`@)immj^$<3Tu49mkc%%mcC@?>K^ zN_1O{@nptiX9Xb*LSzAR0T@6L#Pv3}c0l~Pf1r6)7UPUj_>RYotG?1HHKA*RDq3R4 zy?@qK*tE&70KxD!1pbF)7Vpn&kz9lD3i2k-UE;y57qNYRD1xmI2yhPT&~vR+A8l>P z7NpyF+*-lF1sf!le48zVO0Q1_6ie znOdoKQ=usk5?xlRFmf8@!eVXmuwi(J&Wc)ejzb|7q31i-R=?OD z5d!sjox{Dp3$_Ps6v13IB0aRbCxEI5@zFjKIoFf~*9E$5%uOZPbnF=<_k*C!!$}r& zZlYeG|3chULwRCD6gOuu1U=ueoUZFVy#I^|UmOM{Zusty_|0*g<2Nce)kP!zmN74* zj?3ZdwAE9449K$~MSt4vywlv)F#rIm+fQZoI#+YxG#?em7sSHQW)kvpV5yMgZB;pC z@PAc;-_8HKPIPwD7E8Dj?amoJ{2{%7oK4Z6C920c^plA>2g&SI&+XtV3SB zeD@1;MEnkjjWrJ(VlUdzOXr+jG5qF4`!vi-9c(9W;7{xnU^OXDaB~h>)x2Iox7UuMC)oY(Zx0Rhh*_xuYM%#$8Z+747y6HRC;Z{sB z2~4f`NeFF(g0~QFW?$Gy?tqn?nt+ojJ?Is%L;X3T{!xhkyB+`U?H(wh|0>hNRXI^1 zUs=O98a<^oV|8fiaS$q%ht3f?c`M-teM>mFEz?6VkYa!RQZv!o1F<_~AVu%}(#pDT zj`?m4m66H9BQISUD;=>&85bJj8R^j1hYEVcj9kqX1w*6}!(Cnu;%D&+{}w@o<= znvnFt-zz2ts>(4exS&%i-gen*OSy3?ODcbq*e~G;18$RMSS5uKGr^KiB9+D#wX7gS z$EFd|(I$9wDH4QAYUik}ATT;?u(x+ghh7!FNRN&&jPhsBFqwH7RZjqNb7h0_@eLgl zdR>L3lVLz7@|$A*(hZSL|NG&vC8XU!vCmXg18xOpj1k$IErOAT7~?jEBShGX4-*%T zzP(CT2*Afhv%{uE7j|MOT?hDOK^kq3s=o}kJ^wFTBpm~ zyK*sRrsELr#M3V%WRacEC@DTtM{BnX*68nO`=q+}eJ%&su?y_q@ot*Ud-V}d$#HUK-<6M(9T5_~^-s%W zcSC^w4b(&$H-jNm#vMw9%W~Q9p1`{G<++QGC~cytpj4Of{*KHm={Nb+zfCL%+e>)n zE=&yZ1~C9B6&o36YMuZ8!`oX1#kp=wM-MW6UvVVnY~>wnA?Uqh8y0M^SHZ z>6uezX&<4(5{qixk!lq7Sy=ViCzJWTo1YeM$%)0TGXAnR^od(JKIdm@ zi}HR~XYB({UROPX>GEQ3Lj>NmQ!i-oYIsQd(_l?erLLHypNrb(RnB^r#V5cItfDQo z7&7Cy{61QqQwDTi-`rMO_fZQ3EdzUT>GQkj*rQjG4;z$NFS^*L=L|@ZR%2q(f53Q# zQ1##_z-xQX`sQd1-ctrOe;CbnMz7|yBJZ?kFTK*#8bCfsJGucO&IkvTPq0S9IDP@L zfEi?l-zEmrbhq=iMtc3~$Fy%;RmNRE`VnwQfCPeMt=8)`oC;G-=uaLMeGgs)b7HC+`L{vV-4^`brw-2DjuU$JE^h6c4cT z8MBNZG-Lh}Q(N9x?dtbD$#Tr4`>GT->km*y zelXaQ(tb)Ch*$j^R0XQ?zu;5$|DY(zRCF(mJ5bU8+m=T4v5RZ?XN_+fS8l5uH14BnBG}A?*(VLq;Adn_+|nXM z5H1*7w0n44VpUiQ7%+C)2PO7$T)HVGX?3+8SXkI7^*W|Ozaav9!G84^2{#sl=jy5B zaB3JvXJ#Qwf2Px)OoKq26SWQ*oy{F1eD>E6q4>MM=3`~k7Z>k90yatLM_&9+03Dw6 zqxyG%AA51PG$W-ww-zQ&nznT6#IKi3=_8FUd9;TMRKWf6jf|Lx41$r#U^sO;@td`>U2N2EHTTxBU;qgN1eqRWdsQk`0yNm9368?rjpM&e6RTqx ztUHy!36nrvFpoIjbZdSv{K6bnWmZOCp`)wV4a?MAw}#!`xjxOI)x`-TR}kh8sKwC7 z@5~q3S1?X97wll14kx=Jm&?asZR3>Rgu2xjo439=E0-VA52$-X{6Md&5e|cEd{#AN zG!cqj#n>+-B%Wr|fD%?F{ITzW&(iY?9-cP_`pF@c2eT&lCkqE-i;x`FMS)o1O;Q&Q0%(31Hd(6`gm4_P&2w`{1*fJY)UNB zpeTGPNQ-0m^|jw#+1)U5T#yQN)FPH2yX$SBNqj*hjEbXgtem1b#+89R;s2^{)47eR z_Ros7H7=}xTLkKe=-FQv7}^maXypb33Mcqw;q zj~P?`Fl$Mc$z{t1)7wzwM7Tkr)&%?U*t|>5x53a)zV{5ZN`iFbxOxx!gecQwac|;K zBz7iA{1z@I>Lf3^BT2tB_~9!n83bJ|qr)y_uJ%hlB{KolN}8Me2PxCE?@Nmzwj5s- zLRrWJM^BC?PZQLTf0)F%FxDRKhj;}A<6-x~D74&31xpk#$%Y7_9gP&pX2@3Fe4I7S z2eO2PsPq&Bs$dLp;aFIUol$1iCmzx;+U1peRy|1Y)bM#%Ej<b`D>`2Aqn}(4BK>3t1M-G?*f_ap#@YtUM}-7G0W! zo?JoLk|kTtb_@+Al^O=(=l&btM%(rRV(8{%Xx_k$Nn6BvcSFd%v zHA1;8*_Qu+lD_VLTWn!#5+kDLO+$+?gb@MZ2l;gfgR0wML~=XB-hJ+8QNr!8-a-Uf zZCrT!dTIB&tXg0-10rTVP$-QErJ8X6MZ%FguYBKhSAf9RRN$rkw5Jmo8Mfqrx^=FSrMLb2yM0c>;~R4Z zh5`jT2ITkINzQ6NrcEzf8})0pEKK%P2q%!pu;6aFx7COHoL@K2gxV88J0<95e>B-N zJ{t7d?dOl(5U!!`RV|zuyJklY!9WTn%M-z=m3J%FnFsX_ms@o=4GpHO&D09VL5sT& ztLd~I&nFAO)ntS;yW;neOB(f!UjgsNzAc(U19YxU~ zQGHoX!Lflr$;Zp5HcK}nS7;=f6SbTl<1w10YX0Rt#^*o2n@u6_8+$8cLMu*)tl0)= z$kpMRgr~fbOC@iXOc`}QC8K z*ya$o_+)DI#v>}tljpYjc{XZf!LFj#*da7jM8hM-p}%5|d~pcEcULs{iImogcmU|A zx9Gre;Joes&z4$NhU)ddx^K$fB&XOJaq(+~#BNIaD+S(E@%uQK8?}m$c!;<%{N8Ug ziko;07kXl#(eHsHVCUpUWA4OvDj|&g;JK%tpLAo-bj&8}iYJNF&xhP}eOCY*GoHZO zdAgdz!nih;^IoL_oln=$b=}m=*)iP6(1Hwu+Dl`os`m}FzG5Utlz6iE<#dK}Wvx$n zjNGz%WULO+86rpZ5iHQ0YUaH^GEg6~nh!&~oD&Q8CzU>)9#gXG(6-Z{MzUM-ol9HR z9wrs{{sTHvx`9)j42kQWZBz_5Kd7mw8D_G8~hz(%*Fr;`=qEx*(iyU;l{_tw8q9l=g$<# z*-AbOF8a8mTn2^gY__wHgl**F(mM0Tg483U@xuE!e@P}vULW*P=0mn9DHB%E{PHNROGiRD7nLB2{G9k2?X#v#mC|9P8)iw8vMXO za;=xDQz>f{)i+ndG2X7K)UQq@*pP@?B5``wZRtGK>y_(y_#j7*U`ZndLYsvWj%WT= zA~<+jwp7Q;UC3l(+Ur0;HA#B7ENI<98z=A}Y*oey;!&dN_Wta>Npim2v0kbnLsUm9%UXMhJ}^(GqDD0beet|eIK0MhYfEU0pEJCnSFo5pRQ^a)CiYy$?`uTWwLpz_1@Kp+_ZUqt!% zJ!YSY1od$e4X3U2H35hF9lW@IDlzbk|Dglt{lBD9qhhls`X)NmHeOQiIPb7*RW6JN zkbv;VW;lAyqp&@^QFORk#+!KKColzH!q$CCp3Hoemk?1s}qx-41^_DG%5l}P&rz^^*$KPEV7-V&Mp6BW_Ohe)Mw2m8fRzg zB_Sss@Ggal$uv+z=;rU;^A<6wZ0vJ1!A~eLc+~@F&fS8i*!t{KEU&^9+!Fb6YdWeB z`O8?Y(+wwQs(i~%Pj%laF`e>gO>Bzw=EIi}27h^jeWrIxL>H1RsPWEAWI}TVNaXFdes-r7%n-B`6x{IYg1hfN@I83Dx{`D( zIC?oldffCdZ6X+sYRwG75_lcI{FImUoHBYbdXxW@mnht+CB*FT%z&Dv*jvvTCi-lkPA-x25w2Im&-(hZSq~1htFel8#YyEcC@UVli zWMrIjfyF0qk!Q6}mdP4Ha9G;q4cIuv|E=Ci%f0`6xxXE6Xd*1G6GWTrUU#@Zy;?o* zcxr_bR9}SzaBaOQQ)}<~_e>|AH+zSzs6P%le%z!erG~*@MzcTzoAVz z3+5IuVDhE#G_bw6$4zrzcn|EYdjJd@D==&Kfwjl%9`b5RZ9iBEa++unm>>Y|R{A(j zUi%LcvD|6BB9x8Li@^f~1%Oaa{vX4K4E6sT!ucE1k@yF|b0LW4r7C}Vbpaea_DgR) z>3=aHOu525xv827;oAo{dRjhr!)sewJi#ai>gOpI%r`5bVo5g1e+@z0SS&Z|Z{$z% zj`Szaa`e^+X5Ym?!9rxnvqQ?(D3kxNi+%;D<QYR{zE*pc0$8^JX)JS4)lfJ$A3&OtL^BF4!@x=CyM{ed>Ad2iJ`YEUdn zl<|pbl)rT(^ukJpFAy78vOmY`#5Jq4a87!H$JbHL5~)}K!TeP)$&v9j!STCjPu0dR z&u|o}YuPwILUO+y3K40$jjOM{9U2EwPpWgXbHK)`KBszuBfgc+dgBEww9q%vW}bq1 z7aWm$4dp|!I8+KLZ)Xv4t@r0Z=4;&a+KWsk^hG99F&Mh5ZjKEI=d4>l4!?K<2*_F@ zJ+WWEZTGV>I~E_wbh|5>-WCE@rULW*K@Al^`Ollg7ipc<*aH#MC})t&YRO*%VuE0W zAv1U}b`s=3`RKVg3PGu`6Xt_>)g2(I<+zeYw9)11HvmW^a+fTuY}VvBhLWWdXsU$} zI+P{^gL;!dJ6huvoIxFKp#i*#fbAbXk}`9#9b8WZI3$iTW00*J@#k~it1x|C!(_p@ z5~XNx`?;2|mdET|%1uLe%?uaWC-d{7yK@vnOOrGhMhde_@?$}%zOPIRvggk+S2goD ziHS!i8`%%u2$;V>Fp`?gB2&% z;mtVp=an6ywqy%Z6K|;5#is(n?Do)TqsUhV2h-mOZ!iu?M5um(&>LKF-ZL0l9Fa?d zUdNrSUH8WbswVEm-}-@+(P(t{ch-(GJA-nW88{Bo8t@RtsDG;a9B6l?37ZE_)99g~2$VfZJ!o!oQnL61 z`t(!XCk}?%B_v)vS~mK$eA>vQ>qcCLitlLOe$DmF9CBT}KM5|t`%kU)1J=4 zzz%i7iG8COwsn5Wt!)n6pEmgf2@JT|oI$+@B)Rz0Eik9X;f&M?>xNnZ+a%1MF05)Q?*N2KpH7qorV}XrZ|X!17ok z{E;&`xXtdDT`+x;v^2~`EA*ZVBqvZ5viu;#Fz5i=EBkX~Zz-R&;i)~C>PO}69F^F8 z62<3BdB0Va)lA14{(xg&SYA6)Gp7t50PrD}d}gXtf4&$p_m%#szp`0)S_CcRdl}BT zc*5^SfA}vT9r$8j{yq2nL)!B4b^i|x1OVyrfV0y75x%6J7bt8mb9;hqNm{=8=Un}Z z?o>6NulK3g{4qgXzLD9!oO9($3}1D6qH0W7?^Cv3&VDQm7bN66{-|sA;}6JqjKeSg zwG@<$ZDh(P8E}l+Yk+-KAbPYe33=dp4kdaJ+db88KpFmJ9Hr1^k<}jD2}9BobNS^n zf^+k?x<>mXNj_s-6QrLT7guZMCX;)Fb4Tym;Udwlq;F3a%OPte>rOT$t;K_SgXz*y zE-@=o6FR(e>L06X6OS#y?cd_o1iWGHQ1;V^zAifaMjF}L5rI!n+Eh!rz%0oRdztmOsu}cOhWaugb zMf&L?{w@+xlR5z)?0OwsZ*sZtd?nrOk<6mQe}EFW8`1qSjmdr&wS$?oLa zBVG}?cGMt3OD2SkUS>TeqX9;BE}H|SLLg`^v#*#HkdFqkjRT=~ySQE5s|P`0@T!(2 zz6TkC(Aw!>`F!*~Qj0Hz6$tUeh&0N8OW9s*TXO#8g}K%Q1S0;eT<(CEK00^;)EDtT zo-1FdfJwaM|GJB%X^=DMZTOt%TZCIa4J`5o-gle+kNZ@?<_i2Kds&3(gy1t=TP6LX zGAYpOjE$T6s}5-EDEq$YZ2iv8H|0$ zzJ_^bf)N6JRX5L8H6J@~P8sd~>esGMS!*ZU29XvI)Z9~t)2mgc=ncc?QjNW95_j>O8P${c4S${tl2R=ja`Oo85slz|_#UXs!)|{a>^)Y3wp|gZJG} z@TUhwnmg*=TpKlI&LgNymd0(|ZztB^)7gP#axVAxt;Hs6zmO2LwC~*C3Xj7-aI^m) z-_em=d5F28pl9c=lzSZ!5wyT#lR2|#gJMt*;X5eJEL)*3Zcuwvm(?EJ!3 z=DJG$YNTnbJH1r@U1OSA6iVe6EMX5()Z4vKNX$glCr38BD`_|8s=5;(U~q+*z!$cq zuS=WXEpszQC^`eKw_ss_r37J-a(cPGWd-dz@DX<<2AKn!p!oPIvbkH^N(w`#mR zWik?5K8L)USkwSANIB9oDHmbZh<}AU_`f@=3F3 zR7iFiS*6|pJ1?Ii@$!g}RL4cz#NTD~+rb0J!@p=)HW?Y9JLfFWe$raT`AIUWa4pE$ zWZ{ykWPB$6Nrg_CYD~Q`0{NxwI{=(iYXZ>$&=O zB_MY8=iR@Gs_Dh+&Ml+~@e&yI9;%L5!Ioj|ORbGF7hZLB!a;Qnt821~c)*-K;MK!sV`Gs+I84|tH z6-c_nx#9sk@-Zs9Luu*sh*SMxjZ;1G3Aw*FQmp!*pSxn zf#FJj)JG;XXJeY4M*HU==#L5iAWg* zOUG3a|FM$4ArsAmL5F2^0NB3yG_!W#0P37XhZ-&J4$u3G+@7U%4 zZb=0uD5?-3oZLgVp8vBM2lr2tEX4my`Ll=smZIY(SmL!>d{i%HG(K1QLxlw<4lAoa z3}49OjA4@w_4{|y;wj@#1T(QFL7;IV9|nCu28M}zBK zoprK8aBRvZe6%QP0A}kefc@$le33~rCqL1@B;Ebk{!7=FB>$YCbhUV=mebh(SkQkN zmCNQc)tRF6hVXbr+U)KRh}%fOV7v}Bn9yk-z99qbl{D`n=ip~lY{Y^F*L8T~eMr5e zy8FGvWsI)$jIgq771q|NYxD~Zlr`36DrVE$^z2I1SF&7ZxjBDf)(U!euiNZc>mj8y zkC24TpLJc#*L`FGeDAIId2eDFEgXr+fSg;>qhG~>sy9K3fjM9kSb5JjUZtViguRT7 z0ipgFnk2}5!d}inofKq`ca>DK?L?}3?^esNjv%bas)jtm3QCwPe*k5}c!?<#Gg@E* zEx3_*&9hW%@|=8Hmwwz2>4;Z+>-7*T@9#6>UnXvm4R+<6^@%N+GX5|RVIjr*N-eQY zn@?e7QuE$oOamxU+S&HD5& z)^~ON!kX_rhfr586|&3FWC6{ntm;cg`cmIsaDcy6dopyg|Ge{`KTE8lOz)=_#Q$~z zNcI|o>&i-&0~oDEA`x!r*h|LF^sY%Uw{IAKUrS@R8amV{mRFr(9(<nhQT>ApHy zpcH!~2{;P-J!fDQsKW>LgU(-Rh}dPztmp1Tb+8IFug#TgiEjM~@^H@SQ(D34Il|#_ zvz@aORLtnM_2U(_z?X~YvVNmGy;syJ7ar*cEv9u7BP1aDm5_f#fEJ%HFIcj7!m-VX zCv8O*-`4Zn=47Pg+MdsTC}d=@>@+M`8mhkO6rxh*?k->`qi}INEP}HgEWtV`VJa65CKT8AeP-Hl)f4*rebZYZ%Ui2(RV!Q=sbp|0UqT6< z0CNdx7S+6r%iloeu$1uB=LW$n1(#%yfTa*T;xp~n0)1>W9L2&X+|>ht=iFkE{p{jt z;q1jHDZrR8Xs`bqZ-BI4!$BzYwrKQTLc0qHZHsR;>D(fb`Oy9w4Ga5~)-OaNy4=5= z1^2D`n6Xq%ZA{u#WvKXtHHEid`OrM)mL1m*qjQI>h*XZwG1t~}J3EQYILn4HY7whJy^8Xcw>7~JMte#~++rK$3Z$webII5U%NdN~gOf8I z=c;s15h~(Nic{0pqEQ{AvNc-cz-(QX*(!!QjvaG8-FRJxPMQKCL9rJUo6?m1Oc6C? z4eUb9+KV$Xt`_60hz2#HRP!QzT7?Dg`hmY8Zg-+I4!+|rVrQH0>fM9$!^1!%i-wOD z+O8b~`Tx=_7;*<=SAL8l6PKD+jY<5Aa)kVEYbmU@Kd>FL@W0#iST*nw+E@p+El9KR zj8XvydP_Ye-M!I1@Fyb42^6@b;kXljf$K$H7tXgbLZ#l8EApi^ zi76}sX;ds}J%A(8U6z!b<*;Wpcd*1hXK!3-_;QFuhBb=_M#IBSzEXWm70YXah1PSX zyyM3zibd1*tQB@*_unVt+PGOJWnDpZtdqx0KdV8`bYLLOyxiHW##qkEi=!9T4z zn-K3Kx7b8r&ksf=P7hiBtHL(&?VQk#N;>cm^P<4lUz`x1aRZs{f z)baauJxLcfsS`t*+Up(Tc@Y6uZYs0!gfz1y2Q~Ty*tRLFOS^NaF#9rMM1*1>;(iY7 zWWBvv8Ra~mlsKDdf#yu+)fu#*%49uXi8+X!5!AQj9HKrmC8Rtp@Pe!F{pSGrKNtsX?tcRwFUQM&t^#S{*YYoW zJu+4El=j!ti>Vb7HX)eh5AWw~E$z{aK@&ct9;2pjwlh;beE?ux(s;aHqB#a*qEb@E z!GCj!Tl%$c;FwVD!o(~{6hm|hqk^5G=U27H!uOpSQ44Ot3etmE9m=&vGBU3uzNz0O zJZV{7SSTGr$V!5{Bxu+ogBpnMVB^TQVn%YvQqy~p5kqYtCNVw7xxP4r@O-^*P6{p! z8c9xk1e*+S=zkwkaPv!@%W9|?A~@;^NlDPf2kQ$|o{5~mH&yMAlux5txKXM!E|Lfj zJ7(FT;qmw@w>~>rfh74h`Eb`p8D*we+{&OPe_v%g|B|Ep~3bu4Li|p-_e!k@X&>Ic4jXi}kZ9(2O z*FFyI%S*aesG=L#VIM-*SY``OW2gW4kn#&A;`LBN`8Qg8YX=J0yTXr^?a4&B1)ABa zgBMn1?LA!=ohv`7nP-C_JTXFww}xV9uHFX$daH@>~%Cn>=*sN z4`%4APbdxy8)XweHo&CA5@Tn3X%%F_r!_J#!i~UqMr1&24CUd1ufj`F;2XBb1{UN( z2o4%?@0bk^HDz3k84C-ntYMbx>@lRioxOMOq=%fW7XA!Dc5h9(>INX}BSyghA{ojP z{ZZ*r=L_ATm>=ou?z`{qf4abxRh`emWHM2?wxHv5^voi{h$z_?ZDxI!!W2ZQB7ta} z#f*VW04s(0hKE!+O(Lm_bd=eD2w8npgx!Wi%m-;MuUyQ4w0 zc6qgkZm=YxQ*;gM*-EC#akG{=5B;d59)Z;I_7hf{_@wdtjD;l_;~*dtEnJwiMAI4? zk)~nuR0lMYB|x-JzBg|POYEwG1t_!8RtTVeM=GClF!bD;tF@o}n4O)wN%SXkL{QF2 z*JF#uChND4=&K+$BzxxscoLrLHUt^Rf80~+3Sg#@_@X(UHEVvP>8ozfP zOu*>;@eLC3m0vn@%0(G7g@};SyJhHDGy630#g~ehGM}QcA->me{|(vD{{lI$GCfC5 z$vOFfc*wOPvK1V=ufB~u*d_U$!T)lMzb0?Ns+Txt&EMD6_4o9r=Yik8>(A48P5~Fi z)#sRxs_E>867FD9^~^m*%O!+~tAo3)ISfZKJEMKSNdcMr(>p5K-l;rm6cj#8t$ROS))p`R5~(ph9$5EhxtoV8Rd z8+4Vz67@})ManB&oY}w%|F!IXS0o5>M~#q)Jv`u zFC1I4;SGd5W&CRh0PJr~0n?4R=MVkhSmY%6zc~V%%P``YBzowFzKmE1Lc;9Lzv{9Gl^C7!ceQT=Lc@@&qki!elptaSdwXN2wO_n;wkya? zEgnA!_)*+k?-D#osghBbwWB$OaYz`y<$fZ->5{gqG7)gFss(c7h_JZC!B8|Jd_bt7 zZOU?;+75*JLvQ>wI4V*MHMt6QhDD86?pm$ZSE z$hrT&NDZBN1XW!=H(wkwm6H#_cIuL$@0 zS4OF`{e1{SIwaBWb&q?oi-&LXh9qgUa+2G%7Q2Ta-t!wDwCin*(FqeD1`ua zc0RDo8G||f&bV%giyyZ$Yu49*C2g{P z!35KxG_;%!{cS^sUwPN8+Y}~~J!XcxTOU))al&p0;S1cBH}Bd4dLGk~JZI+MQQLhs z*7CIDO9P4C)>oe#P}C*bd^@F|=Jtp{4@ompX@a|MuE&JE(WEsh^)HAfhZhgyp5Lzk zVz}wZS2~T65vZuRg4JOC>*9!t!}-#<+t*|;P|mAW)gVcahk(@|4}ekwR)0nKz9UV5 z5uk6L`ac2~?1XlW#}2izC}<*O6K*@n^P*T$97et(6#GiJUi%&d)bolQl@5~(*aiSS z65Ih$Jyqi{=_X`kp*U$|s2Hmn3sf%s%YLH0ohceR(C5`d25k8@iY|P1YrWfwsrfgi zvnKETdtLXD7zHz+>r&Q@T{-*S&eCg%25=Dtlsi3V9vRo%S3JLh&<&qqLdee<=k4d% z!&O%cqdfaf+c}d#wbG>cYQy)%kq{X-@2|hOz*0}L`&wt$!NC8SRxB;!yYo@5z6*_s z7R7z`RSSYv1qXGK_X*H zI2z0s(el6$@bVF_s5?! zp5M3rP7G@LawerIc*!p;$Lzbe!9^kr`v+7}dHvq>c~^AGMHnvo59mQzh%|vaJxzF4 z_)6M)lj+1aMibVP6MxKMxRy1kQHEBEhZ~FUN_4C?+`LS6N_oo(w&K9PQxuzaU1aIRM!YVb@ghaXCI9x zKxh=FR6w|-hbhLob%Q++$OwpQ#KE*~cZNxvv5|ZFQbMpauW4$HF$Z!Lp*Y zs3`LzM(+fEgA*rWDdRK6k-(@!Yv8mCkj!~Vxc&p;Qo0)5Rx7`I@GSzC?Gj&%i8~1R z`GT{Q*%qCe>%Xx}WhBK?0?&STLOZ+5y2Tds?w_3$( zTo&c4aQ`zhp6J7F05Oauj8X%GP_BUcyc0(SQ!K&?Zri)aT3>C;BoMe%$bg);tN+4k z%Ws1`S7KF)Lo>QXw)?K+^`2?HjLd8$MO_^MgX9LoPJ(cP+^^Pa9~B4AtjQyDMNr zL{+sY0Vn9g7K%Tai&yTyIgRI-zd$tL{50!6N2eatX7t?Q=5Qe4_kUFXbY54_P2ri7 z)XZ9w@tr&43*zD`KStvYuDQvKCAI=#%fhF<$ei`%&%~63$L{Kr^|dB)?k|f``E9S^ z!)1)c;g1b5&!KpU-{hiWa4s8N-<2^OrkhTwcqhd6)?{+tLQ5?*S&W-sF(q5a^=KC-pZcLUC`# z+2eSua@!E5$zf$HH}+XqNaf% zgH)ahmoFg^uXZnWX>7RGOWo=T4_Z?4+fNF%v09gDPN4N?b_@BR!LmNqP z>K_+_8Te>~PXAjBdDOJ`s=P$02exTRBo@K2*mMV;4-u-HqrxPLgWQ{BvNlXE^uFn; zWcLIWu8Bfap!)Yw6aR8c)(Y*$=m|C!y~7fP^7_oltPmWl{kI7X1I+{59x!J+w9E@O zr{^;qQ)d;?)#QqOu99vwWsI1tv2EXFfjxa`4@e$};-tX>=Mn0h6OvBlK@}C#mF^QESYXOeXzkz-I2_!n17c0I&;N;Zh)_B_)vr#eV@`h5S zkD=_V#z=;KVz~4a##!O-Y84D}Vge#SAC)8%pC97pI;vrP{}bmJRRLqBYRJeUJd$Ufy(sZr(C z#EgzuItYzSgbIiAeC~k-J2AL8onNXll9{~|3@mlWkKJh35BkIDFXaX=JGdwjH331U zrOq}b&7CHr-58f0&2xIrwsV@nbPrTFdin{y%qPbDv^WIc&rSMiNA`ajTQ7NMlYfvQ zAN)v+16*|Ukdv@2b_m9&gGgI43PedliDz2CM(hiRmWrF|O00|V#Y1H7(~7gpzQlv1`91s}&|=1281X#cuq9(w zm2lGT(|ZVA#NNBN_lLJ&UnTTv?fS@Ua?}>8QY$})8_IQa4z|>J4ptp^tL9f#Tu3Ck z#0Pj0Um}r3E`wr!>6!XLoy#nP#n!v*wk`g8u>hak27}6xf%&$(pWt5p!smX9-Z>)j z_K+ytSZVKBJd=mmlO3PF6ga9$cr(Fq-AOT12brD zFful<1i8));q2u_a?VeuYbJ&O9<-?Bi*0_Y2fpJkdtC=A%mc+FZ#B6x&-BF9y^g}l zJFBO5>fw3ir0wr%!z)ziF({7Dd!H!&9-{u%4I$ZTzAz|jR7h^_c}2*7@mW+wfZYD( z-Oq{P(xqs5bZmsaaxp-FMj|)DuO3lO2dP|q15QGvfYRTU9kKNhu(Qn^`gSJFX(iG8 zp$vCHf+~2n@$~4rVS-?wtNzDl(>L8|GIQ)9$th@?Ua`^5hxt#ge03?w^^%!!eGf_I z6CUAJ{>FXOoGM}|@Lt$NSZ}czO^^xs)v#nO-}U#sxk^Phtha5sS~3(iqMDITtW(JR zvcJ2Q1R|2^Q1MSJ5V;w9okTeyRMC1Jt|R9U>V%k1Pa0PGcLpS)Y%*O^+J}nt7IVhR zXlebgf;aFFiHI@dH&(+@8KuBnSjNPRNm(VJ1M(x6(dmRNM8a6B32iS1PJ5703t_JeED-hd zk%=bs0`MI0BhqyL)%`v`)|o+EWKJ#3$EL*HO409@q5AEpp<@F*dROVmlH`veLt`;1 zC~#SD^o72yqdOEP#~hIJQ%^@XWad0-4;ymJB-F>$QTQF!1_6n#Z{XP(F!oCQo3S?a z#XoM9Ve) zEeW*UpF~qtZ32rwVjZPXQ3^^0bPOMOOGX4u^i1g*hZs>!9{<+uk>9IWo>+EnZ7M^c zuY)G@)Iz)$Fb`4u>TEOswvhZ~O*FLbR?MmaWfj5*&an3NXo#CS>~=HW4NcI+O73?~ z(wbfB2@0ylt?_Sn&&aJ1s(-ThwKvaLKr7fVw8ZV_6u0x5?`eQ!T9=s&VYqmFcBZ(p zqr@sA8k*Ta*^CZqEn1XaFbVU@_pm_mfN%QaW;k3T7GXm+nDe4&{{HYP5Iegz*c2i9 ze2qKO3BsCLW+)yYMJlP*@i8cBkbj$n474T_`HtGfrhb^4z2cfHH&(xT|D0j8!l%t? zC?c%Lu+H(wO1xTxnetAq{@@$Sh+BT|Q7@(PB${Je{pb94c4+U7Hy2~1#Z4NT8hzcl zTiPNEAZk*Y=RDcg{Lk!ZVuYxrna%0mn~OEdeLV;GAR`lkuPg$t(5?-ovkSZlXgM~# z?##}76yYHjZjN$WP{+3n@5sVRb%Md0g?Apy@WLju@U3UWoe*2VU~0tE zx~23e(*8ip?!u+GQ4N2V6rxFR9 zg(rDpSIO3C-(p`$r#l~Y1P;n5c$GcEHwc)Z)LAFtx=&}HD?jMk|Qhs^Q2`wz$xs-sIR&?oe{?wihBGHRdsk9HB7 z#_euf#-%Z7qJ*|_-yHPN$09|wo%q%_Nkcj^$Z1aVFdzaI!s zK;E`d)C?&qv#lR8X|zKly@ko=pG3dq>5a-*iMyW!jYEW8Z%S$%ekpBs$e10>SR5ET z2Yp!kHOtl2^+sjr;52_!GECpG%Qk=j_AVhIV)%{`)DdmIR^w1+IM`a{J;G#9LTO0K zxuWwqgY-=+b~c}Xryg~w!XdV3-GuLt$?6#{^3_X#V#8wUU{(Db8GC!0?O!a% zj5a~b?W_WpPH&d)gg!-yx*fa@7HlbSKpC@P^vN@s ztX%xC;O~V*>oEFU2fKY8x@!IA@cT2%6%n9@s)O&6K3`)F#?O5}CIjY_d3!0V0!W_WCNIy<9ey4X}J+VQxf-(+8CBUx1 zro1;5p8ENGpEm_$K`;IR;hy%Xm78I~$^Vm-0Y(}&Ko^k3k{tU&%A6YG8@UJIA3xWw zKjQG0JFdWRM+7Xv&31+UZ(zhGp&Jb}*WEaV>FC^nAHiW*BT zYn*{uq$4jJh+Yd0}?OWMMi7pf1>}wEfb)` zO#9nI!~VXjtyqG8%ctftXP^o)^5c-LE61Sr$OesIpeZSp93j>DMTAKgW zl>vZ#$O;uONylMmY*BBrEm$j&R6TdAN0>rTu*A;1mWN7C z>ryf|JFu{=2_&dpZ|ipkcbi@vuChdu7mqa7+;GrL!!2i~Q%Mlrv71o9O0t<;Z-83M ze~w2FE;aGc*{nrrU;*&s$Woe8V5J#Z2rhy6gfx0TVt&@LA>m1q0U2zj#Xvgm<>PAXsppW0y-pc>% zI10*>VS&eSlH1>O=k}<{G*^pc($nN#usM12eg zSNeJLS(k?pTauSJ_gk)fE(QTWw1*Py<1LHYgX9C$#+3NjBwhMcxA9?~|GE`=imWkc z)*Q*~q+KT#E-rHgg!wp3#%2$N(HfWTk#8L-bcAlUt>2&g0#w_iJ|mkx27?c&b$qX9 z$x{4MG!z8Q8mb_up3xLHS)7&E1G&a7u2bwrA1&(=!m}AEbwA9@GuZ-DZh2a7NdpUSMF_lslQmP#`stPVaAo&oI{#&Ch*t>f0jJ zqSg0nxucsHNt_$G)su0Z07skhc3SOMzW;SucFo2N_A_Im;Ba%DICI+(t8|{vH;7b7 zF>8PkuB9zF3|^CL)>%#o{ya_5&MVym zyPW8ZPNEBxA*L`4HH4S3_mK~f2U6jt^=4A)_`s%PQyY*JHumL*FGCbdb4^jGBn-0j zaJm$}ZXzF`Hs0Ynhz@T=Zn}en74itbSWX;JOMd_5Pb}1$dz_QR?@wPpQSzwOsLEAc@9jroTWjKX!cNi(m~t>uP^X zlegCIU%Dyvx~A=7!6dZ)XxvIW4)IO?nB{Qq!|niQM-@bb@EtX#Nlo;RDI+3LC*V_n zOL6!T2Q?!0P3B8kJLhl=z-~-P5reuREez4jMW9NV^ca^PBurs!?u=RV+opI{X(h4# z8D?#!2{2o)>I0)79Fs+&3sgOy7e+c0aE}mjG45R^e~(aMo;QtG5Z!D~+l)-k`o0-( z#5XWu?FWU-NmkYhP?__JbiaKPiw8K|;t^|yvY~SKr%A+bt60cT0$mfMhfimYC zt8PSS{SfZEEBsFT7i~R)8e>B2cW?+4wkNDH(BzAbmyO(~Z{Rjj_ETkD=^;QYnizj= zvu(Vf!u5Nks)w!7PHaSD^dT)Z&-<1lprpv*>D{#cS<9(C!K2rUu^y%L}$S% z^-IU|VcU<Pe`KoNM5KkuUbc|`#r)C zo>2;LR#WaqPm=os9-x6Aq&U0HZHE=1=-XYy)~S;Plb_ z5OF&x{)HnO&t*L^XUo`ik-An|xsX{M!5;`l%1YS4M1*26zm+=4G``}{-}|tYx?t*$ zyuT;@Fqob`RJt8kbjU2)3ZEYwGST@#MU(^gR9-xqCSokl)!3XtFbRR{z{@Y+;mLOC zylmY-VPA0m0!%pXVxPcjjSPk?&N{I zRW|kLU2);f9&RwoT^B%g>*-IxM9N;c!_m@GYEJ^N_nyV=iq&hfC;`9SUBk#$3CfGdf|ibDyrBdt0&ds)$|+F zg_JD9acXqH=;qWZ{mpq?Znew84g4=O6Ms|p|xgP)?_Bkw-on%QN;c#3W0KMU(otKhVz=n?%M5_&S2{^A z74tFa&!FRzd`F=$KBIzcEp7~mI={)rp}hvq0TUDCD286q%EBB~Z1zg&kY=l=?wm;2 zwKN0PB5e4>Jx+KpVXda{!!Hr!L0WkFC?)E3N$cb*Z7ju%IOv#zs8z{nOy3LTW;1xp#-LkDu{i+t&Z-hj`%7AzjNSa0Gs<7fR;v4 zKe4g>?zOSL-w7rO{yw?JSBzxE>hYNt;t5V1hDp|v-=*MhNF@duQej49bl#y1Y%k%T zZ7b|Fp;7&l-%)ldRH=@ddxw5!wOWTdA65?8xg4{}`EG8K~?#4oW< zZ*FMP+1PA<9~bWv{R}#JqWlZQ;|W-yB;{C%+NX#YLoetsp$i*!G@Tgo)i7_=&bU(4 z@1V^VsFZ7?RvMrF!~h4(7A_z45mif&il6K!vCab+%f{vfZ>MPBoS_R=(M(lT zge+OlERsZ&X;NtqWxF(_gW!gU5Cbuo&dew{4KX3O1&(qw?ykt&9B)a-rVOano}Y!T z>yxG&z9#ZifGjBLK6<+SK2S`#HFx2or-^T7gsI6 zx2=U6g;yLEp4o1HY=ukY+tQKD4lH&*oZ7hIvQT+b_NZQl5MH_HiyQ|h7UD<2ENokr zVs1g2)_D+{IUJ;^+tcS!a)hAANu{mY4Rv3Hc!0aKg$H*Yo*-lLcDQ z-7tJ<;tvgpZSp?rR~=Vs2oeP|deHQSE(mblKFsQ^$hFjuzXMkU;E%Z2O59;SK#sU30-^rwx@AX*)RnsAJmZt|ISc z>T0r0zpYc7@JA?_R2Vrqy4(BVQeqQ!!ZbF@GeGz9rptBJT)3Qd03w1<X-pa4gu-C8qR98@b!D(C7O;4+s8nhCeeHId%6FpIsf680cuXm zp8*+lI+m5QAA)O9UG&*Ub5$}C(g}lD>gGR<1V4+7Ir?2u#oQw6A`PEDQonkJ>YA7i zDnub2e@!(-LsTd{^={t^={4fSfw`oO%MwDWOpFWi$5wPkAp4im3h#KL-o>V3rpWH*pu2HTQP={OV$t5$=eX<8xhkzG zX$oA@4NZ03zDP`Be`@^h-xV1*u1Ft9iHVWzua|j0#()+x|c`sTN@3%2KAC7ZgHOG|674*ro6oWD+_4L10$$xJXdMmXX{`tGfVJdo+*(n@#-5q#jdQJoi$BT@^F>AoR|k`|AL zsHPcEEr{B0l+%#Df~dwO0Qx$*4B>xV;9`H)O|KNP{#iE_N&OS7%fNm_^z?D_F(H2& z&cbZhWM^yP!6jSTHArD5?+RhjR_6n2n^kj=kEr1_@{R_9-6yEp&U0ARUSb_K2}Rd- zpA{!U%iS9?x%?D9wD{yAc@>qXX;*1Spk)DI0g(8#7U$I|Rea_{SGg8hM_4T>jDKl# zuV@GXLJ=u+ZSj6v{axpPV5jBekkHFY4X0m+Ih6~H-}pEjKzKzf3HM?&b6ay)`U&+F z%*V8bnp5-G9M86SMs4>e!=Zob~#mC=;fk6|+H5z}T)`ObdJe~Vr`Lz^&s%~GoE zp^a6ahM;FE1H|FZjd#DAc+fV>t}f_2bE>yIr~b}9$4EG1c#=j)B4rgwpu!q$e{b1> zwA(fBhT^3%Cft0!`rOBIpOb-i4AlR>K#uc86^kZ4GRARe?)GWX9|kcDG8WOTw#cs) zc~FDMDNcOeR{LFBu!S#zZ)`B*Dynap@cY!5qr*CZcB--f&LJ#09&K zE|^$!N96nJM?)O1qLxFzS*JV*ea$seC;?FEJOB~p#D!4l#%5hZAqTu^C!CdL)UdCO z5eRp_BveBXW5B397bGFi;~O6Zqs73fG@FtpLj7kt6}tEBHEXOS=W@B3hGqgA2-Ei_ z>x!Q_`}-1xEXCB&HWB^4ZEwZ0&esqJxBLk?gzaw`>mqM|f6)#*IyTW`4Sgf}qA7+F zkay{NF8BIv#rv&z$O@f=)dzr(!%M~TmN2P6hy~>sl$~|sqe>43W0?+eWVYy>CrgLa zhv$(V32jVKBBCR8v!4ZaWOG3yqZL ztZX$zJWE-C=F0^AS8UQ1o!~gz?!WH(Eg8vMQqb3 zz=&mc|NlS69hk@{O+u6SN@Ofk_J{1rwQQ=YuRk2V z+v>E_M`%wY68@ElQ>3~Bq?G$xty^W!bnmu1ASK~%q>nD+isXb44K+BPqmK%MeU zaHZ`Gd0+$`&;%kk6A{rb8vEX30{JkI?UlYK<>r_GLFDU97lDz8Z#gkj8}itqp$xOI zXt@X7Mh@T4nCWG#*Sa$vSYheVuX7 zH&9k?QK*dhdXfp54yJGEj6j>;*iIokwG1`?8ray$1C<^E8L4F3Z{l2iObn4x(9i^Q zZMlGC_a9*!)<42FH^A@tU&6LZL&K8pF>ZLF^Q!8@wrkDrV4*nu(<&aZ)age}5sy1& zP5xk(PrD8zYaTKm=C#V(j0C~SK91yk}6S$%Hj!`A!);Du)Vbom+uM)JP>y9Ilf%ekcYEZ3 z9P+-Gsh7-yHuIciU_uelsRMH~dB-&mIYPJ$C2=8AkSm^{0>X7i!a&i7fR{ZoH=4$7QdIjNGOOU7(PK}AWa zZrfRvH5p>tv#4bNoP~=3_Y$mzfy#@S8FcQ35>4IJUbZNtkLyA^$C_?!Rh^4!eT4Uj zFMl}_2CezQ86!Pi9f-VjjrPW#$>_R{ILinoMEu~5l0taWn_K3Zg5PMJDQkTo@qvx) zU7gjm(#izYA=Yq<@Nm`p59i-d9!tF>QMhEx1%;8*fA!jxY;90O+%Gg>pU}(4C`1I3 zKXzDFFPmcYrm&aPPGeT!6i08Rk&*H{i?(^r4e@E_3W`SQX^{`?A&{r-Z>lehZ@Ot+sm{7u(G%R3?+QlJ+CjQRU^8M&J;dDRbxKK+CXN z8)F8L#@Iyie0&`HcPLjkM6~sN3DvBpHXkZwjZ!I;=cd)hm35#B^F>x4HclVGN+CT$ zuEdLs^tHG&bGgem##60&bHMS8$XxAmJ*B`4HhPWgLc!rmI;TOd`jloX-H@j6kiMZa z+WoREW2P^exxRk!4Aatf-Ti}P`MDx1_r^RCTxe~jrUpcgSdkj_uDGO4nBjzjYqY}7 z(tb%jzu#UI6c~Q}z0nGYEa`YVok(v!(ckDav=b~H^fVmkv8bu!RBDgGB~s@1!gl$0 zuDMIRyByIVoZGBWrLe^}OXvB$3N|A}!3jr)=ZPNe4_Nj&qqq*q1KtsnoD_1yXMA0J zSH+qR26kmNW1yJRjna-PqO!J$XbWlZ0s|%!Le*)F!)0^d0#mb@-{k%+&PsVY`KJYo zdC(kniwkxEfZ$$yIDN#)2pO@qm@yj(pt=ePw20fi+#YgT3{D;*5}A(idRZ-LKF_hT zSs&qQS4oK%)Ewic)%R%O?L+rSar82s*Ass7(dLKZ2?{3 zrz|XvdSx&F23&Cn1<}U%?fY51+a}aq#L>DxTPqsF_wye*g{&@4UA_-(*T}jG0iv^E z&TooOGWvPKMcRLXUaiB5m|37_k2#6}&~7GSi5=#Wb@2%hd^=K=^fcKr3m^F?*W#8| znA)0%SPEG@l~!pB>gJ&j*@OI7D_&TBEE<(m31KCGn`68Sd9glunN{jR~BBcB&6U9-m zU1+jY>t`pV=NrO@yqjFU#l|}jZ!M)x@_RU?w}n9^%9(^|Ml8IvS$st%-9ti^rmJzYYj?Q?T?eM1k9f)Eg3iF&66O|EH5=pqmk^ANvt zR2oEOBViT9kMEs82cA^!|Ehr%BJ+y%GzIJ3CZG^EY8I-!PPi*$p-!F$%j4^Evyzy8 zY|8(NB)!cxU%S95R2q9=sQV_1U*@Kha`LUF_TLjxGQ10OB1TQrH~yjGJu1z+G)c2x zbNcy-jr^!9RK_cE)3ao|FIZoEK^1nUGaH4YLJ43dokuqfKfOKtB0F{{D6&%*9HaPF zfFOBStB*Ct0f`+CSJb{`UwVM>W(^J#!5)-Rd%o#li19l6x(5~sc(bl{DQQa;;3`0(}>@&qs~BNpYIC`)Mp0QH@hX|`QHDgZYmA~h zmPA$b7BFy=m!^f@h)Gdrz@x#=Uj#KsnY^bOGlsvb(_>Z4cDV(mxeG9^*aF7QrUtIM zR)zCm0}ZDVTQLb&)(KZGU>5X2aY$=`GEqR8kAOE5LHx_n>7|@A<8)kt&A1sqaX(yM zs?04`$VWT%I#pxN(}uhk@DzZ$KseB%8T)A5*)Kt=Xiu0Hr}>5F%9N44Y?ACyGNM45WQRN$YDZmwx{-1 zic#i3Riu~gIb0QE=UA?uh0_m=tM4~?kUeFVxK)!`V#IVZ#~cTTf3vud3QFE)eb2Eb zuUB(XQ_DtfLI_hV+?YObqegfbyh~a)ZYV{QTM$9a?k`7H7P3-*6&g_L9J+rhkL+!1 zR!hZtk2wH_GMk8nE}f0h-W$leYu{?e*>WzMS52Xb;rT`_~qIc9H{nWxV9Eh5e=enm@fE|_x^iE{dv%mo?Ulr<^c zq?PH8?({+JYw9G9>a~ob_EgUG;j2^s;k8FbH;&hMx-P^w8f6cX`q8}}1)Jqo6xF?+ zq0gESTKOUO!CKU=oVxmgf^sIZ%?moy(De7TL7qz^7Qr-G@dqSOx1~)GbhFa`P`7(< zY;1U7uq5cKgB1nt0MK`9fLq_TF01cGftR+>baX_m-Q%`$qlkL(*n1U51~_E08NSap zzYddaA{fC zJ-{`!mr?E{y~O`T&0+Ua3&{FUREUfT2%T3?z~IBA_NxNZgOGOux~u*X7SsL*%mW^Er{Yif$C zam&o0^-bM284Y9eQewD9NV0!%We zR`ZnWA_sm+P^OsOpAA@HR3z3liSUOv-F?*dur04&SD06m#w7ivCo*wQn^x7y17KJ^ zoxP+=`H8!9(VRgs9Yo6tzTL3$ z>*x03S-KuuUW*_57=4|+oCBToO%_IL8Hr!P7ERDaR}-AWokwgb6pS__(UW&G2<%kHvuSTlYQj{okyQAQcD3^_Ap=hx zI_JAMG z8p&a#VD%GJ+x?Zv=anx;)^mr;`3LIRu2#cbsK@tC&#`xf0(I^Ny*e~8 zsy$$cX8(@dU@<8MY?4KLa2i?QD#%{!Ra{UB;&FFV-rf^UdJ&A?f_Vf4UU)X{QOf&#_pIo9u-5sn(oB`iO30#>t`B8 zO=NJDVRwqRVFffvu}upn-e_VZV#r;RBeB#mH63ZfFX9tu5_I*Oh|YS;4Z3J}wGIC^ zS^u%Ix<0F;SMKWrQRC}VCC{xs?^*vzH++i4-Ok-@N&Lkw+Ka`XyrHki|G4^FQyXu% zIL=IvD_FOIu#1}GO9q}kleyLf#sS7J!~Cb(X<@LhFqg|WpZ6Tv1Zq$FOD8e{%&A4; z3|M$Cq%&Q#?T+m47P}~*wY(Qmj>yza{7CC8eK^Y3oIk+jl@s<%aTHztKJlf(#B)6U z(-d94(mHk50pNzPXQyo0(}sS5Ixdr+-NlUV=%Ty~{VCsT;4L{}a^$LOdei*Q$TDhn zzY3|~&dima!}9x|x2t|uBPyj0<)O|IpTVZ-F~ zrb*+btG# z=Et+tF*gHuyCu?3!Gs~i@K5Wr=kL!x*~FVz>G3p=p)+srPVe^?4a2I%f(Bn3YGg{j zKHjfRP6{J6_9x*+PJS$TeuojScIJIl1~CwcoGi?QQynvx{4`=y#}OHg)1f%}tPnQ; z$y{G8(bQKDt9F$-dY4bw|3&J{Xe^>^@KK)RbNb3_)|vIgb>k(2%_c3Gv!!qNr)kp) zlr9aeUN>H}U*JF)*aQh=>TiJi4Hu zy_DK^mCA4@L=#A9<0*G>g*(Lfg4j1kK9s!O^_HV`=7%)V`CNc0!SBjyr1tPpO@R}q z74EO!ADy!hoeG_6L-fI`P<`VhekIJdG`^3;vqW+63?)m%ItCo;!l`FFhrk#?)v?6b zTnP!Q?sP@^StH=Pi~xTjyuep@22(*rq?WD`moyvm^6JheHlMhzmtMjzyEhZO+N+l_ zmbmIT*Ysz7$}~)cG3Ua%%wt5^2On2Uyl7w35IUT4P1yEYTau^gILQ4s18n7w0z>SC+;ZC!H%l`e-`ln`4P<_*w^~q{e z)n6c5(ISY>`D|`pKL^Lj-!~-XhV*Ys)vNImuBkO-Qz+*7Aydw*q+#Q|4`_~va5u!M zhvsK{jR5qnIYl!=A~|Kwv_7bRWzMgGn&6xC?#mASyVay-euRwiWkog=PJ%$ za4K>mZ{%jij}V3eE)xJVox_C~G?H`Y4KeDe66(=E5+h>##S9 zHc%6}Xk9($EQGY+()b&D(1)L<4@QLWv-5LRbsN5 zEjNN&Csk(WOGr*p+H55)L+o|z-tt;A5m(5IGjn05BJGSEjm;Mj@Ef<)l)$xoiK#Dw z$B-BdcJ`Z8g^oB~tPoQlf*3>}bU31Ek_-$q>|NqtE_Lp6Aj5CD9u7KVsClqH#IPP{ zKXnmdo{ALgOS7+t#+1!|NQ4QymaiTr$@#O*C2p$p;Y-pTmQ7L zEoU5OV0g~r-R5kTGRQS7|ZXgUqmS&hPU zlJkhHP=l@Ok_uMXFs)m{^^$?I#_ozeY^4@60!;H**wC4Eoo&~A^!^bA!~P)O|A>Oo zhP)N{qg@3KpWg zteLB{AEn-xBmWM#5OwkZRvogk>UzypPR)#(Xx<))_SUjrwK+~H# zN_{Q^h4JoR{?B_Aub$o9T9)l6jftLjT}-r*DEbi6h2uK0-je1El5D~(HCMpeVhd|m zo_H?rc-do?+7vQjIlJymO!~8<3R_@ybF$hmd3#i^q)=_a2;}kp?%q0>r8WL&5u$C< z(~V$QqI6`*WFb(=dh{1agL!m>7sDQm0`f->=3vpLc%Srpn1w%`;-22gcxd4CGsl<6 z^6%SvY*?hz=c6JYP(Vn&0!enk+7*fQD}E*Tq|<{va+ zl@VziySOA%*iJ*#86SItM5FL6JP&@Me-jyO_mffrfwfb z9eYcHdV)61%6QFydGS)7H#GM9v+*KsAjq?(=0**Op4PaIa6G9c^GXyp81f|9e#jGP z!pxabfS`xT@A*@ltL8&upEBEf3}1;u z=;??5@qlLhcMs@)OlJPo7T?gMU59w*xLvD35Hv-asoAZ3QoUbuC~FH)Ivm+Psb3a{yqTx$6|(2XH`GR~^L@5BK_ zTET?S3#HsM$Lh|7E~5|eNci!OwU#a3J`9fuJ+D#*~| z+Ak@rqWM709JNHKQHr|uwI@yknuu$#y_LfP*0uYmrVHGk{d(}6dk0&Isj1rht|6J9 zaa2EmDD3l-$2cZKRg~ZV<52iq13NWKu4kYAU|n67yzQV9mZbKZRM}P}vGo(L$k>ND z9SQ4PQ*@In&e}KLpL&Q(KiPm0!qa|-Qb%tDTBx*SraoqY%PO5r?d9}ec0eI|hR)5c zGOL3+(UoKopIUuBz96`v>C|z_8j>8_18po zCUEc+t(}jcx`u8{|`(B>n3&5t{pBCl2j}?H?W|VUrXD+^MidHv0Dk6%m4*_99$tt^TUt+no_bduw(X)Y`@QPH2}U2cbP>)y&BOcg71qn|y0|Et+r1ulfg~iq6wD>r$M)vyM+Kjr z+NHHUl2g#}fATMhT9Nn*;8(=z!$olnQ9q=g=xy)CJ8j2qhF-fWHpZxDDY_;pLQOv} zX2oym=y~M@1-06S?Yb?n-Ms$fS{K7#YwfMA#-{B*FIZ4X<=pJsgZ9(4RPgOjr7efs zB#{?QN!ZAHkGLfLM8pyG$06Ip4B@sXQ8~%OhmAIoSm@4QsQIwAN}b0lnA{dCnXY|f zbe!Fv&Z5Jj%r)LL&cSy3b++MMt~Bgz`s)1TM3@ltbCsf5LtvscO`E^IX^K{c#(Fzl z>bBYr@HJp|BTwByOSi8{s%_bs+=cSe=lv%rs=#-77Tm{JUwO+!Qg>IO z!a34*$UaBhklWPig#yVKGc($?tM>8E#IxXPb$3xl>ap9r{%1pz)(nuf;zk8`VA|~Z zcjC$9ry#RJqkx@EqBv>?wGF|6sH;U4D5(i~QFTStphwWjn*wvwUFU}qr#Fck zdQ+Spky2g+hI16GRp(E%@LGZuc1WOGdMY@WJllxNW<_etDQtf_+4!OB6irQPULkc9 z&i;bd~%Jnq-08-V@rVNm6i7jkCT#MO>$&v)p z4Zj(HsDM?ubn%1gBZ?+plHM<?gOw+G4l+VxMu_w5`8D zSDN@YuI`GdJ|8r#I?P#@&NWI;%hkx>jN`OzL4aZUWAkYd*pwP6wV;Qfk8n`TXieyDX5Qyl?fg~<&dAg-IO<5} zh&<|^*75^s(G>VIr^d}!<^d-g&o(Jvu?T-I+&aT>{UWE-DJfhy5vA2{-wQd4-cV6i zN7|YN6%nsC1=I~KUnq4B=9_E5GN99kzsmU%37&1wf=WGR*gCoE3wdHVlx@&WgX*)% zM2KHC^)c}jxEYThq|EFz^s8-bEt71MVLB||mKbV%HF@9Le)HPa1JgdsYK94Rv8YVr zm+RsCDofXZvRle;6YhON-~drP4^5kD=G<`yQH{LD1#Q-d#@D1jx1H7dm-yXmhvY+m z?Kga;BUkrt*RKi`*EMeEKh*R8%@vjO2VfD7&`XBLm54y`r4aHr#iH2x+rNr-RZGkNz{7$*QGXvtcPJ;cZJ?Qc>9fny)&;*mxy))@r!`f1Q z&lvX$OuL{(s_~BQ*#9g#lA0qKW*g&tQH7D8`1Dp!!264Qip8n@$JR6*3Y4w5qgQ*= z9zi^~Q7^BaL%l}I3%5S`WkbFhyJ;o9`2rrNes}pe&?2umO5VpFj2x=~&~vR7|1Y4y zCxmmOkMI+&17OW_C(yg?DW#SVC5CSmG^2TSPe()qFLByr7K5*`?_802{8_H^GSH3kISnl& zhFFFi6pFVh*^dT?tmI5Jq}>`pAx z*KG2)_5L#74?NIWj4kn~#N!*6O5edjLTZotJW-}wCfrQpcXxew&cc%ZW5eXwkq*$h z|IZE61IfF^MS1%q=hA^ZO@bb9AZQqX2*4lsK0FRjs>+ucp6IbDb!y7D4?bFMS ztFyf4r%Z#X))76J^}#k%!CGwoPrTm^Cx(2X8N3D;Qz3tWQkjHrW!CSH^I65Mxn-zN z4RoKqoqg6C$CosLR4phpgKp<@5^vNB(k7CQ=6G);B`SdMGuys9 z@}(vY^w+UnR=&%wwX@Esi(r0SCGAE@N`{Nz@bsbQ6B~&rUN(Y~z%&xwLN+4x;PL%Q zhe3;&fmnvMzYj-6E!0q}|A_ErIYduqzH5a_3$4p>;IQnu`muCgxVNE=o9bEk`EHhO z0A&4=uC_k!h+Ge5@XlsN?}&Tuma~(i*T2ELz{|J?dZV-Q@s@xy|J2%Y%ct4n^*qbH z9rv@~U!ZF_AQ1^SYuwyNqhoj{hz>2ArKM~>pnSf)-uW~w&74cwy}D2ugY{I$M+Nr$ zTB~7h>oufv$_-@?_%oGvqs&ho-dmUv0i+FxlRlvz1+AL_amv=tWEjOu;#)-=LH&+$ zVI7#8Je0u1Gz}35X3(Wtfm4MQT&E$F?7w6sHFh^i7fXYG!Ho83mGwZ;0jmd=--zHx zrPv3n>1V%-XD8*GoHp<(iDb#TwP;I&c3vte2|S#n8ee#YOZ~K5pl5!Pcrw5-iFY>1 zfcw$v*q6MGJi*zwSrFT}+8T|Rbe%?eccmg5byX*}c23|FIuVvbM zu*HB|(P`O+*}=&>TyL8(cCiDo-mJX1by~oSaj>3@ktt)+B7< z?2sFsob>`dublP;S15j^q)$C-@O~io{-mC*vqG*WvuB8=gO7}AAn@(RMBTwp!t|jo zQ$o&j)p`q>U3e;ueApx_UG#LT8oba;+3#~E#nSUhQFZ*eawQ-7a?)1*^V=?&|1^-P z{-HSiV<3ACFdF|y?>`MCwy2d#rEIZ0?wA?_WloDeEE#v{y@#)^1e>L_gdG9c$75!e+Objr(|8po_dcV zjRThy0x~+k~Kx_&j#_7GETnD@64ktST=?PYQ(ne0+V>4~qj*2q!5jWtzbF*ZKy-gWXW z8x5M)VxgAvg@`=w&i99Yb5|JsB}Kp0LKh@PmUu-dh&gl%kL-A=DW{8QgF%7;pO_Km zZ0~r{jqycMUDh0MZ6pN#-$=;#e=UPv-HAz=AiZ%_*xWH!QdZOib@v+7rDa&}P3|UN zJKCAU#EJcqw08QOwiI1NG$z}^0QP5T0{zqI>*kb)aP*)U^vELh>6*@Sl#NObSmVU; z;hE=}SU{&G37KZz)*KXy;Q&FVk^7~vOBc|G8ED!EXJSy!Ts$lE>%=yQIty`B_-L8F zW731-5Q&4Wj_-#5R>0|}rR-L1d*xz^5z}OkSd(R`=RCwqSO*1M*JcZ#vIqu_DeyVHGt`%Y9|DDau=5KWWoJR32a}M9_MxE zVYVXNyK^^Tt6Jbx$$Z4YbNnG3qo`49J&`ZM($I>}s^1~(gD`f}3C@ZLhEF z2&^kq?t`t9R;QRVW$s52coFdey56CAaL*FT#(81sCf4lHbDq!Js?pPLW3 z#lj$AfO!r0Kj@18L@c1^mo6rKQdqjJBZn8Ei&T;(j{6iUtEO#YFtL|{-1G_B=(>0- zKHx5@Ie%=yfN&HIz(5yF$m#fv-0hc)M)2F{+>}NVdpaq+)k*G}y!b2dzqVTdNyB_n zfm!yxIpN? zK5OAOS%#F{q10;v2_u2X0d4AX#YL^2tB*|X5#@oAWYfzv@Vuj;u`=&KmSoO40vbiq z-)egHi&o0W;v%H^-6czL;^7&vvFIgvBZ9F*;KaM@zOAkQ(5F0Oro5D)UHPV|dCih% z;{27V6q|>29FuauAvv(>G$r~WZxZtLsIjw^oeyg4f>lpMPA{VjW( z3hSoKhjMOrgVt-gA{Y5zY>r~1-=R9&*s}%K!q8`O$%X-Af9{}QTbgxA_lJ3z2X1ne zP3jct%TNV;HC!+boh0uuD&hH$WY^iP_F6C1!&OzGk@V~_s0co+AkuLBSc^6?K$fN@n5XTg+IqdEC1ZBk& zZQU(P1aR^v%)sDH86li@+;mscTwFN0)Bf>#i(=M8zOV0m)P`%DBGK~rq6}*B^S3Hi zrcOvGf0xs>B(^Mf`38r!NfdwUgmf!Farj&rEF>bc4ARpKEMbxZ>`n7QUbTatTCdjL+{Ja~sFL=s2Mav6t}JCL8`t zvxATmT0mP|K}uW7U36Syf#K&w!SITfmOf3dZp4I~Voz1AR+dy2hXpwp4h zNT0ADex%zMVF!Err7D;)=y#)o{{)x$Y$!J!=aIte9A@M#()!fWK;OL;&X3D6M$fc@K~;h{gF*Yq6kW4>nn zZMrL9`3yAu4U^rYN@65+C-Jy0e#v?ln{!q*B}h7%ITREF%aD~{S|R~wL-UfTN$A}L zVtwLbbLzgzzD0}F1~S@I&Rj4n$I8?=5&mkF{;cnZYZ|b(^vNAhI=Lykif4qIy6tfN zKyj)_q&c-OX(76^=xs;A!wUB6jz)UlIe(VG$;Lfc*dZkqJWuBBZKMJ?-rhwmBA~$D+bD!SFF#MI0u4jYgstad7}o{*9ZgHuWMa z05!Igb=ksS&RK_6axC;X8ZWftbsMoF_*cnu&1>{qyIx6 zvpgDAA0@i8CAy?VMstd~i60uvjEq<%(G)`u`~C18?q49&J`^wHT^b7FQ2*EUkgq|m z!inBaqX4=7`7$fAz#_BBaJp{zq3st;F^+o zQ*rB>eYK8B+PxfH@wKkA4QnD zB(f@RqwYiDb@&inR;WJ*i14`+<{ojk8Ow`ez>5kD#_!bLt0@A(4&bl(#Ej3rmJg-) zh*Phq(`^TnK?ROsD+av7)YPz{mgjq(R!~XQ&jL|C7ke=rA$-au_4Pl{5bJZkSyZ>$ zr)0BtAt;NhN5q+5VttRKJ}DWs%@EeuL{f&(7&6*8Ez^FVwM2*FiJ*EDczW+sVTcHt z1)%8Zn7YQPH~LlSm2t&rG+GjkYigq-g6LHJ<6M#=J)RxE%cP{Fy$=u6)Wm-e}C{-cMq>O?h#u4#yfkrUMXB+E;S=pva7J&!vF}=iA-s zW;PZdwp83N9RcI=wfqk4*N2GJUzPVA&G^3q5w=ALCP)oQ$o!5_?~*(bMPn5L8xTk@ zD~Yc^>}}G-h|j9eAZ%ji&UsHQe>Qde=;QCB0L782g_zVQw!#(kGhgpDIbSS$SeMlu zmY35n5=a^t;>wli_5%dGQc2a-gjF;T9mehs5rh?!BBOl-yjd|{_)Q&vUYQJ$W%&S) zcH~*!p)OQl$k1rg@c6HiHGf%i-6ATNJ<(dAeUN#9TWY0e;ZQ;Ijd zoaPZMmc)Mu{kFyy^<09e{*;Gze&fDWdJM#8ZA|+slM8KuoX-#wIcLM`3e8CY8MpvX z`NyDY=hi5;EY0RRMo=r#sRX{O?;3P{JK(Bj12tS#eq}R1EAgxJ!2+HlCYC_;aa>;? zZ@ondtLD_S3(R@fV)VI;j1v^?taPN|bMAB63Lh;@f>hSrz&l_U>?JFjNh?~N%YyE@z!^ZFcC%Ru$7`LjKAg)0P} zmX$L8N^6sE#84*KRk$y@G?|z!h92WX!5wi6G!_QZT$65zJWHvV%Z&WghI1CTJ#NU~ zUiJ-yCiK9yABriT()C=3ahR*CWTru&@kKG)~9C!WLcs zG)vQ`-Otn0`JG7e^jtSE2rlW#$&Fr_n{vksr&Q?YU@AyV?>OLDKUFI&(C2b7=q(r@ ziNr+*-M5y1=pBaY9 zpMASRj~!$jMo@qz@LC=EQAFvQz5_wREW~rE!;)f?Ih&@@^lTOap@$P#V#E0i;L8a_d`aY(Mnui=&ZDH@`t z0FY2!pUn)hC#9a+A2R7y!g&0bPgxwc;zoZ%d?6NEc zSP2w1^c6MPo9Z&{QJMp}uzs+v|2FS;5~-Dcokifxvs0-q{en`Pj;^M7vu&IToLjZN zAy>k#)`Jhf)&ZNkniXPbygr7>R;-|^GV~gW?v*a3zeT56d*Y(K$$&^${~RWfqc$`__~m_rRRI#R(N_eH_Ajq0G5~*R(#N)Y$XfgZVwp603SvSryytl!PWS` zi>Xwch%i9K+-8+11Jsuny*s}D>(P@iw|BOxEs>uI|5Ext$L35e;KU6(c$P?=&bOZr zem4zwNi7C*Vpr^#YR)}T#K(=1XVS_x9S4U0V^WS3girqu<6{aX&o4jh&{B3WggM|J(O1%&P6vTwqG9DfnJ zyW1CnxldR*_a>T2L z&AnH9|JLkZGdA$^5(gyT7W|!l`#rUP8$lIr0O=;1C7?K}qPHoNcd|7SxGN{>uglJR z+Gu&K5(V{6b_h_&_QB#gd+Zx}a82S(lCC;8v$pD0kgJws$1Yy!^_4b}9K)WaB1{;wc z7|$y1#BA!Bp-Q3GXg}u=8xN27_BA))<1f?Bq_NUj7z&}H1X|yIyrO1M&uQqv?LRVE zf8|ZxezWP)wE|mK4lKlszTG)GdR};hj2T<9d!d>Gn}<1GR%~#t-EM!H!+(1hHol)D ze~?~1$>xU4471nvUKfBwi_IZkXI-yCM66tJ4M-L}cR)>sLPk$tqN+mv{AbWf3vFf(mOA1-}UgO-(E zi0I=04T9LKYud(qYy#4@&y6z)u=m5JNkVz<{9-9rrrsHTdjdd@WlP7Wx{-AV<#6c> zWbSz_ahO(Wt;LP6S=h8>E+2xqyA~wa_Mn;@XiJC>2uTd`Q<7o|W@=c6-iW`j@Xc36xB&?@4A| z#NXbaIGbD_1{2*T!16_{gNoqP!92jqC03}`=Xr>4 z0Y=9yb##aPY`rjJbbfk1~VT4|hmUd)8ren-Z#b)NLFI;C}Z zT^|j4I;h^mOi1Lh7!*2R(TR%$1XUygt(vO`+2sPaZa;w+4x5FmCWj@Y&XPd>;}dBo zCG+Qp%ECOULwNm!jXF2$t8Go@PQwx0CqFh6^Okp7FD);RTsKQ59wI#3B@fJ>+09?Y z-c`5;)W9YV@*6ZyJUQ*{G5i_6aQN{<$&}M5kZROP>X*Dd{TRG=-(lXGV7x@=o%EOI zX_?`F_wTUF94y0&aCymQL+wX#rRbJ|PxluH_3~nO0_J@s;XPIsLO_RGE+GSEYsO4h zqtzN&y@>oRBVR5M~02nRh@Td3x^Ia1*(vm?ukw1e0&cISC73iXBt>%d6 zm5{yfe}bI>Uw{>`K{tO;kEPb6?zZ(W*#Zu?S`aO>!>9ZHpetTd-71ecMIqBfKj|Pr zR?#ifkC;@F)Y9U-oDsVcTFrefHcDkpJ<~`$3wa59=@{T7iXAB;(L^Q*Sw|}E*}mqe zDz?0RF|qkg$Nt7k4ZGi_BfW&3gpHpP09znOMz(uSlBgRO`|S)OgtaWQ8bUM);CJn9F7x&F;erRC zA^~xxq*SDk|E}3|KdIA=N>9B$8(Wj8;87u}{ldxFT`t@Kr?P z^yd_ArTXv z6{Dm@_u{*ocG&p{{)iMy8z3h{=uI<1KbSq$95akAL%8O|dS9F^xJ9M5V1i1m|Je3` zzPUED`-`XzqavlF<+gOJ<+TUZU4?Ivv@%FzF^nBGMa@M7i@8Y#f&xOReq(@lrrjkK zh#8jA@f#x`E|qxI0_NllBAiz1mmio`S8u*X? zH&ak4Bb*(sgZq_&&y-8ugL)mqIqxVFPeCfLNw(m; zF%xTTHdYLyG*0>~ZOV6Tqa!9!F18|DZ}5X=a(tzLOsV$9En)nkRepWy(zO$U!|h*e z+uh41Y$-G{j0##DVrY%~#z-x9=+GZ?8uPgUPfqJyKf=0{qtdZEk_35;@}||!M5hz3 zr8RZXv?bJ&u6QKuwNCYMd$YMyuB)HuceA-;3tdZr#8a%~&E-=?FfL=ChR(5hux*l2SMUA;Z?l1s-cpVusJ9%3^;4R)W_G2w2!ZaO zM2%ym^R6^Wkt5Nu>Qbmu=*Wk56hJ9`j!E%Fi#)TP+ei1Jnm`cEYj6ybse?mb`1Z|t zgm}tH@r(!aho!x{&gU{n!g5wgN0H2JyV;%-obGOPA6ZLw| z1*_CNf4b0bL+2@hhy0*`HLP(j(i{kg%?gu%PYr(;|4NHC0n9uD{#yDW^=V#QjOlHZ z$%XL`KD-T0^P^0Ix*Dptv$S^Ohqw$b+lBF-mL^rJvN?)WWuk95dt1%t7la7r>avuW z_ipah{fJ9(hJ*a5U7eaU)y-8+`|dCq%c+tdk&L~~28FcU8e-AbN9o-C>O9(|b1s*@ zx>5=V(Y9Lg#~RebiIIwe#=-VLJ6p~2ZS)>=D;5tF*EX#s^$~E zmYY+=ZEJ#jMEs1}rHo0n;?-2$omA|;rHkz^(pAf3GC{~SnX-p{?E9VB+kMV{;Jf%e z10JlQkmxcOo4FJXnDbjZE>oMQJz0+nyn&+}MYj#n^7KPH!lSb< zsm-wpG$v*W+6O0O3)}1yB?XkfDb%pqSbC86d0k%j0Ump6CXfK{xk6e>b9AXa2VSj}aXlHwHKO@Uf8( zq(G#2_)Lgbo@^s_ss{W~#lOAQohU<#Is~!N+Oncyi&_h3kbW>Cp1iof+zu?56mctn zjA1DSH=2658of}!ws9O(nRz{=r-z;1q2I23yRD^a!6hOc9e5rt^imEi_j-GQFig13 zFfb@dY)ZTaCsAN5Zw_SMh-O>0<|mI4bf{wz^TCz!%NwxGAkmxm@5|g(D_5myZ^!Qa z?f(uL3zk4+-XL!V{J#nrQ!NET#^e#!-KRbJY*#>$lSuSFi8y6EJ^5jnvEmj? zn1hIn{A7yhmi(b#CdWCmO;hxTh4MFZMXsxlA5nuxZD*EUtC3z-W#?U&4!5)ME>Wsk zYg8?{5;l$UTyZ?HKgc7QrE}GZYNsXTm^{}@&xQu^?_Q-=p3RTGuuC_c8RKL@snr#; z<-LDnB&Qy@U_l>ku_$$}U)bxCsclCYE1QCEZwJMjeYB8h+tDK3T~K~IIgiZw_(hTa z$0c_s9kD=+Btt;p^L=n~OeeEsT#_P=sirbY$@BlJI)7Wx|F5f#H==HX3W)|o!w)x6 zClNp<%c@-Z9pwlN?q!=HtVAXIO}7Ok12&l7NwBI3mkmADmxhm9*H>M`O8VOxL{^w& ztgqcFqKR?DGb6OEYin%c@R~8uys?PqHy|#q?}1MZClV+=e>Yex;P|IlEKW(nfYJyD z-;rDvhHGyX4QBcalp*Rg%GQXH1r@eiQcL_EE#D@ozXEixU+i;I&c6 z9&e`62jiQ;CabN65ou6h+ihWqVa!1r`+l_NXAcL&q1?N2q73xe%gay6&%i1$NmBCr ziMep68h?jNEZZpit^9_W2b0-b7a@cqefhF)HEB9od_&mOS~lo+ye^3+u@rDIR`>!2 zX4|HTp*{n%Alvw-{b(M?~1kj7|)ow{=3vvjR~g{4w)% zfvi+Xum~2KjAvmovDgTav@Uo3P{^T3n>>s~({-!IV+U4Up{g=!rQ?T-$3>6Ag{fKGB-}-ZNs@bZ&tDQOr z3k#^?;S{F;W^{gn=O|sG&HTZ_&4*Kbe8;~LbnJx07ml4Pk`hWO3ReUNKL68sUkM3b zBN|)h;f9w1O3I2rPT#qmeJL^TxMVs|8H=3X{wg(BtIMLVu6IK-qH*8i#khFOycqde zx-@6FBJ=H~DK`2Y2ygn);#9ICk}7t84suE4ofbXy*n`~HIqp56fr0H;1Um1@P7+;1 z4e|J3FF9Xc=ixCw%g3Js8b|6-SN^%k{1ra<>`!-;^(K2ZrJbx^A&FZ-7=Bt|;Q7MR zI~cT80)scg2=p<}q3unCt~m>Ku`+cbGi4T0z=9=Xo6W=9jZBL-X9Jr`WtWtEvCPCQ zvT*795XIWKSAE!fc>9F)pYXIdzJy?8ZSO|Rr-YTRgGLwO;((#%8OE8~Zy;8tKxv14 z{6-###uhp2c%-<3Yd7>xJfW+L(ke!WNh=Bu-M$J z4n8veVnoW7s3WJGst(>IqzK0=)mxDc#3A0H_Jt!-Zi3HF$)sgID}cCkJf;0DiJpuN z?0sG2n_9~si(n>g3O%TvR}tkiR4UjA2SHHzK;xoX_J0gDwP(ncQIl-_beWDJsHOW3 z$&8UHst%DgL|MdGj4W(rs{h|tg~bTpKhjbi-+yfm|9JvR(+ObVB#ZOTdblJRpN{Cu zbmfI5*uhDk%V4yXXJ%UE6ihgnpP9m)+)q8GK9NY)NhPy)HM65(kr<=4?GBsCsB=+T zkyB2p#^fO)&4cK26iI|VQP#-^q@g?1v4Z2M&Y$j3_+9*5U$DcqPei1H%2e8tcLdLI zp3<;=89hj8TzKB0nh)}mi^;kh-j3-5eegsW9D$ISU1uNOcIj3%*Ny)4v@FOqfppqG zC+`m@l^h{GRTj(c^1*Tz6_qkUn-$(o!GR9EyeIyp^cyKCrrWCW zrdcbS@wZlSBjRjHr`UM|dw7LJODCRJ=yBw&iLev>)l+pPpS|ugxS%ho@S>t;I%=3! zae9E)vo&z?aGq!we~c(0upV^gYm{%;sr3R%zIxKX6*g~;=4`j$4L;i4|DQL7f8XcE zImIsb%2ua&ey>#bOXqyVdc+nf2YkDcBA?5bGIlyZ(M_;y8~}nh$AdEupb5(k>F> zVUtBQ4WkkDI~ zD(I(*0wj$a7W~SbT0DY*Jt;7^M#BZm%a(^6r60%7kCyTJS~`nPA8{OpJ_aL7^|BUk ztEvsB@JPw-34JY%e5?A9VzUBDoML?X2odScCzp2k^&?m-Te@e# zYVsnrQYNmWSq_~q+XnIDhr47tQ|IH!Vei(Ob!zl5pNV+CtLa5rsM7LfQ=W|AFb6Bt zMb>zmr)4zHn~p@zq~S^Z2W!V);3Sdw%2SOZ#Js8Qsm}|X4TrCi6}V$A3LA6)AiCB- zw`%m|8GCVnG6@KjZ2ZV_Ysip1R%;|-QH>pTbe~B_0?mwbZ#v~aEPW__-h$~|;pAU@ z{grq3azl}Vl}svT2F{*c|9$pB+8@>Z^|tDCS_nzKh=rC`G!R8w-;x5#`J9g-ss6!G zV66D+yJ}JQRZu44^{m{1Hqw+1MFkA#6nP#1Of$SI9}zF7wtS1oC0l`N_OqO#id;b-a)FRlB#cm1~g%Cn4F4002pn;(l(?@JsL){1{yD8RmCcm{h+(ozJKTtez5S(A1%(`?(s5sc>uhp74B3=K%>OX z&D=-v1uHN4E5`6hBudS&gfL3){0F83EStd54@Y~K6bJmR*u{D-i}KcF;_SzI6Q_Wsc3ur^9wfJk<9j=2an3(yb%o&F!jD zAB~)D5PGe(#rbvNP?w9`TT(thR!%UD%36&*yA8TYNl5b`u3W!TLw8|y>D}w$Z-<^% z_E7y@B*R<_*ywLY{dFdpfSJwE&@d9~{g*64&wdodV5iCek?8D?brVL@rNxnUwuQV3 zyOJe4TAFeinburoAQTiuq>&rYgb!ju6Ti%FLnG#Dpuex%6S;2|rl zWehkYmf3!>Rm>)dNHV^9cc9^PWnEQi|J=Krlkf$VYc|#uL|<}m5A^{1(RKZ3l?46z zkh}hG90#g`Ou#G5$W4i_OF)B%b|ju3d-<8xQ6`o`%GI~cSmFS35Vc;O!3ZO2##z68 zF(&E5W5mBAxz!~Uc;AslQGN%;)qmM`b?y_)|H=2c z_oXvpQUYN<<32$R)#6h`JNybv>-^Q7oT#YRBXlWGx)fQaZd?8Wy-@!REc5`!ZkqYM z-oG5X?SCA*f5M_I#`f!ddmA2$2ck>y)*EvUP~27CY>U@AJBAL~63M=e!En&9x_QWY zp`TyF_xzI=%0zUol*>w&7qQ=|Oq5p4gW-YDg6sSpHNPa71}SsIFl@YfXc@{RMf6N< z$CUTZ5#G3Q@f*V$`5s=i#3cdZXT&dB-FvSu6lw@T|C?p^l9U^@M13v!7BDZFQ^VK&Hnb5Zf6&iix3$In? zSWW2nwcrXQuZ3|bnMsDA>C%amG?8Sqm7pc+y?;prGnkR#MQYnzWZskK%cJpAD=;p% z5JHeUg9OlzoI{ddWhkdegtt{>Sh{NdmiZVOsco)?2mz7YSGU3_*hAPyUcfXrm z3@=-FXIo#sqgbgnfo7ZP?9UoK1;vSDEz6sQP>Fwb7mrPeGSDHr5qPp}4MrKZh%%e! z?_To6vEz@cY@lU1B<;1~r0@NbyVr7K_@YgojT8qRy=qQGu9|Y}6f1@M;}8!oR;K62 ze$nKr(|H2wzN623)w3aWd9`r-xXk(E?w*~a^;mdjJ7SPXjs8$z%Z>4svRC^Pd} z>k-dDY+cl-)o%=)ToyH+zbEJJ7$+{?6xKYc)^m~8Gqz8>CfB7_5{Gl(6!|I4%D^?~ zZKM&d1&UjVSQ>u4i9MZkiiz@IN$%%kPSi?lxas0>%ce@t9MJA-QZ;q|^1@pDfu=bf zFW*2~bX0wnY-d~Cx-)SK7Um=xGC4vD-2uo?A##NPRde}bV-a3#1;UM@m*}THVH0}r zg|YrcHlF8i*2SB=z2R-7&zpIc2OM7!>4*)$I^`q$M!gse%lgA}&82D<9^y7{@{xbU zd)LRwP1GW_^u0Ofx_4>bzlZ+?8pfVHI3WMx*ESM|6vObf=2VWDXa9p><=bD;1y;tk zeqUHLj)tDS=Mdf#{2*Z~;NuS?m(w2=HI`I<=&=(78GDhr#n9GX& zG3)5+G+a*v2c4iQEKVGqb{R*@B;I<`-eS0=a(q-m8rXzH?cpCmHukaSyIwp zT;5po6|n;}gIYi8cATvQc0_qvB7*Ri6!nM~@2@^kL(=H)>ZuF#>LplVRM{t~7|Z2q zU!R5=es7Ckb4t9pGuk_P)~+2T^|)o#iV_(A zQu}-wEg!}l{2@D`#RA_miQxm*sib(Jd>h{aU7~#V`2%~uTRlp* z%EsL1C+#!MiA;LB!@_#nbfmPSoDZu3gw!yb{$8ots%TD>_vyVH zQWIEiFMB2ajgq}6<`k81V478jtbQ&wa`3RWy6b4yspr*tL8+byndvOt03?zQb|BHz zG?XQG`Y5myZyI<+1bU(kXR9dJ@4(WbE^ePn)iIk%66%b$A|-#WEzw3=;w#&53-r## zFT4fC>h;;_8U+n9qxBRO$c*b~6e0qay`qipt$}0T> zc?`|k{5IPD`#-;+V-8z2jl+)f-*)}$QMx(z zf>m%?y9d{t(x@-cgOS9tou6s48bjp#6(kAx&fd!>Z3k1rRW($ZNy33)GVxWy?ahBq zniMTum6`wSuZwu)&9&eS_xbV&;1X<@+&cDOkGSRs8ohsXy5f~U-~pi9<@%p*7IqO~ zz*VV`O*|W(s_%xK4)@hXXTTn-3v73;nn}JIckz0}4muF*n&`+W%(D z(`wQWVd7SK_?KBHcdk9l;6ZlR%!AqR(ccw8n!(0yEyA;Wm4rDxSfkBuj1lw48S`Zr zFtTnH=f$N)_PPmwvF+qaRb&|+`0q_YcI^E+pz{5<;7C}cEOXE+)9BTAL0-8HFy3kD z%hHVn*M$Yh+YF*A@$YS${AqYo`=ZD~M6YXRxGlT)x27ri{dQvbm!G(Trh0?66EbKg zR(pS;fkn;JSfOK#S(%Z~Uo5fyr{@6f{46LO!GqsAe_EpK5Kb|AWJRC~&LCdRDM8J+ z1VCg+G(TwvPbYK+_8L>75w_(O?#PPUMhhFMGM&7VnS2N3iFU}WpO!T*R%m3*sl_Y`GFA@9t#Hy4t$!<50s`uxKJwUR*8K zI(lRf!)j(N5<4=9b-w=K$2c}Xy%K&+__H`wXax13G$25*13h|YNbJge=;* zzz_|M{P**X`X6(uCk^*&;WSg7F~Q0Vbf61?W?s5fN8x&uGbL{xFs4{inzzqw<@9Z| znN4rMcXnMT2TFRc$J8NIB@bmM+wiTXHwH>2%qg1;@-6B>tSgKO5HeysnjlW@Ld=&X z+B^^j%=E(+O9bPX5@-`AHU%;$SI>U6fTm$V`5D|lX~BFH`#k9ha?w2RS>p{HoOrR%qQW-$gp~47g}^OfdvW z{9lUAYQTINC6soo4mw-L(X@)++2&QXUTVHprNd$ZZCjd#ep6N%vCsZdnf~ChN8Z#X zR15iVK*J8rZr(?8zq;=}SiQnqZS?iHMZ4kWR>~L`A(Cg6fcB&8*W9l~VxUT5F^wdJ z+@_76+Y1{wnSOu+gQ$W_$Ud0?wE?Yf;Q=mXvvGz&!(C#j1FLWd-#t$DYNjlRGGlg( z$oSa+gl7NeQ}|&6Jrx!2BnP`niVbb40b`cL@?31w)O{s^25Z5n2cn6D!%M>Ws)i^Dm=hnX<^%iCv>9N5DVUG66Lx6zD z-+ocbe#ZC#(jiFZHr@CW&mC+J9{X)jSk#GPhqj2%ABHH+Gn!7(TIk?3;l)Ccrd^IS zt7zu<8TpQ9w*GWx({rzxoof#PEQx7TyMFf75Or&GLqp7(CfIyb1aSg(_GHR_*?mAd zYH`7nSFfCE?HGrbl*7sdZL{VeA&o;a z?>FdSzi*F1hILq~HY}gV?rWjZKorz=}(=D;cAt%lmsMKG_3au^Oa zyvttTOVpI)okMoJY@}Ta<HVI#A|SLUDcYr5;7`}x|& z^-)%yW$v4Zw_S&eut1!Q^*NGA5t~ZP^Ja0w$2b34{69R0{ju5#81a~f42s4rc3t`r ze9m6)i0%3gV3An>Z-TTp=l6jPdR8`P(=jL0x*KqzDt!0fnDT|W2cbvjSC7R*VS6Hv zD_R9ePRYv(RJ7qoRTk}Y&U5HkP+b&7SJZ3&jnDTAc~UY2 z@AcVn#CW@TW7~0>!XlkFAzRBHu5U5Jo~p)@;zgk zy;`=OQ;*d(^yR`NF=E|cn!9L_C;}R3(&Y0d^iV-4lGmJ@ID+rTk1#26f9@tqzlb-s ziu_KPw-1i{(RJ2tB>v9@TFVS^{vUlYKf1yp{6P~qp%gt<{W+IRk*55QCy|y>?vS_f zW1?>fN#V?2pugc;5C8KG08nKWWfh-sbKs*=OX;MDp_eMx_77_25I1Q|GH!uHKagif z`--_&hQ-|ICO=S=C@{Ylb<-sX0jSP}V~P&)6*US~*Zi&n(q8>y-;{UnOf{dyqOyI{r1`P#@VYRN)jDP))_SjrjLNJC zCMN---X(Y~7pzYoWM1fkyEe;jkCJz36(gaZ7|5G9uM^1%Gx`uE=RRb+(aGtIR*#vs?8|i+MhHl@!Mjw-P6`bx|HM7 zJPzKmSATdg&-3@pkQyOjadpJ&f~A?N`BSRN4Fyp$OT&?guuAqSzeCX|V+#^yc_780 z&Z(^VZ0YN6>Q50R5V{m?Ym2B#f+0hcU)=d>wa!N~jR`dd%@9L-d}HdMfY$DNlTWLG zY47^05P|x4R67TaH6beix?-Ht}QtWz+0z}X%+&g%&b zk0mnxYjDW6;!ivRq;s!n=WpYfq>D(xcPqu`GoBoDJW+wDwdBk{?Ph$#ThsK(hKE0H zcA%XD16mt>@_h1|MuMYsDu_-bieTq-j9oHh_=){ikj-dxhQU}Rfmj?4rgndkDX>a< zxt_Dv1}jr0*+-My*hFD0rwxeU;)nwb3A=qWl#$@rz1RJlpRqm z{=YzxQOu9C?T<^eH(+~YI;3SZg#||1={HMvG==>wj&+X<22X#DTo=;ueV{c>lCq@K z_z{Q@_z@MlETJ*WpWo+lB)%!mXz|!*?9BxYebzJzIuHqnpb?T!7UG)x7&#;AMF%y> zHgnouGTuM5dh)Gb=sS?Pft%a)nwVOGnUd5y;T^7WGJ|JkL+_#tEPVbUv2XDpSxGjE z{)p=7-7nb>4_`c^`?uPrsc}tl<%5qkCg^oZiu-H^=5nfESSNklYHBfR#jT-3?)OUU zKr=(&CAdK5;g$USslmY^(8{ZFfjv+PL$k?DA}BzX|LpdYxT(h>9z(#V*VNPk-?-&O zTtXa>v}SOw%rs9A?dGa6ImD;LRn%0;hG@vG2F$vsezh*1aa%QhhOZpGEY+t%_7rxV zPaY1|GvS?0Y+Fi}9&b8BMcwHw~8*>N)zY?)xba=9TD> z&ZT*>*SGvGn~D1FhuTuL#X+*ZAm>?WfoC=XN(tzixDF^xlWjt)tFfrGb6j>7pTwv6 zY;oH4oFQu^C`?s{rbVd!;$Ae(AmH-HkQAPG0b>x%wq>k??Sr%DD~!}{Jc_u`T_dEU z9Y*$VdMtxLaOXTVMAGhiI<}kYCy`#hjSo8{4VIpTMwY0SJL)6EIi39ZKI}F2D`^Rr z4p(#P+B7cIc}Ya#Nnhzr*Y!doAmok%j>!}T$DUYr_s8&2C0^D6@@KC+m87XAKzoWS z>npGOwoPYG)UtCu1!^5}-GFa#L&K-nNNxB;Tn#!l^w2E>z4^IlelFWJsdwgOqZKHJ zoRAVQp9QL*%gJw9xHrp{Sc4kUTCJ$hIg0;X4-?r{OEC^iIsA)RJjd_r;pc1`85Q)9 zBD0jp`{j74DnwNoo(SILhT_TglXzM)+@Vg#h|-dFXnN_x`f^Jv2b)f;irSBQk4Q(| zc~riryz6Aq>~*KB1?wAfj-><8N*pz=MYPu>D(<+6`J|YE(vB^lyeZoN4A5GSwHIoW z)fk215j_E3@{>=Z|A;NRtl}0!i~JW@6H#h!)UWwkT*$4N1^mCMN)^AI3Mqes2*z^6VWD?Nj+K@{ z8k7$zB2*~}lShJaRT)+1%tP-ckS1g!@YsFnP%FOXxh_O3(o6!&t)YQE{`q}N7(>@= z0*a&PYa#p1pJn@MUqc3WH=N$=+u4ic`ct6gp<=38M~M;?q(@NEQv?t4g8u|szp2{x z`QeS@dmwR!)>kofu={V%)aU;{^>zx`<f;+U4lWdY=@K8dIgbiQ>La*)t2cz{P?mhW{r|t@@U0_Ml5Hs zJH%U=Q?t;OzNwKXu-b#WdcwJ^8Bk zOMA{0(Da7T(|dP=6Wx84ANmv76n;&!PVbFSZJuU@O|v>M37I;eFifQKNmit_;m;iB zUj_#c*L6F31+3_ZF(4rX7mQ(`x{$cZZJA&(pD3?{-rUT8HW+Q$9uk__H-d@k+DSs+ zk+&pv8s0s0K05lg=|JpOBD*%6X9y#4KzPXW!0B;C`U>M_REM7cz>h8v9;eNbo)Npt#6DfRb3cn)NbVcvUG>8)Xxq^ zLSt1dY;f8yO9iGQ#W(+6>a4BI+Mn{CnBDn<32`|Izq8_Ww=9evM826sEXl!SWMRb^!&b;dGxTc~D~%%HL}a zmx(MMSd(Z$>l2S~=Z(|FkKyk1HPro-#Qt--iqFBGO^)}8F0bBo`zSFjm5K6KSo-<8 z-N|oc+;QJJpWqEN)r$sffb7rirxx%^{V%3n8kC-AR-5o;a3$3evGGGVQLvh<|5~kyuu1rYB&@Iru9;qzS zGhrNMx10rg!e~%rPI?O&_-@PbaLcSw6;KtE7sHTNYpqW7(QRNYlgwTjfb zZMP^`RSrJl9p8zSAl%#t(dc+bVcjB)Ptves_R$(WI9QMyX2FT!7GTHM80GPx4OrEkT&=0NHq60j89e0`fRsR*9c06vPp5p%%GI4&_L8*mFL zhGuf{?Su1kd$}!Xdx1@I{SOu-tVu-`(nW8TVl!6>C@WM6wS#e0;ijvC&BD48GSnuL zN+F$2v-@gkm@HZArR|-eVoycMA-~@)r66Tv6ixxjLNLY9e%bpCjJ>TRUV$N(C`Kjo ztXqDlbVX8&%4QU7+O|fheE#y4iKi6mchB6h55m;JhoAQ$dY9?LmPlEmB#rJ01jM^r zKMq?gym6Znf=TukD1+M-a*kU~d4~HyR~)3JF;*!ba8xYDE~;ZY(eT(q)!) ziRRP6Uuzxkv7~?`qBjoW)ferH+BW4mR<=#eP=>p?jEzuKH{t^{J0sm@i~obRw~mTy z+1^DPXxt&VhbAPry9Sp)0>M2E0fM^)Pmtga!9BQpaB1A#-7UE1uD#DW`|LCB@80p= zc<=nvW3AOy)wQasXU&@OeS7MHEFf6LI&D=7-7ahz&& zpjaK%zhq=9+0o z8Tm*7O3QeEy}$2+K<@CrfH>^`|Jw)UAm5&4X=58EU7@u*)Wdz)_B1XdCo&@ytncvW z(RJNba2WgiL1}muH$$nsyroJtp$J_U4iujRufECwaZ!Rrb5f=fVW);*cD4l$K&gTL~%`qD5q=&VO9OC#%|$!KmT07v%*nW0s^j?GL# z_P&GGHuxd0F5h=wRFlJjnXZ<<#{URY(D9*2YKC!v2Gi92jb$U;U1xFXJp*`g1aBTXtkFPh*&RGWSJcn6h*p%yz^#YZQ z_J#3Bi)0R&@w@!$n;3y?xiu_vKcSAm1YC~Phw1t4!7oPI5?_NXBzt1!oF6R;D3d1+ ztVCusr)zTHGQ*ARo9Xj(CRqulA@JxChVXNDJFcun1W_2)>w^AsuYxuQh{FQ*+e|_* zcD#A}b$Okbnked*K5i7ybURY13tZMN+f}CNk_+c_`8DWup6Il`Z?vf72oMKzG>iXw zb-RIWqo2%vmc((N70laYZ~(Xr&(r2_Y_Yk%N zLC+X8(TgeC?U=0o(VCL8v#PZ}iToYsN+DqN3M&T`L%KiqMP-4-c&HOo{_G6lp^>1r zUYmULoa^nxb15|aD0+5k1&^@Fma**lps(N|CbFJzVbjabHW1>H#tZgt z0R(9+qq4V5EDQ7F#T^JF=lOF_VbBH~K>F$^S5?l&PC2QvC7RBqtl z3{So~9Up_6GeY_5%W_5~N|admiVSBd07_+@Sv~&>u6@{S3UxcXF{T={`HM5# z1REBVPr<9x~Qrkl|Tim|z`USZBTL1BffOFiJI0%`NOxameZJp;pv z?k0@hu-D^-wUccSK8-=D){w7>>lr$sy4TGcC@})C?s(S%7}Oc_VcORSAHc3 z(ZPxy6|G(s{l+=ZH0mQh8bKFjs@PI><*uN_?x2eh9B*YSsI!h?=0lz8{_+<(t&Vdb z>ICPPU5)}^O)0#7o2CMMp11xgO0uAeki>n@zfj|Ma)sUBOkkhBfsm0_@dZ=NJx?yrq@2PaQEm}gJ0kt;jgeE&k{ z@JAh!M%-TESl4rC><@S4?fM=G)gn{P)0>x;=99sk<2gJ1+Y<>H)M*jqY0^qDyRgzp!X!kCuy?R%66B1dF_mDlQa~3{|L;YU z$w8td6pF0x`4`T0sWi%F+@OKgwM5y?zN`K}1aK-(cA3gk^WdgY;!+1!`7oHQ`|X!W*o_d z(C^fLjM(1Q&}B}E9?`$MV(w~7R|-ZTjoar>75Ukkn@Is<tRwTqV7YL)S4?AWvJ%Q0LT%r*zw@^;yzpQK)Jqk;rFQ%JQ6eM3p-6 zWHf+5-13o(BT;khRQJk29AZ^jVIip0daS4Hrm&s}ol*vm6cB$L+D^ccw2CHqJn+bV zRPWh)+Mgv6@{yyn$q5SH&-DR`0daivujFcO>E-CSssuz>%$Gc^>?m$Ty%`GbN+vcI z-kSdc143vL?Xxko&AFFEO;(On+N!51G&w7a84M!0(A{kgo0+-9^5< z6MsBKcYmRIsUb%hxLB*lrT_Mt<5&Z2I3 z#f8d!`SSrqJY87L#Y!ZrhXRE$TrJW#^jzN2y?oR7kk=qdy2tXPpvf?;L_ zylYTw5YKEB*9B}~fSYwqu60FcA z`PpAp;yID=yr6}V?vL*AyDYd`EbGn+JijasVVEbDMfymf6!J*z{k}-p`&`5fb>R5R zT+`AEYLlELqUQs%>*s8S`2D$)=PZS1J$wP-od6Ri_V&`F&1zL+-9>_TnQ`T?fy)

    ^f+)~~)p|%?n zdFeyIxO*Wi57P+Op8!h|B#c*DRqlEOBkOt5m|fcJmY#i$8yC%iTgTo)*^as&gc>7^ z;UX;1wNBeJ!xjLV$@J(znZ7ET<4aU(xGd||g0kVaPi3C;5AcR5Emu-$&ql9K3PlPM z95~QPWkG(R!y17%WsN@f7)b#BqNw^)^hv^|b~Di(-%WadYX7kQLp$WFF-T1P=wgk- z>BqEvW2=f6nZKqOM5#z5t=| zRPhI!kjQ~YnNHW%NQI>Zucv1yS6^kW3yH+@*=pmeFY-{nkplOP1O72O)YtMVrqh(v z!N?U#DUkf{2j1`P9ArI;EIM70jG62%S&`2xYHsR(g9w(bIX9z2yDr|;Q;23uNRW={ zLB&8{8{RNySQ+}{|9V9){Sw%RQvQ)wA9gn*bv5IlxjqxgQ;(k!*+i$znKu(x^o2NB z&8^tJ569@II|nopys)iDn}tD7hF&*&L|?8qGIcHL7D*i@`%8*SeHP>RfiUd_8N0Uj z@LjZ;r6$J!bszit0j8+cjEEv+&y)b?Ew=={-FPSR@q1?GJftyX353mNy+droeEg!Jcx;6!lI2 zb|a_f6~X*Z9RGhJF%cyE=lvhZOh7`!0-|sk^uPlOWtx~h%xTHs z6NWTv(}lz@GCwM1sE8{aJ$^wA+GEdtp6`zs^bXL~dRP{pj*8K^Txc^JdN?7gyl5;~_4Aer6R0lMsJV#tZ&0(ubpc6Yn`Zby zb1GBn%6lZ$Viw=Ya9L3F>MBZ_`hHai^#Cq)?dvdLhf*m9X7}I73BdJVPzrg0T6-Hm zpp7x4`>2N+shfr?;paNDt1?k*?op^#Xg!pM>tMBj1kOHKqL7Bv`AN|d?(Hqy6+DAc zw5y=szDR{*%%okL@m;83GAX=z%Y*<#XFZweEVk<^_vTj7w#)9MyJ?%~;vvN)Ku72M zMw@@(UQ6+#j;At-n;0@2v-wu8lc14YhIH`xwh7-9yU~r8km^QLNh}z=2PxwHV`Keu zQU0sTi4BGZ21>m()7obplp>eq+7Mc0_GOA47y1_`Idu>fWo<4bqS zdpM{Z$N?>|OPuW)H)h&fl<(t_7b$`0QZnlGryj%_jrr%+Qsp=tTOt&SRhVaB0C)>WYJRgKW)(P5BgOwP(jWrXRvh zvXO3UZedxj`|A>=G*jq|{&KeD}tYqlB26vR&#XZgzbKBzKYp7<8t9{xluiT+;z!x-tLG z#dGLsGn_9XR?%r`Vc<{D?9B_ zofj2)=esPf94kCIIVoQp-zPuzdf2rv%rsg~iG)7LFaOc^Vt?ci|t=yZR7d&pn<;uJNwnK8}s5yHiG%gS$@I70sTcB*6GP= z!F!{ln~46>OG}yMt7bo0FfMymZirO8fHvy97)MbO90R?6$bs~UcJmY$(%IfjJ5uz=0B2%fM;`VH9#px+>LARmF8w4MChA^t32p<(4 z+gUfR@B?|3LMN7N4W%DTb^+m_%{bU4RmrW^3wBrz+)jebCYq~JnsThC_S|i;t7Ftm z)l#qg>vQkh?#V!+f6f@+T>>y;o%gXTj_I~Qg0I~Ap@D2{4lD0(P)e%8Ki15q%75J4 z=P4t4=lpVJ&9PRn{bPdu(FQQnmxuDNpdH;3!(sP2|uXWtDiD;Y`oa@&5u<_68al;Wn z%(S!4D7tNcI{R=O+ z<0M4h1tF-LlsjhQbI$Eb4GMSN$ftilYYDpyVdbUk9)gb#K1PYTDLv2H5t$)9mGVS7vvCcv%fBWgqCclE z?X@lrxyr$6P-lpWnaFn_f-&7Mdc9Fbr5DrOEzk!wB1`T}FI?Df8aZMZFCNPn=uhN( zARr1jDQGTh{LRgE*z%YAE93tw{LpSrG~nqED^L| zJvu>^+)w_Zxa=CPT)^6cG3(OQiPm}>>05f81V^=RI5K0xT|@k$fc;5%YFKs5dXWA3 z8G|#_(#lXF`CWvAcdWh%Ho~^NG`LQrN_f}cE@ncfnrq7W16udI0(6G`q2xzzw&Ln6 z*y)cA{(bZZqgQeYIp0-czs1V$99>E|uX7~0$MdfW2uGe@)VaYoVwx&FE#&Y$MV1L zh&2BO^=-&q*PRiJ6|x?!9T`{c1jM3kl{T>R*toCS)4;$(yyyqc!hp{C94& zMKvm0`qC8!+W};Wm{uul@ibJl#13>v+PC5YujM`8=b{lj!kv;oJR8krM!t{!au)sm zS%GW|-h1~Rmsz`XZ@O&p?G(gFLZ#QZ9D<-30)OIy4*vd|X&#%3Vu%?jFYYb8 z=tGyyox>Ag3{ugn#@hh7yt`NPZdv)sp#P+QT(@}ZY9>~f1mGV6L{LiJfc*SqaE{r! zH*(v0q$fIG__+PJ##*g*KXl(g`DDE%>bTW}4D3;fR{v9JhJTcv`lGb|llA_6I`gbh z>%V><5X*^S|Eji6;z4PYgTIRQ+`x6f=@WSxLU~=x-wPO=(FZ8d#Q3};q?AC;*D~^fqfvS7{>oJ!A={$yIMU9^_+=s14lOl@T2QGVEL&itV zrcs(aAr0iILY|)$8?%y3#}2S{PXH;z7)lpK8Uyvieq+ z9(hfmR*OE1j4n)aqRh3E3!N5T>GGVds-*sRO}MkgeK;UmNw;S4#B>D}S9FNyr=n@D z)Z~EN%;jCR#+xdKZMk4e7LliDP9{P*X#3cky;04_EQU_WMl)+iSp8w=oL zzfzC_9>}YVDG4Jylzcw5uw;rTexyJAvbBM-jINK2;IhNgG)R{f&5sfn_I+ZjN0u1B zBpN%p$B9X1Y3$eHsBE#^Xn)O=z>>x)hNZ2gn;{m+tZh^z!EbLsCYELsz>b%2;mTcz^bMC&zM0 z*UX6!HOTkbo|rxhF*>$h9Hvi1uxFXi#V-bI&mL(pZ~2m9xg^rg!KW&Fv#GS>bICf4 z%>m@(3dXme8b=~;GUr0nU=Fk6GGHGgzRjkZ?1Z&a{PGfgn-WJ$3%0OS;VP^}38g^T zv5d_$xd$7M;-Xgh^paj9jiVsg8>`o{t0aPlI}BT>9oJ3rWCV$Hw^@ zf0)9+#G{Fhkc|CsI>Tq3N-O3jIb6A@7*WkprwwD{3i!0DEl2u!f@83F&~$eHJF3Y1 zA?XqFd0?>ep*#1;ySq1^h5COQ9{-ADe4&7%>n_Y$(^g;!}33 z&h)(1xX0(I-j*D>IkaI0FuvcKyShe@^8K2b9CURr$9X!1N7$ns@}kV^ICq3wU7wrU zMN|FqAs~`OleU%7g7r2K2-ts;8d7V2ARv*~zxjIS>TCnIOJDTa)3En9Na7~_SQ$_} z0p(L1xD+D?$JV}+1GG%cm0eN%$A0X)&Pe2Q_H)t2fNWEvIcm%OZ#Ju!rkkx6iEzQ< zcUzAr-aWi$&#>YiX}2DM_H(q_A8BTu$iH#gscfp+Q$&bn$3>7`}@bu>1@9|eVUM~+O z)K(rSd?y#9%K@|GdgzTad&9%(tDEW_wpv|PQNo$4KamFpoJ>jWGWA{*UdlRTR%(yc z=e76Vzrm;v%RR!1Kou|IiKxN|JGyAY+q>F(niTmOqj%$UXDnzTp-74GLOq!?7#;qa zOhBc6rL^HGd*Rze23d;GJxk^NR}3Ce>1sSLIJYz}x&G#B!PnRLr>bY=>v5_6GV5CP z?4gDSFY4GySzq53K&d7U=9em-zcBWH&`4+T->=jEh;;ZlP|DbEVitc+dppB46n8B9 z^r4ed)q|+$fUnl%!`vHb%I&tBGM+k$$)D4|YTDY7lk^KIDe3aW@rC1g_3j#nbA;u4 ztvba#a0=~jzo3Q&1eSgL4dNeAU@b+S6eaSR7lMB)1TUgaQ6K-^8fWb$=XHeitv2j8QY z0C5`3>%1hiyj!=>kAT5T9AO=B`+%|PH zhQ3j0)HMxU!XC~RG-0@^0I5_=7RfsCRNBjXNUz4lD3uI9jyTpEodgJ!nkSHzyDUV7 zmv^YRe^gc}gd1PDi|CMa2T2~gs1zhj7Ilw}>v|wstPPsguM~Tm*TmQ4o8(x5odH-? z`^=$_d_K6N0~bxa>|L_SyZfq_g+&0Fb$oqQBsqjRRJYc+&OyXtpixG`8eu52`&fty z;ihyWOJvOuld|)oWlM*ML;4-abzO@t)K+tB*`bqE*A?Cb3SdydI`MXpf_>zCr4^jypWtbWd(9O-@N)QH?R&%#Gabx^$Xmzf2!UePl@383gO;&BjU1`7m zpm;8W?z?w+X9@+Q_~!c^v(>pXFJ*?kCQoN)N+5CEPbtUwgAMZ#oAK}Y2F?b5B_g~v z4j(R?vbmLyIH0X%jfd8KKi5U( zv~DA5o4b$R3#Pw8kc7R8+26l8x*afB0tr+J$~WfJ;C|3AbJaFCSSQ2{0*udd6LtUW7NP-Ru}_x zLB)|jewPBYna=yj>HSx$9fH-(7$^T~i&aAKk=y$U zKw<1-ETH?e`iuD=RZah_>P}Mea4z~+RiH!nBLD8A!r1U%J&2o(Zbh_pjZer<2Hx(^ z_8{!%trMINoqu~9&ejP4rQRz2A^QK_yGH4&OSt=VH4HhtF*zgE{s`?V(G+2;Wcm$? z*ZB>?@!pT`cWh*WIa*$7qgj2GgY>Ak*qmci^)V)wLf^{R5~+JL6nQI^Q3nc$lbWw) z@|CeRcoGG>%i1Ni$*XQbTaSi13l?jYM z^)H-G_j@q*pIIK}stf8~P1|eLw=YyHQ%x&854fA=TAZJUA^AwAJBa_He1#!>t#i`j z`^#kfi}AtQ$Pm#%!UfH55b;W{dZ3c|g*XpSJ3RSVwal`*_YC$f?Gt-zttR~F0J`xIZ; zsUChJap`bCH7ncGE1D@P1&jBta2|B^Fabn8jhn0<{gi&4i8BU~-!@g23@~FKMz(Fe zV!cXR>4quTm3Q@?8pcQ__aQ+w+4F1t=L-x@N=&YS2w+Na{W3~X?wxdP?P(`61Tj!R zdJ4FKKbig2O&YNe2b|>bX3-KA*wkc34zI&xS-f?*!0pB!fJQ3NM2r(;UiFG5T@qv@ zIkOeJW~NjoYKq13SGhPOBL&-KZFn_)bf3fQF(31H@cAWrsQ1xY)$WzkwApm6M$+ac zd5E4vK$)E#oZ1#mw+QXn_)WY%F$UD_xwakx!cjw9&3r|^Ltu>ed{t|MbF0d!FawsH z$N>i2D%sPN1;A>FX{~!KgWVKsp5w$S{fAY$63Dw(5X`G$pPcm}WXPQ#oP=Qp)ePS~IG`{aP}aCeK>f=Zh!kqPnAoL}@_DVS;J0^f~_(8WUwZ zR6(yDN1aD`YRu>=QpRwrKI6J3B~itsJK`mh_v@hHx3{{*N`CXJKld@()+_>isLf(@ zv5`LG=Nolne8{YGs*H-70?sXQ|7CeSGu(SGEH#0|#Ta}btV0t-|v+xzhE1yH~bs0LINkZZ|$p(ck4DLK?>5Q+A}%XepmdW(HiXT3{bVDuU&AW;MP? ztECe87c&Wy0jhwdjS=-#rz88{cfx9JxAcUrn={x@-J3|Nv0(0sy_B8J6 z?z5;Jg6ujiUGFzh)=lU^gF%sNNJPR0Z#<}weRHnAsvE-~&F!WaTF(*D+^vJt4u)rv z!0?32&C~Q!ZA>EB?YJ3nwbgD)td@4x?eX70=CLH6yvnnVKc37Rzhko}C|MOiJ{BkNe$ki+8wZ+(#U-WE!Tx?JMar;GI~p-x%) zwr66FntXh&u5&}yv>;|^U3^SV&)ogD!1w#kW0Bk3n{cF{tPxQ&c(?@r7lMh?ev0!) zRYknxH2I^?6FoImVFd#yU(>IvlK|uU_II22kV91BT*=sZ2FMZSMMcZiQiyL3r#VG~spO3J#^)tUI3Hm&&=T zhXv?ZS``kE-?dN7ddJM6ANW#zKxny2ynTj&m2Z_$6Yda1AGCA&?ruYmGMUMivccAj z!}McoSN?jh{bJCXzR{5{S=}G1J3v(ae{g91H9?-Q>t{s}&R+Eo?9Z|Gz@Uk;FZgCE zdnrELjvaHje${pxG={d*>S=+Q^qSv^$g}KxjhkkD21Oq#GnzZXjIfJ9Vc>rz^3zm* zF~pdy{!{M@(ZUjxL+u_%*`j=fD{I!f7vA3iN5qvi{XedxGNRj*A@J|VtCn2A%3?E* z$std{>Dx7|bM>veNlzAPU!vEAr;cFd7G6W$Kv3!k;OXgCTYINkr=~;d8aaw4@D{IX zNeK7w+klP&dYU0fj=tTkOnvAvGxmNRj!gv48KB6x#LB{C^0fSMCcF@IAJ6*GGZ3*u z0vPvowsIpV`eig&)50ygq;W3-5y%K`c`CnmHE)A}75?GkP|>F9?YyQVRBWct&{4Fo ztgZEksG*dZa+LnHhC=ZR2YzWe5LW0z0*jqk(zhhHh|*MviGsuufmxFdr+LwzrQB_$ zu);^pfqfFJ)=5L~F2*i_z*DxdHQAvVax^48{#y@ueMLvGxdR{P$9|K}y!Ot$8`hDZ z@7^X+*GCMYPuT5R3tJWVk&c2W#ozCFQidn#_Xp&wY!oou6{PbvI?f7^moZZz>H-u|cX!qJ}48)F->Pa?T!dY{thN1fqR?K@5p>uRbEdXHRkr zVgZohXU7*7tci>xA{CETEg@b*5^oZSH;K*1MT<2uO`)ORLZJFG-F8W-!iVLJ)J=N` zIR$PFiN=@cK)^3?{Y|Za>HFp|^B3r1Q5-}DYJkl@eBGEu6d7@A9+h5dW@BPkeIAC1 z6VW-?7x&OikRl^l0Er&A_^-xRz3z2>4-2vdy9KLMtcB6_fHsQ(x&kgJSG_nw0^gD0 z?GFxP7%9HMmHu<3;UY#00K8NvHr|?5x9|om&Q2OMvt8{Q_3CB^6+Lja+7GMHWf`YO z*znjwGVL@lDQ%FQbutYEaG_krR~ePU(W)Q>{CbRgcD9bU7GL?0fYKXA@k0qPxKz!W9nz;RhpL&7O`Ud&2sQ+K47$7AT7oBHRA!M7dDME7jfj`Y2Te z8PY(RYgVG)AX4v}j8>SH_az+HRGAO;r;C;Kg99%Y?fpO^YBo0YYY%PlBuW#i2a`vO z!Ckj0)lg#XyK9QJR2STJAtL^#myQRX4}x(;_R zjjrxiLz^FZM~FY!mrX2zq|DY5t!8wALc3bRmW&ezMgNA*E>{-D2i?i*%LkP)L0!2U zD@KP0hopVi%}UyVHUfEoTB zn`(;X6$-<$7r#M7jZTMeyoFaTvGl7h`}5Gco7z}ta`U(sM5Cy=B^y7TnKKd?8ZR$h zzQ5+`MJ!=w@UA=;oG`noSXqo3KY5MEJxTTn61u1p?i-Pgndfx-Tr_V>9o2~kBpAif|u`3B;l66E5=_R z7xnveYR?4Ws7pMxh&Yw_#;eZE<+AGwaamjL#40%wLCkw!v^S^D>+q^P0%t~MQ&N!v z4wZVUCK|}mHwI2_8FddZZ+nBvD$!A`CyT&SDT}HncK4b`s$8|Wa8i9H3(vMF#L{#0 zA@5&(`>EYB_sI7%9#6dVR}@hH{VL_d2G>CnR7cedwHau_TPD*2k3?!rqnuAiig4Ri z!#u*ynw~;|YI1_f4QYuW9TO&i7(iy$^Hc?bG?+=Y@QJ$d~ zPN7T|!c8|qjm~}PR|SZm$;kC6?h2;^TV}AWr?D~~m{LsPCD4c0 z1a-hNO}&bF(mZCI!(NNxEEiuEI$$G%RY%pTTFxDEZsRBpdUb=R>gev_v=)S-ya3R7 ze4y~q${H>Zk7nB=TN6DDS9sT43(Q#V2kyC2FTrC6Z5SVXk3S@yQV~Y$6Y-rxCr%t2 zKKh2)j6-Q+xB~U32xIeb&2*~peAly_&oGnZnU}2jlNO~&4K&sD)vc%R8%=ae1)5+~?SY`dsx0uPvRVxk?%(9deKQ3lWAg3#z2r86 z9!;p>ov^+-R#squu<5wdBc{#kec9x~jLZ~sziFOfi=V#(TLTAG`I^6HsQh;_HGvEj zQa#P)YAWScQN;Gkk7UcA9OL7E!5GJii#5k5+BMzMHH4u@)WE17YyF%iPh0K7HLCjd zE(qXPl}g zXEcNwgZo)ei}odP2)DuovL+=mb={(e{H_dI9=5VIwV)j2oMC-*t73qXjjjpY`=TR` zvV4_YU&%*2<`IyewsB@QmiB}wnC#QGvQJSOw?|I^U=$Dvc`RkHQ+GdA;@{33b}HYo zV&JcFHH`h(Tl8=R9McW{RwC?F0s0xQyzKu;iT9VqxWj+8JpNem#kD2-5%}l1e{Kpx z^v$3+u~58uHb?mVxU?KBVA>@n9iJqt)g0?SjPe_VZSY6W0<{1hw*~_5w3QPrHBLdk zq_v4tvXwA~IP$NP87!n{Gu&u!}>hIV|2eHgs)LIhQd@OcC=*uH$Os zv_>^2<$KdVYgXNlKUVqiw`BhL;@JSr3SsTf(Xst>a~tS=`jO1;t<7-mv^FV<$9HNR znmoaNY3{=8*j^uApaJx!O|>Tv?`GbkV(j~FaaQlB3Bhcqy|le;e{Df4~yV@EauDx?KJPw$D0Hg@0sMkvXx)sijBfBnCGs zOPaWuOM_EKz7vTrM*aA=@(D*NRQG?bk4p<+x@T9w3m7eBNM(OLzG$^F1h{R#wT{?a z7wM3#7VhZm&NO7;k&huqIQTrhGPjUR1n+KhG8BiG&x~LFbjQLQC#Sbxj>;;CbIY9y z5XbhK5Dpmk_UrR-I40B|jM5?9@fq)l!i0FTc!T#Vt3v!>p8EbhgrXY#mHp@xQof}Q z;*c3CMemnqxf23K=L@PqAR!US~@)> zG}3jZ2R-wy&b@0JlhS$6G$o60A5rLxP{sZQgge(yvFy5wH$9v=Gp@OW zx^AMqaGg`w85jBi>dndDD+j)?yEA=LN4j)O9YhU_SPYqFr-~fagkVV*y?H5dTtWO; zG^W3{zP`V6kz&D~sC^S{3@0Sh#?bJ{aF`qx7Q~MKv{lm~I}raRgIdY=wK1NIdJD64 zVZiiB4jG~`XO1oLr;Y6sqg;h}=eOwX7pQ^OD4rEG%1Pij`wIIsbUXM^pNd0MC-XZ7@b zJUKd(uL>IJR)27Z*XsbyT-q*(*kKgISpV?u zUdm~lTRr`F2w^S0xaYLV@V(h_-X8 zi{o%Tkn-1l96x(-UvNM{uwLz;5NPtheOq2}#z?p|I~^J#86ZcER5vd)63VQZ=A?W> z^{hmfP`ox>vCEkm6ME@}w*eW55V|d5LE`Z(P*r%(s-usHW>>sdOD+&1oWxbDZ78TY z)rxHE8#hs0Mm^77)Mu50|1-F_jVfJa#c$=I`J0XJs6&SfE|f28ecN#`U+vwGiUhX! zbVb5)_B&$o#L+W8?b&Kx(!B9uVxEX-o>sWkXe7zw7B*ZSfd)^FBZBz>J9DD=K=`F0 z)A0x@zX+wCmVu=N&o{ZlX4a#RUF{+}VwgAfH7!Dr;P}|Og#tT*fHV_(QLR*~u$rt+ zoh$^OXz)XV0Yl*1y|4kAwjIws3djexgB+E2()T9hcX*CKLn)FsErJU+T*})%|-JK zcBg_oB3He&0tnCGz;1^C6_N`;y3FZs|V;vpQQGAeUc|_80mQmSpe$Kyg;_{Lu|aE^R=!H zBGs<$ZbRY8jd%zb1!6RHI;p%fXcr3rB%^Hz3HUB!=UF zo+o0E9uHJ56k23k&+um?Ayh>4=1%SseVf;5Zpn7xfk;=ahsE|Ry)*JC0*gB+jU&S< zcN#_Lk9ZC07^$aWN9KeSlArOjST>ag9iqUJ=(Q(r_u}5ggg$6%kItlahrxqg=J6d5 z3?>*VlNsGyJyMoR>@55vPT;8ATgQpF)vx%Ey=EFJ&vbWjm-PKPZy+XjjM?8Fp|9PY z-L};}66z7^>D1QNAro?szzvJ`AzDFqG$LgU%Vk{H52-GE-U}RY*91NvILu+sL!hX} zU#Kk-6wDW-LY-6W>s=(KK;#GfXl_g63?w{`o3{|21%gSXP2F`V+t!O zL(@||&oiz;eZ@q)CL-&o5Jbt5Q8QF}py4aO9r%|{FIn8VB)EQJ_d>kelFB@4r z@h-@Cha9Au!flO2dJgSfA=%VSO;Cc%oJVsbTuX4^*`xq@`b~M_$3=Tj)eX+CvsrG!Q6@ zi?x3=UL~XRa}n2vvohxJQr zpacR%5c7%SLTlO-Qy#+wKXXmv&`qmt|6OepPa!DUl%>hPpCkqE;&PqmsEN#?jr*0rxS$DoPm z!y7o|$R(2&n8w2twzAZq?WT?4vBGG+_Gah(nZ7}#XJU(HqP&%L#n1`p9wcE#tK0{2 zLR91gUWRSQj!5qMao9&N0{$OO}u5JuCwXZtOcj*!x?Nr3Wyz-1O$B3bE;s7$52MFUQJ^Kz6p7^Hi!Z%l#>8tM9`!sL z15_)mSnNvkrZFTw3U@{jBqc@I^}5Iyqb*rqHR>H%&qZ8r(FEBMrzPj>rJRU#8=gF_&3>0vTn4GAKaT`)jm>}n{J@_@f>eNiz{_I?}XI8!hI7R@MydMZMNOPskYtz?h zcU}@X0T3Ic)D8@coJ9phTR~uGJsj@rGjpns~fz842)eepR?p`##)yHsI;0U{SiD4+l;VKx}qGS7`2RwoW zo4old6y-PvsYnRkE_LHT*qW}pCzy?hKMT%5=ZFd*_(1cd&Aa0psbYJIzI6(al)3aF zeVrQ^k`ShUoaE#J#c{4}?`e50cN2B03{Uq>1|qCy(&`UApi;{lPH!w3vAW}KGvlUG z@WW-en+lrOG70k_-l!(xP0j8%c2=`z${P;2en0Z2c|l0fb?G>rfT~z3K@iLp$UQ<% z0ye+SN9hX36NszqV{>g^rj@?pojyb_x$t$6F24p%6W@+3+xCz$s!Itp5nwbv=-oJ$|agg$F%yN7X!6q`m#+N=rsE6OjY|01;|}w4~??VBiRV(nZ%CMW3+mKO!tt=9 zF@<#~7rko&rL_BmGYXqIpZ~N@Qmez6uC478uGT$wP7zYId`zBp^9qZ|aUNcSy~+Zu zBty;nt-Lh zoH^F@(xJP3V{ThKJJg-A+b61^^ei&e7=@H=Yk*G{&owQ+wUk0+;El>Ey-d`hz=P=t z+HcUi1L7rt3ajCOG!UMAS8MM7;_WS?+Wfk;-{8=eV8z{A++B+oD-?Gcv_TuJxD+c6 z#Y!nqpt!q3DWtf&I|O$KBt7{*&)$3N_l$AQ`{C^Oe2d)oon(#NYt1$1yndINyR7=x zwK``KIGgh7^7B?ds?i@kiKtrmXVd-mW{;8_(I|I1*V`X;ex)mO~LW7rBJ)HE(9l)(* zZrUA8ICzXP7!!1Xo71q580tFC3cA31Y~pZRNZMqj6jb&!6*u=r`<*<1_Up;s;lU}8 zTOuKW(JQj-%}12tsc-EblQBKw8QcJ47*2+2chmR(78@HKlIv|rXD6(ZZ<)7A*7lK* zih;Xh?Q_9unTErX++RR+(_a8nTy(YtSlUF5I~gUD$=^gXblbSevvhp-T|U`7M%CE) z=efQ!n3{o@1tlNJ=AP18OwA5YbYD{_vt_W@gkl@kjKr_0jutO?&qOA_uVQVGSvA^C zuNS-B#?JX1PbR`WYp5*TmL0o!`=AEoK^kIgR~%>x!qT!@MHkPL2TQ@~QR!kxFniMn zHRCwQc}2sq?Xv(E5>mf!P&ymgt_%~}S7|X|KW9>tt$K7(;dP{=Q}kV_a(hs{aYDfQ z&LZ`FVJ_ZHeNg8cQuRWAg^pHAN&?Y2B`2KAW%K)r$F@{S!4F|ayuzA1gfzXZmCVb) zOZpFWnWj!1p=DU!$9%ZUbYmiazK~ztG(eBZ!aTQyXsZD(Z6@p&U$DH?HaiH+b+4Z_ zJ^OlI3=S|vU*Bs~kb8(tH3Y)q)m-$2W113&6aWOwW4g4LDu>)bCyA=_OVn>)Eez6#(wZ*hPJsic5{@ zZ+ENiW^WTn9YQWP^k6=>tNn~2nu#hAg6Sqw)qhWKFv)I`oTWVs4CsQ-y3T^!Pc( zdVMa~=DS70M>Um6=JAp?-e7Mshq!6?57#hWAx$sN**0)sMTRvuD`xkCN-T{jMM33 zZMw!_puXf;IHJqBxK3J3{FwX0l>avj#J6+)L z4YZKw8HJ!N?qbhKS}*5#)qWF#^?R}aoO`d&kpm6$qoTAxh2Y>@3f^nQ@BT7RA%c^h z4PkFef5@+MvW#EyXn}8 z)O_CFd!N+EfBeBagNO2D8vuY1<10(FPSa*p2KauX5=a_c^nz&wlM&=JEj`7crzKwEEt+*G*8YP zpX&j8de}5lu{}zc5+Cy_(g1k;_$YHC7|bm-b(v^Nv(eGYqtq9o{(_KZbBpETEim13 zv2#(V&h8hcJc$em2kN+NPeV+HC*wlyt@=id3jwd_9hbM*o>PyXe|2W9J4En#;_RHy zII!Lo{&w|rQ>hC*Ev5JrH(EVQW5x;T2)j$@cyD(FuX7YKV~sq0GO0$Xu4xeK1_%~i z>HiDJGTI$H@;k1%&VHKRsuD64vU904vM*%UZgD)bEJRR#?BQ?lL-m}xO{L*Usl&$A zSHArRY3H=e!f?N4NA<*2Ma|fXttH(2q_Ak+z~f z*varUQ7R?=*M=+j`~0I}2WK}$y!HFbOXDkqL0`$S*Iru9(&FR+h~Pq{&zU7LiM({` zGj=q!(+ujX@mDz4Dwxd?Fioa;VL(d6Zip#PR3$DdSWy@rk!>nE}R z3EVIB&Sfgzy#-lpKA3fj#LzYi!dnUY%wVHT(U=K-n4xx}Axru>)AmIYWe$FbS>brB z6CYI9@+?c(2Hw`^VluolWdr4r*pqMr&wOUTLqANRSp|=W=#$*FvPr;$fi|KI`J3x| zHBZB|A>@U#uk%0@8DkvWv%1<(AU#7 z{qVY&1gnOf*)#wpOw!H9y$L0~CLxV)Sdu4)ooT;l+_5oyYkq)ZVun2{c0{t==D?5m zLgvZ&zN6ljzg$G?7c@Q8bbiQ`K8Z7}wLpa;Ptz;lZ7(Q9@_V`k z9znlRV9ca9*4ssR=fev&>HNemp06l}1+eC)_U~-W(`o0>xV5e=JxA`K0>iF*OlrXz zZn(ZlpwK_3Y43`w*`z<$BuyNnc)}|l7IE9jM577-4%PM*O_m$3GwKvcl2zrISKR4% zBjri64bqzhxUl-MVdYlr51M$(RUB@{Yy$=wzw9-$23W*qSRFzC2PrM2#H?z9Nv0Eh z@cOf5m2?X9xn%7=I%Jc%)?&ArzdRwC1;()*M%*ivGP~1Hm40Oes0ID~FU(+7s#2*v z!56kSn?8PNTBhV=FH#mx+*|uZ38%Q(>wb`4?@8m}-&r4lqsz0q32o>*ytAcmT9Xa| z^yL7dg!cR)2)0f4VK3Z#&IT$+IK(c}t|LOh9R9!C~%NvGDrWTCF;&AgoWybfLq4;=kS?EV{@CDo>6?3IL~`jKM;;M9nTZd75AO! z>$Qo7>?!12BHr>Og~nw?;=-^{no(PxCNHUY~sp;EeglkmFt!`zTVuo)B^{TBUn`!}>lomZml zz|*;Oj=a8GXdaCnevJR9L1SRco|{G>PR6(UtKStB<(gd%2jcB`AP^cNZ#=NQ!=+|oUGDg!71elV1m zHXn-%T9GW$e}iDS>BJSO#(RGnF8gZWP86jqDv>O_dbDeZ&uu>f$Hc{xFfvX_cqy38 z>KZA~tSc;o98^we@&=9%p>;-Edx|~-aW1DuLEsJ7+_V@#+yt}b*A%#ImCKyX5>66n z(18#z+|S{lz5@;z(Se#md7?dh`ag^BMu=08XcmLSnOKwy-lzXu7cH86X$=WxiH?(M zrs8z)SY67X8zsPvp7T9vfAXBhB46`#m<-fOH@Gc&Nq@32*rW#&+I~ljYo~8#^h>TL z;VfSJ+~oe_bC6^}D2+$PQK=|z(UMgo)uBfu*}%h=;#3ZerYfwDOOWC0$oswhqRdp> z%`Ysr4ycFl_uQ!ad-fq%bIX^GpCbw2E)}5Uz~h{#ru}XMq;st9IwF$q2I7sUAGkEN zyxSa+JVK=|Og}TFn7|)Ph&N*~s^EE%u1?WBJ&9CTJXn1`iArM9h)H}p=w2<)ed7oc zYJ6Ch2eed!a;%<`mw6t6UA$P+s9=sU8j_HNlSZ_V&A^?BB(|VgQkf#ZnhaVatlzh| z+Fb66qX880;#&FW=|63BlH%t;^b`2hKiBei&`gbGQiFg#w`kEo;tv(asE3l3>#{PD5xA>6e7$N9y^0J_prSyRCb7Gqy0w@t~i5XX0` z{*&YEB^5M*$>YuRK(~lc5$n5F9K%elnvqC3W=p*TPl|Mmmq4PU$k70#96dQUQ& zQEYP*f?X1a|E@7zZ-`#K+-vtqj_^Z^;}ZQWj{Y>h9Fh~`=QVq0j}bTHC`%%M<*|KE zj=}Adpo&P%^AQ?*vQJA%*KuRdg)4yED9=|1_N$=mvnM~*-2_gc&NA6qYK~x&xezn& zV6UDi(~qtoFps};oQ>ntZ}z&+#lB*Bj!Bw~n-LBSLrE1wZPydty-i|>r^{D< zQke zHjXhV8T0!r{|q?eudb`I3F#_>SbXy9Sc&pfzj4Smlp^L(WSlAFY}u{(Ey1alQWHtI z`b~pn>nX}o&GJ9qp44x8G6D;*tIW>t;gLuH>IA)?d8mAdye+qAij|02gpaQL5Z1p> za8tMj>7Q+Ms5eZtW`8_Rb5LP4H(OJwXa@4ur_*o$1r(e71vI?>_eY-7L;!|;+c?Zb z^T2!8@al5X9`<%ML$}M!jFltIj}&ImHwSxcTh4Z9>k|G3IchZ-&$zudwXKUE^9+AO z{61;u84fLNjKhwT0m(I|@Bt-5I0Lymi*`_tskdIq2m=n@1^sGEd3?qLw+IfdbZltO z@VDFqCng%4U0zG2kq(sf2FCv7#%M7MB@8gmp!9sF%gMMMua&b}n3atxYt}TA^f0LG zyd{x!>As#F3XszGWvDuo=B=+~!625MvrJwD7hr5{Hn;jJl=-lBhm?olAOgYp(6OHp zPkJpe5dL~nHN$GR*fB-jGP(yWC4n>l9^(HR-Fm2XYjWX(N!5xs%A}8XaVGGva|3a} zv{b_JUjvV*Fg$5Hp_8I*libEDE)r9v*<|R9`=q9j~6x*Nnev*KT|LUv8 zWsj*-wNdxYnE~&cLo$7ES$RF+onJVe#uo1ENd7{+n(>N3?4x04>%NPU2)P(-&nFit z!h7>YNT)I_qQ+ z^Z_e$lT>`{uRdH|uh9sUnXvo#c)wqC-g9zw#O z#1OqbdS2}6MQjr()XT>c?$p4)fR!A_t0htUf2m8U zh_{JT_TDHGKknsP(q>()Z=Waetool_u_pm04;;luRJ3^XD4(fHk6Ta9a4!=j?y#C4 zc>GsQ8bK4fd%L(f04eD~##o}?maqC@)2j;F4SO{+GC`N9n$LOeU%>c>ZHb`$?vkWx zG-{uxMIth@TM|7DtK}*^m0H{PDQU_$Ca}5;Xg8L(CrVS`{(mygd_MYeN=*AqrFJx) zeP*$**%j0*(v@?_Xp1oC#cZU(q5V?4Z+y3urEJkJ-Zybj58DjMv3%3x0J$_%kHv0` z5dVFTr!h7J+GYK))<(zk&c_OkO2ndE>%s2yl9B?rLUfKa%O4R{yb%+1)4G!9Zg ziS7vo=t<~{cj~6Aq|yfHhim0=Hq&@aDCCJ`Y}qr#fzX~Q7%iV|qC7(g;NU53mm%HU z{%pv@WZD}T#Y~pW`~%|>uI)3Pm9sBg{@)(HQ#_VALSoVEB&lVkU&Z^!OGQSd_GW(x zz?7Uf;{N3*$RqWAuxTakcvDRqCrv{~;_n!lXo<_NO z93b8_2UX=%Y?+}k=-o4i{7`NafL*Ow$g~RnxMqJRNnxTub==p} zr5dDHx~A9J7`O&Y1sTRKsxDu6dpRJBjp(;*#?0OS0>bRK6;O5d^v3uWW5E;9q6`be zJ29njnX=h)tfpl3ShRxuV$h~Yc`K7fr?Bji2|{zNNET3xL20yiAJiiN_fStk@&(p6 z<=V`c*7U9BKP_l;l1G*p1>hV`N&E~Di~a3v2aSky#c@So5uDFdy6`6aM2Y_XAhe!& z8}^Z*o5JwWBqO9Gj!W@)Cp54zkuP6q3ZQ94Exbm>i>N&NLsx12to^L)z04`BsCVy~ zaaUG)GMklQBGsWnK8PW#XhPEk%jXzUmXmwHzMlR@4@1m7UMUj0&#l4Nh!a z&rYSxK}LeK9#J1qvclEtW7yHTI0;+WkQDgI{N{=1@ybE17lQr=>D7KbMaTln zYNhBboAgE&F4gy-Wdo8WXTsZ#yb30*ui!J|uB|FK9dAPM2}*u$VLo{^lB;s3tco1h z`6jkkLUpT}L`}ZdddM~34Mmfn#IWpn*BH}7yoBoF0QuOgmRiHH(bckPD!innlpD)-)bpC!nUk$`Vz)Fv_P`L^N!_-b*0u zB*SAtEbiPMfyRn;hn9wZF<%k6*#MALzl z=n4!9a_mpoJt=lMPwoB-h&ROXlwcD2DSObOEan5U;HvHv51`E{u{2$pgQvJ>#&a-+ z?<|EyFVPRsaZai{?d|H5vp;TxhrVk%jvq~{a?g4GNx9+LRwdwFKUw0lyR&vL)HIr6 z?g|O~Jq2XQ-ZGLs2QOGy5qG{DLVo-UnDgFI^#2_}#y2U-0X?)De3iSqYI`GE5%ia0N8hE>u9 zBdd`wyI-`Rv|(KD{}G6N(L*T`bad5N`PI}|npXbnVY^-*>wnje@ou8DyORe7nzq@C z9#4bed)Ff1XhM@NakYBLpTFe+rjbqrWQ z^F=-AGF_PSO<#x*e9su~b=(7eC7Uc|m|sQm7eE5{MCDV6{{jYQj-z!yC#&%gb9@Xe z44vEV(yYb~TYnDMqIMW?bYwm`yW^y+T1m3L3z`n+B7*nI&eg`!MruVDIitQVBkCvp zi^lpbumT~Adnf%DaQ$LM{bxWOs>6^;@>O8|)X_j|8O#S%!oy@@0o>SN)Seu}xL@o# zMpfPp6qo0%zcLBDgT4fPDKt0ZXvIKiX5q%!jLw@PB=MS^B~Bm>>;s^Mxf4(f*sUfv zac@pxxgQ#RP1@v1{r>9K<_%Vt99%onAEB!AZaY8f+?D4jdADDaBioddtPb4FtRIpy zPK&kf3fRZtRNmWtvvS&)%R;c5vsHAbl;L~xre&s{+{tUwG=5KrKD9PGJtaOs3o$O9 z7c~J(f12w+bBS)#WI+}W!k~}GK_7eAO7(v>91BNi_`x7HVQ}_Pg3$ro(cgnL*PTlg zrlw5_M!U&Y32QPhHg>i`e|zg)e9NV(-XAW=Z1-W;<@rBep=Ao^LdBS{Do~hq(VIoT zhtG4%lBqe_k+umg%91~34=}UiX?wdmUL@7g$$1FpTbz^xKmOcGr#Fvh%*EN?lmfY~ zTI>J%{+%)j^Q}`1hGK8QvG*x>#oPs}g%bbI5Vu2_fp9^yi|jWu6M_MwqGvRgbrw|X z@<5s}mV0)0<$5kN61LG#P7~IAvS*XBvur}^9oslm8|!qULb+R4W#`4t8r&b9+j=11 zq&pfyZOMkshUfa#Y&}AOi$mYcg1&6d9-QGGSQVqc16lmoe>d-3SmhNyf{FOk3L~ar z$#;q?*@kz`JW77B1fPSen+M!WDp4j@iu(b~^yDnYT9Pki+xxLewym(H*{1pwjx`4F z>W|u@ygtS^Ot{xxXr^rm%n`DVmP;X(-V)^L#HDIZg9M6%&&(EkpZN!y=ZumB3Pje) zaBfZ2HiwI?=u}-tJFv*DlNz-9IsGZ=tHcKvWN_x+9c<@l)AvdB8#b%T0C8qzQWC!2 zbiybhUm3{xq(W{H+n>UFS4Hh*u z!9G|lp|2av9m481_;q7evlw!_9$tJM{vKkRl*31Vt8t&#Sq)$$LVUuz3Q>xhNzahFts$7@jM)_L!ZC zwBRms1A8S){*_$uQy!)-FLayW?f#BjOWAmyO@wc}8B2nh7@ys40 zem^W)J1#0%*SjeJ1sDl3)V8Sn9QWhr?B)UYihyi6Ic+48+cd$CTio>PTzz*rx>dqX zhDAe4xP^vqvfQPdt1=YF4LgukQOlYG;C5>!#%aFl_h=P>no_?#e{0+{N!s4(b(%>} z*t{HcZuhdL@mUKu`84cd>sk_pa#h!4`XY zgsR&V>RAZU(-rfz#Qke$8z5 zIdMR87QmriG8AN{Z69%5#u-#j0}fRRW=tKO>$8WeaWF1)R93gH31+TdZvA#)fNwSX zTwdE31JugoAAbhqusAfMI04Qbl>MfMboB%;wV~Q9k?{CeKz7a%K)?dT8%OtCzE%B$+xi}1)?I~{U@I(=mac~H(yig2_Fa?S!EPL+Q<^_n z>k@DaGCF-@plFQIwYv{Ut58cUjMWHWSvYBmRdv_*5nrnfN|)umDSTkRw~Tzyzh>E~ z^ghbj38X((ztO(vBDkq|p8PiZ-|y-oQXZ>%5oJm&U-kkAkcJz`=`K`1Cg&LnjX9yl z`p>me?}AVi1=+zz*~NNYHLd@jFEw8E^Xn=NeGg7}h_Zg#Li|unlw`J%`_^q^ z>a>KPmk|%F<2BSqB1yG0QoP8`KRbUwz^5=}C;dssM1tFEnXpOzvs!7B8soQ(Ni7W# z6jK8pLuB8+{~Q88Xy?AKSqjLy4IuW*IBL|meZ}`hC~4DzP2fG24^Of@?`F;fuk1Hy z%=dl1cMK#8Bt>)fm6P%SpD0robTOjc^z|Qru+EsO9*-2qO_Gzdx%I-D6<5@_4XT-- z=p>BqbxWoTKt`r{&)l&+u}K@Aaykb$bQ3BP{VWdUCZ-}<$y3cQe1{{}=j4z=tad*B zUd`xUcw=`VYWeN+`3GF?w8Z_#HGG3qqs+VfqbsUx)!<(j>o<))+~~9z^2Hhw!l_FM zc4w!WW1gQ5ShuP-UgJ_NnY`0QXVCLdd@Mq3_U1A=i)LwyS>lc(z4q3N#!aL(N>EVJ z(?3M;9Ch&o+gQdbYZ88-%euNYd;O z+SUJwm_ZwrXi%b`;(yi zuK(l?wnmFwN0WgC5pBbM+FGTw+9{81NG!W@M18OfKL`C~Q)$poRM~Ir#0Y8rNK936 z6N&33yXUI+59M{(9;qbyc#I;$kKLF51^g+GdMU3w(MvZ9{nv{q7kI&c@!~t)B4iQR zK_8%@>B$EAz2qRI*R}Q)s%s5}5lKQ36eN9%n2!Cu1Rv5=;CE~ict@#ap}dgjnOcY& zXJ;<~4@AwW(d(Y=L38bZ{x;`S56=6TZukhAn3EkDj{R-yx`FY@A^lk7*6+_54_|Z$ zDEye%s?A*A7K8y;kS)*a757V;J{jN>(@N0&{`QsSA!i}*LlbcEXAasR6h@hu(WGw_ zU}J{JJ@SZ?ttyqRNY%fdfn=05P#FJ8q%SkmpamJ{nO4(RyVp{r&8v~nN0ga~ zKCACXF^(8F+C;&Bq~>R|9&)BCy`YoE*2~mjgaF>&rABD%;D-;Dm4{vejp%Zqn4u;D z;@NJ4s0v@rYokPB`&QRc*O>E7Hd~-CM>Z$NGM7Po8OYetJ@l4O_3kWuTJZGe zML+R46D9`d7kH0tOA~?dR7158MyesbkbuS}hS9a1n1<>ftxrxjq6o)>FOuL&M}C3v zy@Zb3@OPDMAr4sSb5($5m%jiZSo_e?x5F{$!*pgm*bQP{W`;|&TlD3VymTs|+7Isl zTh`&gnSoilzzzB&rwwB}1EJ=Ycqt;1yD0s$OPvu83_G=7Mty0<_l+PSUtx(u+FsLK zQB_nj9hiSeX)@xXz5_5AMfmfkNM;}nEjDHAv8e~Qfr#HTt;K6S%Bj* zuV8}1W4HFTO;5y;l32Muf#YbohTLPf9WS~eSr%VL^#~^Y2A6^fhrZDDmeC_^;v2Nx z7#v*FO>Z#Du05Jm6w4`OE72~xU>eiy7tGqXh!c4AML-o4&0<7L6wl5QPSx2lft-YO z-QxTOVAISn8m3b()smYmDqO`BRF3zkgERZRTJ{$as(%%An@LcNijOMp zdp!}rW_Y{5hls&=zH~FyBVgyx`r$9&vZ@@&Ex6ks^dS;e5UP{;iNfU|@mk!zB}ZeI zyfR~0TD#`RaS?ZxBS)VD_(+-&oEeK}8hF|pYI>L&+gA8xgS={~M>}Q`%32z?J$B9g z3fG&7K{fKy@9(1==`j!o_u|FYOaf#^0hxBwR5%{j_RZHgLQ@Wo4t5u7Huc%w+Em4! z%a5Oz7hhCP(q5HUw4o#_el9Z7siT?ccBV8==*nNQz9Jiv_!!W3UMBBZ6SBQiWt8>$ z780D+u|gLMUr`=(4B&Q*s%ayjxvu~YCa4buzBO}-#uj>VzoAL_ELfF2GFZpz`4_#E z`RV^H5(~8o{TrGULR_-G{)~Nb^LVvv=o&-q$$>Y?T6kvq`2J}7N{WaaT1E2s#KrcY zSJrd&JnAN{l1$c0NBaE01EN2eL8Nv<;n|bEJfa%Q4uoc&)7k9ulfG`)0> zdxPEoz3f`MyLgyN4ypDo&G2Y+#EKyuX=K@t-rN z>;2Ndj5>@_6Q(ge^izXi5lv<2A`So1Au()e?uM zmPJTs2i-6H>s-ozOg={cb!f)ei7_F{%_-GQX%5vLoBb9c{ujWXvs|!Nv7#YH;=n*? z)zFIQ=CUb(EcJ03;`&KQbY#o_*ln&)0^+j()}>b_WTyVTw9E-leCT)@AgUp&easUA zd7K}Jc}b&Up8ZVk9X{)W{=a8z6La7Y7o>OD9H3YpUU40C(6vsqy~mtkT#w@0?jIK< zs|OVPk6^HK<53X_S_JqqAWT7z4Vkmws5sx5{bp9g8o6cJ*s( z1!|cd7Z%m7%Oay}#ujdYQXsg_)a1v7j~LTm&s~R+a9q8P!BW z%+PSY8)nVLc`1ed6dtXM0wi}l{kio`!*`!e-2`n?*nmt#cJ5Qx+^3ku5i@IoWBn9B zXm&5p5KuKt@l(#>uZroqrK$6glUX7BX%|*wOu7vlgzdrUNdMO!$hx6nl|Lx9`D{cp*m`s zX0-D~4sGv0$1)~nlBaaGqp$iIs@~1CJlDrEe<7Bu7_p%u^{23qd4(n6A$29AozC}> z3Q}vPS>^KPR?iH|#}|ZB)QI_%88!1lnbN!)n(V;Q^~Rq$EV;9+1DR_66>!AwAGfao7c$V7V>!mTx^ zI2%k|9^>*GJU^?T>VvL!N8D~&dYI{fx)TvP7CA^(lr0cPM_`tA`h*UJih|z4^wPK| z8^}li>}PTWZ3AO`YL62+o{Bm%^z}k!LipC0vM8j*1qm<=1|HFu7Pc-gUjwGG7_&cH zQ3NMu!?x`04b2OG{%ns&>2N;mz*j1oSXwHcQ7eadwq%G^)weE*h`|&aK4klr!Unku zPFyC+L+kF{8NVtfX|`mXJ!ukFm2=~lh?9BGp&2U2h6b^DoM|~E#@Xx_VmyTE6d^FQ z&mT$u0(4_znVe*@6=}!cF^?D^vLlgcGR_7$5lj5|V%us|VsUK#dAi%bBNTos`S6Z{ zdU8c}O!&D1Oe`Z|fLZCn@?|RNyv39?%^vgNB9g+5CjrvO?qCHg&8o+hMQ>)^w{H`Y zrfJ1zYFig4#~0SRJiyyR<1ZG%J@4MNW!FqgLM%2#ng#dM-+M5BC#%v~DwA`-!+2Zc z(AlmroI6?)#Zg}`TnS_$s%jXuQK5Q00f#23s2_NlgVcWhY+CGQW5LJ%&cO=>LQY{? z6%8Ffs>ctkX&%0<3(u)O8raXhF3*RJDtU=XHN>GU!q#-!{5?MnOgzhw$4*$hkiA>? z_=vPafkL|AI=(=lt$~Ngu0jX1e66g6$jSNMZl@2k{wXd0U%(B++9Qh!5?jxopBg(z zH2yCDijn^pkk{$oD|-PRmoP8y%7w8oI=;p%!^r3h~`h91mB5}l;u`-u(SukqfaCS3EiR1Ati#YxaR2APLzNtw$0 zkeha^-5F3e+Boeo|E7v7X|Lrq%FIUi#If{3AvVJ`;d)LT`SJ#pFI8zT+A~VWwPVnxU(} zwni76-TF1;&fqmy_H4)1)>a}nDY?;ryIC3d;GU+OHdTfiCKTtU>J@ zTE9Ko?Yx0&5bh?GcgtqoRY`QwEkh3G=Y%fMFkin8z<{0V*&F+L#WmspN|LdClx+lo2^dX^)aR7^3BV^5ROw7rU9EJbM+>^o)bxKF2AM3fjA#d#y)!VUqb*I z+9y>xr2X)w7LCc+Pio_>4^cAw{iU7um-d^a;g*v3br*W(-p%mnzW_FZ!>$Vy9kNSD zb{o}9j=G&aUcOZOWs|J?@P)qofUh9g@U_G|fppksWGU2UDPSi1#AQ|%Ea!L@T0RkN zuieoQX0b_Hh-fL90p0)%@we4T~nozeQv0WG5+F*Y}? zC3LHms#RzS!PBC=q)FH0g)5+PFU|o-G>gQDn(VA;kbWyrRL!rSRjXJs(QFeBIl)-~ z#tBWtsMc5fKvG^$OKqmJPfm}kT98~_x4+>D%n4{BH<(=aOKyp;Q#km;RV^QE?MwHa zUU<~AtE@#@g=J06%F%&vbKT>f)Rdf?1|o=~JHVCvMbS?x^o<(E@2I=MwZDMO%$zRE z)zin%8t^(hjD9WC49D^lH%E`|dn~IkKF~`1ove*MneUEQaCD89q50%?gwcxG{hqmOBF>X5(s-9qlgTUSH;}7B5?M`di|K zTKYL9!(v4JR0J4attAl3$ihfvYQ7%PsB9acK^THh#PO1`K@k!pGl>N6_n7m4qw?<- zNo|gO?ry|#0m-rlJYA@qnW35sk@YiDUq9R5$5F^yYNtci+|d^-822!b-5n4mj5n`b zLUf9NRjG%M;CauP4JBr2J_DUB^4*o2g3RE7>`-kGEFCls2lH3=Gho$+)C&!V5N&Zl zkIQ9l2r^`s3m?Ew8^TV!Z`t| zXuQ}m*H4h;lMhh=iu!E0kgg81p0QENd=fr=?AkN%+7{K|;@w43w_L17^v2^`njdnB zFH4W?=2R9A)9L{e^)U1e%WIrM@jfW&cCwlzDnT^BE7vlH&(ypsAH8XSC9P7r5|A{@%H@g<|@Hq~}M%psHysAFJvE{438nmmcX82 zQedt`y9+cmZZOjk$O z!05j=p#NED{eONTWG^Rq2VSYI4)o-zp8-3QNf?8A{QSFGJTWy6b~wnl<`R3&A!YBq%p21ZejGOUK@ji=`_s2v#*UmdWdPL+hyW-Y#2WMkL!D4u)r~wbRmr!ANoF*vH1KgWGk;w&!87; zMN4ZMWgL0_1ccYB=95A&^SbU^aFJt*4h=l^;TG!vJR%Wv^|fn@^8VFT?LTIsuU=35 zGo=3mHQE1Lezig3Kl7_UP?63H2)q(<)~gO+`F<#SbG*1eULIhzkwaW#z%D#&8v&vi z40d_zH+40;n>OeCEd!AW&q|3yxIbT72HoZV84Fvw!~Hsf+*kM5BqGs!vm%tFSS!eC(Lp;_E$#)Z#`u- z>P9Ax9lkh+h-=9`Yf|f@BX)#Yvs`4lMkMDctv@oiCMz+7)j)jpfmd0O?-!Q>G@A8_rPKoWST!U#{p1Y8MbN^0uZr!B-C;nfmW{=aZ?d(l5E#ESId=WG62dZ zotVH3^mNZZK!+(8IDfcDjT02=gPa{uFx=m4wMk%gHMj5U$DE_3D6n}TY^`DC=Fg62 zm%bp+F!W=4x_ANuIi(a3w%eS@*(x#6dB$1m2Xc=JEJuc{Q;o>( zmuY+W&xuaER5Ud|i_PT0XR3WlwdXc{7sL5MRaqhuQaFD*eX6rGWU)Jd@n%lN;mKy+ zL{7YWuX{bnhVUcKy~TUDH7ZlJ^F+N?&08Llls!`pZJr&+B^oUEJ>I6x%e{F)tJ$|e zz^Ri8yafpxo?NDBj-TS`Bt}_2H zp1dW~nQrRXosKhIO&!(t`_gj(p^MUcvs1!bJK1k#=bIbyChBDRz6_bE+Vtl4u*xJw zp^8VllF4*aJ9*}ghfQf2_>N`)*cMZx6^~^BwvhXxcq_%(xNrQ?RFO&@AI^l)pnVnx z*-H2EjOzg52`g@`(7XEh@w}anv2}x(aiLvYOiZdk6vDl6@&EH~I7RGefHJ?24n0u ze$4xAC!F74ki(YvNnoC@U+@v@$EsPphk&&?!%oV9XU1x6kieJ2IS$HYQLyn1mSl5` z;gTnCrMQF^QXz^7bS|FgeCy$7tUVp0FP1^uV0m<2V4^yo2skuKyLm5&?CP`u_dp7EET@}!sSMpd6*G;yZ&ii_WxSk zC)Ba!1Bymho%K(rq8i%Hj9*D6j_xET_^dShBSYWRViGs|wV!>m4KT?u!cGuBR<)j-nmN)cqiGuLtb zOSPOK1?CTN=_S6@x8b1zA0&bY8pG77jUCc)yiHNwB}dVs8i@(GA})*4b`QmUwZqmT z_2}dO7klp+73H!lfDSwT7gdX#mTcyUZP#CZjsji{D~hQHxH zrqX?ze(m)_&I@Ov^j44O4n*}{hP5H6g!ZMCWr;^`4&7;iLVCNkCyaIC1vamRd=hSXX-p~6xSqvH@h z+vwx6p;S=sfYexBTs5ZSs|=G$$Y#iSK}a8Yr$bjkG|;ttvS+O>_3Jm|@0=vwO! zXAg7dbhm3Pysb5XK3k?F8_scanVw~h$v@pR;kdq-WS7dx@Kh>?gRHzbIRrDpYR0VX z+^l#9_&@Aza612BQ-l1hzzUhq0Hx?C3LeYgU9)alY3sy0N&|t+6AkG|T>*{+-!D(-?{^ zNto{)R^C{VPdnqx8COsv9oZ8XXgHLRtn308gH7T#V~Is+`7E5INeh>LzFb=2JpM6f z#m; ze)`8_Q{?ksXY6YtAxg#`aZiZS!_2b4#%+;_HB$|BU}11gT(U$Ehx!unUj6(tx{j5i*FoB)TfkLlTuhn~kE|>i5)GUa0qZ z-DW)6$jkIo;7ap-yVVu4v-geUYo_h!v>{yby5mK+5b91pJXRc!lPMClv1o@^M8j>4 zk@X3U#vmcY#`0N14%wd(X~m|-qlLw^5nZyyqGcUbRUO~y7Rjpw9%Uw$EhmF1;wIfj zQc~rUv7GHrYr-pVTte+b9&_9m} zSB+Agk>cNB_b@AWp6OSVO|BDtU_)0>Cekfvt+kj^6F=!U;=j>rARV1>jWh9RVSwVY zk(u$}?O8tq8z(RWN+2|@vJBmh3HKfjhAL8#kz2EzlM0Y~ar=}|V|@ESiVych#drYe zbyT9zod2ABz4A6~A(e%s)Dc#6p!9$#?Yn z!AlHWcF4+l?4AR=AGdbhX~kV=M#6Y>Y3toL-u~G~g&@=-FZF4-myDVDkFuu7C>&uK zB)#8KdfTv}_NLMc8|lFSeuz{KvFcsHR8GzgFQ?FTwn85}?IqLX^SSxe1RXZsjW3*O6JL30Bh%xmpqY6ZNapZuLe0n{FM@X#8p|m(H?5WXXL}CVCXU!BWio!Yk zREwUnQbxhyawI0!ZoV74qTE0EhqG2^^nP+>y~}Zf#5r73Kda&-Wq9e+vz}xfHT!Q6 z(bdt$3Enn=@3P81x@Wpzm0odci`i)b8pW|Eeu~qKrSE8Mh*zq-@(RGPXdE73Rjs== zt{-mh@#fPFncDElM!Rop8ZKw`R+2WN9_?g%9s2AhNPg|@@X+$b=M4>VF8(dyFg@|B zZZ38?Bi}|Yj!a@}gZ(^`cq_GEfV?|wyy6axpj&p$LZHsRc%LtRmE?klj2@aLz@OlqG_GG5=qO*{QC<+^kca%*XA-JX+hW(O@ER zSHI=5Y_fi1C?#@tiz+7&0=+n;CcJWIGxxHb0e)OWE_~=0?pg75qmLNv|%D!7gr4in7xVU8?7D zM8E7Vl;=>Or)0#ro9{f@MOVPKn$U|P%eb{{+e32~r(Xp$LVUvYzP}elA3#X#PE>B5 z`Uyg{BS+`wCf7dS?p~<4Q=1od{!`_w!Rfn>FpD4L$shC(Qv$#>4mjO)fGzG4+;;@q z5A9P>ajplqi)E8Vl{{!>>rb35m#C~8`mu2+&8>G;5V9LX^BcMOY7Drn!S*|LCPO&^ znj3z&N>71kno7Vm1fu%XQpwmaCH3>6JK(Nl7i_&hVkiOUK1}EUrCD|M*S2|DQu~oK z=1kggM&K2+4->mPwa>XhD)TMn7SbFKoX0`8zwCAUNsU?S+pp{o2G&Ykr7kes!&uM+ zPE}5U(?$5Md_}iB`~`7Kj@V51KX;5IwQ^b#3# zV0Olrs+d4;(`Hu3&GOjm1`EkXxxSPdSBOaM#`Wh~sX= zXS(3;<^-;W#y!f$r5bl*Ad#ds23Cd^A;M`Mq|}P0KX&IE2}2aSJKsb|q~|wO$;b)k zdV`@1)OwXtZheun$pf)kz`dtezyWMOW$=vg)!kU2M>6gN@qn-3ErStjjzCelTw`B) zCqE5nur{9+%cha0gT$Hk>@EQR09A9KG-y1W7Y;Fmsi-8+l$<|%BWUux_Nxf_5gNbq z6X0TWXVq zz7n_e&U|Y)3Kw44u+udZ(UU#PxNRVo&8$)QtbH<4kxAydI)YU%%1Q@VGhoaAzq$Sr z50SxZyt}KXjTui~Z{-RXVCaD~Ox@T@Yhs3(pAI=9Lp+Y2$}!v)M|a?PIY=?O#=gB+ z+Pd}Q3E*Vgd<$AR^}!I&<_vlqqfOx}8VkIW}ht%nq z52>IDZ1;*Mf2MpZ^0UYIj_C-IJhAmSc&6pydmMx3?Vv4qA<1$%C*yc-sc>%gJ62v& z@V!e+vtniY#VgKCf-SRWdaU!)9NW|SdtvGmh^cyWyr}R50k-^KkOKi=yfymIQ!c$m zcUY^neWV80EUeD)VVMy#Ab!Q_)E>tbe8!f(A$g)=^`>pwiFT2%vf7_h>>8d1nB4a< z?Q{xmFT`!c6lFO?kP@rysvC~wx>PT$?Zl9Gvt@OSiuJDT&@ZD;?2@U&yCfnSti22A8HS!j5rYRq;u z7ECz$Z-ni!gk8O^ILy6In&UHxd+K%eB1YY6ylF5Af4K7k7v8ebkb)+H6OuMF&~%Ku z7bEyfIQj|2nASJkqq;QkiLXjFBj7>Jk;i%iC8daS+S>?{&ATzeNR2gr@*fob5mnex zfbGvYPE8ywT6M&K=^i#j@soN+)cC8hq;P)Mzy;+uR2HX;)B-e@MHeq+;x*}OI&K@Ip8Ai3tnMTS!w@c`%V3- z<;|}M`YprET%nQ~worxq?-udWHmCL;x_G=l(B-|2k!Wts{YP=XRP!+q2oKz|iEvRZ zAyBR7Ujo%0U_8$#(O}q-?MFCXhaeCf?{uu!)vt+II7v#i` zPjp6d&#JkOM?akh3d99RUf`kN!KlOOA~EsM)HBe>O=Q} z^k?3D&e^sdL-QVrr6+Cr%KjOz*aV)=y*ighUZqk<@a!u~45%k~&ERnZ=$$u1>AE1a8isv(g+=|#5A6`@49mRe^tbW-;9t+19n<`^WNR`S;D zUcL$4YJh%$n4(!U&9@OSC7P|AdV^?ZTMgMf3ovIL(6Tw8bx;z!yS`8B6Ey5ifSmd6 zdTtOh;xv#D|El0w4y5q%Wh7|;*(ac<8idCcsPW%CUw{8L$TXZS+09g4kH8|Y#=Qq_ zBEK`3q5pm+fr1yq!|1eee7u0iI)tGwz#yCUo+5QYoqgT<{URf%>;L|t!|OI4#~-?d ze-!@*rD;uH|I-sq(AVFb{|5fg6Kn|xMD9-rJbwL)8IAr9P40FJc1dzn{}+S{4O>P+ z-cda0-Ai8vR@5&DC=(w~E2?ZlH1FyhloA+PyC(FAf5Iz!{aVLwd=UdgC1e}034bCR!M-O=Dh%*@M%1dIUeXf zW`F>M1Gp+j(Et@Shla~8SPD`6OvhdL1-ZMcqyJOVUZ8aaw#z-1uve4zX334t1U+sJ zD8UF7nB3}Gq%%4S0gzWVCNF`{Se4{d7hLvAhR3z{c)E-E29AF0@q`BjVEed>ppdfmUc4%?b@c5D|Or$420h^X{^`as5phd_y)^&`S!lI%k4_>`kbgI!-a(jZFF2bEj>}} zLNG0qjlJccpatP@LIZjE1IG67?lYzcnA3%+y6CyUl+S623rY!UT6%cm8N2A^#3L^c z)Ah3Q#Ph0Y@9eP0HGw_Yc^7vZlskbZAv4ekYflp~VF^JgKuuCmlwAxC(xU8AAT4S3 zXWD-eild9WuB)Xr3NIlKadt5gd|v?33*Mfpy7&%(3RPWUb}>;xCslRfpu_NepiAy9 z*19N96WAqX6__5%+Y^R#0(>i(!Bl{>K}$V7z=s&2rJf#~T}+&iRKepoAaq6B>N;Q@ z03)5m*x@305#t#ExLr~1p7tmYP^G0LEGz{o_aqH+a3PS}XXDR(!w>DgTvhBHJyGs3 z6-UqsWt6px4Uizp+1AsJU07UFSYCc#SL}w~8xA-Rs2uZ~GMwi;rT3=7Jb+z+U&bk@ z)QO+VM{R}uTz-l|K9kXn$jTq_Mwl0-QynMHk=~anPaKHviy!?t<6K#m2-_H2{=Pil zoZP(3w)&=P{dQ&lRfLbbyY#DigmzJB*{h4!y1d0j&Ohu!JqfOXQPRKfylBIfq-*e5 z{0W_5ml`iBF;0M&-}G2PYuCWGzQ_@H&s11l<=L7}DQUMn{yCX;(o+uEPor7{+hd9+ z>=I2^{WlWV$_*+%r^G2dJeE$up~CINYoL%@lueY;g$CguJ;gb{>t5{Ws{DMDO}_P} zhCD3@ZgK~J!6si$fQG1w}UJ~VWzdE$;-ljxXByl=aYU6YAu=>JRr5rRXxtGAanthA3XA@b^V}lO!}N@Aa`d16BF%C1T1Q;XvBU*? zAv>EinfFBLO#FvETS@xgG^+cZ9U?^q8^n8Ew=bYi?KN`ZN+KU;ag9JeC(wfUga2tfF4v^Io#&;p|quJD)X4f zmmM;KY?(Sj&+~9RNL$y_$(a!F?7q#!$rWg|sd&%g7M%;Zed?k_cLQ@`eE6Z8%=1xa zmU)Ff@&K0=sdLIZ=NsIwQ$G24T2Wj6>G30L;VBY#ORi1(RVrUO>HC4Gk=BB(@}5#=^tLDOvq)Eve?-A8so$21s$MH&)G}>QC1z zaU16Mv<2n-I6aDlyBb}(Q8i24@Z`s>8o73^Pb`!#oK$n;Zyfc4`aQobRdh>jE2fBx zr~kQQ(_sUrvh~0hGn(2So?hzM@}*ao&Ky^F>wZ^d_`%ft6~`1jKkmgV**YR^`g@~N zGRY&qP$si%j(1<$!9%CdX;3f39eK9+)N+6-`7)b{PVDN>Xe#{=#-jb5+ymGTiubw) zsKh>qm04g>z7IF1=KOl*!+q~wu4Sc#oMK9$5;`yW{Y)CA5Y$Xz5&>dkY?_iUv<3!NIX?0kMAg*!tn_+G%gm9P2e z^0bA}hm(b43I!y$3}94dbU80BeizSqv!Zupxq|r`leFRn*Vq2^8w$-SRy*@;#JV#6 zFD|{PV1L?viDEJA)Y<;=o1fm&o<{~B%?Rz|$(by_b?ZgK^mV5pv2VdLk}1OOA(6&v z^VJmDbeo6aw{LkT-3(KR@%||ZarL}AvKdJtbFU)cBZb}q`9j=tX0P6`#XFlf%Y&5G zAI|XIV0_OOcGph(&1>LlnY`?ABwKU5#8<89#(9#*o}A~ zPja02`G=5^f$cHJTQ}M*#y_vm73h*tCc!TMa$M$jN!KiS^Xam~DHxFQ`Qb zER167@YQ5DSjcot7#iX%bngBP;!d;l0?CNg$EuSnTCPqXL*j`85l0qh`U=Rpdb+gD zD-`6_&00^6_N7wmo4FQFj5c$wzl^bmr1yKI*N>|{VPb>Tx~TK^hnKOdUqGKv=%%XV z9az0uh0VRFlq?iV9Uj+ox03WEB>mB4ssC!nz(w$r<^HsGU=3W+(ma^YM1?Vuw2D7^Z%9Gs+Woz#&$Ni(clAGP$MvmK1OiM`1RS9KhuXf8FrF-k5 zp7kvUYbhIUg!~a%Z)tBQS0{js-P_60*+be}j>8g|R48eX#uvjl*a;w>4ssld_zLzb zT9??BT-;IY;(|f~)=IIfq7p(~JBtFic1c1{V?#5|S2?lol0Y-~VyQ zA7Phux49;*qpY$|4dmoF>^wbPrD3p}H*X5w6cKcBw}lByNlC$k;4nB`06++M_&9r7 zdJ8yvaN<$y;ZR0-Si9T1dfK}sp zi|he|(U8`1v9Z7AqYSJWISwFCAW2aHAu&B+F=-)TX%S&QA-J@V5P^9-v=T6cf!*^z zgg(%l{~^4Vmb9{qwHLleNM$(=FE4u=X$cXysJIQ>M!-@WB`hE+Y$YlnDIshla7|3a zM$-D4kd>9B$N_htJ<{33)6&@*^}D^KmAJ5_h=iqpm^fTiK-5M;SisWC3N9dpk`NV< zgj>QTL?sCAZLFoQxwt!7f^oHUb#=72w!{xN49JU64gO05+tJ3$8s&bVoWML~*R;2C zw{-Vm7Y1WYP#AVufXUO|(-DPNpM3>VboAWI?dg-FJzi_19W9-0GX=`ihnrbO2o9nr_IC_}s>S>9&RNzuz4M5m; zJ;3qLLgoMW3l$LoAxW@S(G!xC#xGGM|MNoSzkxo`o8JqS{|x`P3l(AbHF0rk5dle2 zNtA%7l@MIO%Gyduz{(N@7r!PcW_8W-KQ2^+P$EJ?qWJa_aB%@qNoz3yD=}e70Z}2i zxRebFE+HXl_5aF3MGP!dVE-8N|9-jf&kOt?3H&30eSC7*3Oe%SWH6lw}%4Z>pyJ&!@IJQ-u`EIg2li8 zogZdsZv#HTOM-p;^Y)$|mr(9XE>5m4&UjA>Nf;9NXt=w&;6K^3d%Ao5@x@+5LR?7X z_hR8!@2^D&HQ~bp#3ld&fe`%~fZT-~J$#sof{NkjQ3j^t$Br|xGcz$UvvV-xe;hm< zgdYz-5B|pwC;Vjo`Xi#EqN1gyWu~QNW;srKoP~>pk&%T9&c(^h$px2z3-a>|!vEUh zUvj^yAhd_ccu6=&h&Uj`v_vGdM87@~Apn1ehzLKxD*i_TAtEL{L`Hs?;s_>IR0LGgl8~OE6F#JP*^-{a?GBvmcvMQ>c}^&UPPLVY zsC!_$5;+$}cWHFl+C%Kbi~OLo%F%i@7iv;H#Th&F$51N;cWb|TeP31Kew-Fu_~c{f z_*!~VUDw3A!L=LrVls;ByC*j!R1Ix!hQwx;H1teu(n5%d0k@?Lgph*isK<3MaP@Uro*^74lqGZG^*vu!ms9_%i+@8Z<^Eo(G0-|uG zvO{KfEOtRQcMbRp1eavUfHlG;5)t&smg7X*ZKi(5i@_kr&orXz3 z6v4sah;lr2&C0c$-_-XrFL9mCpv^DH``0tjj0QYR?#?H3LZiwbyswcwMLW7MEFW$q zVWZf5+t6>qKogT-UgUx3DF4CZ-}RY5@bxQbM$dw2>sk)JEuoJ0waw!J?3;Md3m>f= zLlG<=I9;=cZ$Go&8u;rz$iS#M;kxm-@Hz9M0z^mU?$~Q6#>BiRAKZqB*d0sQ_+Yzj zi=EI%a*0pcwGE1h>yMwQY*KAD|se)^YJif{MBgli9YmkKQ=oa_p}v= z!$brtmh7X~fz)d4?@OM^!gIL?rUiXGxDWOc*9$n%#B=aEo=f}}#PJ%S0wg?e3Sh>$ z>C;0qh8G^Su65&wA`g#x^}$Pwx)Y(DmhC=d7GA^;czE9JSW5t^Q^zYEul<5#6zq<@ z0>hD9EQ0=Ogmcrv*NWgp^1=pike7h($N?-M3Ow`y2Tu5w1Qv`c@hlK}FtC@_+HsJ; z$|d{g(;>Ag@n!R%SH%VBuYH?OfIsgyY*7O48NTy-V0swP`2nNhnR&n;D3k$hrx*a> z0JbCvmqZ}$G=+f9Eo=?~)1J;92{2kU3uI zZ2Jp>=j{@b3wYrjZ_&e1QRh0~301>-Yw+t#?s7;4E%3?&B*1mcp3i-J!-QuS6Ox51 zq6p}d8~MQ7dJ?emr}G5|uGH$h>O6$wC4`U{c;4~Ac*paE`GweX(i zK8hM7xm#5Bq+2))0%517JIs}0s8W}?N+f^n^1#RWTHgowBK49wnFeEo zBu~6cJ1I^4X6F|qYvkPY05<+1F0|5BW8)fZ`>bEJF$=eWK@sAb6}Z5{c90Gm3u==m zgPov{JNMIfD^d8{Eo`?^Opsh+h=UBQ&%1Iu9%p9&UR2uqX^oAm04e$@Lm@_2cR&3< zL1~4?qnDUZ)Lzrv2`t5^+vA!*7*6HZQm!3tdMv&CNDdV9)I8q}(Jr#v7Pt0Z0ovL4 z^N<$_-&W>rnsLp)|bi1Lerl2RuXdf2-+rdlX;Wfvvsm{y)wWs=9$z^B;8_9 z?4!ElWF^>Wr2;<4`gEBfDp16DNN z*d!qXB0AAe5wK5rzWj@}Nii&e#1ei+%U0jRzDb)~&49a9=5_)(Z(XJT_}~ruCKcu5 z>N!|bseuAy$#)vjTeg_6+qi|(Nt@V;M@2mfw#zF031QFP;iSY;BEC?7V9k~w^fi7& zF6O)y{UZzOJBgk)!r2*uHw^qK?p3ayH~%}xv-sLOK>d86kK6tN zS+Lud!w~-@$phXOX3)mcfpq1Lh*P69;<5#wGS!cOfLhMM)KL3Ikv657J`L^dDnkjSXEk#V9 z!r7^#vWRud4Jf#=yJ|1#t6pwS z&vDjwq&e!*Z;Z4Y*re@arO(K+Jx3bk)Y==zHjNkJS!^h9<#(II%d^PF%eLBshe{aA zsCiB|wky}7=uxIU9kk3+WC;Zz_ruxOjkDJQ#{E7pp~i40$N{xO`MYm4JKA6nRbf(Vy;mUYWVEeQi0gTeof)E) z88@io)XU#Q_!ow3oFylSdav81V5WG=!1|=qV`b5U-^udH`@C^R%{zTg8)^?k^^XRD zfK2wdnyLf!xU$zXzNR+QDO@cmCbXx+hTPfjr~oNOH+hGWWKZ0d7HGU?6w)CtqUZT( z2--o14Zed51nU0*qi*MqN4<~Zp!mgiVr^oSgnE9)o#`Z5j|=F@;N;|WRZ3nh_RiI6 z7fAiHp)+Qg!ns3Jr8GAJeH9Gy=AE7|xZbP^d~H2h@i~#<#rE^9N`vXG(>%Z$qpO*A z2xyx@%;D;gw_-2VT=Ro9l!VyJfg!)O%0H+f!-CdFO}Wu<(qV1o z$=6`pqd!L|zS&b|Y9^@%gDG)u7T%wH_a@W*IX6b3*?G2+_3*S|_tl#AlrtCN=!wZ@ zLSIleS$obFl!c|TGl~kGsFt1{{$ZFmyEf+l0@~A*@_m^p4m>PCdN}DC9X843{;q+F zrj+gBj|xGjTW<{7(sDQ0eW`=yV5p8~wR94G zVt?E|Yq71|O3ELU!d>I9;Q^2KLIp*$ETjamgG> zJcl20Uw~Y^^A>Z+hpH&$3!LWA`S-I~H#0y)V9m|tUdZeXZ3-uDP5M`tfB{1|?5KHy zQFo?R;-wzHLzrba1`6@#^HU)f7JI`o0*BBjxp2mZ%yjOmbdD+Y&rm@tqBp0D-o}T0 z?VW5lOOw%|bcCY|PgLJ+lRZN(Luq|iMMYt8HRgfDVzpCPX_Nq!uPP$(@Ll=nuhS_* z73=+i4ui_#H5{HJ$I84Zlv5kh*)7-IuI5VL;_~^By>oTIbj76HLv^dnPQOHF&Q&p| z!0b_{C~>cc_PB9te7lbL)LilF)WY5+^lAr-a@u#|T~p0O2lpkvn|bxkj->Y%-Hwt+ zoMtGvaI&{G^HhxQeYZo!$WHIz=lrv>+j{7MT(HVSVy~v)9whI04R0gqxq?oTM%^%e z*Mlt+eo2iPEsc>RlSs0m_7!leNuBkgSp$v0aT-i(Lrs)SB73B&AXImT>$Fp??_D-4f2I*93a!Gm5;3AL{m?>efcNeiMx`YM zckli$NEjN2QHzXwuxqT!)-rG1PIuolOo``bCz=&?W)*taDZ(6bXtXY~l$f}oX*RIA z&q?7v=_!)Hg4Ju0QIGCDtm^wRsQ7H8(Tt2n$8UkW_I#cP&)Se0=IcuYgF||Vi}Xoh zy4OeZk6YN$zeJJfy68w=eBS!vcz0W04iFOq4|pB^ZA3$zCU7F2|HTwUtexW>?p?Qh zb50t4q3Frvq1AT7Ns&jw`TR+NKb0HH=e@mS;&n`OFU;LrF=94*1-X7WS&&iNq_%!U zk@XCg`(d&j?t1kpU5X2&HG89%=k+N z_f7VQ2ls6CtiL#=tY|!%_NJ0oG@g}%j+c9L+&+>W+}7wLK21)^aV6ia>$>*mmcv8e zvfRy0kmCXR)P+-((ACL18)|R(*i}y#y}gyh z(AHhCy&1Vk@zeXv+8aOL+UmAg)ggUG>)3d)fm}o?8h7F9j79MX2`eN?gQb0oxTTJM z@%)`P*IttwzM%L@O`l!=8vnM(>#0~i%;~7OY^_S#6D78s9nHSPSqJWj#l#0(lQ+&{f``%MxF{F*38x43tB7 zF1U5tJ+oxC3b#+@wv~C+6HMjmJG97DA1isM<=K&=b9%SPHW@`lYoDsVXZtqr`Q^Bc z2phlr*vXEXw(rm5m!;1bcAk6HGhP~`CO}jhsIWWChIyo_MIszu@cOp=+t1}xD&>5) z)lN`FW6it_VV|za+`MApot2Z|=X3MuLw@^W>QDVkHwt&xxyvMsPn-zi{JJRfDJUVn zj^tdJk|0gDeu5))UKpDiwqYlV%nxRnf3bb&$W)v$iv-1K+!3FccP&(7FE<*<5Dz}H zto=la-ewLVbG+^W7~dWjhW1Rs;uT% zmwd9}G^OnOSZnK|&y(ciimBX!ljLEM{_up?_K&_Od@tP4Tb54pE;=$#?QypWg1!|J z-;9xZWTpOsyqHRYucdN<_@VgRXYIheu7EW}i8urtg5WV4JLfm%uR%Z< zww_#E7N6{+iF47Mb+13y1fX1WGBRUiBUPg=WAgy3jZQZ=`NOjiS8g`1oEiO4EXz2< zLSN;EozVU9POkQJ5F%gFJ87;gSS-NQ%A59en3>MQ#FMqqjo=`E>{M6M5Eng(NuNCT z3MZ@YnMO|It22_E)i>s+CLgJ9lEs$BIjC~A|K#;r_f@(IxAzhk%kg#|8BtRGK~1|| zo`*f$6lLVf95Tt;@@*?`tRU=%cg2(bxfv(H!SDG*VQ0P&-|qgbkexX5NnzSV)GIOj z%Z`E6>&#;${YyW&LusF{8lIb#FIJj9>ftAJwDd#}ba_m4!n%KZus;a?rDbTiUE?%} zm{VOSbJ6Q-on2R~Zb4$zMw7L&v-83ik2F;bl)CXz`g87`E0bQPPZvbTF1ZMhxWW2J zca_;N4{>iV@n+Nq{xBL)=u}Mh1R+{r#a~1GzMrYaDBW9tI*|-~Z$L#^%QxS7B)EZl z%SJ6-KX&_prxg`{4D#Wbc~VuLwdG4W_5D>F$Bb3(x}N6V?P$#1jDEs{7xCm zc3n;J&71M)YmE4meLDtiOf70(jaHiLArpW*t;BKfZo%a3uZ}o7yJ#7bEKli?3aMnh#4D z>0i-e=X6Op7x>qW58Fe114d1&lg}cTdQu(*ka)SGuYx^35gb?Ly0ckl4*lHl+9dj8 z#C9g%gyT)$aSZafY~{h`*!6?`vP(A1a@t*B?H{z=V(jo|8+zet$|Lx7FTcj9Wz(SE zD>tG@PX-Tcnu5z{su>VHw$xK&uRN|~xlz^69CD5}@i^%qEYL|T8dx|tgG*Grzd)H# z-*`hTuzPjTNASZNW(SglH)@fo)gdPoZEgjwN>RUJVn2_uNV3a&^>1gAgIApphXJF} z^mkt9>E}TL#MZ0jH%(>*EjSKGzL}~LA<9X$Dx(vUmi?hM?bWt2OA;PHHzvl)a;Q!0 zP8byvQQujE?!$HvZ)#e)>Hw>P_u&&F@lT^OE@tr?U8vE+XmP6RwygU5%q zsPl_UO9B<3d=#9vWs=r?45jPi5>D9;4M72C6njPG^N`kl!nGDoY+RO5{7M*?qUiaHK3)Fy5w`n}^%~g>W*}6BiW^Lb_7bo`^&n9E=>WYjG1nE%glg() zao-|bwd%GwwwgvRB`&7u1thyo{(@<+A=v!9P=W);-lI;|vrqFlhQ5g4reDw#dtM*V z+N6sOKw_4(LtSke4>1WG%c5)Hs)TfRo7(d^*>z}<_ zg)2wQ)|9>Sa+xGw@B0NYI9}?ebRv?8zlrk>B=bikU| zvjyuA#egecS?ol$t{!4Y`eLm(ub+n5813c#)JE?_QJ5^6j(q)9-GNE6T_9{e?szE4 z#oHn05-yESf9S?GDd{p2wYnTHxhc!_TD0rgGoDUTyY$>Z%2=dC6ZCPJ%Tusb`r&$x zzi`vb?xXb825U(8W%|(2KK4kb>VoG+bSxdVrDt>-pIb?mxI(E_64a+X;ACDO%QB2K-;8c9x^d1b;HGHJ|5ZpeBY1m*1+DVsfqI?C`?$(a36Y}?x~ zM=2VnQYB@!7e!q|z$$lnbww+8)s(xZat3U$gt}l&Vm(W>bI}I|V%Blhn;*b!D@x7# zv8MjA=Uvc=HOJjYWKCog$b%(?GF!L%*9(sp3wgH+i?@?ZesV4v=&@FfC@#heX{yae zE^Av_KQwD>ckp!F6uPCN#&UM{v_Pwm-|bD>%<&5|enM|!=@C&j4H1u2q}AX7ViifF zHJ-J1=PZQ4V|(H64ZwrPmLKDZ*|P?dKqL`@Sx6}9*jwEFZDZX-p`E-42d8*`uecq{ zYzM77xyv4^u~AzBMal73otJhEMT1hD0;*P-m0Rmh{xE2Wz7`a$S@-_Z)CnSv{Em)V zdS;7bG=V3~`zC^pRH{tLJ(v0FrL=7KEfaNf!(VBpq|1Y9BS4h3n#E^xG35s1r7zeM zmtX3rabH{LXOp9^yE;#*{<_3byhKFi#?-Od*1{z6ckkJyV)XZh@~o!6A>$@g|ZW$JIlgzmW5l(*52!AWnRZlOG==nekuai>y(|IyE`d~>0{E+ zP)QcM$?cjwz{EN&L=kYi9CsliyuBZ20mPzrSPYF(Ov%1PAkI z5JK$6_F{Ig)4N>XUerVwSE}~-eQn{eJc@Bo_{tBb7m3%3S_K9*LhXqCZI^WGzW62d zdH6|mD_0M)N1SB4fBsYH*aW3%!@J-petRFX+f0;^v-P%@*DJ2c8m#nE$IutvPTI`4 zlzjvB&L}nan)hj^_&%B!c?;Hx)XC}ctXJ)lbh$d;I(kYjFX?Uj6V=b2I1#dK83rjW z4gHC+{mJ)D`&5q*S%9_+gGmypwfxY0V&2*Ci;LFM_e>h8e4tx2iz<~bO_b#1>bjGV z9mM4G^O1^F95QMb{10suYutE$vxDaQr>2M@Nv&lQ&->=eY(;RyZEW>*Bm0ufit#3~ zGVMf$gdGWR`2?7e)%(ND6S5n-i6Za#*}RKi|yo9HPyxMpHe_7p4+Dty?Q@&stJ2JUwHR9)i+1S zZ|}%YPFIoA`PLu|a29B@)e7B&k4?Va~ouVTN zdo*~9|5fi}vj|IX<{`=}s;)Qb8^2$uc+_B+>{!wA%|wli-8ZTJ4moq`IJv}v6j`_U zVLJ<(s0Owuh9nuTcdBQ4ni1{uE=*P5S}@PljKW1uGv!S8V$aVdKR#_8x5R|xv=bm> zrhRdO>SAk^eZ>!tlVl9!tv`JK5C$3jyb-^kB9M`-qs>JUrc;AGAypT5vagj1|oe~4~;rK zcUq**&(8}zMPw+LmCuY>6RdeiIF@4F${u~b5r}4q2Ri!xj6#Zj8Jr!6mi_* zW)l>1?%!>;CLe4!blV;njCOfRo#36WOINjcUh%nW611PW<8cx+ny^Cs_ge%9GsOQA zBL5P78YZqvvB~#(cB?c5nwrwvW~}-kf@|sNr9nxyLKutDDpBQNVcAv1iV(M064I*0 z0)Ym?==Xm-H*R2i)}1Bu{!_<^W3(8o9i*O7SM5UyeQRdRd+(|gifBVT(nSE)1_f>j}#PtrcsL3Y`AI5K1d^<;>py$%M=ExuxkvFa>M2*NABOl9r zmEM`$Fl=+}GVBrb+=U(QHG{cj{q7ls~!p-YdWUrm@X6yzBzv z>zpU75W!+LJ=xl^rf30tfK}akZR&GIP@QOYZ5hcvG=O z8SHpu(d$y)k!>Ww`Xl4rzt{Vz--i+pg)s;oSZs2J59KmdKSs&26D&8dx%cLXnmYW2 zxbi&Nih6p5`qRWKV~VU7lr~y~cE4}3EnfERT`Ud6ox0(i{^BJrQ;CUXN4$oKspxg%5lgLH)ZGBP zm<~JEBk5+kCwguOx%f&a%aeb^n}_$^sh>aV1&bbW2TYOS z^fo4S704Qjo-dgyJbt-hcx*9wJgs1Uwop2Mn;(_e=nz!NL1kvJlko0|THLmxVbkaD zb%Li(8{Wt8JI>A~>p$5s=)TgQeC5zbnsjNpB65nKtEvKV&p(FsTujhD{^7bF&pD0B z*e_h1e*6Whg)(Erads|5h%d0G#|7u~d7y)1`=gt8RF^ zgkAUa&^j=wXkZ6>ea6ZA+kcNwk+tfsqqo^{1u;)QZ&zZEp^cigPKcDv{OkTZ^8bqh z8yWx5pB!iU-l(x`Xg1wGTar#y+u9Yk-B%E|4c#zKVvoMetz&AFHQcv3v+(~A_tpVb ztX44bazQgDP89`=!2Puw%JX02$*h(PT?;T#PbTxJ0C0?eS^~1*`X%!@j6Lb%_}AF zPnzGp@rnu)suwS3+qff(lrp~*^b|~tTdJ+B)vrgPR#Y8KtwZM9MWWR&z4q>DX`AB? zfylkQK476Tb_CA$tqO&9Rgwj$@9v*qMJSwEE|x^9v$`@9BXo>Ve=qA%-@1ZpC{{Yr zA+-sF>UW5meOWUc507Q3_2-%pwF?_k+Rp?=D|mf;H$YcyVzsWlMkw?Vl49nT*ui&Q zb~5k@_X>K1vm$=>NVg2l+{e0mHRniwV-^sj#_X2o^3f zLc6~dGY4ta%2W7f(J$Rl@&~0iMcd!+VzH4PA@87{ThJg;O`ewlWn8NEZZ=dt9&U7c zvIV8uY*gRKBs?VJ`N%Q^`FX;e=4(Yzs`jowTrY0UpFRa@4m{^YoNtcK$E%B&A;Tc` zCA1T@V&#~W2MIFsWyZbq_p}yrrvq{+C6qaF3k)3YFywJ`RJ9w5-Fzw0M%u;_Bn+ZhswWdT@$7^Qy z#>jl}KRgPZV%qmyn%|O0_{fzVXDFKmoA)+(kE`|(+d*X510qS^bWxgkf^}XVg1;F_ zpGb)aI2^+A+&|Gjhz(7BE6A;cwcK`TfsEG^&?ijona3lwHTq_;BKL(pLc6{w>@~rQ zQHJccuej1AFt0U^yFR)IvV#;PS>#G_FDERf8wGJGJA%c|3lhTYTPE}2r6boR<~5hl zIc{_1fLB!WQ8 zRn~lO?|zQSxX6;nkj2)*+Ns@K{vIw|0J{apDc3D9q?R+B#97;zVj~Q?deMfGt#C<@ z3zu1!JX&zwcauJTP0dDKj*QOgW;V6+P2N}5E)fS`s{00$HhbK?Qf+f;qOQ5nXki6) zlT;#Tmi$Oti<~X#gT*QL07+e#9d_zCjfd%=boc%8(y9B`_#+UpZ>*E5%hWQwYci!9 zNso#fY`?u^v4c#ad6CH21&%kGVjOVV9&S%V)1u}m_cWAc^JAK-5XsKsi1^leC^jCb z4vN`cEq}>H+Sj~Ve=x`xK}Z^3+0(9+XVv>!O3^B>7bOFFE}wPI0&!t3EGwR;dcf~l zWpOn&7t6OAgnz%_4X#bF|qo z-gfPJYqMg3!Q1L?U4;Dqwu>+c*rh*eu5K;B--dqwyDjAD5CH7OY=1p^PAZAx^f8 zZJy?zx-g$Rm*X-Z>+xi>gVb{bW|VvS3T+`A0m3N}>`1_J75vTJR+281^a&9!k>m9= zWsK^_Z7rwcDRgMcB$pMb7nb7&UJ2#BTDjE-%;9-OOtudp{*aHmb}Z&?0o=u=5yIf7 zBF}(vH=aC6MPg#9AkOTfI}l#?u0!B&v(~JQ2PqqroMsrlp6W)^x?0;L(E(Asa!`{N z3JGHiS?DiLh7~%9Y{q#${|$7^%zV;yg9XU0>ot#Bp@a)2&Wn&2D3FbD%KI~OKpzKH ze*vJFB@vpal;OT*b@v5-UPoW)H8pk;j(nWb+CHKA)kAR+E_Qi;IBsYs{q=*U9Rw-^@>Cd}JTX99XnUc5lbd>KlaS)}|r{2n9ysjZ5G4 zsxb`u!kTV;tP=no8=1V&uS*{c9U5IclT{NQTAH=UWzgv!+ZumYHk^I}54PbF!JV+`0;`0B|`)4?pux5L#^Gh2=sL@w+PamKElZjmWCpIdqe& zy&1SJ{xGwS!O9x+74I6yqF7~BD2LQE-F)R&xC4vE%U*rt!gtu7_toaL@7MEB`0(Lp zd=6g#fIT;y8h9OTj-e0PFft`>(W1ANxMO5>+h8J4gp6~|>~Yj$VE{<$TQ3xZJp~c( zNXG4x%m*-^s4MT?P@61j73NM&nn)_8hK(uD5~prypB9{7E0grQ5`QArS?5I%T9)^X z4#Tj;g!U!P1rd_+JbRS(q|U_mo2IhIYreatcGCK&sgd@To;*JsanWyzgP-n}ub{r) zRQBhRti67OwcZiwQOs{9GTElHJ-ms2ed0Op_ZH1ays*KNvlZKbzE_a36iVzBs1>V@ z!K!eRYFR^6YT`kG&ZYlF#gV&W1q1i#*mYUJO-zf6Uey6mG*Gjz*1W=d))rHKy`-jT zSI#fr&tLuhlN*7-lFqF7CmF1Vvdr=)-IN#V*IOP_9YA6EViR?*sB$2r`Fpmex~}8Y zkcka8HYyG_`RrPO_UJbbosHSDtcmT}YTd#{dlFU>4)b2pd>b27Fim?S2FYV$iJker z1{`MUBBPAbiMnF2mF-DNJ0d4zpVjlawv+@DE5F$^f-mF~{`qF1X`*NxGaOs)v8(<< zCB9Xim7kyYS6k)gKTo z<*|}zjzH5lS@3%bz2Bw2%d3R{#b8Lu{Z*U9o$$+%XEAmd`8}2UzyC>8fW_UK511^6 zobHeBD~J6*hu}Y|8_H!zfU#78GKWg!+ib<-8T=W+dq9dvfPF>~e+F1m1^&P>46TCs zfCPD~93o7X#+MjFR7IBV8H6Mnc?%o^L^NCA>>tw{Z0fYA)-SIOj|IrDA7l?XuxFHw z)x1~E-4Mavd4$RH!6n!Nj4!)%#%l7&t?|jOPehwbkh8J-#*#jBQIWAd z&;&2!2P9@S(su@#qrdnixA)y)sXUZlk8Q>Hmj$PlJ(M(X4_qE1+p~1MsZ!KnhQEs z+EjKv*B)VSuGA(Zd-cF2fjCZ8$XajA#?%4oT1#bBZaahrTAw<^hdE;EuF z5LH}Eo%_uscud!!b*NQ-kBr{Xj%t|{}#q(-;{ ew}e;EXjfG)zw5?S zebz5he}Xt7EAU>g{Ocj6Kx&vc!=)$0>P-#}eejl9Ah20w_%cHv4 zRIKSrG18-}`~bD;?(&%rN+0DvjBwf2QU)@0OUt|0sZJ^Q^HA)9W4jl-SghipQsS)k z)uUKp;+|uLFB1>V)s<)g)7V)<7!_;jT$gE!e2H;A-%K7mPJ~%#=_|T~PI*7h(%IQQ z#JH5JLAqHC*^#y%4k^4}%ANs2MDq!gR&;#b&5(Gm5_X$YRD7-Szx4e5P*Mlp(0_i- zZ=LKHXpebuodk&rocKGmv*4CSxVAM8`D?-AuN8mSo0UMA!iql(6e7+zW#_&J4C`O#;xUftTF!zf zaUtaK?UygN=Jb*9+DAWS>1i44ah(<6R2mv6S|UDFT2j{&=;=n=9OdE}b(AaeRov~N z8$d><-?;wt%%+Url4os>gUySSO8Vj)9%CG}deTEVY`A@7n9P&HXaX-%ku`}8Vw7dr z1g0=KCRs+He(aZh`_!aE`L8r#1Y_8~3t*-L;{K?S{WEXFIR6)P^xFOR6k+g#d3ykP zX8b=8lCi*oQ%Lg<6*fQ^4?$n(IAz5Ku`Z{UP_ ze~KNFgCCQ8X_oq8gtfw%G!vR5yau_5;Zfi5rmpG*&=_=;=UP1kE;TT*o~j!4S<((K zn&*{bE-=O1_YkM7?2-R4&+U`!M~h@Um8wVsoGKLyf)_ex_QWWXFsIe;(O%kVASx{U zbE@G87Nm$x7!Jb>z0~uFqwRb4MkPLwGdkdlmEvc<1boPvO>+b`BZuY2S=HFb;!g=x zF+cFBGuJqgRtn!?*yuKD$If47aDbFnR4&C~fDnD=J=m#U7urEld}FNQF5MhjDTUiZB|VJlvRvmdn~{?!qnN zNw7Tlv!lW;X8P5ex?+XFsdicfpdCRP-QY;IoVi!Mo+IX`cB}k1l9>G3yII^5lsbZf z0;P^|afT&5%6)x5v=brH7(80aQp6#9Dg>!VX_jupNiWDQyEMy}DKHR^Qw4aCZ+e1LY7Z|tC1 z^SG%YOvuW52L17f*vTN2L~e6{_4WQs5gF2I&nPCY6*X~8Vq{*Jv6e`eE&-C>9dj~? z_s!K)MOB|s8u2m`$+>y_?@Up{anWEjp~?TU=l~d$KZfatGsQilZmiH-P!Rlb343p& z&ysKtnc_}w-=;txcS8z-*4zTdz*BK`O*~b5t(xk&nYnf{o(SlA^*bhAk(>%#S)cv; z4$2=_dDB(q4SVSTdkKMLYw$Lzu4=}KspH$AiO+M`t^|>fa8Wfbpei~Iu$3lDdmrAp z<~v@4bWbSkaZ(*t0=Yzg>8FY?P;c=-KNc$TrMt07Sv z98fU_c7nSlzQH^lS??4x_YOK#eZ$XLQn0jvVANGEI)qAoFOsIKisPwqa6p;)WKyWZ zcnC0x$Fo|b2776?3%$NO^Gc--8dU?f28A{RX{XXF!EY%fzci=oLv_g0z@OxNAHNZv zJ}K8C72=%?9X-v4s&?bw&($`D_0+H>IW`#3HHeLF3c}o+(9cx`9+EbFHsKI=7DjY+ zPB(%s8WlMhm1)iIC9qM|?D?eTL4o>HBW!bo3yJ<7nDz5QI#s1)$eCE~!;bKVQ7q~; zn3!AOxi{xGHN}#IIpc2i9BiS=nh_g)eOx4CN$C@H4UV?u%vfuY@Q1R}K09!pIu&Ys zx^XkOvjB!I_8vBz;jM5tZ`A-e98s-$Nl#luN|TkJ=z*7DM2nFTxl!u-TsFNY=yQE3 zoPNpnie4MS4Nvg(O+`?iRZXc?Fv83o^dTTL(RuRKT!+ljL)M--R#r|te0ZX!cBz*( z9$pr!hpIdU^#kki+!Pu+FcA*H{gcYCW#bLQ#hB2x1*+^|b?Wry!VfHVy=-i!!8F1V zSA?r(P+pMf^0e4gl_2gqSAaVslHU8W&UW@^NbY1`-Qv`u*@Hia+R1xU$q0K$zwEP* zyjajX25Qk&D)YMwLYd4Vf*=IUhcgE=JAsI=^v#qH#e<)ssUAc~1fA#j~CK$~Cq!#B)vmz!5qiV4r6)nTxR#%&5MO?yh zFr_ZY#nZOQ^Jovqp$hxpQBP${!{k};ZTMBy;x?AdxTFaZj{M{fkhS@gFgF8L5t&d@;h*p5 zUg|CU8bjWDJJgqjAK`E|EWsf5d2@<;Q=E5)R}k|J{kW3H%K);Z;zXq&@ocR^A!0YN zkD!NqT>jVmbDn9@DxXvNrd(?Zp_ts|7BsxLODS=(x8Cg7BI5mK#d07LGik0s@cHHu ztE-Maxiqy5OS8X_T$p_Sv}qiSmsYU_0rN$<0ZBED6;LrHrC|jYr!%!kf-%Nzr(+SpGPKu1~ zT#ENr#-T@G2vb9P^)p9V!H6ee%9Jh{e3vw<_1|%bbY&%MvMS@p{%jxr-$o}t>)k|e z@!TI_$`5qqcJc?-Ql368BqDL~?E&rcUe3Wjy{BcNrG&MJ*zcS&o>aMsLUSGa2muC)pm#4VxVah=z-PIK z{$*W4Se0F&#&Q9zvAjs#yKcXMTx0Sr`;US@`A_lph7lO*KaU;y6i;dNBiIa|XyB0qCmsvFhtYe1rG*X2^^1?Jxa< z6>`;UgNcw`x$@dA37aSIQT*jyl`qxi3Fx1WfRH4C$ZBL949|ejkF%<%%+O^(vJ2$I zqMkMR1=J4PwV3vHpjMk8(8%o7JD`p9TvOq6sjl-`+aDsS=skFrv3hh-+}BhygA8)` z4W##e7B>n)Ooa{riEMXi0U!}a6Z}l%CP-n*@mGb?YG{>$;_v(jEj-rl z3gkQtd_=c1t#$n%+=unatb2%MXJk_j4&VZIIbdjc*n{TlH_G{m7m()0A6sPn0%` zK4(ETB4lYmcP6i}ml7p2rA1Dvr7u+Cb}hn>vQ0ccy8xiNz#hgQ3pTX>MGN$U z!k;Z(oC5kPwAYN669HF~KXxB?HSu$Pr_#>TeDNj%z~CoauBk64{@C)5Ey90oxtb`v zW^P`J1NPDKmwf;&er~xM`^Of)Z~0RTPxCd;Y!Mf|YM`b_#^X5qHxM_|t#nQ8Lx8XB z04V9q;V-L)IqoI_{Xp6DXciC|$r5n^is*oiS-{3W7Jycs|0}IR2^|VMvb4c(1=%u5 z_8~dim!Hx0F-ckq#@Jh%_H4*2F|BfVs!_Q_5kY4(C{z^yw7{Tc#Y4n#|8DltC7NM+JIg@*Fs^FLJtazT@2exzt*S z?YS%R1=tbL8W>|3XbuGH>+Xv{4(VRY@AKOG1|_}|scK%_odM+HwYq^idqm@}RhBO2 zUWz4`?J{SO(R+)T>Vo@*pGG+XL(K{fs_eYQl)rTo(jsW1P}HvL94}s+!aXvNttt5j zRbf38P@jU|K>Z&*Zy(u4=1NnKxrS?Y`I9e;Tkjiyvjffp=nJ4%el4}bN%U&i0CAre z9`is;jh1yIkuCC5Q5Rs<5>$UYQosUGY@FqJ`*4L)&Q1XQ zaYm1YnjdGNE3&0`ioE#!VJe`wizu)_6C>EEn86@i`ExLkIo~dFEp-!9{4#2dLaDd48U^Yb`Nio32qF5 z3;zuS^uVtLKM0MGr}%}XE30tO7$uBsGP%?2P9|_}3_nkk^<~V+&Xxm7ynGOce4P^F zdn|t1cU#!_N>AeiChBL)_PMy1{n99fo^Hm9Xk0W&tJ;*URjtZWpyNg=QC=-q09Srv zGkp_ZnEIV0?BvHqxp^K9Uiy$R4g&4*4G7FW@Ob7hZCrKr{Lwk=zxoMy2t2?0 z0lZ%r&LO`%lH)k?*+$V%MRvgd_^ z@y#nJkf!wngfq_l!f!ix{ax*gOzj(+mTUf>M;lN5V@u0Ap~waBx+UH|T-N7+_{h;# z%LXZ+uXMvSdDZ^&d~Xkj`Rkkj8*zJaxwqp`eozLai74M!{04e_c&o5=`;?Gb-*zbQ zDCT*T3u7GjdA9;|sb|}S4w#Y?2{xn>XK(6Tem}g8&i({N#6VO8BQ!WslS~%Tuom!@ zMO7q)Q(%Ts@eBW*JmOz{%wLJUe|eq%wkGSg-1rZ6#y>2K`~-&onl1dtopSsguKNSI zHj521fyER+vNJ64RW7m%ECEdYQ2``pm=#~8O3iwk>)CEKFVa$laG68P^ym8(TfCI- zSBtkNXTE)th~f`@fgyyCw0JYqeZ2&K;c8{#Ziwqfl%z41LcTZV=vOxCQdl6c#eu`h zpyR(2=xV7ljz&Obs6LBkZ$#4tByev}0r2V|bQyBc0?3bl!}86tGa%p`{_KL=Q;Yt) z2%_S)BKrSmxl`Z0SZUosu1b}5@eklsFh(}`(~kXWyhZI9ii|tdNkmk-N6pvBU*9Z% zj`QWt)>n4^aXv8TX5$I7%p+k!K7TPEUgpA0z73}G$plyXb9%&QlKg$6$XlOOf4SDb zps#;(!2TyI5^i~HzXreli%Sn2=3hR(=vDztLJmba@ml{AA7Ayfh{P@>sA8gLB1Am& z!#C7eS3uT7k2@^!!M#vF+kz#}C%y*^j8zX&b33{S%H=obQTvJ}M7Yh07W~8uy3Lc1 zJ|Hm5m*Y*b-v>hGZ57~9@mX2FJ9h7HlRa!WKUs}6H}Fr5e+J6_&m})t>a2@+C@UW< zry+dD%-1=c(2P+jwz2m;nR)u?DSMDGwte3G80yN)4RuX0 z*Nx-ppwFZ6eSnYJMU;OLT5O7cj?~ZfEt$l84b4?KJYv>s%*va_0ll@T;cHGolDX1+ z*pSr7{#sDj^Sr@h1RPQdaq;V@xLCUIkh>+i$?tZ; zN_L*HA+&uq{W99BJ*8eZ0F%Yzhh_8(VDwA-2Tj|T;bPE>Zi6ezF0!4m=8$}4sdzh; z7lKYs2uODM(QYgXkHkSAWJ94#$mOJ7t8f7?9xef>?!R&K}MEIkehuTPf5BWkOE7_7c9BBS0Hse1xM1L0E`{T9v5h1Ha!wF1M z0f#veZ4d@r@4h{RQ7!j4qf12 zvg}VTF|kQ8XrqPN2O*Um%4e8j>+C~zaPpFKknZC0(HX8B?+CrrL0}y-Gcq1b!Hdgz zLb+f}9BN%Sw;5lT{$h*Is;_AOLUAa~VM81l$Y~(vq&`M{WRHmn#f-zuZE9w;reOB} zxSbOa3z9|Zsgvyb^Z=+@59=&Q-6GIvJ1f6lxM(U4qSE)2E&+J5bWyOmx1{7?bdV#P zt-trWtY0otCu@-jJy2(q`hyAz)EVje@hP$0ZOW)g%|x?d-$2r%>$!IRMJZU5(-(7H z1Mb3q&hzdvH7T#h^y-L8!)8+4PD-uiN|X(~@jSn`gz+jm1B-mbc-f`L38BZ-6nPWj zS!T|AGY;8OZ#FQjGO1m*g3R`@&@*a0HvaKd{u;URId5|@n?PEnkBbWJ?uIaKe!K%+ z(oJYc1cqF_mext2)@n?AP!H7S6t4a9V4=!Lo9FS9Ru6r&cdQBoO3+T!{@NO9t z+5T@mEU##!vkmFn6_1^Yl;SLHCUI=nisO*QtIwYfU2^Ny6I76gav)Pv=uv+#R1giY zD&PzX3ahWv6~UyAz5}Ov%nO@}I?8g*!L$8ZUob1453VLrsIhQ5yTMdKP{tJPjWQ}= z9~wI2#o5{~t<=y?sBT@sY>L1EB;ruu$$@hPp~fjat%ar!2PYVc-y!oj1)DiwvpD(i zVTxxRKY^youEp|hT}4~fg*UBthue^yv)FR*^q=^Jb{f#OI+>PrR2{oAagf@a45TnK zmn@;-RC1Yd*&L!LQ@)MjtkKe_SQ-=CyI&^ah!E|cE+xpB_KkV~8=2UKpOSkR>fjAU zpnb+YS>M*1Ibus5e#c3mCel?DZ-^uyXh@jr?R1%My@A^uxAVeG9}B~ zCJ@mAdw(S5$hfc7mo*$#wnx`eO;_hm~}a6`@I~C;9f< zRnO&9?R*>PUNFnX> zin1`j35toGB~5S-vA;x;nJl9}dv`bP1F6}41rhtc1D^u-(=o22DETwg&h35VZPsO3 zy}M?>XXd~Awfr}f@sE#V(rt+vY#4B60Jrn!^wxbD1}4!I(<(}F+G0coogG`Ti3LQO zn}*~OLv%TA^b#*)cM1v`jmMVEtwy#;4Sa-}2CY6lrwKh`Mh5YaDTRD8u@bAUdE!u9 zic~FQL*cera#XPRl1@z%Q)hxZi}-5z&Uz3XZP(j)Q3(*gV1Uk6N!g$QF2>x56BwXm zX-*Ln0Rc}Isb~NW2T{hfwuJ>RqToD3&ez2o`Aw)5JG z;X@6g1P=S_J)Is;tEjQB-s&B-(tcJH);<;<)9k!lJ640v{x3ILhYvKh0v623vTk)`WmWMZR>-@H|5|;A!5m7)El#})@ z`*+e1FQo#l+9Vzg88E@F=>-;T%cnM??H`hGuZq^8n4MOvv;j{dQt>DIKw{|y{6a2Y zSt|FehQz$6KGxMrd~6*kKApbWi5K_6LQ@%}msGq#?P|9P=h`T)~fut%;n2|aRXVatjQ3DdR-WFrkjrZ(>>}Q8XRfGy5oNH@6tx0 zr6xws3nE3Qm>s<~LW9f|Tl@Bry`gUJg9+U}yy8QRnkxQ4OPqimmbNTdY>veES-*5{ zLvur_eRsy{;EZ9#aJDJCq!Olo;d^9z)5yvhvQsF&PpkOE#jxw3ZaG=M&w}sUhL_mQ zYufLCd|9$I`xG2!N@rn>;=TtooQ#d-Y@GvA%&lvku}h{+`_kd5u_RQ%M_3H{+W0zS zVrCd6P1@bap8N^#YgICPn+q|Op1WG1{T^jW@1IZQ^mJPZc9&;HFREpKkP{AOeo}B9 zJF2}y-|CyLVu$2Qi7c$*r8qsWc64G`dFc&m!|QY#Mw4MB;gyciauQM=>9gzg*L0x3 z%)yRiViBw+iy-9wuJcC0K{8l2+xuO=qqM>uhjd&J$bvYiN%fX%+Uggc_*D~N~Cr(Qlg+#D&MfKt!+ir9b~r6lvw8Hwdui4uan4R;iww--Ec@^iZ(SCJUB`iyMwUZ2(TPwX?CtW=o6h zyBbBoBt`rhpg3TQ=-?<6yDh1H`9Y$15c{Ylm`o*XkL{N+b&?IPDggHklUtQy7K+R2!t(AcZV>gu{hBOd>%rMeQE zqXpf)p;RY~_J<{zcgwxmC`#lRSx~i&;`9P7S#tu|XLA?JK7(r$2kGq+u1Ak#zk1)pPdJ4!37v#-~*q`6$ujB!B5 z5`vk!gE$I~z-coaOz0Qu!{tSCf`%<-T}VFRnFuKDWwLhC2gidHic)-5%lZZ1;MK2w zVDxU|FZ6U09&CV&5}}p&sXNp&^W#f{a|;D1pG?X4V26=~_tvsp<+^88hNjmeeYqLW z9kHm9;aHC#5gZrqU+A=M@O1MmfXt3ZkT5JHboxm4=5HcQSBD8Eqf3GEh16 zkp0N_Iy8hOX&ze8hlOJf**ADBp4-!Z|Kq?-4^T!!E~a-WQy@CaB7Ng_ zdbjzRpHKpZ6UR!E_2vblEZFCz_OC*%zbg`dRjK|+zK?iHAP^jf3tEF^hJH8|)Z7v3 z8rp8L@z51~@lKYoP0)uU*Usdj>${1^f`lpCTr;zzb?@+2?l75xd~np3j3vB>zx|rf*L!KhOjbF+ahd17R`}*_kG4e$kLNWrtHLPKMX#RFz?A&T#h!0zt~a?MGfz&inwn0i&FQGLZ4GgX zC!N&Ci%Vs8YUqVb0iWR2R7;&D4=^Uj?SM*o&z^jM-r z@&yRwT3WySLca07NYx@g2bu$R^(RW%qkiJYo-yYwZ*0eG*FcBDBzevu=cwYuiAaYs zAduN^gXC^qR!!tb+T^UFfxr<`w9s_Z79aS^hw@h|{3O~8-b{APgY|=HLk7(Je#en@ zX-M?f4r*l_S|J-r3V@i1;KOGZenlV4bJYzW*DI69J{yc4USS!hV9NCfxQGczS;j-c zIgj;JrG@8_2GZx5Cj-}f68uBB}qU_AvOkft01H3q-sIhuxyC=L- zr82_uBBa|Dd2W@cVe7KYxx=jYW#7aPzUa8D$$Z>LHQCW=#mvLi#}CVm3FBa9@$^In zSVlkOxi0Ggg3mnPOK2Cgu3?1z-&H4s()20yHyVk>YLP@hF*vSoY0_?kkP5XVO z#Ir~!*1omLMQ^(1pj#+xj{2PtA=4fpI9TgE}YkF&+ z2A82rNF$YmoW@*$WW5Qm&XdWKU*V&6%*;)kk--W7_9G_~yFgp>`6Fmow!XX5=ucWp z!5a%dPH5Z%A#`^Xa359NKU5OuZ6Y0uCuCJx&d4T5Fv{Z(<$<`#1^UjU8(WRG4{64srSY6jPHnXB4o&F zm>TD_j!vA!ldJ2GbtTcn!^;mm7?;f2ASE*C%Edv8N|j zCW}D2iS>#QrQh>Q9N5Px)HWMIJ zH4j61pCyOaJ+#b~d_wYH&#{2CjM(l*>&IqB!#!_d=E%Oj3MyX%7_u!YVXo}m&z}i&Up=m!cg9Ir_l!W?)(cgTqWXN>-@wON4i`ui1s@G$}Z z?T-R<0Dgw4RNYQs;{dbb-c|%h@bwcHh%ol_iUC_2@({iKMb7w}=-IG|ssya+A}^_( zOwqlYU3*5om~g#kwR+lnC`F<0MG_B;E7H;rOV3C3h>9@GO8K?55`yhCb#<+r5z;#1 z1!~SYmr9PBYznZz+YmLID>1huEkNVd%QLP) z$>`x8m|Gem0S^>JHJ7UrTX4;d)}pfn@U{uhI}F|P$kr|{C`U@h!4|+CB~^}B`?h_l zd)FvNx4H%cA88JgY%z+v{__$UC$y*`6eHMgO(_|nuZjT68 ziKG*pcaUsRDBlD~d2 z0EWEm-gNd$s7DXe(2WZFblFBybaJF;A2D@^`G}3|gH!;n04Fwq)OLb>Kx3_wRKbla zN;F@VLKuE$mnBtOg7jlCwEC)Gvh)BS>9M!kGWM2keJ=qY;k(D6lW|6 zX0o>Yierry^a6|^i1GxtaI-v4DNmq4rK5j`ggItvmV|7S>fi-5mVleUlqz%@khz!f zyETdd4s?i1;3yDNtOGwYJ7bKJP-qv?CT6>DzeP9Q+W51z#CcfDNJk9!KJ{~ z02@sFIP>yN>b&Ff^)|uhSJz+W@V{c>KAEe0);zbA*XuDS6TV0%FY(Xeicr)d>I<64l&Bw6{vQ)W=Ms-J!%Yb3s@AN zCge^FK!loXMEEJIY!f~) zH%%6$z7RW(OqbLs)<`V zYLs$wQc+6MGDv9LVEEDK=J{EB%h-3lP*Tu@bBz4Sd^s4aqDZcL@T+TXNXo-2p*CFF za`Owzwv)M1RpbFt&-S|L06^va$0YrLptJNRsQ%<_X?Sa~ z>JCmF3le8c(Z}^)ZIN*W@YPHWTj^EUR}JwJh{%&4gD~)z?v_v(yLfkmkrO z(-;m#iRYR!+C{#?V@{88$GelAY)(;usN6S9z+cHzk%%-BfWv0+29CGjN~Jk}up z7a{6P(-_iM7IVmQ^kj{B;$4Z$rWvnEE^1xaEx61*tgBFisB z_oexFj`rVErz&Dze0W$2#+6x7v;L`l_I^Zyy;nEjF2}y+1j*0_?iR&T>%zs2W1q>Q z&HacDx_W{o)#47Lk_Guh(IE3+`xp1PI7bl?U)vamc-PN8U*d06hJ37CU@*0l5Su{C zT`xY;nCebkaFj}evkV062#Q$LqN6%VbZk*1tXfdG#zc`J_q^+V`Q_^KD7&M}TL%Vb zcY{tbTEFm#ZU7gphXMG!9WJSfX4SwSPxNS$&xjy%U6 zRejb8Gw9$DqAQX&CFHfu4LZCd=r&o6Y{<12Ny;z8EBiF=A{6`Z1q5^m5+~UL!`}P{ zH19v-ksA>)GSu;C-$z5kU$0=?bwsm*SGv-f!`!(PcoG{x*oPd1pFRw?+jRotv3c=^_Nv>X#mUeJz}Zs0 z`gykczNmaT=vN@2Lg{`Q9_(b3{CJsv!r;~?R>UrW7N#Yhs~zkXsSK?QZI0p7nXIX> z8W`-AS!@zo#YPyz%Fi1)`FOn^(pRv^EEneV$k$FsnxI0kBijMhueV4xv+K?p_nRST z6jXHeeYl3-2dL|EULj>g-B~vpC($8Uk0KF6QUAPks%`gyScd*-VOW$a_x;pHPnX_k zIzyYJ=Fg!lq}cnn*X>hXu*5z-GEpl==4Wd4>KrXfb59lcdg0#E41jWOdZ0{N_E!$M zM?#>|lwDXH7F=Mdf=(;vTv*amkp3Dg+^B6vjUMJ;TCC4dsp1m4@o@Axi=p_5Y*O?{hL8E;BjbzE5jj7mCD#M?Y z*aEr9ug5h6b~sRI8snc}g0ysR#r_Kgs|D>WDmN3Dk6in~B{4I$jkO&cGk+s#(c=kz z0T>O@ivmc|)raUehMeH-nJ_IWuBGW92Zf_81J1(a6*cAQI?DQeVP0MJ0{)y&5${4_ zDxAG{?A|oVnHAJ0mp1Jh(9a`ch`1zSC}wQ9gra08>5-CMH{xX1Jgw%mMb*Z# zVl(}^9&e{q@25eKF&SEQ`Qfzc^3$BrfPlQjIciKc30}tN2V=%WF2T8r`l{&!rJS1B z$4y=)U^LZJUN^@lYT2WyCQr(Hl8{)usg&!yZ=P}wBLeanRn0xK+Kbv>U0=$ho5og# zcPkXe6SKA%yauuuym5Tpvh3IG_zB2(_n;x2#d=AfjzfB?{D-Juq(W0=2W-w|*%frH z4|H>1Y-Tda_>lJsee7$!s9%Td`kEli+D8I{*@9q+*3QeUt9i_Sn3=w+NwKKtZ3 zgGruAB8qf#{T-tF@U)y>_Z{8=pv+a#T$n`hQfkE>B?sNB@-aVA*3u3a(lay%ndRtg z;`WDNw~4`S5Rau*MdJ2KT-`BOwfGLj2ET`|8_pMb%m?RA9Yj39*WSFtdyGhF1ZN-J z_hvjl>V1FxXLT=4s4~t;`jY*L0ar)x!miE+rEU10wpSP~>hkBMxCiu_)rF>52tBsm z;7(Yr^}j>}fAL>_Le7sXdK_E+StxqM-gQ=r@Dkkwr86q+sr8HM_37w&=AzbO)D1UR z-=**&kqm^ZjLdONQYZ)tImH!HL74p_ER1nj{MjN$d`x(dNG{m#^PDiWo~hS5+iQ7C zMt`^yakuj-$S&q&t!n%NzS_DerkE`HfHKA&hcIB>BCpsw-(8=0@2;(Vr0~?uHD0}j zE*}dvXwXI>A}{PM@>eIS;BLQ6H-sQp?Nh80z9=v18dMPvJYPyilnYd-Mo)O1qb7yXuF_=zmwZp~`~ z$}DbdPle9q0TK{xn~9-1tAT}Eq|+VLHo^O^cn?>4c6-OudV6R4*OvZ2!rn3}&OpoB zEF6MEa1ELug}Vn27Tn!~yF0<1;O_2LSa1q=*Fu7OaJy5tZ+B0B^L6+9VJ-Mu!26za z_I@4_Cu(ts%D{mC@j`l_z|WbMMYHAzc+LdrU=BufEY1)0`}?A#9tiJeKHlkjn#Zgh zeS~xYaiKib`TW7J0rY#WO=JqA2`VmBu!47`| z1cJ(rjw6>ExbL zoZQB=?Q2}y*H&B@vrSE%%9^=mNBNH(nzrm2e}bm@G6G`Q zxL*52MC^WQ^i|P1>$naDVrBtdkZG*8zqfP+&{^(AMkkxPV9>_h5o@0y zVZjg&Zvyhj@#65w*^JzPjZhT%adkAEOo|+J1$#I?mMqz=^8Ps5*MlE(REm=eC#l>% z&ieI8=yXaS4l}=JJ4@}_ehx;*n=e%?{`!CIcK+iBY~M5p)Vt3?Epd)B^0*&j8e&}+ApLNS*Yg^eg1^Yuvr_7H|un8!y=;g}FNU7=dw#?|rL^{RD* zzD=jhpF-Ce&u%L7v3b+acJ9ilFLpHku}i2h*Y~j+A?H2!Ew;cY1+%bVH(|dd$f7vw zyuxO2s-=qCRt;_Zy1^AG5-@igUa@4M@_6EonLh{4OfHI){@Y2{1Zww}Sy|KDb;DHu znU}R25%xk zruI{3ER;H9eO@BBiFMV24i#7z!bX&N)!lq`D|QcX#4WFHW87MAcTL;{BP;hH5E8f- zo1skF{rhme+OXE-W$+;{j^%@Ujy2*VZdea zg2oDv4arxld>)@abJ1EipQ40m>6d+?awC;Kgk*c)hqo8L6VnM1?70_16T6xNp zR6cGLkSx|H^TdEQeOJq55eqmyXw=Ve3;hiyymI(ZFybjvNQ0dpZ#!0yoWUn4F8NAH zPzBu>yJ~lfV2lwOx@;UK_Bl}^B;H4(#_(OQt3*r!b*MNQ4rvEx6mAFlSO{=|NVi5)(F;3-3Wdb8NV-hRAB~`zUWgtvpX&r_PxVO?=K+vV1 ze}3SoY9FfJAms|@I;Zinw@SacxmKB#jILS)CH0 z=%gPiaSx4gMR7#D(oIr?D!-*EO!3JJ{7&HS2fAwwS9jfbK2{0e3iY@YQK0PZoUF(? z`H7#R2ffgwHN%Q4&Q^BMb*!IJJ0%zw3;Ye})*wAY0R*#DeHs+)^wx^S>XsU{qIO(} zyRv+Vs!3ZoDSj5)E@7qtENh8gGut;j`7mu)wcGk^tLAS(3|@Fzw(2_V4=AQg{dHY! zGqC?5P=?S-S%{lYnI-zrOq6b~3A^JbG9ZmSkPe40520i~WrYPzwALDt) z`b1~1-PMF~bG~0;j|cELv8@p>-kmTS@h zscD5>4m`*e0|b}<%gLaT$z+jDx`E_|Sev`vwQ$=BKr0qw02X`(MT*`rsICU=x!wT}Oq#IJy$z%v z`c_G#Ig85c+_ZCF4b70QKS*BtCzaRD8#x4OZMvW@HJmvBi9M6>kL00@TZ{s&>G79( zfPdVCV@6D*kO2V!?967CoXml*rUG=L177g{bTv{z3yfO|q_h&m{S<8B^y^U?aUsJD zk&f!l>s3lWR_+UVkuAnzL5<6&sXUjWfxgjU+Fvu%3S~CqaO*gbtDOt}x6}MtDvh8F zy5owoxObStGmPI+pY0qr!1WzE2Z1D0#a|aDM_xGmq>=cFl4dwHIpq&R3Kq!K`1URa zLTf{Lng8&|xj0wbrND9r;ZBUr_MUcTGJ@oWL#G+yReK5Vakauc8K@Qcv`uLmRw5U; zt=veuV~NnZaJJTdlpnZ#yS805r4WjMrM?tDy71o-l&U;b8?slRkt+J``yo9!3-e>g z=QtwO>E(qyMe*9IqxQjbq5iF*la?(QkLJ8~7kmvZqX?-1;D+ck8-1TBU!-Xv7ez+_ zmMoG-y>;T3MPKQ(g=O*`EmR%$-H6nsK}lk(I|kd1!nFuY44WWVa6{jApXyy>jtdL# z6p7A3>V?Iz6R8bZCz#>zd+j>ox_E0>d%d(VNNH!i=R?-B!*@^019!?HJF?&Gn0*Sw zH3Pcz1o***-`=Y0>vJ@?e&>_a_*66J^0>d^0eSyeE32<~Uf8vI$5BWQHLPE&cYiYw z(u{Zf)6*5u`R32N@oFmA=H2#WV*KIL+%cpzEM%%Dg$ybL$%m}iR@8aWdG7r(sT0LC zgL$cT7OwH>I>Hc

    N6Lo@@6y&%d00eh~8)En5{$U+4tCMQtnHZt2}yQ_A^@tY}z% zL5_ih+}fJ|ievvWTG~&}PR~-=3Q#H{INl^;Vp`{kBG^RFI)qHS6ZVSF+y~ zE|Y$sv{Cg;^q%D;u8n86ZkqFHD+3{SON?Lk@rjX;cX>V4#hK(dVOpMBymRXK^^*fx z3sB890YLWRSKH+I!8#me!LF4t??ln6dwyT|x@<~QY^;BJZ6aJ))+^$i7(-Ag&phWE zfQFF6n^BKDR3w}<2mG`Vmf(NYnrf)nlKR_#3c`1d`uz)(`p1m^vt5>B(e*gFDh3)j z{4a%u|9a3quyAckws^ayqQcUh)z{u&3fUv|XAlx+Ke1n%hm&E&)37vO6W5XzOm0Su z&t~#cN_#+t&}nWqA}V5+m)_-&u86k*e0pczzbMbX(T88Ab6`V1=#*!!T7G94oB#wS zg94Xx8w2y=YZz|aKT~4IJl-U-M7#<)Bu^Jze6_U=E-(vL&3|9@=7x!omc-^m_IoQmt1#f{e*qzN$H5YbjGp}TU@WMTD^9) ztE0vH-V|1@FGsxo#(08rlGAAxmP{R){!6$ZEk)5B&Dg3GEJm#&@5@PnP~zv%kk1X) zkftqw#uT$Z*cY~%&FP8j6LYbzI}aTcsfx=u0d0GF#YvCjxhl~RqC@;1%(2GF>;+(~ zT|xjX?}|&2KU?5K_4;Ppbe?N>R*|&~JMgjTy3$ut{vvN$c zZY6)#V_u5F8FCqPk$SKFu#g+Fr%4SlJft|eSRN@^I$R>hUQbtdT^J-UAVd>Up6cc~II?YiMY=&h`_+^iySnjK)*ueuej4CK4U~xo)R&at0i1uTDB- z>qWd#oCjxukiRVDr!0gReC;FKa-lBO)lXIbj+kb;K{!Kgv!%@})Dr11U9aPrF*VwV z;9%o^;p8LtX}(C}#w&?)Z^()!Qt)epX$-GoxOB|v3a0z>U>Xt(TUS}u=u2>IoJLj)nb#5w(qj4nf7I(FXyF2-DON?w&BPgKMPEhmR zu2lNq^nn>BKQsbC>jiZ0S1QZ_9(>C6Py*?kGTLO%i3i!&VtCByym27B4Eb#Wz`-YV z$IV;jyGo{?7fc(2(6Fj`&VlX2N z%k0NNDMaL|O7bh4L+941^_Z@hTkgN1m0ERSO6=XmQ9g<7qdN~U$|4imd>TKe1eVTu zZ#ZV4)I`&Kc|zehi#^S~#ZSem$|dt4ZUN8Izy}Cp3 zsztR=^xk*X2%H=PfCGkib^P{V_RqLH1Wa%IC_2t_+Qp!7Q zl6aj9GLyr(Ego^~9JhsZM5RB!nBkzF4?)}#pr7L0$2&^8MVQ%Kz3 zMJ>{z?q!0uhjQO$W)JK3cW_xMN#)j-BWos;e7SVER@Mng=a8wyNb$a#3}j!&(k`Ye zD$n8rsh#?kWcRBuVfrx4b9yYfNM7goP>xxf?S9( z%Len3+l8Z6OVsh(W)t!8q!a>Vl6Q@br!j2Bym==e3P>Xdf3&lVh~+4TacXxpAY?en z=jj*)qGgl~GIM+8VQtJyjCO1uKXF;nCp}Z^0>whz0df{x>Fd_;%_E*3^P5jwWq#YI z{XA#BEdR8Hr*B_qZ)|^I`U}d%BGF>M>NRNXk{g!zgLant?^_<#|okdxq25k zosMb=+$vnoYf0rxFtr_F7l!5gs}oA*hEAAhq}H{`eTDM{9jVXK;x4lx?-;RTxsSFb z@DM2&fGBtScviNliR%TN%is4l{`H zP7%!b_eb5Q^y2qKNP=M2dry$!pL#hoUW^jeQPS`T{Izd!9?BM|QjkMX4sl;Q_|JaP z{Bw!++zjbBv3$c7xv+|>#^=jfOHzlhJ6Ba`s94PTKh_lgd@MjSDWhLplWCH&v){8U zB!3r~P@*fnU|An~;emvH=Erlqja$>o-*`?u-tC$Z(dq$(mA<)!3U!KiM$W04x>i-y z^PUHq_33_@d&vcUV)hL7TUF=F`I>Axe1dS-_tr1=z6y&cWu$$ix1`!oM{TDv|7QOp z@%U|1)&S>6wrG+bs<%V+0e6gYoOlq6aFz_*fHA#cQakrsQ$X=s7WYM}w8&EW6Eh!F zIFN?@eHdZZ$-6~!JyHC$V#-cwV;7YRd)*~S!#j*C!#IUAm2JK&&O|s~XemnP(@^6D zOr14rVd}q0&}y&%5O}quS%ec=W?ZYJ%yvRN0}m}a-h~2xF!&7lDeqGAR!$PTFD8qP z!o;&=v%*rT6heGW=}0SRiWWHu zDC!e>G5BX8d98c}($_wWj}KG^+b@fjxu zcI|zI$T$*AZ@aBys1^@F)p@`1)tZz(!%F(0&K@6)R)XzJ*OU?waH-k50!krhPMh3x z9BdV6MnO2fvU=|dxiuFqp<;n}k@7d7LDLiV?-7YKXJjND!v{lRy}5^d+=%MNwRfa5 z&`3BrjM=&)`O@D_xw4sYCVDD4ak+y0G~65bw=o>c%&+YHIf?m=+}?T~t;6=l@JiI7 z{-+#ptbdm7m(b1Qn2}6F0T=6`@_yjNRn=nmP zQk*_%C?ZP6H)Ea4T8SW}0WI0c_iX}s5I=9DwfOuFtld3B(gSD zXI#kL_Wf((x&t}QRfeAA)1CT+AR%9-no~73BUxb?(B{|byh67teypy)BK+XB0}$4X z5fAw7wZbEDg_%OK z0$~=dC{c*qcf7Y%3pX{oj>yKA;=n&SE{ko#VY=Pp>EA`8dAN%5=^7dcF&|0lc?~TdwI- zC1T;e&PgryYDQ@?cb3|^PGJ$|-d$~r{g#kJNsogu0lULMU?;y7Z0}=WmU`Wam?wno z#4lE-0=T}=7zxJtDdC*(k?G3+QtGfnMmq)o5Ma;-QCOwJDZ`WR7|O~eqp^cS&$w%& z;Ul=oE$XU<8v)joPC#PjE_oKoGN+^f1e*@m73W)f{|7&+5KxC1 zk7QA@Ol#wmxy~?c8p4D&2~!Trv%BXDlh2lcOhyJdqcm#8YNsZSXmaOIU22308V>Od zJSIWQ@Q{ro*kX$SiG{j9hJ*?3x7`Z(-Z2>0$O$2l-z)2Tsjy4zMU$}<&yZXcFp5+! zs#^=;LNX!x0_JfT?;p@6N7m?xdwpV|3ETZg9i-jjJX;I?%`~2(T@muOj!g=iO=H_P z=yacTqw|R}2i8G-7VtsEb#(6A7=}o2_Ge+?+XQ!@YDetF@ZDN3dYn}?E+y9tOu)3E zLt2K!@O1NjC&m#F?T+{$g2aMr;Z*oTX9u^q4nrADW4@S;99+bj7@U(J#@G~vf*W_% zWz!<4&EjYsEhAQh!E2|e{KhD9#Ec0`;OOWW730-6%za& z3q`pB|JYRU#$ZDP$bX@&W( z`pvLb59#6A?VCp6m%Ord_v6&JapP_lvJ_&SIYPxf4A(UIspAd#r_!ntQz&Uj4T&Jo zsQuE50(}rXDiEQH4W@D!ztY8vwbw7GM;x2*x@@}1KL{A(?QU**FJ|O2(ilhIb8Ofc z&z3lS>MRsQGK*IzS>}E~A|dJ9I3o5x149C-F8jPfL89~Gc8XQ^L5YT|+|OD10`Fmy zfo8FI-q;3ar zP5IdipE>;lfGiU1&&r$57c9ZawZHyC0G&VEXa5|t@vL}mHnHp zx~>53x%`Se`H(hBGA%Z%iMtF92_{c~6i7e}O|1Q?mOa zc(Z6QLpPl;94`x_IOkivoYveSA=#+<$%I`~y$mAE)8|3f8*r4g>L#6YY7U`pkbX-? zA~Pn2{+y&0?a?pKX|b4#j4 zjw)!1U3mU9p2j&st&vBY7I|kfmMdcmYes-&`dHgS`%SNu!+Wfx4*h6~eT1u?b(q$N zk7iW(hqmV)ZCFw9mGA7Fh7icnQM1fKfXB~3N#LaE(tmfAjjmOw?Uen6_8 zkJi4pDozC-g=T4K#91cF4EzvlJWKEUxn3=FtCWWd4iep!9F-=_+RgR}Y`t{~6>UBFYve=<)GM`@a34n!Dw~ zVGfv6^dxMrFoSdgtpwn_5}#IQdT@x}!sqzk?#L7IcKGS?YE#n{7{M!1J{GQB>&nHO zM@y#e2sMin&RqdQXej>JFK+QOE^ka^>M=O_x-^GW#`CcS{qm0-0y5xiSEVfo*S{qM z?n=BL!52c@wwoh~+q!~344!B?_{+4^xU5MKE?a+CRw^9E(c@0ezt(2UeiWMG7z$wY z9OfBN-@S|ZG@019sC+{74}j$^Cyr&GUO^`zQlOT;1JN?l(w5#TIUU|_OPakHtZ%@P!#BCxv~w6o%ZG;n-9-0&dipqf!8uYFJpo9IOZ#TL7OlZxRn)e-;N)Ifzkp zl+0UPDW*H-=x8mBjn@&{*AFHjh9)Tu{34AjlcH{2+3P^f=bHMIOGk&4p?s2C#I3LE z@vO9=!$)nCRhHZIA&xe!emnO|z;QGjBkOX~Y}@8)q0i%wY$}Eob3P}>_|f%>^M;^F z%3vDoJ_KylVe9@u8*(u>TR>tTCBs0Cz=H_IJ;Re%jAyL;#Y!kGn-TvgrHPqeVfQup zH+KQ6j0mOO$asRI!q_>9xyE=Mk@kO{wY3H(_#{SRD@lEqn35ooi|nC=z%+M1@}eqW za4%{XvEVd}I7x-_uk#jAnjJ>)GG~I%34DC;DA)G)k$yZ-$3ypI(<_NM*o9v@{Gn=j z-hB18JO8|-)`>o(3kwOXpgw@LL_}L~+%UUL{F7$#zj+S)Un^Cb$^YL5*T{H6%L=2l1+a}|%CiKPg}JU2w(;>AgViMy`s^}O1_Ia7@+i$i1zD9~?k2rmks%79Uq@mvA zJ=MCANI*j5{M=5ccF;^hLWu=YGHIVWtpvZ@^#IEDpRzORqRY%P8l*T$iEk-5o>WUM zk#++Z+=Q4FV&f*liED6{IfH2;kuxUVOkzxK#$;T%YME&oDed6}dSHNAuMZfNcsQE*`F#jwfeIKYIPupN>DTxIlE!IbpOU{@bBm7W#J3~qm zr8Tm+v&nJ$m2LRlbSSwCopJ!Q3~4)ca)B$Pam*Hj)8PF^Cmrs<@a><}U~}js|HWLB zhsl(+vwc^+BxUIsXw>u&Kvg#}raUY!Y<>k8fj!jD@|!sX1wl4HGENdsZ<54dNr0~y zn$Fmo(bXE*^!Wd2wrM9Uw%I{<335P79fP10wsh&CJj3M^Zc zX98xCXC6?1UCEQgG$!jGXuwQi!ph0E)4rsZSrB)F0mM9F{<9$7TtF(dXTi(&ULeu_$>q&UYsv?FWR&hc zZVzW~r&b=LbN@b6y&_HOtBw&OhUQilZiW3kNw;R^xT!R1@WAf39)EirR&=2$ojdj1 ze-CPyqKRN#i9dO)+T^I?KST$+D26@)bt{ZEfZVKnlTHZ|?fpnQ`z_BJByEUsq@wfj zcZ4hY8$;% zvSuXSW~*h9-bbb`gJQx{dn3o@gaUxzk6;|D*of1E|y?>Cjuq&6=I(9S#?-S=KM>V zm2wI+w?}sZfO>H&J~yQC(1kC0Hr5*)zIdbyC*0UNM!KE%tt(J3v<$l^!#{Qy8>XmY zmM%sd3OCAn<;a5*ZWJ39qeDZEPnR1tjh7wT&vCqhy;SRnD`T2%b~7hBPG*NOgS~GU z+m3R4@s!V;jt2%;?v~nQgMX&9_F>?B?2q0erX7Y&)#Ey;yw(yVK0m$<$$$T2(#jP~ zOzFk2SFR#&5B;{2#3(hvA0_=Q?*`gJ0Y_JH9tXRzFQ)ibf4k9b?Gr}i`p_?1jPilO z3+)VPSFqV9YjsH7rbu~ReenQ-7HfefJ!;uhfCZ5N@UjPNG&b&x(TK)|G_mgFJ6QM; zU2M?*4K2Kj%VsAzsrOIpar&@CPO)01P2bUYaDmVr)e;ULMowf6%tf`Nv^2GhVq#*I zpn&)N>T#Y!CG*JaTN`=8izVTS^EFjOa>Wm9bxvAIkD+?JBW#B;-TsCRrr>n;&C!qF{E_uN6=k%b>*2~aK}CNvn~K< zRV2IiE+)oXizF4M;$qFh^*(pDjsn~WZlf+-8187jWTpaOCZUi{&%H1ADG?@dGcz?- z#F~~lUzoHkK{WZ3b!C^6Tg>vzF0g!lwws?f5w08LH#rI`7ml>9UBE0gr@?_OeSO0N zI)6q^50a+&(zUogIZL=ME*vZ0J4!nTFf?Dc7kiE&DLT0UK%+}alUe~tkh!R_MEAT68*9g_SZ2LmnEVmP(yD?IV$@H+bx;bx|sEfmmci0_C3;I1!#JaFtLV! zoE@JE&Ev8*UWWId?@C{{RG>%c|0PZSFPO5~uOX8I#Qn7&tjo6EEz@)xdOvk(-CYE$&&79IH97F{gsyS>Uu58SK zz{m1tzz1mAG+CBujM?G+HBM3rR6P+(<<+=?ixALX3s|dkEmIT~JW_jJ{u}%F(G$FR z7O#Xqw)1B4*vV##*GdLG)x{Cx#g&=zMAbY4DP){6ADA{+4p-ldYTTNhmEY75l@@T2 z$|BU%`<{CV8>;&qcb0JT&*0zHJu04!YZ_O>PT`UQ+D#U3p_Ci-ie>*0VUa#k7xKYJ zslcO;#;0(dqFrVyWal=0SkkkU{ts7-niUJnEwx@@JgQ_%B=nAyibYDn3#aZlgw%;& z(i;tkGSD5)^?AK}ZJzHAFlBo=TFl%ZUp3AZn2nHB;PJ+Mk;aFt58cvkpRacUpF=q# zBPg6(u8v8=47+ve|I(NM@={QFMR1=~E1zurg`lag`yjR=ndSYE-_y6@7Y+~fa@k-U zsSZydVTq0a8PC&YqU0pFXURemp|}}KYghEs_81_+^@+a#4ZjcEShke0f~Lo9z^g)kprLv!hl3#hTq0 z@Qa}%XtdLY(+KIHQjQMIpghnV(zD85KapLXOT~X@6X>R&ChC~;!Yq>OE zYp6q_7yE|0oR-oQmRjM_0cVMTQe$}Q@@u8xO203rid$)twLs>D8?&Ff!d0vd8;;tS zm*j`i&a=XYp0EL+)uu{QwcTX_?O-Z5i6ZW-_mH5Q?|Z!P7lNb)DCwi06J#;CDT)?Q z3d2|JU;;b9WV5!SYx++^#h12r=fohgFcsUi)64-I3sNN9f_45@>AbB1DO|R*#<)i-isrgi=3qo*Vy&m zjeiu@+lU#43T5uM_M91yE*&u%>+^Tv&nteE%Oina^eKs?2D#+87Y4USL_g4< z^}X%x2q|{)DfGtu?0mAGij=V58{0BxW&jXqvIcIMomv@Qn&FTuo~9v)LYbs~@0Ucb z04_C6vdx)G(D|xc4*Nir?7lsW#o~;DeIQEQ)Q;Vbi8nI`j#u0mDeol>EzH2c-^aQU zKbnB3!`Vf4Lpf)IAIvVTsezWqcC&M4ufM*W#`y)kWHd~n<3S6=6l43-eTKb93&zc& zHn}LD{uH_YwhBkOg^i4DsJoM4)Joq397OEr%PyDy5MX37`#|`-Wkvu1^OypH?{4h&i_a<{3txpXFFvG2)wgtgDUG%)nA@k#N(*u^+qXp69yYB4y4V^jH@RAUg( zE;Ny(U){?9#C|QhF{X>UY_=}kLAaI7avOhb50pN zCq_2N1UwBu42hR?BczQ%PXsqppQDOo{1H07c2f7`ZZL&3a>+l2{kn*bg2hYBpv6aFLz$5>&-7PM2Bsw3pR2avm)*uP z)|F71hs*ndl=;G`ejM4eMxdP0L;&`cwySG&x;+S6|6rLfZXdscQeeIr5!tY4hN!IHQYR-uB;bsQ>4%{ojZMzK%4T>>E7agW3xX zjf9u-FudYvYc&uxfRdVPY~!fx!ihAn1K5J2vv6@l2r_U7jjE~qY_cIKG33i?;4r?S zn)-Ot_-+1swh!9edn>2RZuY{tp(ilHaNr9`KNgqcijv!AX%0YOqHaixvD_i5Uu zt<7-TH}Jsh6T>vOSeMw6n$-4^gy}p6ee32)DKKBEL*}@S{zd%eWg!v4tk*HG6G?_ysk-zVnH#Zs>>Gha)r|Jrp#GEOrf{0LzEA#rpBS=4VUQ z2cNH-Q^nhIRS77>xV=(ZY=PAMfb(zQG@76^yxa>7*~%haYp~Cy=LVsEbD*Gn>ig%1 zKeO(v?RQ-u0jpZF&VcY2PbCeZ((5#zbb}>3E9-d!FWHqezg&;{4T=+`1KgLck~0&y z@K9wapW{N8y=e!sJX({Uuy*9OjNM}o*(`cq!%&a@>vYo)CTf$12q((X^Wa0PJmQn* zSK8XuWJA&+`rh#EcjgJ(PdA~Go%IKbLPL>6nDJfgot+O4&bWgp@96Sns&d`LY?G~F z7d~(soB8oukjfkzVArt8s#8h>dZ zSB5LhLnR^Tjeu@mjzoLGE*uw>P${?bNGBhe^2F``HxrLIe*G=drNJbZApuSrHAig|06iq)DqbPH#V+9yE8^Y*4Ff?AE?nF@w9@J%-A8q8U7oF*2W&Q& zd?u!7A>Hu}>EbTbp$ON7;|eYt;6cy@`*fW_xc1o#vClT-FN=dG!q= z{x^r(#uzMlp3KjzKG>_a5>ix|F1YLsO$r%A8GIfy;|R7ji=&h{OMJzh_Ss2DbLoo| zC5ON7H}np**0Ob$@z0#F(D*uhGF_jkZ>kKNs;sEmZ6@Tv^R6E5nGc8iC6U1!Di8K9 z(Gy8~TX4SN4)7&XH0YQTUpa6H%1^7t+^Szt(Tjbu#m)R3p8F?z$J_4#auKX^7)2@_ zTV*r;vz@l$VMVbT{`8CCa~H^efr$7tR|{xpO6>t$4tK5+KR1s~px1BHDnWGrTl+R+ za}sF`)_~>xY9r$qDO*I@g;%x4YbgbbHbVNJE^DI?{+RzNuIW<?KyYatM~+c?0nWRWI0^vXm^dsHY63KX#u{KNxfz!Y=e>3gHz7K zlo{Clx9WKgdDm*X3z@HJCPJl+T*e;w5f65MZBInjiL~GJAJkB7TI$N5<7(x|pYIck zi70s^p_^ov8>cM&R#Y96-Z%5wj8uX2IJA*h{F!*z8MQp3~68dm*nQ(A!;~oOpg%i{ME}jS(=akf13mA z>Yl1+6URZJ z_u9i>7n^hk)<}7&r2D>3rYO|&NR@R_Sx}+~5l*qOGn&q(Ff$w3v;$zH+Bcxp8FA9S znH*88BxUaa9k+s}>Kn{Y%A^Mzz?RLHa~UKrS}ZXfJdA<`L{>^?_$nfHFsWzM$RM=F zR;JfNq3x&3-P|E4a}Q1CZ4ONE0Y-uaK0CR59|e+$33%3~@=0i~f^w8C)u3VWn1+(U z1OR{1$Ep*pB>@{-YkE-_jidTV=}sppx36ss9T7GgFoLiq%3sw$SUYa^*_-c05^&R@ z)ddkZqSOZjCJ<7}6Ra>=vGx3KQ{!V3Bk75fsqFE}u^xmH7a0H=&zs_tRb4RJHP7&Y~ojo^cBKQy4K(2aIL} zW#;x>lC-vm4+;!`7{89K-H=M8W>64PKUO~J^T)Jt-Phx+gpda$3Su{5$5bbH93_{o zy*O3*<;gS@zshL*((P5u96|mQH2sIr+i(!8|XRvlrBm zYW47>^=@6@2C7jrkCou*=%N)(JWd|~pzh82rBoK-v^az2SBESVzgYZV4YmKD+ia35 z;i3yc4^Gxin3j=C1lJ|3%<5AQ*L=#xBTbLFMY8r{jhw{P6^yLXXA~rcI7rEweyY``_{_9kQ>7 z%Hp|wptvpmVskS*)1Xjfs;)anG7m*}I}c7)(=cAX8E)Api7LuDCqIP-u^v~cEc$+4 zjSX@_8w*0f2@Kac{lvGN3~ju<`i^{ZJz_0n%6MjD-m%liYOMT#knGpobatND+_;g= zX4`FHHrx+{@}}NChK_H9U7(10v%gn51kc0C>b&`IsvcGB?JwJOhvEo+D9Kx7!sJrN z;`=g?4M+HExGY~Lh+f)4O-O2*G0)!ZZA*sQ0JdTNrn2T|D_{P!%RVmc)bUaLS}lQH zF*%MHxS`JCAVVQBO;JF+#zkj7pQcySF_{;IY@CSFK8IGLYmGTv;|g+U2TzoY`_L<8 zSL5}mR8AgC&_pd%1qOji4zud6%#?tw1M%eB*zoh%LlbPUqkLLD2iq3gVP0}qq{?&%8(Lm*<;Xq9$wOdXKet# zS1EanTC2-_v9dGb!~kG@9O$ehGk-z{I}PF*9dNmNtgm$NA{cD6+=9t(w-Gf_pj;3i zkzMIG-P8l1>Q$B)iK0;4+EVhftWS4c#)HzFf2?C{NYJsM1+XyZY;!iO zPwSPIZ2cx8vWL$GT+6#H3A0*WPQaY4Wl!mAW$?BOL%G&EcYEaInH z$GvqA_k2tW4^Zs&=UP&iv95`u96hxDO4Oj}3u{nEN1A&^WiB4-`452UJX>GCRHYpK zNzZGJ+TRQ48yVx*Q*AkgcuGzbnk(3ZC>nQtB0c!{?^wVXzd{SDmT=h_Q&Sz} zytXfs-@?sL`RAq6?7#D(uxa1j>C}w+GYzHOi{yN=Vlti6HE;8d1FS#}u>2-J<$t4U zY30j+<&}J}TTee>F(q9!y~QAfZF(O{j5p8lgj}*XxnN>iK0H#XE4sC^Wqc|~0SS1D z$j9%$|0u~bc4|E|{SX-=jGsnBzwZqh!JJ?9@+NJ#@?+mw4 z2kkZ<*^B}m4vw-4+b2d^qc%=I+vtdAd-(KJM=nE|zO$ONDMB+3JYSK(t>y1Yv7|a{ zXjv@nMfhvujl>Y0`;b=pV=5@2Mk1dCK*_5-Aor;^e$BwoJf7KW}P_r(bsK9?V6vP6YdksGV{In z^rP6d`geLvGx?DI{$@={j|X?fXmUEhceLT+zD&MyrB-;DO05L}y59MX(<^<1H>zkERtZn)y4u%VA_do}&R(Bzz&{D7dsW*2tgw&(pRb(fadvaO z7*t}_bTVAElV--Mxv<1i1I?IJ=g$WQs}DAA*6v5Fa1D>fQN>9Ajvc$nTB9TYj+RnC zQ7NhJ{#9E~v^>6EvmLG+?#Msh+G&_*q}NWS@?H9kXK&pKhTT?Q59G&!)7kW$U_Aar zZBp?)9Hz4^`t;Gk|2}d<5rX6x(D}%en-ysk&|Z>{pW|u}>CfyDQU9$*Q>L(uKw{do ze*R2tL(blIk^bl_bkjwn^&yRFLdjqzd$@tCZL)$r z4xZPpjvs2hY%|OWCxQsy8UJLmMN*BM@_c!mtZ4~L6I%Bh288jw`=hc@lqbB{n(DF8 zJ5|g5tOl_1cMJCPD58ez^Tp!L=vxTSw@*2_bSqgA=U#U@zH%*VbPRGmAf`1M&w>Z~ zzb?#CRTQ0MgK9%QI1wJU(G3T=Z{r*#UaY?lfuRTF%<0F}e*hNw+(A#S`@uh43=qSu z)Acl=dX#^^Jtw0G>0-eZ9ihq6+b>R}6 z;8s8ZL4&&`xD&xWg%=v!U4y#>cPrf8J-AD7cMtZT`uEeQ z4Y%Q;Pmi8SFT_zsP$luPx94{KE__2E67F|XHc6{`}gA(-dNE$KaNO}-M7-h%p<)m_m!GY?&9QZvJ+D&A*|$q zSjdOHIIgxDmFVxQ>yNhKWGE*-`3=VLXnMJ@EHwXaS`($yni%s?#S86VP1!^cz&Yj< zjWeWb*MeOzJa7E^N1B>+ujIDGxPxYIT7Q*C(uiMt+M0+_lzE2`y{ni_izjn%c1*Ws z2)-3gXS6=|!05Cvwv;~DQ-Se6SjL9HHc7}$!&W4iuXWc~+Q=ZAITFdzfU;btxP24e z`T0>|IbaiE2Fc~B(}lJlca7wV3LjiEy(#LHX;kReLnuO9#c4Myfxy+`mHaKmvzFv8 zMcSe(n3!_sN-cz)AIDX=BygI*5A~xk(7Y;6DPvVPGYpgj@N7~Fo6;4H^;=a;tk0FN z+PTzR4>_p3r;`Ue*TF3*!9=r7f3sX^3Mu9hD76wZ#WP@@qGD#BmcD+ZKh39?1cQHq z_<#&=yM70S#&AkJ{jM$=T!-d}!QY|Lo~esY7<=L0vvkOiZ=l8$-f0CW;z>Sl{2O(qlH5+vv+)0HE(gYya zQtc&Xjc%pvBZdm|wZOs$7iqgI{o-xJq#>miAl@DdpmhipLC$PgC-S*kx>3|*Zztbg z$gQ>C3ui;Cs)Qe<=vF=X6j(v8IFz>EYAlL&Z+Z~VKGJdXE$+G{EBp`(2vrzQ_N#Z_ z)BF0Kt@-2-YhzjJik;^srN~?FpAapu9ykD04|U$d#I|Le!spAIOExQ3SlrHGv9~!t zcI`0-QlKBA$y)L_=p`p+MA@fYtcaL>HrOJacCqMYuW%eN-e|AWW#;KTNPDT$UcbJ{ z$5QKDgbCoxo`fX;I5N{Ik6)hFEr~@)e=?+N@3;4fzjxje25+z7Nqrvb`Swx9yQQUK zQ_%DxgQF(@ADM#x$~%zNSY6h%^7ci0R~n)@;0&9g))+U+p80(l-+6%yRrh^G&{@2v zN??r&TS(1%Wah53-)k!J8Z|o_psO6U?!xK9Xl+FMoVjWjXVl~Pwcl*-W?=PPy%@S- zER6LRVC3?Rl+55)KWaR{+2+!0yV+c{-BJvTRf8MpQ~DNRrnHEvHH(ESG8+6R3JJ=M zdu}3_LMA4Q;ZKn#x~Uc}Jh%YyBk5y(&GpHV`d0)9rXfmjqq(*#3Dy`msEc?GP09j(o=;9|>zEYqWq2uY6|wiYCa5uckhj(T#FZJ-U@55c}_e#JV-;(xfo5s`94 z8&__Bl1+@?q(*zNg1z$LaEs>fE)1@?ElKlrjbbwEs;*R)F!=u1*pZ)5Ogn0&0>gkc8U z@>H&i5*STR0>P7V77A`4ZQS1-a*{7PC z--a_DD1|I=0qfVrtg}>kMe78@R3}@b>hxGecVlB?Aqv|iRg=2Rf6Tee_W8ZU z58uxSaI*SIGc9EXMvDXN`~^UCQT?qjV-8mhaQs@y`DVnCf5>Z7`ZlG1qrog~_#e81o0wF})oVAJW zLms0#UWA`$)RPRK(>k)8R0p)B#D@TA(QB#OJ9QrUYET;OEnN5zN#YxHtrAd%+?jl0 zA3P{85F^6<3eGwb(XCh@!uy0@0_14dG9Yo-4z1{;Xz!p?uY|df9KvEZK0QXuy={S{ zh$LdycG_9s2&*fGh2+Pfn!#)1un^@PHW$%ozo^*y3$3s9;faM$9NbW>3{SP7ZmG4M zr7BCvvBJHC?rPy?TG2=z6V$+SWpD19t?8XZbe(MlX$@}`wDJR>*$+(W-vmOGe3+70 zvE#m>O(K2WNxBrQkrwjjc3;kGz)=>rZ;ltX9)frePD1w3YgPA#7 zEgk}8tA={w*FQ=7i}}yXkll9=ouHxDZR;m*{7gfj-pv83k=tvci{M-3YC*F(hiLb@2h`A^Zw5oMmy0?BM2>Avzp9CSN4;APYvzd*Yo9Bjq& z7^gx3-GWWg@8A1V@@b9_mo#GxAlHIoF4<=mT?EY|Rax$)e!~=RnC!P00EXqSkXXJNKBjmEN&Au>~^Jdkak_Aho!EG-e+~#A&!Y6 z-4`4gA0n!54fDR-kXFit1sypH4U4*Ey$50kaYe>Oe@6$ZV~647|cFFR!+S*DRlATZ-hWy2AG z-Z4sygW{xMzUH{kG2b!RQ&NyfP^fElLO=nz(X1DwC$UX#>9$_c*eOx)ONSaiGcv-`jPxC%L-E4p zd$&KHWwu|Al9XL21yV-yfc-SA2aSy8RV5R(3|!M#iv#R}hzg^fzT!o^&*51qEY!K< zIsP=H;O){5T0_F>5kZtZxo>7p%uIFlv2_lNk3Oo6G#7)C(w$W8pJ6K_QS9j!Ymd*k zTWooi<-!Y1Heq4&Z#k|lMzf^Gy=M>+x;06hu~q1^QAeu#xFH~_yekd%Bk0eTB~?rZ z$Pb`+2Je`Ka9JAwIb}pK#LN+t`!-$+Rw(~7k0rb!E9@?9R7NB*A)WzsCoF6U7-J>e zzQ%c%Q6+n&A?PFR>gtsSSMF9Z5iVcu#xLnF2ej&3tK`N%rQP2&Zdzkt%G7Lq|Lo zRzrK*xU+ueutbvY-?Y(i@hjgLn$Q-uFK39MZY|UuDd)T8nr=muj$)5)m4nJX*f;Ku zrw997n_AioR3F0L=7S8hm-dug@YLgGGW&z!gHHTzLU2N$^SWvPF9*4k0sSwTp3tk==7G z0zj^Q6uQb8F&EdT(=`&SzbC}fi$E35yBsIH2-&G9H51j%#0%laiz9k@vc}!lv$SGJ zC(uEGr_2|*P#l!tlGI&Q&Zrl{P2@i1ah6Wlw$t|<5)D90P^4@+_I+;!@02;3b@Wx} zl(ouV2Ozsa-~e9)p_RczABj@T{M}+!dDb{a>&bKLz851I1tWmZB6Ik{==R!!NcFx> zQ--%kl$VAU)P3eMkAIkTe`yU8(<-yIr&RZmSl0V!NkwCt)(!`}F~jCIu8RXkc_!oj zki*3AM@>cPcYNV2N+v?Ugzk^@NW0w>;5MqGk z>SmH8LT{0N!g)mL>bZj5x`5SDInv1TJ%Spyrmj@)vP_$$iw$hydAQPJ6v^4+>0DGN z_7MccK>x5-Ctro9I)cM6i&P6Qom5LaZ$5=r7$>)hYCQn`T?nV7PUm>kjYM3ic$ee( zl|SCJbO{UpzY-}~*FFyLY>|T)^V7s>VDM_J7`f#&&jhU?CF7(}hD^2mc&}pb0~Oq} zfRlRfZSRer)8nnc52vKG^peu-i+})VP^B{K*NMV`2DfEEBJPZRGXdB0WJP|K2{~=8 z{;D=K`ctH%J&;&#v0fx63alUl2!qpVNcn}y#)7n-sO_EP*N!)W1O62Zd^i{*{)Yw&E`#%zo%Ma@`9zqA~`>LCl{jxF2|ZD zfD=%cHCw~VISrtM)9(4zvH(jIfLmmVw=?`aP;<-zpI4Uwg5-`yTq#&k(r%4XPdB)$ zYo0x`tmn*SsRg%44$|q_(57oa)^%mW*r^Q-J`L7Y@2PzAD5)iX$fi*lZ$4>&r=QqZ z%oBVZ<^mm;SCF%`vRXL&Num1QBYm4Gr=Bl^6YqwffWo5gv^ckN;1gWeud+?>1&H zK8KPyvA1TqB`C?{)Phi5j@*aKFe`2Ca7X93Jy-{P&$AYKv&{W~lfi!xAm5+7%4$(T~VkzO+nQrws6JLkskiC5eo;!zb_XUf%%XI zT)Y41Q4@y~=4sfouiwOttmYg~+OfBdqv!4ce?Q!?q@cG{u0+=ihO2 zhfTjy1c_o$9-rs5eyMUK(3|xuK6AiKNbaj`N0;d^&hQnQxqVnrNTgQ;Tk|iPJGoXj z)=DdJh=&X!?)9$DNa1>hLv0yy*B){k30=A?>#NE++~t@4gQj1lF{a(BNKl5ufa0~S zwPn9$!c|xCj=vY1 z{=?8ab?{Y}K`Jq5Hf6l&F1`(Q)inz{e3p_LfpXK)7{tvA5wzxYj`nwFXDm|%JtQb_ zLdttXQMyIkFlrC@33S2T{f1cd`iu+O^0Un5Cb^Vws5w&Sn6oM9TBvghwgmo<+=KF^ zW?yzH<`kH4x>3QLC6PU!0{rm!KWzu&KL5siV566D{s7CMs(>*bVC7wiNu*&i4uSow z1=~`SO0)^dD8N#Z{)athkS*ka3$3EQp1XM!QE>}tJ#_w%Mn3w~%7?1^{qn5qD_WLo z|5LXQ;uGP6a*)(%yWa^Xwxbjf=qo)+1Vhj5{{|^zGSwnX&Sk_f!pNzFJLCm-M_wbPrbp>i88J(m=Sw18`kt`|6PW2;VDO4uhIiw1w{ zSTJdq+zzpV7NXz?xVc=Q)zrRNiq3>zAyC4_<^&-6G+Q755j};t`R&sM&(|tu59;rU zs`EoB!oB08!SAsgbP*{({wAmQywl2yLttp`pKJS`Nl9uRL9X(ttG?2WZa|z4FT&IE zL^NKLat&(|ZWG)o(3IH>ksWpTH6Np^E5^5bA=5u>stjhW)NnV}mh2L=&I%;R|4^7< zMwX4#3KNZfkmINtl&t$KN5?V6`WD6;{7OF1hO-Gvm}cMyDAXaeAfo5h1Z3Gt=MLJ; zy8880M+Yg3U4O$44_Z^9%tgo;;SnHMrVCc{wBPNEMzViT?^IDBKGa(VlIY8#JUDRC zUk+^RN%BM%Ev+QTJ(mvBBTQS>-BR%tW~HxUNA@d|B#cSmP)3nn z=+V+R!IF`_lz)~3#ijk>|GM+S!O*W!nWs8v!|55`Xnm5eFvfK2BE60I%sjnoHjgcTqd<(;7{ zOc+MnyeoZHB!vR`Nk>>-dWBLw?FsMC(Zz5GrQ7*c-=Z8ROG4C0QE)DZio2S1&NR*u zKPR~H+Wr^dKkx|0m)A%WJd0~Eq?rY9hzqxb0%qxGgZWU+o?(`bpRsRS5MgQ#&><)! z>EFeCh01>v_!t3ZCI5`p8W~hA9W8ON6w;Rt()d@}mJ;q-xHyi)uLW5XsfM~aSwIt| z%f#NZ+Pul?2^g0C!r)79q&eDENk5?ZCv7ZrBX3EwIw+*Y=DSHm=vnPs3_9op!7LXm zQA64=Zi(=LS{p`)zwt0>z?$Z-gZDLEo1FYn2Td6?1GqGxV`fT@V!Mkh_Z#j5*#7p> zVr|f;MAQC^&d6zOfn8uKkDrpx5yOfb8!2^8&|)ZV&G-W)%&dVCH;7A_#brPen$#(i zG&nK@6gZ1>TFGaNFEs8Zh|@)l-T640m#rm-d5?qj!{-g+c3tv!*QgN|`?i=Qj$Yhr z(zUqnH?kCe0i+82vSOVO=m)t+e<)~+C6bazn9buIqcq+~tw9P*!OR*ro7gs$ zamIggy#n1av-!JL$|0xJkX4q$yq~nmfe@KubFT8H_H4_om*Dn78NsuwpfXcm%8}56 zM{Y852qd7_Q1RLAtZrx;7I#fNN{M3;PlZESlztqIJf9S!{ClgmKN^wbbgxH%P zDFr|)mHb4rHYpJb8}4l`djOP1!4WoT4r$@ZoZttITLSK2*3p5|Zj#IlCg*-3d zZm?h6{L(fhV5bYXSzv4EcK4 zcOn1x$C2>&!3(Rx{~2d!eE8oD4gYts-mi8<)JmDGIRuhK7%0&G`WRn&qj!9$Yw5Gl zLTd-B)xX$4$d@TEj|K8WaXE%121cr97mp2F8u?`>Dau4ULXSx~k?ul*pX3l+jh+|P zFIWbM2WR32&VIKZPVC!UD+AfX6wePU+O!;32X|CC-viwPt>GO}8dIf;=qKs~e*i*P zr-j0v%edYYeVH}4&2e}EY-vvcs-E4ZbPvZWk~9&8X)B%0IOJb^#$S&BttbOVV z0`>aFfdvog<>-Wet9ujbe;VxcvIR@DOMjQ>H;xOBlmAvLxGqsv&e54Me5Gw!q}unS=iy4LT6WEmP#udj36$0O z6+Y;t!gQ|Wp=FbgiOtl$#s~5c2f0~RY&e=FzhT3rh$>?uE}-o}*%)WrX^Egc#1y~K zBuVlr+$X{l!hWa647;^*;Rh!UZQt{16w`w{(LJBrHc|bK5cZ~Q1m5uFnDh90dQ`Bb zf+bKva?bvq{u7mj#+nbVV)#8vU5BRwE{-)WLWE>-e(-uCE8kqE-aZxSicVh2 zAiqkeWzZ7a?TP~Tn$8+WZ<;2`sG8+bx&x*S-U2-8DT7$hW}5fii{s-{wOnWTh<52-IAJZL7`;~2 zuye82jLQL&0+`E=*52;eI7o(g+lD;$jP^XXnkY~5yUZEw1W68jVqiV+XrYn#h>7Bo zqp!c9u6P=HA$3gDlIB(PC0p{a$pCCjm9JdfoH9OAUcGwvP}Z@o(t6>Y!EP_J+7X>; z=0v9DkggSsZGj67c$zO#9IuaB^^OUn?S1*k<}z2L`EriM;IFezP;QfApTgR>jF2W9 z20D8bTNY$8CI9Xlh+)heT5AtLi=F!IEx}}SpMs27npsu9+gL}Mxz|$UEF>YDh0q$L zyR*2OL^QwG9KpVXg_Q3L{-ZRrBd_G~STO&U;UqH!abSUr-m9qBas@sZYM+t8cR;c- z^V?axp{lEAZ&6?Dr;u~kgCGx#2{itnetQ8Ip7~`>X2ak*0E+LMU|8fMOk^(si+rpB z)oh0nsbs?rj{hqPO|;TSifW!&$@qo=+2~9deoK{gtd(xP!WlhfTpRoS!=JYsticYr z+~@N0P|+`}IH>OdL~twLd6L|%*e;Y}aS$_q_@S6uB#Wiq2C5on)ONwkN%tO053vEF zDX~{eq8v!(BRR>x7unMx^9!S3!6dJ<=|76>K$+c|nVQ?tZ=-%7%5jqoZ#wXJnY{Nz zpaDmU-ZlrNaO(8o4t?6*Gvd<0Zwx;KC%9M#9$*d?XXKoME#6-xRE0Yos%d`csV@u2@2=&-nKtX(|eau zfe$sPcsC^lpSz9_7?ve*%E(J{p4FPa)l!X-SrL!2k)KK{cp9TImGez22?im)~G~Q_QXw?sTK59ok7y3w-!)2w(>MIp?AC^WmWad{$xvhfp-RHGOghE z0x4Anzk_t{)+p&!;!t$w${jxrlb1(<(?_G?QZntstc3&zv*5k4|%@WYw$8Ez7=Wc0T4TA-l{z`g#OL`s;dN>ZZqS!C7YT&r|CvptCx^xAi-MQT z%7E5n3eI8AU&O1L#t^hy!&stn=GI4%_`CS)$Jaf$1*YyR>|zn&AbIbGVgwoK=-&Q= z@cqAC()j?`&E9vEVf9TB6(S%;kP&&CGcRV&b%|3l`qNj4lJX>v{Kq_~)X)^8<(^VH zNaa0s)7X3G4uo;dd!z0%g90wX7^F_f1%O!AMB%zB zeep&GA$|B_fUOZB)ZBd3_*3SoaGhJOe}`1mfkz&a7FF+hm#H>2}c?Y?T@$#)6k2NcHg;FsrIh z;ySvP(OK8PEsN%GkMq?2Var4;;tlpDa5GgzM$V+e>v_9FX=y14XkAFIr^K#|{S3kcOtR2>;+ zF-N=-4^vX(sd45;yTHjEqK%{HTuQ=CKoxO^buC&2=N`H`0MtE?@`hBOqTVJ_g5{3F zI~e8Y{_y~- zfd9)dnh{MFHlt>g|NJ>l{^MuZS7brSD0?ZV-XiPW%6q=b`!Ezl--HVsg1s6BiK)ft z(V);fqAlLFDaZovk?1r{lS=3>VQ!$>?<_-3qG?KYxW~IN5ngW3H8ylm{&U^UXOs{uivhiJNT=oZJl#zk>L-<%! z{kSc|5DGpB?^Cb@q`jPOTu67OIBL1nZwU-Ix#@Lo0i}kC(RsWn06Sjk4}-4u`CCx@ zOJM@-MOM$neL10qrW@pdt2AX(i8g;<~_Q%ZFDKj>vMg|GjLZ7>G@BWoWaO=wzOY8hZQl zzRTQ>L4PgrS5ZfsuDT}msh6=YKj!Q1RN->|_WZnuXj(&|v;{QBfz%4cz*b0I$NBdx zbK_e)V7eSKtzNaTpeNCX9=Ytw1T>moe*H%jFqw4n{E)o|8jaI^v=~yg4w;SwDG(08 z2=W8XK_kRLel4(`EJpX=qcYg7{`uek%y)wMaftRv!WssB9`|(!LiYeMp%)Vq$5oee zEMTbJe&T@^v6C_OGGPYk7vv)3=D`qi(RVM- zjiRZxfTK#zhU%OO9QgP&a$p!rs=D|2W0vJ3$K~Tg7S|DR(o1$${(_vvA6EU;h|?~Y zDqm3~C!(7O4~iy#Y+tzt>;B@O&M?QUaNnycT9D?|pYKCxlMz0I5@|p@I1^`y#l0z2 zf*VK#tYi#?D6Em~u=r6$E<67p*<_J3@!Auz6-I4K$ z^5HJ&zM8{e&sX|L=oP}Q}PU%Bg} z(p_uJ!Tv^MvTfccTK#S=&`VRCJ6k9TbBoN*oW>0B?X5BACJQjAZ{JMwsh`E3)Fax- z7KM5hPkGJM=}##_+6Z{ChhM0{E7p_m$o^R5n05pGR_vfISymDtkJex(Dt2o-^acY(Q9V1rnZlp(+vR5${I!eVQa1n zEeZ$5lj;f-rCLg{<2N9Yr-1xeXnbw~n~jSdd@3z!#;;1N9&+wkP_;Xo#E%9_)R=nx zD`WXM;Zi(O6HQn)px;h`vYz@23W7*7YZkcOjGdBPCM}8wip=n-JoBrV z#}H-=zea?;pnj!M3;c)aTHB*6vjOWVHirQ5>+iw5riN8~Ooeqq;+if1&-tGs_IPJ= z34O-krMZl+Nwf}+K~RU1(ppW2G&D!$1wxpPOZv9*3+2!7MFndQZBNoSy*{fMJ?atF zV&{T~)I`C&%D&&_i~ME>tQ&Z8iMyuJo=^LZFQ(ABTf=#gj+`Co7_|t^_7?BG(hAeM zfkdqqbVZt!=sZp?yS(ud+L+@G?fW09d^Zu|>DcfZSEGN_Gs=%PiqQmXA490}j67sB zpne>es>8pAd(A2!nh->rWktX3{UtWMEN8ZcAi88TH3u%szNOPDL0#9W+SAKxby%$& z@~NR0lghK8#|rC+gto`T9dkwGc_xa`K;bBA97c8+FKldXl@Xm3qa0aK9Cg;CS!jew zAKrLGMzOx4;d})1kCeegUU70rXD%8IkJXvapISC?XjEu?kP>H#2MTU~Lb8qoL0YZr zLZQM0t?_!%MsG7Jh4DZvW8n^5%gd+b8dw%=s}y9O@OFY<5Vu^*xv#1(ty`WrDKGXq z09bYaYqaI>HSQL$W}Z}$*#B{v`)U4%PQ1eDimHeHOV=5~wfHM~;2OyM#~m%xqRZjytTn&II~=&{1>3g;Lh;i-u=s%%-$cx9#~))xmEDDn&sXK zEKXuTaFC;k@l=pUg@aOE9GrRZ!KCY-63l~sJQ3+oRtC}5qrU!JREIQc^OyM-#@FeY zL+XfzO~r5*REoyq7BQq)lQrq28N-^Y?{BnGP4nzB)Cc9APQ4O#nqkhVCc?Q*UQ!3bF*Zj>$y~#*tAM%VM6K?Do-X_$mPk^n`3NbI*qF0 zuS{B2vjZ|XGqo6_kRsN3eOa2$Df~Xz?@a6|h?am14)CI2B)8$o%+M%rdCUJ+C2=Ea zuM_Y~Grb5g2yRO2O&FO(EsI-G$i40`OOd$$1pqCPAdnSztOnHkS5C$E zy*j#;E)nmwFgE)}l(9gbI`SODCEBO@UTw}9zy7}SSc#8&(R74l^bKPEDQh=4kZUW3otJ)9JCmAfB}g7DhPz0Bo38F=Fy|_*e1hS%ZEdKE0ClbQ=Z)Q(Bem2G z$e&>oWI2a0-@GJLJPhCZ%kct#bB0=qBzBH2+(n@G*x<`#K*+38(o(c5#0p9QJkWMk z6imO$BnclML(##Cc%3*0*-55zP+F2mnY%ca8G;S%H!@VmjwCR<^YNLQj<;ovG6hsK zA#brUPlhR$x4N!F`*sE?=ov^W02nelGuKbWH79RzK|romyUbYMRMXh2vx9eZNXh}9t^jYkWkP63s(87yId z7>6C}3%wcXYK=q1FG3G=TMGD&5ERqHljDv;jO>bDd8+8m2Z!#l`hk+#fz6N&n6gmg ztnYYWABpWWIe^MHbN**RvYJZy{hNLj zzb9eJKpk6)Q|hcud%5ADgZ6zJuWW4h>#q3i%B7YGAK-6Ld@+zP@PJ%j+*;1iWO~9*dl^yxNEFs!FQW0pAuL; zGj6=e6-rLs#2#6xF^%9y%8;U^_l9x4s(N`K`}vb#|5<6Y)v#Y~^}XM}^w`y=UIZCX zJCui#FY$WIRm1vjS+f;HgjGo}X{Cv!!N@K^QlpIojcfqb(ziWJW%~zLmw>b zf?Mi_Hz#R|DtH%&EH$;sc_X{a9lPzXqlma}H@o(#0)W$tw2!~^M2OWWe)aeiE_6Ei zV#%3r-#b2QFyD>@Z||xp(341rUj{c1%eS!g_j?yjPRoIaggfW456vDcv`j3$3}2Ic zO6QVPjmbn*U^xT_0gt~Vk6h;1EAhGV4i}Tx~>Y+GQ|*8zdo4cRlfr1d|iPv66=dO*%d^sG`}; zMxyvnDd+W%+Q}+^-b!%RWBDl_{@aD2@J9X;0^db`v30o6FC7(g0A|Hl(Ly8)XiBPZ zOk8K=X>SUj%6In=G1VrWB&C3Lk7CWbtSE`x@gT&0Ssjpg)Gw9Khc?4@9s(5M!)DS$ zYsDZJL_kY^&X;5c5(=~*?@}qq&(desqTjm$?%)iZzy^4s2_<>{@bCoL+QxE?DMu7+ zliNn@XrS2j&%KAvq8JuioDA?8jLJxb>A@Rj!*{pIacEuE_~*4h+Ob#p%&Hk7`> z0qvhF<8q=?^&00_butXO+NkU>qh3iX7TEbe%u+8zjqGq_yZQ2Hc4q}NdBjGkJUI|n#LT}eGTFdjZ^gV6Q&*@OGcM-gGl!a1u}ym&STQn}eU#PX+> zNR$4`GUsXWlqoI-u4n@LH1TdOPo8?d@AijBjsQ8HHUw7TDDPbjY>ZDS7vx)HYIbOo zVealNF`hjByrGyg1|dB-zvr5RakjVxEnas~epkbFL<}a!0vAduX5HubbnV9dOIPyN zR#WE!9umB>#|Wpsu;6|G)St$X46M14#oC<|u|65-C~V0AE!6``!Rd!h@ar_(LElI= z<7iZuf;COi@K;Z+34|Zr)VBGTLYdrFeWtBRZC*LIYx9=59MtCxP&VA_Ndz-D?fPk=b)_Q z>6N&vt1XZulkT2yDiD#cLGg2FVlo3};es4naG0-RB6&pe`s)T3;G`}@3R5WU3U!u> zTQM_c^d|-T*$$Q(?WoyCPN$xW={zzsb612xbEPr0M`o5DS7&_?g8WHFo@t6Rt1wTG5ET@OL8m~{q?NfRS}Iy(OzJV$30t@hX%>_te9|7 zD%|6`&DEZ8a&MIQ(@BiJFL!ft%W9HYwt5N`L|qd59w3OKTu=c%Hex4Zh*KNW#qeZ& z5+dz7NI6T$XR6@eq-Lg}t`mBv(`d2E9l&IG5aPjCSMyC$KElyVFhILWqG;Pd7R>3R zPOsfvC*1*ClS9)qpEA!i*GvrA1K{BzJ@@;0#pcE^+ykt^y3#?vc8;K6$5ksn+uVk# zSj4DJ1xEBT$VYrU#8AIkoiCihS`G~chx}D2kvycQ`%wpP4Yxl(UiLqwVC{XC-SJ@_ z*6Ymswxey^Uf~9WYpqkcFB&`~X0dBXTcQm?WKrK0#@nu;y~`ejh8V}I_56&?b0KYP ze239D2szGOjsBE<4ncz@AUml;5BL{$gy<)!ngFC{aF*_m3@TG6C25wZm(trh33kfE zG(27lM+7y4>Pj7vz8OVIj`t3^Ii{>{L-3Pi%HVdAj#NUMz5Gq{#erjy1zN74%??Tn) z>Me`x|N0l8W&ubr_ z>9l*lH5Ot$)QF-t+QgyM^pk=Jd5xByKVKM(gs7Sm}9!^H%+uq4Ex554oB@#rh>DaH+Y9d zm+sB$Ax9O1x0dxe&|(yv?i@(d!@Hm9>lC7qaSnd<)putDR6=(RO}g<@GWo*{Mvl=k0gM9; zl`2{>rynkr6il`??~&?Fy?JaF@486`<_MiwK5ig5F{s!&c8_l3SM#q`ZYQJNv{Y;` zzKg=s2{28kgDG|Xu{VHalLvDi1HbdDPb>a}&2s7f7WdbukXYF-V@>TqH;mR>wm}Nm4@JWda#*quA}*yI&v@<%cH~zxXBvRM1IbT_kY&c{AS`} zAqFJOl7mh)H~7P8S6?V2czjQ%ltt6x6?(T*{dIPv%TyDhkHNE&H~3L3+_p-6#-NZl zJX05Nrl8<+K5`^~F^L)(K!jQY`MlGbhpj!9#Q%eGugRRcpq%B;sGPc;y+L%_vP;Kc zGCuB~oV*M4BiT6RAO!LcRo80q%K z3hfi_=89(6f6*BW9pcmB3%xa-*W?xzMIVtLti`rnc_9>~?eCx4suiu!vThyXLXZyI zG{1Qbzxd$b-0*>RfJpkY+Uq_g&{!_Q86)#D7f@!;SyR!_vMwRTE8SMy?FdY zt$k}-vwY0TioxbEvDfwifE{u6hoP~MV+nHz_mp1SH5t2LXE!J3Ime*7fJaifK~qDA z$sCNxZ4S^C`?uFkC)u@0tkP#@>7VAd6s^40-}!N}IsdyF@t{SjBMQfph++V+lknTx zfwkhP`xZkW!}sce))Duy__g}$W3P`qv!~jZdR`fZd(Zf7CEdPAiNh zir;0f4Z{|+-ubqql*h>v;H4PKO;#F|xK{r`JWuRhE&EYXY=@{_A7;BQ157XdVJM>P zS&5kwZp1fGUltu0x1gR|D27OEVe!feAH^o5k6CwKONN+Z=0~L|i#@U=WyJAg-!T=y z|99{YFup)DD?4>>Op~W{auqi!ZA^FcLgm;$MG4t0h zJsok=M1wce7%g(Xck!FlgdcYgu==fS!An-{^-1*s9~cbQW4BruhG_`Azj@EP`fiyG zvT+pW28b2&k&+_DFPXfqwmLdLnCC6{-I9W@#lo}%&sIn?3Dp&sKytN&W8$S{?yDGg zyF>7(yV@QBlJPx#2Z>FhGH@*w8Ub`Flwe>Fow{;T!zc2uyx%L2oofu?kcH(vZ5r;W zVDl*Sk%P)q9VHEnp^L^yOy)o~s7RZ*QCH8fx5+tDzwYT{(Ew{0*x z5cu6~yguj-ojlr?Sywyp6i!+l<+6c3(q4Rs@@&5%US#Nt$%!#=810os(F{uYeOWp6b4fIt6VdvV zS*GB{z58grqz{WVAAW1IG4*vj zoLaRj3YlGjIT{qX1Gch42#I$QRpxwHX}+We=cb96>^8GHi#t?HpammTWQ|0ve07<% zyRz@mL>c`2?LI__)XCs+t)GEEW3$qN4q6Zx8kFk(?l>7=- zU~z$QB1v9eQuU65wd75N!VS6@z2#yN-pnO2yBTJ3MBiFT2fyD7<8JLI{{?spem9B8 z`jes01ixvfo8U#XO+|jh1WL$J3mUQqJR84r5^iPggpi8^WYw|Q^j_0#9H^ldLLcIE z{_rU96$;V(R>kc?j}{{tB_@7_9IWg%N!Ak(Cefu5;Xi%^%nc6nyvQ#!p$|i35HsYf zRb)GgLaI*@J&hD-^OBoH0(spi3e3oL2a$hj%+=6g{XfFqGO7(QUDr;4V#O(L!CS1j z1eYSkN?TkC4ess^MT$cS?pEC0HMqMKm*7sZIhj3Y&)(l!bJq7af3lL54oQr) zV2HiZt6tr|Ud?-UtXC~nO-`3OYy6LE9g$Im!y8H1$U#M?n;70X zT4SSWe+mTpq*Q*;_Jp72VQ0n&-=s0m_VPhRywXnT(55dm9+Z<#UHGfl(_A2{AlT{C zFY8Wv;)w-mYnW3VJB@U&+g<4&3%6z6PoTQ$@vP*R8(OhUa;tzNo|g7^)TW<`3tFkq z?AWb;MBG^1eHu<35S?AbaG;k3tCU~r=*u3rTDu4qlwp}WrOO_!iA~+n`h0`i4HhS^ zBO7e!O`}FzA6=op1Wci3gQn~viRj4|oIuuJV*v2nN!RI1Q21YwMUx{qw`qRuYf~vBP zc@yn~DBZijCDS!(`g1X>eEipR<0Z$|MKxWbRHz$b;q+H+u`&82JFD6i;{~`kq|}lq z;X#Ai?FOTk>%9rhai!MelIMwKbPQG^Y#qgMrWYUh8esTMr(%;x)^7U0W+@ZWA4@wS zYo>3JZ9-F41-x2&67RNl+B1KgHtaPK*JX4)u@IqTvF!5dLwG-z`WgT9Xlj9Ur znjY-39UBHzF;LE&pWoz<*54rVWS+IV3EkC4J{ho*&ZI#5^fAcsd z6B92h-TPG^*rR|$pv#T`HvHeLXl2T;Rns)8bD);Ck82~iYO@RcMC5Dw85TJ#TiTd%*YFf#ca_1?J(H0!`@jtb|RiREZ(nVh-EqB(C+nn zfl_c$YVxFtKS4zm89l6JpPMcsqb&nt_U?4@2*1xi1@$V_WNn%Wzy8edwvXW~7B;b^Fz6R z%@6wx>Z9D3H_)#(O|BzDAV!|hWOIl6QgXQ~ZHNFR9tOjuo^jsysPYYv zKmX}`XTG`}xhgFoMZuhGTU=k>N}|5FQs2c^k@_)W&Q1H3vcNIW1U8&coE_N+8PD_(&(I+R?|(Ew$0#?1wEy}FGzVcI&V#|m%D+)Z?q8c6r~@a zui2P6H9aoof2J+!;!y}UpaO~|QL0V%iQiXSe$Sl(S!&>&2Y6q_)^UWdFSs34O0E@q zin0Pn2W*@}c>@=4BsDQdT|Yz(Ho#im6<60eDwsPzCFX4Of=fhodYM+<=SGISBti+~ z(X2uX&nf2;Z$cV{U-S7B>}%Qsu=QLMTb%Tc-qr_`+u{Mq!;RdcoN zR&u2O`hG?5>FADD3__WA1?(H9XI(Mvlr0MtY>|=ixNIO&vVN$cY3TT_p0qfRJLI8-q*vwJ*HyO-aqRTw3l^!xDStiXKBNwA+cH7ou z*My6pL5-TLa(>+Ej#388o(-(~FiBDmGTt2u*N79-p7{(4Y+;v+d5xw;p?xP!8|zZ8 zR4q=$A1`@2i)%v<-xTFnSk7&t;Mgt*%Rup?jv(Hi!aY313Qh*qSpB&;ynMbTx$4sb zTMzegQn9kOvF76?K4sSOuy9*Lzc&wfoOPoj*O!bImG_OB=a~ZV5&&EgbH~8ElwZes zUTMmvY2t*2ZHlQaPKEU$(F4V8kt zM({KP1DouSS>lXUpN$=i5sFryJ>(pUC%XR51?%x$D+Jvi_%XF5L@{}_usRZwx~1br zLj2ctPF1{U#i#WM38Wx8hvRO13q!4WDYZ-7nAi}Ey{!E>vA|m{` z{81S6i7a4WeU(_WWM-+vXS(SPxIf?$W7ABNt2;aK#s=3eLZ?vh`Qsy-l>(kTiq!1b zfT^-=#Tuz>fhokfwY0v14&Yj-QTh4B2my*?*=J=-zWDg1l|q+TtLHPodN(tRzh)-! zeR)1Fv@ujp%yL(d?*X^`^yXDCA}j0mNR0XC@Xr)lS3zhN^!o=*^!nOeqe$E%-mGad zLo0o+uu7eKDD@vw%ok$u{CzEJev-Zn0EORr^KR>8(G+vTiP(;ZucvlV*9R88I)`Y` zVKgDmVb8~tgX(gZL_BRHd^|~YPJ64|wYjC{oP53(FX=GxZj&3_L)PHL zp%}#c)7YqXA6$^MQc)sOC*!`-ELi_AaI1Z|tXmJ0rhg6-uX)?hDL2d5e^Naj*W6!p z$@2W|K@w3Tmb(sl!sOcDKYetWFGouZMI)?CkQLpwmBAAxr0M@`C*Q^4jM@YS5kOQ^ zN%UV_I+02Y{X`~?P{f|Oer;FOWwpxm$-}RI%`PV+LgoPgceeHXw`4>Q1#?Y-6o$ew zFYtMmXjf&vevPP8hub=Yo!O<3dDDwPJ&c2MogOx(JIGi6V@X2r+lpemKx}xAhGkH=URKTZ$Gp z|Hq$QBO@<$BhmEw5v3RpmJvx%0|Uj!b1$hMD0UVn&7FxhTE|ShcK*14pdKpQ!wK5* zaIn3H@?*Oawcu&%>h&Cno_)L&NDfoc-g@SHeEiRU6@PMX`3InJpvyN2gg_+V8`n=x zl?RDhCPn7w=!27GiQ{?!}@q(By4b5HDwA8Ijc9Wol4Rv=&7o+{=mVpU4cS8Le zD^gj|dpvKcYFeqIrB6w*XsOWeC||n@veTv}@^aL5^HW|+wdj69CZ){*W~frbY^;Ls zEs3%v2?)8Q@m{Q7O>>KL!(YXL%(1c%I$@VzF;j*BUUVw|DV9SMM^a?7WB{GK0=v4@ zNjbug{V6HRJMrTK5gX$;0p%2*dPsW$;A@`@5gIqDB=u6y66EIcNmF*t)BT4u;p^G3BkJ^Vq%@p3!l zrFUji3K5h*nNqq|nd+{mH=H3rVI&d7eb`&|I9uWm)X4?6!Y6Oq>Z5TvP4)x?qW=L< z=v=MXa=x4M7;b9x?bekBE|X1c?YKD)V{ctfwbxP;cs=>GYuD_}Xji|?`zwe6SY>Xl z&;bfb%AW=Nn^ga2ve)7XZmK@9sc)$^yE6HcwfhwB5%gD+>*naeiaF~;=Z%UoDC)Hc z1B3HFeaZ~~`GzF?Oiz^bz5dMH0g|qJp-!eAHB=p_YXqDpb~Qej|9hWUJSmQlBfe2u z#XNN@#kZ0K1nTBo(ARjIOx8PY*Fs!7h`%kZzU>%$TzjDNut(_bTQmIf)Ob+NtH$Ke zXk%)qY!`$kzzCA|wrsslk?3E5rWd+ND%XyqH_YNVWmHy4S*a;{>(eQl%%23kEb3|e0g5p7B9>FmVZ5sr~ zEt4or)hMKfX9&ECikY&3G)Sj2OGx6i;G~4Wf$#C;{YBmg`a`uS4gJMTm4eSlBK)Q- zw_Wtoev>h9B7$*9#@H*~-k(d$mKqwH=L8pZ)}om0SACgd0>#jzO7Z;#`R36GsL0`; zmZr+tD~g(1S?#&WrK8vR;u{pgnHrn`gMbE27q&<@UL8`!b#l^aH-M@bWT&pIdhCJD z*WroSrk(pZlvnQ-TEE#9@_5a>d(SQ~uUG2CBt=t7!es5O;hrv5{p8ze=?Aas-81qGz&Yk-S$rA#UNi_GV4f15(bn)Gq!B9onSsjd2bLE)mxv`+ ziYCv4$$DNEN~mCl$jo==w6fVS!XXrvLIUPs6$UQr2jLJG69AHdi@doN?gu2Wfm8NWUWGvlIzd7k(98FlI&n6DmEZmE2YbdLll z27hBNQzHjO-~?=TroD7vx|*qf$oygLlu5zBi;b3z!>@(rJC5gT zWbU}Af{wm+Utg%my{sBvLhKLMW0@jG&H>Iy6i2dI3(n#8^X72*d4){V@v`UBb#ObNjg>iGn0x zIkgaSu>1ABW*?}GUDA}MI^L}B7mI@O{)78ZMR$Y z@Qnb~5>bsj@n};Lf!@FyHkCv#J6l=(%+k_0E$k(VkWM0wl$p!wGOrqTV*XwR)R3d> zrvT)yF8V;whfsY4_lk+9n4v&cw)mY3n%3lZm(Lj_;&ZFp9svC8IgLH1bo?!(C0ZOF zmAl`6-n7f!SLn2U(+ygdkMdT#V)dut+{a)?lp=_@E-*+hzA#sp>rQz_T}X_t95gj4 z8V+9S-HJw=_+PqVqulDFeSSgw^1>6@gtGjwc6He~H)3%pxL&WZ(Hkweo&Kl~n-`|B z@skMz>K$2wY*73?Ub|uZDIS^zCaZf0;eJBCb}=4hEJ)($Rz{w7&3|Ubk(xQ|Z<-Z4 z6Pr|s>&C0P0Vg`lioK*kSQ)?y0e^-#J{sLRnh)t4*FPAU-=BghCR?D5Gn-wvodG-OBMAHoIt)B1R3{7r)DH9tcL1v zlt~6PcVJd(IXp^cg5Eis(bS=zbN^xxyHJ0S2R*tj!_}*_*yVW@ z_U_-gO#6HimQ)8j4Gx;4GW2{-e(WZC(5*neakEn)w$*Z5UWOYCELBBS5H_jyQ4z-v z!f$w32DZuDrF8U#8zk%b_1!?=-i(Po!u^tsH@Y#|87`-9DFbyuwZO4FvAjeBS*VENAxo}PhXDub_jQDTx6$D7%K zghmI(73Zt~iAB&ogIaJUgq?Dtw37Gx;$wsl=iPW}Q0pa~OOI)zE*goKu|UexpI`T^ zf_0G}saIH#+V!OMtT{OLUz!OYz?eT_U(JhX8e z3}$Gre(b$0FZvUD%_c47Rrk2^6+rQeu>L%5{H#PTUqUq?b-UlE_tvgNQT9aK&#+Dmu?CD?|56)xk z&}v5{Xr!5Gk$;>#OooP@dKt=m91J#t1bYVY#zaR4z4w?p!KMaHAZo&HlxYe)js7IE ztULo5^Zb5_^$ipjGB{lW4LnA2H=7>Y_WEV)DsXA$T5fgL+vz2%Jt=6GJMHZ{53wWUs z(`m9F?pn}Wjrn;gC@=@8-!COw#hRSC2J$+MbYcV_&QVj%0EH>#+i-Dkm#C zxB!HdHXUmu^BjZ0mH@Zkg$j}fKEx(~VMC-oj`SV8hcwhjAG^$`hYBK0TnfV~r(yI` zVw!$A#^3>P?cbJQ+ggv3FtkIe+KVrT$#P_&Zqp768wFWEx#4V-myG%wLwB|`Glj2X zn*DPB-nxCRo#oRo%I+2&N-UqgqK2UfrdZ1*zqKkJ{Os)B88f6g;2lOErkg?ttr z=Qu@sIRBlT9v|$`7mjIGO`1S|=&5NiP^EK;Y)Hn0l%wrxa$ApRLSbV8q?h-UCPJ!< z0*m8kX0yH>-0_t=MY&S7Hrj;ROUBs$E$l&K22C#56(q*^_)fwmu58$Mt1oo3KOr<+ zqbW9r2WhOts}CKc(+i*VB&*=(q=t1Cr9r{AFW?HV|MsF3kJR_UOc`wotFg=hulf-) zzVv?)*qbqktsQbz4Jr}cVfp`8cNmOzE#dcTSJ<$H=jp{_~5>ZK>gDH$AxXd*AO2t7+SEdv=%1j>x+rM|~`WOVBZGKth#ncVp@_)EIgTMbFnNU1{fZ!eH@d(e41!p*C>!81d2 zIpj{m)+$ZsXeAiT1B{xF>m2WwJ696H&9s%}WA3-HlF{FZDawa>e;f1AHcYOQ>z0NZ zwPuW{(sOj%Sm*ObFvOdMz-T;2otBSm2L}qP-Z~`Vxg}xiQ)524o?GnFJxSxrv8?Ao zxom8>4oB<2CSi&7Cx?I47p2Ql$0ky6T)QMML%O0T>#1fgYQz<7l;1lOHeqZM9>4LF z4IFqdgARmh%Z##=w!_Qh>k{VvA?s<83!dfWIal0(6vVnva|E9*!Xvhh+FTg^|M)sL&v z{!`;Cd8@+aa=u1%Zx}ywbKGx&qrQ_PAxIJkoc#YVG_WUZ4BPtvz=RE?;;7k+%XD&> zrR?5_-lW{G0FhhOx#|!D;bH~>2RtQw+O9f}Knnre8i_!>mKpB%bC&7By(HR)ix_Z%$t=)|C;B` zmXuwW9NQ<=Z)*aZXuho750eSG8~>hAvv$}s1;!p9rnC8Gih5xL04!P!mI0-nf=ln` zZWSXfVc5PW#Gzk`@4ixKTYYQKCme`=^2JPq8ap3Z*gz>hj4v7kabogC+J4dh>VbTn z;DCSJ#0Gsn>>3Q?(r03UYaN@#s=|+(HvRD5w5m^@LYw5sujQ zmTcTkC1mw(^Q=MdoDydue`{KpEedEXcFhV-N)OP)Xm zpLxuwsiN`svXx0?K6>na=N$N+lsx9=fqk{u=vX0aQI&DuLBg@=;v4$xX^Ti-%_%Ph zpSYpsy-LY!GJ(p1A z;e4=W=%MjPtO7eAfg7_uJk)^Vl?#BJ*kujE2yIV>l5BBH(WggLp(X(j6!ffhmIeWh z(VBsVQB6+7(=SV4l3q4-ZzHSkColN_N)e?>YMxk?1j9^3#NtQIA_PcCV;CqqijtHI z61RnpDaGB*>F^&^KZ!~uI2cu~>Y`ljg|2Z0oocjC^DJ$=vhIjq4NXeIQ^kYQDA@A! zr-j?&c@z6907t%WZ6oHHLh3?)eK<{8ogx7sDN9dQ7I$~2aElC$pYlE{G$d4>i7=Lm z2)6Nju4hxbsu_Ef`UfD@N=4{KJ+a-FhQQ4a^=XKv4ml;@WeTVreM}~%$uYW8ZgSoH zQlJEAm;@}i(X<#TibHy-ZsR;~Er=e`nfzjb8b?DtwxWrcIb{#(HiV}yN(03gmi@3Q z5s0T~P=pR@C(>7_FsB+^k|v(D+z5_Pea7jgx=a2n=At7m+GBC}IhkJNPfy-nhUQDHsQFk7x6 zR%8Lzccu*FBx|$L5};Q?f76t0_z&HDIxx$rc2i_6f1#WNcl=Su>&>Zq$ zuUL_~aY@#wZ!TCt!9QKJuVpUdrGuf1OC|rl*eNi6d(YT({9c@4NM4Fb&e&fee@}!! z@8;PIgb0Ezoe8$QNpRv};XYsehN>DZVrf zp><@HQCOPA%W$f{i3|~=W^kRdG1_fJ%sHimFp@ozP5wHICcMROHDVC9t0+9J9y7mS z0OUHo#UduD<2*m4P#x2PRIhQ%i(BUN%)PgrSdVMWqJFakVYA)CliUc(Rj3+gIx4#sTzEgPjpVN16-~a1$6Z556PN@>C?+WGXt;Xz}yF>+L zt+Pt3cAW%A^(nc@=)U=Vx_iTH{C$h$wVyobls4Bd0sje)izYEC=6zDo3dnx_q!vojC!qRsn#J}+>DBlR{3Oi~E)%r7(Goo< zBxpSpwPmEWXHOZ6Bn-==WbQ>6-U55MyQcC27HSSX6{!vMW&qggh1cNj6me`K_$tFgS`X0>w4FkIiSOF(n5 z3Zw7{Biv|_=n}+aY6t+JQ?;`52s7N7N799~3SR$=;6BO@wfI})z)(d$7ZbU$FfCKv zY7+WMgja{HynF*FrjQ}+g-)6L$qC5=1VR4b4FaOTuhtAKt0Y0tp%l@12M^J|`UY~! zd2d782qXfEH&L8FHrmGP^1KG?RVarpFGc1xvx|l6M#ba!Th?>4+B^s*pU2~y!%VP4 z(7#--Y_fc7H6{%PzFcAjB({YZu{9r~0vwQc@}!kUFL_z>I`d|nA~r$^84$T^-dQ&q zhpSJSnzYveho>DpVZ?4uE#anoeA+g9X(-3ew&Vfa>+k~Yc9Bn(LIy`vEeohkcF(8F z9~a>qMEdH{R_T+J(-Ld1R=mYt)8*BaLBUBuUg=i1m(;v36&2At4x_G zcQwCpL8?F=Cl?3Rr~Vg*ta+MWY-Q=kIf%_lMI;w84y&gX zhl!t3z=ayw*Ox2b^IGtc${yJ?<}lY=ll_7i=2slifbWbpR@j zsG5tX2fyUZl)+3vgJS6;J78;^_zfkC=H;wpjoK2@zuO%1NnhdZLVy;k7I!3<3w7zJ z#rf};)HD{pJu=u0LVaW>b=1UNvq-xi`@){6bJCfW1XXfvixJ6@f1^s~M+Z#RP18{7 zmx;1sruap~R;-(N52%fix9SIfjad_fF`x<|j3u#K{{W0FaEx1Nh-zK&~ED+2*tBG?rWRCS(5KQyE77aox5;=xgdF+JrL_~AO z{GJgCj_eM*vP8qxId^=8D8uKmJd730o!Up|X5Pep~P`-4$V(K0y-ws)MvgDXGR`@)85n3T?65Q7 z_gAxw@1nx9hbUdl95WPNH4`Cj$N@u%Xl9?Ln7V0*$cBKk+@GCeO9l})-fXjtptxD{ zoE2)7i!#0n#CH?ZJ+DlIQ1@V2+vj7bzLW2STR|*2L;*V9vHnmhURV80>+U?Sgak}^ z`i)bI_Pa^roN_||a7&!^Ai<2y2g#7PUr93gE0!tCS5WDNEMLbf(-dRv@osM_?UUKE z9dT8Ab`>wz_(BwMrl`BXf~hD%pnKvaG5xVpIYWSY`kf&$=ZUb^Hrmc~KEW|*6ECqe z#fFQg97MNhD?BS?bkrZVtjh+fGB;p;c9+YeA-;PqsE@k3j!@JW=fu=}j^LR35CGLe z>81#y>BeFAjcDQp#T7RSphj4=(!VC!(I9`VCwo%q2ENapns%~3D-OKvYZ~unR&^WD zl$K?8KVJDFtvkvqTVh*Y&^`4#S&Sf*?C~>a6b3kyqT(L_Fd`Q=AW{{$Mk!p8M30mn z|9U45_7;-2T^EF=!l%{TERry6LU16*CL`g<-OwIY-NPmlL;4g(ilA#CY*vYO;`wSu zjMJ)pziV@+bQ`@QE%`QiPPKiyIiOjtlnmf^41x+ad|weOss92ADl%8{^#}C&yS&!M z8D24GbC1nIi1`M-3+Q8@53lP--H8mEY)vI@Gi8p+DVnb6cy~cwFVCBw^OVW z@D#Lre~m1sC~Y^f!C!>{#koK3pP`mc{5Fnv(HAUxrAuF=9;;x#(D#mUc$bUV`bTv# z$<_vMP3^M}5vVtDlMu6yFNXfBZZ3;nQZX$uRJFlv(8`Ueo; zk_``IfBB5A_I+<71^o$s>d}p@H6P3gVDp~vZ(BvejBTnpR?JV8@(N1i9gPP1w_O-^ zbEP6uGD%RoLT-JVN$n#I^!LpUX< z656Ax@Z9NWwI4g-KCSb~P0!w{DQ4ewZ$p)0eY$P~i91zuW&i`1$f^PQjP00dSar~7 zI0Q2t^`TX<;ZkB@`x3LR*}GXu=7%g>=JEH}!nMj27S`acwJyR&$<-_5n*GqDW{yVJ zWk*E{L%e;iv0Ll7F26I@cGV(by2&Ziy>G3MLPbg~)w(-KDo&PT>yPO%jmQXO9Yk=N z2jc5bD4z7}RqoJ>-W*RjBKkpw_~eaH)Z$eWGAPs6SDa|zf6a*8Y_?Ob^q3bq5C54Q zvwPP4Wzq~(^^JFqmmc}=HmOF@e-}(qC2OahHN}tp@&GML$k|`*h-Fu-><4jBR(es~ zaQ34|Fq33P_UQ(f>d&%nLm@^@(C3-MoG9acF^j-#x1U2 zJchipMu=W}gx4+cuk#MyczOoCjO)8cpvDWjW+`;O;l@WNyT*jECIQ!`eag(HLEh6Q zWwi&fa&dYprcPs94H>G<{mZ3-9(FjZSh6Mld;2|R-%81K?UqP1OBYch{C9Dw|EL8# z4QlDTiPSn1Afwq46poEzsS!M%1P*o$&NSba6bkVB(h^9{**E=#;!)ly-E&0bn+lHE zG91!!lkG6k$7<3He|d`@DEZgTwN9yu6Fjbed~*U3McP`j5bnZtLsD3gn&T76;8bnGNPK>uZ%l5hc(x) zS+{A03+<}QcY4q#@)5Z*R+P)+RdVcK!7NQ?2@_J}hpmv*c+JA}dubd%SlR zXeq9Fr>A9DBh(fqh6H~ZTAZnGypS-+qF1vvB28rQ|T@phw1N{ejq#A#NP z1gir05BGM=A}8eD@XoX1+yyndo~>V8ernDzh4BU`D(ZuUCO0cWRfy7@)}Kl)EX?OJ z^CF54lq6ohJ`Z=u`a;Ae{bWTkX!F5}5GSvIN*cz=z5`wgq0dM|+|bh_LClZr{z&mr zbv63&^7#eR|Atp3Fci2mD=#u5E?L|NepS{NuOpaOX~t%LAWF&)yALEsYxpe;Y2TGtiF|-OLirDlIJKV;>72bYB2`F z$gIF}O4Km)cz$?4>2P;`uYdDt^)qO~kVHyQof~W;gu^dp9Jc#;?|g&}<@+*>i%i?z z{?_i*Lo6>^;1`2CZKE3|IlEVlj}(-*VzM989`uQ2Hf=}~6J~?-TFrZ)1wtWY`akL&R$X74rYl)4x{_K^?i4WhweKbP{&pSH2W$LaDKEAU@vuP)yE!FxW6k_~ltnF=z-HE0VaLCCg_3*JlXJ zJn&e&FRNNJ=}1^zQ`E1E6=#{*$#vM?1lRq|`r4G*Xz=*9e2%NEP7gV4#jjtCYeXpW z(Mm_OVyX6%pO^DdPtDt2TOKkvYE|sU$%=w_JJ>P{GyRKsO{mZv9k zfuuU+O}?alR~-mgK^U^WIzPTld6;xZdfidQ?njC2+pU(*-HLc&2cGMB;MBPPy_NA( z$)o*aUOsM>zPP3vVeGom9n4YL@Aqoq##1PTN}8i(>1WS^-hNB=CEsmK!G+u*(y?5m z_0PrE0kosy@-YQGWuNQY_9!bQjGGJC!!}}49ZGbIbD}R55k22T;!b2J`8wem^<*s8oB2cO3{SuE z^$8dUGX{;<*xeEH-7ndVR*bjY0|-i+n33_z^x*WOQRzHi+$j$7?DbRkvHJOYQ!*tv zHxtH`8K;v8^Fc$q+)HUmG<$3YZ(;kGi1^2E_Vxgc=e!Krfvl}K6rJFJ68NIeXql}C z!2K7K3nrWEe3L3(b9Coy=GZA!^!)wDp)NS_;(gVKl80<5zux@EJ34U<3TgD^8y`}J znieMMGNbYVML{w7b_i%nwXT~9c&_1lE?Vi9M*`g1RQ2`Y^`R3AJ9``14u$vNB+z$z ztowbb(zE*AHI<)fVtL*6NPe;^Nsc`6&{O7777N;;PO%<34#dUqggV1n{eBPdiYdhM z#S1? z&0N0}XgCOy-!@m%j$9@ZoSHAYTPRI{5(EX1ft~~gEfw;ccg=_nu1cZr~ed#RM zpmwcG)xIt}#=Ve1(lU7)BvUYan0vWJy@%#c)xZ}M_I7?7ZRQY&2l~ioXSGbZjvnj% zYchE|JhDMa0xe9$)=#?rmTyv$oB;KND3UtSVX6lgrO&)2ir|NgqgOQj$;*+@sF!6u zALcT-AQG{-)?svqB1$0WN5ky$yDP(Jt*{JMQ^CO?JHnXh_rF8B*;AtOEnBD)1K|Z{ zc*z*-q_T>CS z6ua;(Tv498fk3lq*Q>t$_op}8Gcz^X9)s^8Lt8qB=I6F8?5`=9KM@5F<9tWswLFXm zNSkHC?{9UTK1gKQ0W5}dM_-2{TXmoiEm$lA6?aN}CL{z?WRCJurdzyzGoB&I`x7;C zD$J;x@Px+4>t%D|g_o>RYFM{5m@-Z@pJ8*gbw>}ouW_$n_)Bc70iFLa)G0m2@;OdA z%MVFQmL|?}1(E5dm=!>Ht(vK~cvsdne4Li#8bq44U3BYHlzd!CRv@=tR27@Z4Ox5z=(7@3HayjssaF0nfS#_BDKl1;`bu) z#4VrklGuNK-lG2+K8T4Xhg`{*TxqLo_eM|m@RZ(th3DGuC$j z5rd(n?YKt?!+x+c)4>by=UDhO>dJ28B*87g{o8k?iAoysT(Y2D))7G7eX>~(;S@T> zHjh{GIdmes>=nRL`3XZO)fd(0z%{f#MM_rtEiJKyB9%H%@!AscldAH$AFP1#tDox> zT1<^;-N4|gX@)c2Xhp39@Rxr~98bx7YG2uq!>dUPdy1fz^%sPDo9G`zI$;O&p!;jc zVl_wWq+A~R0FBHkaLwJh)j1sZ3fmMq9x z*h;6Kpxfr{g;4&DR_pV5mu}PxarMa1fmiU=0MI%`=&oP#OqG8`0|3mbKXX!a%ZI(> zqH7nW#1)F?jD=$Gr2T#h)|%Y?&QdN>^AE}5_RX0+hSfs8Bjkx8XzC4*A8fIMhA=yI zAwM2tra+cy`JeAr*^nhC#z=REJpw4MFIYpU*KRzC60_#m2db+5@c^vqZEU{9Y67+* z_(ek&rUZ%TnKZ=cu{gPl+c#{H5z;8UZc{e=5cSXiM3Knj*8yeFsAnD zl;i61A=SjLzCto9$9UNE^O&C_1U93-@m){-&zO>^a2ovFe}^AM+7ir@JZGd;N7jn zKuUblyHH5n;h1`5DuSdVdu-aUH+{KmF4$rPGt@JZJ%;Qy(GDnG1mbl3uN|xHY_u6G ziIHDrBlVz`U!ni0?#|b+cD>E$nHc}`(jNEjupb&xRzEM4?T-bl?0N->_-IHvK|;jm zlyTcCHuMwq>^vp_>mPv8pVPhlrc~^?ow?me9?IciqZ+6@$_h+;42ed(X)}4ehOb7h z5m0|nyTX4Y{39OyRqP@nV7!2}V^z`;AH#+!pZqHv&swB87al28C@hiagcKS9w5Kho zWwe1IG~Dl-&E*KLgbxB6{GIW|6ls1tKU!5!l*xn3?=igHRUeaY?-gcUK4lPilB8Bd zSTp7X%Q@D0+Sp!?^u9^l}ZPZ@8RH`ZOza47zTH}VS z>#sy1L#v{P=8g@w{iCXf=}ZS5Il-nXMAk5ysO#h{i5L$8m8E12&I{Z8#iIy4YkRjk zd^B^r@N>=S&xEcuoJfsRdk-*qp9*^`1tW@ZfFrR1&4-#szjAZCp!8(`C^h`#zSixB#INp4JEw^s8U}N!>)~mqCUOZ*y|WDff|b*XVdT*k9kZ7Q0Vz`f!yJh*SH6H592y0NRrXQMS;==_5@c>o@UFe#UVrPejxv~toN_7 zA79yUh_x{6r}JkZO=Y zs)TDKgyju`#5k(7jvf;(9>9sYYUCmPUWd6}wUw=!0q=B5Z_hu&hVnGb~ps8xjdG=GTIvDFW zLZK8JPEd5eeN)W(WOrW2?)0_&NncD}qdGNPe6+lbVl>a6ZddteLY4}e{&v(i96WJ&5?C;MH3 zugI+!g;{UUgiqsvJtsfNJSos^ykpHJhN#)5(vSkcvjw?-TO$vN!Dp*VBFY|zEBDb> zGlc(;Y`5J;34x8P+ZI8Nyw_0T=tPLn7Wb21(|KHel-1Lt&$jN9vCy~?Bs&>Fv6nNk zIK`p}pLDG91i6)pA*&aq!Ix)r0e}MqmUS`Hstd)v!>t-MBpvIS&T?S~B4CkYW@gLY41)E~sj+dW< zPtGVz+YlgNu!6Lz|r=Rs$m~t6_0DFurq!V zzA;=-{ql>QL&+Pq!~rJ&@P=a-y4z6FWkqF`jvSd0N%QO8`>Xk2n~6{6NidWoa0x{c zIb2MnB&*K0x4R75F%lUvqQC_fx_C;?JXiy1jP;RdI|a9oTcwG+PvUgby=C~g19&Jc zU4O{>vTWF!?<&GFw_EE)7R`?)NJ5i^IiXWN!M2Ry?@qW;YYD4rldzw)LK`wP`8DA2 ziO)7tMhVE#`L%g=9ws2&s{AFGHoQAEAjR^S&an`iI#@%ZXLkn&W zS6o}EsSfD5iF}~nDEuKo*AVJR4!rN7Tc$uQK|rg8KE9iIbNJ2#`A=tjYB>GZnd(mm zS7b{Z)w|_WL=z*e!tYm<6_yJ1$|?&al^Eih(tvDf+(V^Ac=0d^S8?ECTh4xL6xk78xhJZP1;EF5Pk{djl6kQr%J}`3^b%q z4-hK-V-6G?3_8@`;fjAsW}@`yS31#h6Jur@o^vK?`^yuy2^utLKKIqF>kY&&NHQy< z>ohZ;ObNSK^?ggd$62u#Cu(Ru_7*m{Xd@0NreppvS@83_hU{=`ZUG|^BX>Vy8TBva z%|8#s+c`KA2O3g#tau0m>nZ%_v-LxU> zguBehB}TnF3EcrKHEe`}zDfL3-)dkG#S*vMR9ftlOa<(a8=t(y^FvD3{3{i{dB#71 zwb6ISD`s4P=p)o~t3ms!A%;@Q32t|}2DfL%s<8PL<#>#`fBbK=vc2jr_}zY z!C^&n6jRk&EeiE64=xXz<4szO%g3-u@SVOs01QKoW{5?KS+-n)pV^MP$^Vf1E!6jU zpR*}K5+wPw;7vpu{cv_u0iCiLbAU9No7mn7Yf*2AZPe%W3Wg)MYJ7=J{L*-v>gw6L za`UfTZ(+hREzZeO)H)N}K>U@Z2gxZm!=|^YoKl&}CorSxwZM@B;ELWUOhV)zfO-6u zBRvZgd#tR$<}<~`JJvZRJ^Iy6ry8-kpBZT88Adal6^1SY>l>83B6v;dPi~zIr#ze+ zUQ)ANIUBLS1pLbWFPRoyeFJ*3-#AS+P$=HqanqS4s*3H;srj(4{{?1GaDaT4;h~AM zrM=h|I9{t5|A(=+42rXDvqc++;1JwBXz&o6;K74C1gEjaNdv(pI0Schr*R1GE{(gp zJLEk*-^|{#^x`v-rhr>cwM>3iMRx|YD@DavxzKP%nt%sD$}&4RmKDl*B%;zXKp zcb4p=Nb!n-irD(7xU(`&oX+1{G`D{q2O8rbMcX97&ai%$KSn=c^E3U=`4%^Vt;hq- zRQE@?YI{qXXs1VbvvFsb?EOFHYlp8Ie{d4hpGE!R&0`I&{{j40bq9A82+!1iYqhh1 z#F7T$OyW%O_0R9$;`Qi51(||n#XPafo}-|;C7y^}aeZw!?l&T{dF<8k-a;L4fY|SH z7pn}7QWO%po)m^jNpqWMpy%89gJ(aG7v@H6x`IPPM z&u#$d2>=uCMF?HmiNBGW_OPI0g#t4zA1l|fiWDjICSOC+C{{pvnt@OeG{PcJYPWN6 zo4mKW;IQ1aC@NgalcTkMpb{|m5gCPyetf;OnD+LTF>3-MsxW-6 z7>>r3z{0~XWeTK}3xfvQ>RYZ_x#HSK*DX+i7~yMWWsC9|?)qBC-y)? z!|eg?kyQohP@rP;+q`Yv@`xR1B>#+`gvrId-alve3_<>&wV5s_XZH6bZq)pz`X8yK zkR^@J)p%H~!FpLuW*JkPQ|Ngbf`Md)I(JhZ4ZM6sUN{K8x5zuK8a1H4=I``Urc0D8rQn`Adg6Grfk;0Pc<1%MGWbg38<=*wQ zkdm1(a3<{iTd;DXf9TGpHEn$#u&*bM1(c`$dl~K#k4y(jH4lgo zMyTOf81&0Rj#B68lMYp(E8$CJ>o-$4by@`@*O_GhsjIZo;|m0yunz*q9ctpJ1IZfy zo}YbwqIR?VuwcH>}M{33;`}JP*A$?bi!<^qv;E7j| zqRK|r*gjHorqE)?Ax80Oa9yX@jk1jvwqV2MK*rfjY`E~uGgZVqBBW%FeivGPQidYy z^RU?8*)D+S4Byoda74w(@Uz+uxIg#x76@7lxV<|RKetIjObPHfZpD((^gQKg2=U(z zoO4MJRD<-W0OS%_L)#d~iiwuDx4{W{_SNv~gMXbi{{a-l#A$(AgGsW-+Nn5B{5`Ta z3pJstR~AyCSQxowJ4Dk=!RW@2!gV++SLL!F!LmPR9l2K7$!M{*3aBEPup+S5VNIQC z!_|~cpB&3$H=&dW2%$~2zm3w9!H&L!YS>`?c40{g8!=hiNwZp8ALYiAHFZNiu6a>L zkvw;W{Kj(G;+0<~r;A3;Z_sB~w zlmNnCDF>LcF12fI!F2BI)%iBCCes+D&dexNLAzx8mqHc_c*MdVS*=1*@Psbc&KyHN zydlU?I1W#a_zP6JZtH0H@%q{Rv30gUpd$3h^^{8fPaDOH_pGcTiN&lTaT^mWI z3%g(ZXR*WosfqdDB>MmB39RE4pn?JYd#NTGYSabQIB*N0D`T>f!?IA=Z#&<#Q;!Q^ zVJ+g9hSOFZ(+Py_FLZYHeuS|STN*E&2sy6{sY-#Y)F(a2R!Y^3^7n0htYuiW6?oeK z74l9t(3aT8UtJdN%wRL6RAT?Ug9iBTmI7jg_ov_|vSJhUs3=3e@XE@mxYD)i zVZ+$cUAM1X2D-qo05~B7otd4diKxn_MB8z7;}Gf%_g$DgaN~c;1C8O^pSjV8yawDR z?*l%8vo5^tpUIu9ZaP0x{R_Q6z|fufmtlza_mkjT#02_)gXI6dvC~H#ai8h(rV=pOxpkYN(%^_Rh8|_IRTds_-n=FXK%$XXNPqhRUweY6$OQK zG6gt5D7K@^liG8Pvbz>U2M8iFkd!PeZv2y|c)Oe$bN?fav`YYh>Fvv$(anUr_0-C> z6HdbS1Qt#*Rs;Q+wZVUy5KMH$X1{9|z(bvT%DKaebAX))qjFcIKF3fL#f~dl6%PtH zbW*{=AcPB}^SEO>r~4n?U};F`W4Mk8SY(W20jR@!NniO4B;bVQ7V5m26}QePAVPYu zf3=NAW=(BDJ1sj!Dd`@C|BC9LhPF3kb?2cxRD~8Cknk-YWij=;+`^Liy{ara{7{^a z1dR~N$WGxs;rZTSFh$Ud)QWqbCOlzYJhOgx173GEmid=Xu*Y6_2Drgy^cQ)vyIgz5 z@f;mgqy$FFt%j>r67jd7|a7=;;S<@K+ZsW-q#>k6I6cs_b8?_q*5kvV3 zh_`*wE%Sfa?XQdT*Nxtf&)2otl}1%9@Z|$XGd_9QAzbN`wdjl=d8`;}7(jm=u{*JY zKnxiQ3V>Iw5KW^3_yb-~?1v9tk#YT$j0WD8j~VNgu_siu1%B(MmbxxFW(m7$tq`R_ zPc!*B`D|{#F`+fl1wYUGOfB9&I*Q}*?)FmYx%HLP+y(}=Jcx4FdY@AfDC=$}5w=Tj zqqC0DYPYcgw7c7%X!_dQhEQXMDlroJgU}q@rTloB@Ol&?PGP~>9=_|}@2&-b z>y_ZIFk0dUUi?e5Jr4Pvz||m zGOFxpNJ+^RO>5ZJ;)UoljPucGtkR|C>JXSlW?jPT4lw)3u&Vpj($rh0&ek~t$X zvY=jd(!q+3%8>6OQv(kE)((v5THZ9rF*&T^$p}rEBHeZag}s1pfB1K)Z0C8tGlXv1 zHj^A+wU<+5kWr>9pm$N9a;!B?s^!dEY-0SVIVypQF0Y7VE+e&y{RDB<>u%Xw!Sa=z zm2~FN(})-Fn>hovFDN}Fia(Vu*_$p_R@Ipv%mE`kqXiBES;XZqd5BXV&+3Fv(r15! zjkXi+r12{ffgcpXmLg>#4mv}9KUHqmSIdnIMn)BrI(sgy4x^Y73J9v>+`%r5s#C{4 z%rr9tj%zR7RYLi7iP;eJmD+{|6orm+_p7bf#Tb&SE7@Nq1--wzYJ^kWz|!#T$ta%_ z#auR>)}rwb@K-dm1pl&ow9&i-6GEZ2{Z$X7qfN30zD`hKts=8)Qd{CPEQVbibIf!D zp8+(|!EqG&J60WCb=!(8ayFN+zr$tk?35#lFyqA-e`?ikM9m&oO+&f_V!h`3Dpkw3 zo{Nf}xAKM-Od0C;Sh}|OWro=<0a9>Fd!3Cs#y}Q?kn>c7`^@Ik)|g7q!WDMwg~021 z7cs15pAoM46g${ltZZ=NqgQ)62A~4^BYGzrS~C>pH`5)UCq7q4o;dqBj#rR8#R~%; zQQLk3H*9IYB^@X0Se*ls7%KHEsqWsP+)4hGW3B;7_e|`*mSrzy`S-JteDyx7E7|cZ zKUFNSKDuN`{sZWi%oJ+!Jn3P_Y7-J{8&@f92t`2&i@#X&aH`yy&4S97bbS&;NIAgy zMEu}L(VnogwY%WexT3e;Y}>T}z`j6p$z`P=LdBDgpB*JKwIc7I}4wkNcyD58)&8>#oPCab5pWISh(sT2WL(U<;soQEQaS|@$q?-+M#iCVTK z9RysQfFEV1d+g@t8;nja>l`r)p=3jBY?M>(gB=@bNg}C4{OvrFX|^s3>lgb&rc}}! z8HU9c-_v+Hr@?{C%cCJ(mqw`3Q(F*%l%?hF?^huEggRGxN^2d zB5)-l2^LvCSuy~rGDH6x&MgwfLH;mp8<@jN$G$|kX2#Mmx4eiN0+}%sK1Y$D$mQlA zkA;?i4#DM2W-GcK(I!F>`A(@ehz-hrR-1wb%CoyiM_SXI*G%5%mNCJ4WLWNNVuIl7 zR=A#TZ8DUkx25vZ@_ebV%7_1n7x_P*P~fRFCoRVy{k)3PFwapO;tZt8GvLE3zoC`I z#aZ+IAgAxQ+}oGRG?s6s_i7a|d&Z>3^G?jNqu4&mBWX%bx^M8#NaqO$ZT3qYom1)b z`%zkVh7EhadQWcDSnW@#KbIZ272OxQ?YC8#h4c(0uDA)URJ&W-B2EwkOJ}?UgRO)o zJZ048uu~lb5g%+iYZrY^jPrMSd1gQXSXn@!Sx-E@O%(coiY+t#`{Z~j3Um#DeJ8oE z4a{-$YK{lS7Gy|DST1V~D0tJ|qA1;Jj@s?Zpbp08Gfz{pwL0>ie*lGbVBceiJIb=& zfXD<*Olk@jqVpo%Z}O@{3ou(ZCL!b{qLKsTw7CD0Ssv*ytJxO)-3jk}CMA|WqELPA zqUC<4vGMsfX=vkFz;jjP#lwBaajUyk3tYGOAT*oQ-UtM_p!%J=CR9-IeXB~(RJR{m zorEm!$BPW4STB0_z*leup@@r%FPAt61p=iB6rB_leD6Zz z9~I59+kOx!6MT;;JZz;@uQaV(ZBm0Z-IB=s5-CEDgvWQyz>n-Pyv;0qq`kvXPmnkB zO{N92LK4pBpJ9a&4<8HLvDJ>D=nA&((1yOQWTEpby*F0T0bvq4AOzvYUvl_R>&L+M zY^yMOP|U=h(NPD%-j0hL#ju7PqbhMX9u<$-S7BLMz0;=F=?F=`CWi+HJOoRDSVN}X zX!%XjIi2IHRXfwhret(d=sE59vA)CvH;VdYh5vptu=-bV z`dn&6F5XWh;e&tKQB4pt$>4uIIKtKMz^kF4Bmb%3a490)T15DJS00`+RwOqSEum&M z9xWRpNSVdDM}oOx^8uximqrxUV=P#!bDozvhV=D|{kE6lZ^U3ZJ;(lvQ(_VwOPGf;%gU-cbc~(hz!*L@GB<%v=Ap5zaGoCkY%avexF_)Y;AhX*I z?|#T1H>si9{S%s`0zIdrN~Fls_rusScyJI z*;Mu3rY%t)oyRc^f9;H3735kR^m{f!1;N#~_YRUeteSWZlv`_KwY98%+YxL1WR)=I z@S+dmT3it3UEF>kjH+*tg12;1=$%B)c!5T*amnP2W)?S#nL zMAd-SSx6l_XYW&wz=BHKX0Z%TJ?S6isTWq?D@W?)=8I=~Ym4!4IaoYef16pUg{~y& z2RP{BCUg?eO`lCYrIJ?tVm9;V^r;&{k=s2Ea=}O#jnHp6D*pB9TP~EpUVnKs<*C{g z=fGZcw%`r#obS9`46eHDzzv<$N0nF(uEI=Dd9qM&n91nbT^!IV<872|+le2nUp#9s za9VXuVPcI%Ym%K+He+Lf+{Gv7T)PtAt3MDVRV7>E%38a|KHo_fy!;`BI>4VIIZ!1l z0}L0&@rb5X^UNWm9w;7?P%4Vv;LGlgqaYFUUVI=L(Hq~oYs7keCAxw5F@-?64NNW}ILL7fiZ<*+?i7T;%l;Eaf1IdZelNBOD*NyOy4wV6OvxM5XV&Z?VtTpGAGv3}|DZZG)q zP_;JkJk`yfaf>Ma^?H8F^u8wD>y^T)G|RYf-@h-&D~Q*Du_^z#;Q8-&JO8@F zeC;$+2c_A0bn}11A!3h*W4@08gd7taw(?z}Q?5gRnY;~f+u*;_R@mjw(HPD4pmPD1 z;y0TWL#hH<$%8*%1+_yh9d+I}FX$v=q3vigCvg}FsftGyxVq*R;KB<9aYgCF zEz>Hd=-k~^gb3Y6k^PK^?R5qeKKYYAs0}hwn#~r+sf9-$r2t4hz9~_AF|>P@f2Rh} z)##eKCOA5VeU<(7p>|DG2v#N5h0Y^X@rk6r5>Gr{1c&H9d97Scsb*ILeDg~RI$ zHI0_ipP?_`+N)=&HFJ%Y4amH2q6irz26(800_u*?&^53y-wm{9YPLtKPS?>lPCH#yLw^0 zyn{(?Pbf%JE!H+sNnU0Azx|b?E>w7@8CpB4NE)sBHZDzz2=c&`HR`Jdfh?h~z0X%- z_U1Q-O@B*=zP5hsk>5D`w;VL2$&Yt|&$@rhwRH8e|6^J5n*@1M?O!=(pUGH@7UDNw z&msNPfhzv2AU9l7(bij|7S4xowta$D|lo%yqZEVSm&D~fMVS1Z_|MwI5&-QpIazI z*roKZ>7L8_@ioH6Eu9L32gXJ6Csohc7DJ>rq@)QO=S3Z*$EVim_Fk?uANSnwUa_T(0{-EAY<1WDsN=sFj)!F{*lR9n_twmG2fe!SI%+#J6p zdaf9*f2U^1zM_Lki3vb5%;X^~eoma#@!aaJMD*UWB?+)EB$twcw`-^^DR`8$l z)kpY@wKqpM=(>=8b19pQ(CPB~lj;I*#c%8a&k!_o=ebw(m6V>94~AHE787?+P4;+- zT?u%DE=_S(M_ut4SSctiM}xo9sTFwA@PI%(rRY+w={z56Zy@QBcudY6;nU0o;J3j` z)xqS9E?ff2lb|ksgTJ|I2qa4gZkV7AIu}5UjhGmLZqn_5KB%r^jFI&|nQxD~qcxgL^{dmWbum72G&zdB7DeGZ_+Xl~M$Kv5Eyb}(!1lC5#M)+P~| zwDb@=y;VKw{uBa$6Y7|vPgp}C`(SWi_a^5G*=Uvj`=P_d{T_s)<%aki74|Hd4GV@$ zGWMixXi~T$8vP}yQel;j=v_4<(1+=6ZkUy<0P{s0T;>A1_|Ry zZcLnXJ(x2z$Cu;YSTUa~rz1xfo{|o%BD&y`J8!jXsWVWI&n;qVp-+LcB3_#ogMzj5 z$odb!rg@K4ziGz8-X%P9w>frk6Fbl1TmNw?5p=Z?5)ir!wWp2%xO9lDG_SQafYw}b zTV0rXf7p1~{Yb3rJ;od!i4!k@R0V9Vptl#HIVP2O&aDMaXHDH}y^z0@IVIFi3K{1x zQ~?#_5o#8Kr_N%9y@eQ>oB{`NWI|MT4x7J2FNP~qL%5^NTe`UVr_gLfXV&!*opa8k zB)fr81vnDOE7X(siT6SIQfzg^SS7Wf^1jlBIaD)5IQpZj4@dL?d;ePcdln@B`uaW8 zMIl8`C=fNAVKFBHrGLMUo4twX-levZ5E&UIBvcD^O2{MBm!N_iTz-r+iRd2PtAc7h*hJ`iOMK zD-oV&p9r5-Ln+g33C<|2pOv=`BI_V<7N%KYy>Js9f!dl2aV z432ezHRm3ReGu`bvrS=!L z{``e%ItoZ_wN-8Kq(!61AL)vahPLR{W6#9=8BW6p!)SJU2T1n{$t~*?>f6$}9O>K0 z(9z;{tysLhX%9rzLA<(17TG6qqZZa6i6A++Z`Aha| zT_4dv{lNCV7^HQJ+Y#r915^;M=(|D@xJvqtSy6aGQAH9zfo&1`*YT}*l=&a`+Tv!7 zEo;p&oKXv;{{ZB0%Owk9aH=&WSxjKB{br$seQjYC-n*8EpK~wTm0X}`QKm8HtJ8X# zaj#hA(1tIIN02`t%)LI`U2Vy@DKgWvwFXaI8oj|vOvriyCeT5LtySHj85;-hoEViA53{b#(KMk9Q$}*`+O)n6U8^h zzR0t(x0$a`Cbv8VpdZ6TW7WX}VB$xT;Auugk9}QHk_3{{!PYGIKl@^yn>a2# zu|@-*AKpZ=uju|(4iQ@a@_~cBxUe0?e+BdM)95n996K%r)Q0nHZdUwJ(E}C7*dHl2 z|Ju2uzTwqc5(9$*cBsQq4b_n<+>e1+jf1Eh9QLNYp~8yzTY28Va{Z4)hplQ_hLT_j zGe3F(cDopo9qNlPQ z3OvgY(v#7KWRK6&Wl>u@jM^EfF;x$1^tvuBzx1B?NX*B>QS&Niv3M^HdHG8ODYAg zTKq&hO^mhR6Cex6GAam!?0(E2#nhFjK3C~N=9UP72sMM~f z0MA^ERx4{4s~)L}to)P{uu|c)7T25 z|2SOCv{}@t95~#At=hk|#KoBqSky*0^9p>f47hOhb@Ub1^1&X)?2MC6h(91Cf`jDl z+_bDvqU#}kg_kUsWK7ti(?31mUyC09V+}|cNRMS5?fwXtLxf+z8>Q*nje+yMG38toTtl}npP-dr~rm8jhf>sAtq z!M;OlX-Spk3d2?8GJvZ)z7xqin_IDWKYg&Pga)j+pOeW=&mQ0rcq=#=Yrda3@gZ!M zs@-mCnV5BH9Gp3$R$-aYTrgR6xIKj=X+d9*4^VhK=(^4iM#t5T@@ZR{kE#mKz(xz7 zr+hf4{sE}V0=K9F)~HyMl$6W0ORT8Y3u3nz$ZQNp3HRD)ECux1;2I0cG{0r#=o`%Wsm&=k;??s)8X|2VTS`YC(eL?uh|O|(-v)F?0|FE&N*4t4y_8c3(dKuFRlr z{GNO)XBlz19||-PZA95E%T z3o$%yb*5l}VSG46et=it)@FUEe$DdhPbsqH`$mM0P_RO=@06>xNa7U*uSt?zpj2CY z>bH6(YHod1{$%7XrzMn|CaJE!6;Co{I;o6nTW6SH3docPb{@qT+I`%R+#+EdwGw|+ z6nU<8vS#%10&m8i!}9R3*Xop-UiVpF`ym+TAN`;PSp0c;{{t^O8``P)^L zKEGdskZnElk3y3t{B<+SL$Q(y8H_3~gvpANU;}cPB8%2_AsBAI7*AlZ$e5L>j&;Up zGb!_{J9I_Ykdh zFAznk9c%`~D2<;LML+9tk?JdZeVFsDC^-(LNG0L419Etj7)Y7{8EzB4}Dmm-DZHoM^l{{a5;vDi#kLq9oe^+PoJc=YxE z+F)1wAA$nbCXE#dv%ZEXi7mC~cG)GsMRTP{Hz7}X)+_7!wvPv-2tiVB4JFkR#59i7 zK>^_(bO3Ky7G$=+l9Ddn9E{u22ZfR=D)(Ch5j5pw8}QCz?HEKlbad0On@+XZrx+B2^x~$ zJ;gDOYJ3kPAQGdjNZYVaLWaLa7YETwnmxz{RCK4SG2GR3^lC$HNbhZ|r`-RtS~Zpt z@+Ra}kA3})5@b6}j81s-IsH(q0OtTmUKVL~=86rvo_8hj;oRHho8;2huTD+Ko3>yp z0Zfsi005&*8P(LTIF=cOQ+Z(-s#^c8BIFC3>%#pyr6boaI2 z%4u4(c=hvp3kz%n-`*Q_nvNk(1gj3l0e@DBebDi$`zcp8Md58oEIWl>JzrtMUN+6< zJ$%U$T4;oJM=rU+WP&X7Cu8?#1+oIEXFxp_4}Q)RO*S>jPP&0o7>D3T!}2kR|rF5Qo8ly07|!Nk+qDt~Pn zLwj^t$9pSUu_jU*2D7>th>UZ10{tn%65BZfv!v`IIKrmB#D{%TvUvB7MS`Q7f%)H2 zEieA7dHk>c9D9nGVNjM*@PEw|$~@6+*1~4lP#-oQ%K$kn_X`b#>)>WD1@SO?SF_)U zO}x9irk0v!RKZ7GRz=e3%_vVS#BW0UO}f8tbcbtmb1>IaFkiLC3O`(B#+}JLa9FAI z4qG5c<_yj8)_L-5m+=(45kfheG`RehS}Bh0cAo3ee|&@OC=RP_86ko;`}_Of_i7?I zv!>446xcF@u%2z-#V8*&X`MJbM<_x4$Vr4~@|D4r%Icsp8%8y*$_F{WZM?G@Cl#ro zEFIwjSOXM;2<7qK%*<6^0;G7eiGe}RS)gh~^fh8qD9>E@9AxvDAQoqIB%lOS0fZ0ai& z-ZkoY!P(bFqiCp85wJOprVJn}F;M(w=u)yXGIGr#$dFk_^`SL+ps`=jzV*e5l94RuvXbZkbp| zP!LTjKFq`_S8nW@$F5ute?LS?8D@`pF67!yso zyc_@`TEiJm#Pz*u=t2Fdv468YIcw)6N*7u^skgts? z+i##WQhO@9a^K3fEo`8Io;*WgIIw`~6^;nD6xRHkI&;QBYHbp4j(uNM5RxU8waP3` zk*ocZI7BkCL#P5)LQrACUNGl;cITz22H1%C!xUHDsz=TCeFdDnkPZ164}nfMkb8QQ z*Fa|IyXW9W{-oq~zbQ13NWj#Q(sw#b#dO@=W@A_x1uRt=Zv!@mrK40uwkN9{wd9-B zMvG;PuUHBJ2g3{|7bxt8iCe2I@~IiJtfFh4hzqV1^Onv6ZeXJqkqS4>Laf>c`MeR5XoNDocoj zUGzV)N3X6=3&M|-6mtUmfA}g7P+I6FqT4vJi@*Re$fKDP&l4RpRg&`Tt|pxub-7E% z&({Uw*C3d5A%2>AajAMBI){;3n?61~RKUvQG7egF%HM-=e8{Q zKvK^y_2ZUF!X|^at@qyt0p=2i>sC|;CgWc*gftAA1vmV2%<8Ofq4ivZwnd+U-l9d~r?IkEzx= zPxZUp^rX12`XF2cU{gu&gr&N?Ly$8;Xe?qDayde7?fz0GVBYpl$o-o*HGYoP)qY%$ zr3X3#4n2YbT~(}HVf}t0g`?_9NGH3l`LDq=bV@sr7=z>miEP>P=@6o_pN~=LlP2G( zDfQ>gvzsuD9|Ih$%N*^kc_M{pq`hrIU-(~LCEO9}G1;5(_yq#!3A62hi#Mnq=6j7z zCc63+wOx=#|5J9cjGLzdPxdj758;@wbCdgPcw|T>dTFMSi2olLD~4yPT}%WQLq^VM z4ldTfYMn9Y@g^tq<)W+?tJ-)jj7bodf$4+Yo-$FpQV@J7UXQO%V;XRT>C4Urj&=ez zqIWquZp$Bq9@(O&2cLs@TyRMgJ>ga~VD9m=V|_R9xRU6810Kd9#^5fi&_^PI7Ph)U z!KTIiDAB0kP!|ttsj#U-m3O_ZMjVoFz!UozHlS&D6%JFM*KvWM464WN53Ab6rPl~^ z?airJrXP-2?|+N?Bhf{zh&snW zn%Gp#2Gswt3*}uMsdSUPStdioUL$M5z1HJjXvZ=43TT;>Wc;PHn3_LjO3ycLg(>WK zaDg@rsM-DPKfNfT7UVB!=;Y!N&@&YNSIsuc$0&f(jx!xvV6#)a>i@o&)_>g+>4NV~9jfu+zia z+M(@}UksI+h%~yfjRz6io1Cn_`-b2bH>EdCHvlJ;=Ga!ggZT+}4@tSISh{Mtm#H(@ z#VTRVAm>NQUW1Lvvt(O9e~i%Ygv~<*3~|!d>f^;Rdi)Yt`_j_9dHnJ)*KUia$dg_s zfJ$uxkR6yYoIo9vDEx)1$qk+MUbW}J30F(jXrl(lH0NZ$+67Lf`Z zM!MA_(F(hQdjVM(=Yk-10{;q&Jdj(WL1HXYZw=u_udBV&OMbs&2$G?Clq@z@WtaiFXWaa44`Eb4 zM%^jaOV-q-IUt>xAK4ezAYvZVt7IInS~X#U5Qf+Qal$)1yu?IHWY!Nrb~B)v0p-5- zE&1cy)O6e0V3Q6NqC;jhsGJiDojDsfiGl>6G)Q5sM0$uki663i#xX`<@-Xi!iK&(x&Nig%dzX+`{Q;PS;W)+K+e+)b#SIRjpL|5@s^r2k;D5 zHt5U!5GTs>F-;`3qeh}u#gUc~_N{M`<>4L$R@60e+GBz}(q%=@Tuq;8nQof7&?~qH zNl#k}AEW+)qVTNhGx*k_4^$#w7#Ud|%b^8HO-HI;E9z;yF{`f18>pN9O_oCN2Xd+S zxP`v#-Y83nbIR)FZeSZeVd6N;&9lz$d{~>op?4S0E@IoEZ~Dm=;nB+yn27Nf6T&U) zKTj^FLH}~BH68v7=jrX!F+8Oy9{!DpmH7By6S4oXZ?$fz)AA4(E5kndtlK7-W^b?T zg#8md&Y2)*$gV@=KwhMfkVD;67Dsw0PBXR zC`Wq4;3yA70y*bL&xVb9t7vm>+`)H^MhM&{ZC?cFQncpe0k##&xUr>nHF#LjJff9a z6g<W0&pY74(9XxAk6xQ^L9`e=!;KNe5J~4|4hE*WI8s<@? zMnb8r61*34Nz06&*lyRzp zOJ3PEVjpSdwT1My(S!jI&@+K%wim}^d7`!!Z#N);KFd6hAKG>pcd0;$@g=Bah-FeH zRLi4iB(-I}rk$^kqKNuPIGoz4o=VzKmgT`*Ak7Bzc9mR@`0xST@ZfWzU%I%DTw4ho zkH!qm6kzIlWO={hlcXCkVvSkB8^>h2wg)ke_AeH(sGbIYKmEb&tXx!MK=;i6Wi?R3 z9?I9nSA~tY-Y55}e#aKmFfUyI)9fCS!*7=Dq|_Gct`Z`9#kAuZ7aW_#9EVg*YVjp= zxA9`7csOZ!4mi*+dE+y|dcyx+i$PIWyQ^~EPT1mAniEI?NnZXLN0zs&x%gd}NAnA< zP@jg@hqL!k$}Z(;j#bJF0aCB86a9mfnG|22NT52TUOK-bZrSPOW?S*{e zyh&x0>ylW83MmzmK7OfTqLOY^S2M0Cj z2WB&&K|9&BXpbx%{Lf`MToZtR9mJFJU-PXYMl+*`flFF%aZxYX;kv7XtO*>-0`uGh zYFk?zA_Zcfz={F)5|k3Im0KEC3c1l(K$AeaZM4_@d0?-M$KtlS&r&HSs*R0fN3Afe1s zDgnLtv@g_gbqqMbFkYT#Mk+O$CBAcNaaidA^T{D>&y^v=&CElq@-w4+1}`=->%^0; zgSVzt=A(*Pd9HtjdljCcm>0{MTVoM2Cjj6Fn8FVD9aPB5-~_OnpFB!=I>Q)pxWtH- z-Bk(q*CP1x3mJ;$&#?MxUe1jd>(5wn8jXYLUv7NwL$SEg{L@(xR=eq<2S<*B_*dG3 zH<+j?`V=tSr=s;|@q=JefC|08SlrhNy<>*?Fj=J$S{&p<_;Mk)EAda>G7=07qMK{8UlnRWSelCKe%Qz=CJl=o3w#o89; zRSNwzx@@p5>Ay=*^RXf&t}2c>HF`i1H9;&Of{E4DDbDoMDpyf%0}8k?74e2M>r}6f zl*~o%QUD8XIS+n1j}4$XU#%g^j$m&jCf5No!vGzIvIOU6>fx;s^!t*nm~BDyChs_!VfLtTi_|9cl44 za|x-+MSRoReKMedZ#qN@Q-3qK#|Qjx;@h}?09tzu(r@g6G<|~Iy6bFS4;_7A{h_Ha zQjf<9<7(qsnEn$sn-()rXE=AfYE@K06;XIMt#HICIm9>% zfSsmi?-u@}L>j-;bS0Mb8Eb{reLt}Knt58V#v&>p2Ag}Jqj{kw+z~R^IbHEc0ECq~ z*UuOzcXYC{0?#{O@zU{dm_V#VgblUHKDymKv#xHR1dv0}waTF1S4$8FLif!#E;)F_ z=oqo&xpjMjmRZ5nEuG#`FgbrbDwM#ea0cd{CzI0>^hK)nM@)A1hVKi%y_|k<^pNuZ zbJ$@i5&%W<3=V}pC!BzQ@&HV;*0sD`bp#YsbnMF81s#PnG+;=9XZh+9hmCt%3)rw!{pN!BgqJm8y60Ct0P&CcDgWih!e58T@jr+Flz$fQCQ;LpbIw3MWL{LVuNIlh^%A3Lv5nzv95Lgma5pvU)lGSse6HcW3SYBwX4on5EF7T>z zlT)YI{grxLnyekSzk?Z!hvo!`4+59LWhswO9!(5QHQL8fsP0?0?z!BrWoLwY(B*!6 zCu?96QL=1O#)rLLfV??gV${-|L2}361bF}&wRL?3?H~90y%7#&374pt-7?dvju|+* zD8l!!+a4X=tpmI(z^l!Wh<)#G!Z39>Vx?l++q*TZfXTx}?40CwnOI?s=SBthA9T70 z!v=%Qk|-6^LbMuIMQgB6SZHq9O@#{4EP%^?9*&7;;u||mTJMSSQ#8gkP=N0P>K~PC zEVbLHX~$1b)ml0gS$U_RB_AwsZu^$ z)zk*m**wZ@YY@XG5L*(suoD9N?-F&2u^fWr!m!w%Tb^k8=_E%r*Jf;e+~&|$^udF!+NtfbPy$4SZbTSQ*H9W00jP(hqyCHFgo5Y!<0} z{s>O{Bpc-Tz^)ki&Y``t$6_2Pj>RrI>#DGF=pq z)9ygkJn8%Xeot9m=u@Q*)>cRHHuS{lgZ$V9n{n$upe+(#Y z-0s%9*q~Q`vf1;IEz?V;Z`hsZ0gkTuT!Xy5qdhJ2okdAiRj0f3?^6Olg9m%EaD)JF z?0}pf^XYE@D0;ttG-7ObOYr7-_@?atL)u$M#nrWKo`nSn79h9>OM(P7JhdPMyPH!CJNVzU8`q7kQ}{mrrYL zQ{gM5?r>B!z%MF-Pqev#a}OqUe4^f?nVF|9GN*!ar;GMdeL)JJ-K`E5-Syzk(~H67 zi1IA-T_U@zY3ZC+M4e`hqbx$vP}+OOQlgnMPWq^TZrKI|N@JVc>5D!Bi!6Y(_bR~p z%!~G75=j%})}a{Ydusc$)w{T@p1GDt;I5jlD#shH^EZnO7VyW(9kNS}e zPvkvRRnEo!gFi(lvh}6TgQbG^z$@xk^1@wN@^SwDv9T+6wjXhYWLDG1a%{ZcR;;_F z`nw_oLB1veS*r_|?Z#23-TasId4<7C3l3@IXhF-tu#D-nC$!RulcXTO+ z_cg*8M@p-z;>pAEbG@f{XxTOC-lCj2snP+AvjxQ2hWt#u;} zZlUV^>Z@g^J^Lw3tdwV9LL#?6#1-w5I8dQGh7-C<_?oSTyGv{%Lm}BzmnI8P#Ubzr z63xXI9t%rewM(=%4i^gkXxZIcxU_J)4-^QX3qbuuput(B6CvDhWc;p>uTMx_fEf^< z<0MIX+JP>-ttkd0y9r&zwt`2WaPU9{eO|T1YtO3fxS|7vLm5epYHNDJxUD!EUEQ1j zA6u^^fZQcsi~$X8eN(6iXCgWElz1VADEcBlW=R9(YR^5o{=$92cBOU)wTBQSI4w<^ zGEXrkkbvQ)eVODc>HF9owN?;A}>p-kUZF- z0UdEq1mCfD6Gh(^$fJ;;Qzr=)xu&`pu+G*8U{yM=Z?3AhbB~vqngN!V%>{fx{Uz%z zqVv*qKWm8hFLYaJOVs8_r|nGYc?>2t1yrZ3!5>kC_)Yf_X5ru zUigXS$T(1(7c0I9Pp1BNWtRUIV#3eSza=+j5cl>@Doq&I-U9M;!xE!K1ABV!129$!pN> z`LsQT0V84d2-VO@+`Bvk!S{N!FRPpDQa@6CA1b*i7t}|F zYhcN=ig$3T|K*~k6cf9j>Ps}WQ*}f$(Ax= zHuGx8!Q=Eg*puo?babY+8jA^o5QGFaGNTYBH(QtXJ@(3(ET-%3;`LN4;@B{tcGQ+S zkbhq~olQpg$=><}YAD)=V<_XoAVs5W-_gl{qiH9$h&rLK{O0H(YKS4m2h5QCS`E^E zIe@#iE10f>hf9-FpqhR4&|k6nok{o5c3H@&9SH^g?q)R&3{G}d5_0U=TbQKor^rTZ zYq~g};$8YG$f9H$Vpct4J>3){NyS;fseS~Zr&#>h(bp}7Y{n~Gw&O1}{cwV{A{Vsg zssrojLS$4Y9;8?-P`fz}U!m=jP_6~zO`%<)$VE~tTBFx-x&JCV8H0{k5=v!uX)*hi zjld?cLH&q@}B(cD3h-nc*LOO?fO6e2c=$9(U z?o{+aDL3D>H^^$UeqZh|B^%l|4}iYm18_U(#VJkEW054>na21LEG-qhuJl$_g|^wM z$_us-5c*|mG7HWgfve2FOIBnfQu~)p$m-_kLdK^aXEDpZmQ_qPj_`6N!RM9Gz+(u(j94F1*5|qWt7ee_pbg%is;BG$U@t>~qhLltajxG*E zvo}F?@C3<`aYf_}8dYlJ`2U@d(R_HJM6SQS@PH@}$6h4+`#NcJ{Rxa5AC z-mGWb)m@h|ACEvwTPvZ25k;h(n#&?4KiaIiQBe4X{k$}@%C{lomVp;yJ; z?J1M|G-VY=V<9A7c|<=kGLA=U8ZWb1Cf(lfofPj~&zZm4&Zg+9A2m)?GRE5Kj4_s5 z*9qxqUm`VYup&~OP1 z$@J*@dl532C>s{YY5ghhpGq~y&M#cH!y;N;B?Huoe-Su%A$04I(7K=w_zW0wuR-Oj z$#KPyiHP`@SB3L35L-W~C~j>X-ToORignG)m?FOx+f{A9}+gz#;d>GW~H zmaAop1bz1P0Ed^FADVvxheUu>(Wj1gf>*Szzhj<4BAIW4daktJeyhS0YqoRW6684W zezxO_)^1mii#aX8iAZF?XP4sqvENiUgYpX*mP3rgK~Qq*NIY_+srtIi)#=*Q?D;_O zS@!M%Em8Z?{#s11-j3-LSr#nBR?vUrJ*-nGt_Y{(@;#5{isBXf^4YQ}nhTCKcogUB<8beZ^v?vQ<&N+$mOi)i+8vm z^8M=WaiQ*G*!|V6%YuHajiH2g&L;;=!4+RSG zgjMsz+TARQm?fc)j=xK1V?f>~u(6_Hw+YZ=dxGs2+q{OZ7XUG$o_)-eIQn{aERmSx zQX5LBjMy@-7pDO&ABFqXe`Na&pY&KQyvtr#@vCaQ-|VY);nkL%WPvrOlHlP$+A|^( zjvf{gx%0wYjL=OeMWm5#EmRlNr*6}eTI+~N7Fis@qB#@zy_99}kPx7s^hI^3-=4)H zGzqS6{bDi#cJWJSRvoHgJG!o}Na9Q4sHf-;`0ya2|9-z?8h%~Tdqqd z`@mhd2pZ3ETtFcqRr=&}zfQ^T%SBFvlW^XJ%KNrNq)xEKj->me_ ze5DW&lg2oxlSx{I6QqYf?SUhyx@#?M^<{XA%;M}^~D<`DS&*=es{k75P zPalMCXYF|d7*r$F%kjTa$WwfQLevHGY1mW#SLg2VvEGMLtFpv+p|{oM#MyoB=uw{0 zsA}}X)uIetr2UXBSM4g!vVP0_hNkZ8jK_AAk1iL~Lp5f6a$M&Ov5nv*J*1!DPPine zF*(}D-hQ8t*QLQ)bfs{&G5IHQSOhQ;^;Ol8RbnI=4i#&CD0zUlr=uelwP$qeoG*Sg z2gs+Nt%~w504Q}KBr`79R_e|seT}SDB^;4RFms0E_ppq#JqRg%Vrx}C{#TO}%hw~@ zfeLdT(oE_z0RA1d%y5We6B*C7qV(8jom3YT+d;S5IX^i?eSm25rTTc^P%o(57ZfBv z@q#ObQA|jq!L3xb$>w0XMGg?3wWs}|EyBR5!t@AlV;=qX_u1iZ48=l@_3G+VjNvi68+3ZOSA`0Z1Ll z%cRqc=-j>4;Mo#>J*+;rfP~uY&HO4#vjaC$926mgV<@kwphL0t6eR){&5CE~uMCl> z+mN-13rv6Y-i|`-c7>1^Rq_EV+41vH{heyxa^oY`%&xT35iEY00Yu`2B$l7!W89eM zw=~-=r>m#1ZiCnv)nVBKJ1<5(J?mo}r8P!(NJqa-=c-0b9tgI|+C8u_X#JdGAL%wd zm+9{;C63n(bY;-EaQ344u5>^kl-2!>M_cvNDh$?hRQnM=uN>PAEWlx_aCyb=l-w`T zjUE%1(r3;*7H=fZN-EgHy{k&`38ihp=YTC4UICTZ=by%fesgxWV6uYV6V~uy_n@K! z9S6{mZS}p_F7fQT1`oNPA*sdCy3qi*F^N0sgZ=qn(93g)oEDq%oU94rd-`hZM6PiL zF7|PAw+60|)LuJGI=qPq1lr-9*Dxngk2N$gq!P0ABG7P9YN(erMj$yUsQ=l-988F1 z>Dwl#?V*xAD@3^yj#PE#{GhC--ykj&*9o^hYU&d)@OB1Zka}_=oFW_w%C~Wd9gSz% zFKmmf0(r&Du<9Q z38Crxa0$o2XTGc*dI)NhCXki&kBiv%pr2j8zy@5R;)*UbTcZ}~Xlc6MjGu`i%G8BC zDfw^u_+IIgfJ9>ULazd!h}_M5K9k^l|OU`>*Aw)cuHE!vSMpce%Q)B7d; zy_IfcMk5fK(Um1ynGn%K(O=T5i4$!U2HO(88IOXQC|y2(r@(NU=q$gRt4~hpGl2Ia zS%Xl9RA%;?=YIF)uE@Vr=2S@`touIzQMg^!v+?glzmnO+|G_S6(lt_;8SkEz8Unym z7<2cPZ5zf&OQP1%gd3h;{@As-ruvgYs~^8^d*~)LSQ@-be13bS8cw0#_*tdiFwlT} z=xXtly0wY;#IKRrKEsfZ?6c8!DOmJO3(IXoOMA*_FLnZ0n-}WSMG)#nSeL{XIA5Qb z9IJ}nNPpThd@W&e{DxyrytOsUMof+$O8QENC~215?s~;6L!Ofg#S~v)Xa$W?vgq`s z|K1?^SJBd?Wuv*RwHo@aVC_g<$~ClvKgID9CoHzPXw#PBtDm^N2p`rKSpE#j1kT~( zp@t(Ua&w06Htm6U!skuB@QMEgi{~zy5+yqU7B4 z)N@~5662)g9O4mGucpa9$$q3jrWo1oPtj3An+Rzbq&y3kgh9;S-+Og4&XBi25DaXMOV9XKLo%XZ<0-4n(FDjFg^W z@NCuk(H8;;tp*0ysWB_#>UK#Mf7k{C@wQu|#jCBAvzsCn(*keiw#elDhM6m+a6mb8 zX)t%=lh~7H|MDJt_;A6oRhPkAb{I*t>8TgW7~K;=op8)XU}Mt4Q&3~i@sjJu3YvxU zXWXB(RUzd20D$a7`!bQ(!w;A|65geoou4yZPC2zLVz*Ydm7DGSR&9P9T@OL3K%e|= zB^Sils^&`{(-kqwXE_1pI@gi9*u6TmFzRqUp~3O9AC#;(FGl$IxL51rW=`^RswAO1 zhgno>rN5U3C@F?mo&}bHN4879H>CKrpOYYHD1~}K1rf}UJqvxGV`j%v9M5$IR@xi4JSqyxIj(d@< zmWq+17`T;zcb*5(&U z2Vte=Km^2u|8`e<+UV1Rl{>ytE5*1BDR<|-^^OcN`V9C?>|XB_{JodbhIcGuI! zj;6^>4gMb7e*qM?_P`t9xUkLd$5@DSjZsz)0(KuV^Dkdgh~k}yyXAj#aIMOctwg$9 zW`$@rX;2jn8@AwY>0eHlHXuHtusD(qXVg$d3_X{K41BOq<5vMhgv=w}`C6>!?~bR= z!X1+~qAY7>Zs(X%UZC09ztVO%85S9058;#^MaWsS0@Q%NK8uRrkqdk0e0AsZ7U1Do zNiWn~rZCi+o5n&^Oy9>gz&R_rE=$AuMVtuv3m`ojnhc>t2je)PB}N_$^0J5Y2}fH4 z{KZY2Hag}szgQ3?tomx@f+|>Uuf&X($ML+Br=qJOw486-Q`dc! z-A%WfiFte^^vPO$&(e=dHs?;htZOx>*6yCs^ybj?-^G-c$s256*gT>(kKKH6CJRyq zQkVyfvJ%7~DNGFeEb5M4r#@tuUmZ`rBO-pQ&bMk9z=^(v0sN4>_Qh~^^{^_bGs{B_Wd5XXv{zUad8xVFJ zLZP~rI~Z#k5Vt^wL@VB4XUb2jWw6CLdz0+?29wnnqo)C)+LOCw-j3J7pzF#}4dx`j zA&)D{i2Q(hmSK3Sy`iS)zta_S0c`lHBb=7_c*=r&iaJF7ZuhM-4DroK9gsNXcyXN- z+5w~@Jm}DWc1({bil;4 z*2Rr+kgRw&T|dv+_2v8;?WuPw@jVU^s`el(2!a@IG=E{a(Q;RG<1H)+^y#6H=b*Q7 zg%(_FzCa77$SUbi`!2T)&H)mtnyKYh=g+;vtX%(2VJB!3Wi<))a28UvbXV`pU*!q; zlz71$Qa3MkhL5$nw@3;nJQ_(8u#v6I!McQd#1p`Ap!24ti_~p%7L-%3TRSRg=$?UG zV#8PMSRPV*M2@XyVWdepfuQV7$9A|8?>~&P>8mf z)fa{*7pq(j>ssgf@-#a-^U&bdl?b~%HF)zlu{5qUoT=p0o%f}BjZTS(yjs=d7A8!O zMo3hMOKU({>kGpVvfbVux)6{Q^Go|mW|7zM7p zI*LHZ{uwBI0c}|@oTBhj`mh!lGWjXzmp{1&BG=XGFTx>3v4OO@qF&;-uDz|9$4`Fc zdLZUE2-E{hWM%jpxslT(!QN$Mgq7N)!ad>0w+ThX`xD2T&DpITG+kxdJUz% z(MIrro)tUaxGWTdqeR&ElQ$k#2s)^wC}~MS$1Sa6_h`u)d$_xojt(}}?|{*!w>%P* zJe*e)EXd=5wP1f|=V(i9_NC-$mEjy{qV@zS;N#|Qj2QaV`|TX>%AS7W6HG)dS#-Ku zz$O4Y%DecT-l`?dbtUN_sw@}VhW<-M%Z+H10eR%k@^DjA`*Clr%r#r`bD|JklfhU6KA{QMpn_G0DQb&j$FGCFF z3Z35J;}u=!*mV|qaoE^qSZad#k)S4Y|qV}{nTH87+F2`tJQk(c?T7)O3d|B z(?z(2qSHY@@8M%J{F%Yz_rO%NtwCID>i}EGZV`o|xBOPEoP! z@1h!%TdH+$y^YXlVJdIL-xyysF*&8U$Wg0)hJ~QtD6_J?sH(a$=6jnV@ta9NknfJ? z7PWpuqI#%On98b53OiiLflJI~pXxm@reQWdzl#t2Epv;bV0?V<*BHa=3 z&|wvBZ$z+e!s5Ex!^rz2!(AQaWP!w2PCasL)7ic_he8X)Z6V+B0lN07{5n)9R;nhW z;}*4jS1-8%XAMBg!$m3W;Vr(4^_?AF^<>nbn6p=ri&sk!ySBreZK#?&SO}%8+7EMi z^!R397~O>Z5;-H5)hmD&>Ko61?`6{8_ou~n-3Z%XiR@L+qQGkU>-#f!sK$N^M@|cX z9E(f6>qY819Vc*$!AIefpU!_bF$bP6B?zR75s!w1+Q0!=K7@Y(XqBt)Sj1~xtzwbG zNq@xr;4vg&SyfEEz{JB04>$NUpZPBz;>1;`!_t8}t^10cd08}y^1kD?GEZicM9;&` zGk@l#Bpgj7r@{Kp@K5$7Po4DN6vA^3PI!z@kOLLJ#SFzLPV>1J`*aUfTNdkL*z zY1y`AYqKNlYb+{ajdAn(v6t+Bc|2J)KCc5%Qp`e4`TL`ATiRbRJL1Km=4J`puVcRw zs%4TTVoAYT>Aplw==^NvEvYpAxydN(E;N>JnQ<$(_lG2PcoK1v%5asKBZ-#dZ`ON9 z)dp74e8byBo;rbTPcOmzURd+^^0jt_LeI?+=nNF#@9`x@5Zt9*>`#^$<>I6rGgH(U zu%D1%s9N5rrG3XY7`R=;YJ4w~&zcE@otoO&>fZ7l+_hlAw&CM-N^0pg`oY@_Sqwuy zd~nM(j;+=5SN;Oag$LeWy-S|u_~$b<0y;FytGF=8_tMQw@w;S4CLt! z!exObG<9Km0KlS9);SN~y-dV+uc(~p2@0Z%o&(Ck7-U4gcXC<}o}T&HPklxEO$z~A zVT`2)hrU>p#bGA}A(fpkyvsHx9d`Jzyp^)nb$zAR9qN{{*G6MlOiH*e=Oy1YCGIVU zsz{G5ZCdQlDO#Y`9OP!wf|>90lwmRUjxkGyIHm4qnHG{rnTTt=0OR^Iv1hRR1}13T zXmWQ|HNUZDm-Jojt)^bXIfuT7r160p{+(B;53&BbVI%h1rA1+7B3s85UT#wNC7yCs zcWMFxuBfImydux?Gv79i?pcwzkY>gKt0U zFYAv+xhO@GVYz2h6>L0%DH4cR03Y7CdF)eBDAYj+<6bdcSnbRv9feNl>*^zb@+-w3 z#Qc4c89V!`D%9o)lXRbZuwMkUcN)%e=;SkfGlcTNPZf;+kCNFx`2hSCi2C8b+rMUq z@8lU&;CKIA(529`{&{?$M}pOF;yr?}5mD82={s2;G!&XV;Iz#_LDVIrp6Bj1-Mp|! z$tV#Co+%!3M7Hxol;}4T(=dM1*Vqz@@||t4e`KT3HOLE0tlf zH4oI@ygcSD!Gt8~RU3oH;ZH=QrKFT&X#k5K@6`z%^w{3V?jso^^O!xVChNUv39v>< z{GzQt(MLom_$%q`PN@e>P=WcG9bko|peGkc;GjYC4#mVxyO}Z-RL1uuiPOb{#5IUz zO(jURdlYzR-di_!h*BqJO}{K0&EDM3c~2*eVZi9T376uR_PdW62x1RqQ0bAFM#>>0 zp;{+I&ZmKTgBso2XHTlxoSu16R}rgL+vZ6!&sEfo^5-q1{zm=Eix?5YHq|+WqcB7qlsusTB|q0_eeH=G1Qmk{@^HrC-lhHl^PP}D9LK%H zwym-KEM!O} zCeIg88PH8p;tUo|xI@Ahog0M8ePQuwI2>`i*juGuv(m=CxnhA>8#iELn0cr56W z3e<>MT7B%lS2f$f0^-KvZ62*w)d8>l=^W)Y3VlpYSv-*6A>t1xzv)CZyQ#-#Iyz>d zwUu>rlj=EODLwqbhZI}}R~pL+QNApt)WM+u!{S#G)Ps!0?%#X0vZs9g*;85^>Ax>6 zblFc`jFpIvTm5ak6i7i`OmDj*@vdbI7Q#+^>acZ>W|X|pcDWc+Q3Tls*+Te8 z%WX2XjJ3OvtpO#(PW=px*iqk(4^6|rjeL~!5=zi0HMc&fJaS{~w0RFU^&kO2zP^5% z31R;Hiu5&>a#DI_Q$(sJ5sJVy zc^a08=`b}yH&dfi{|4~@+T{1vbg3gSN75gN{mtbK4H5qAgF*zksq=ao$=$U*R@xrQ zy{5(3wOK7m^1mnbTK2s9B#^{c69HO@W2hPy@(Y(Z6bXL;ZZHrFY>(*JB&DoGi|YRZ zw5ks6Bq~`(<}5}mmxia!oE6+@cLSu*uFynltG>#v)`9AR^=0VWU=ioK?OTPZ7CSu9yy@ z!Titt`JZH)fA7)q|H~Wyc^B82SYFcojjO>hfR2dWD;8_ZH-8;E(*+U?W%GWIC)?{< zQ5#Lo7qC-3Mhj}6u`hRIUqP()iem5M%W_}gKvX$wvi}4fje#@$0%I%{Efk-{OjgG` zDGRs+#J~_33&o~tSii^z-Ct^DDq!LGa0_-UuUPM*?X?q+P_AE8fy*sg-@6ThHYp+s zLuCudmjQHC*b^nY;oUTRX_V-sXR9U_ayX>^X7~$Tw56a(`I(vl5l7ieWl6DDTvD+$ zm#Ptn(oq2=nCTW~_2yapRhX1Ludm^*#wTTxUYFmk(dE=V--OO)XH0>H=~ws;iZyP& zPwIT?qV@^Xwy78*!?YQTM^li_0rH`+=~_Lhq^^LjtATPY*e@4K3U zxv#l%W64HDKH8O0Ia)n<4{Gj2&AVP+Jlxflw0BW0qxSA=_QfVuSZFHH|1)wkaHUms z)yf9^2s%rQPW#p=0N>tbjZhV^^u(N;*{CivZ`8S)1Xv99S7^89_$w#O57| zsCYmDs)*p)bZVQTrg@!nI}72U`+jqmEY(H$?iv~Izg%v2BFN&fkG*|oxb*`ANN2k+ zaiF`L z(CLG1^3(7|oH0ccABm5Cx0Pu)gsxMj`-n&TLR`QZ$1O8+`h*8nrsb7Z6gim^mZ5<4 z0<)T^GQtP_?RNIGRhQ_Ab#{>-Y+GUyCL1VM( zH}c0>SR3x}aRLf5;ak3W6Nprxc8qdh>Z(aJ;^@J`2h->ZTtwv3XR(@(r>plbZ`nUI zKY-(y=nX#C9c?)H#5XSCDbX8A9=K|5(W(o+ZQZJize000ZM*Xprz^X_-a3t?a3}wu z6KT~}d0F;NlUc!cT-VZH8rX3D&FIvn@s9}be;nBQM4&!`XU5)}g9c!-e!Cw*B4QjX z2ipGp(v87z<%a@nc@HAj$L_z07#Pl|BX9<>ZCIn!SM|SA^ON(5W^sd3-v<{!zFj4U zGt?XQe}I(-ePdhD#~e(stdeKK4fDq(L;1D@FNSFT|E{0>s|Lg2I{3~lbGGXDxB(K% z3JXfcoKuF6`XqgUnAR_-sO^P)8$l0C4}AW_WsvR&9G+w$?2o629=40gK0;sdN;X1* z4u2syjtrUX_g&<>#5~;Gsc0CDvE^?2o2fYnDdt!0pBT2r)C08j7)YGB*ZKwsiwn22 zhWnlkvN!`CdNH+U^H?szBTm*BmU-rB3%bt+YnG5I4-b8cH+|as+)MbKAD!pP{c*mZ z5#c+{yWbkA^(|wq7@cOxQGop*1p;%&A|p%VQ1ZFPDr$Ss58>5o8`uonYQkX%-o*SF zeF3JO=o-ArQnEIM@O81NYs)MEn7ZC1u?)ttnM$ILGXGlCE1N7xpsvnR|B0 zhzw<#`mm%GUT;~Ggnt|3mk9lEIl}tTl0Fgk%6?m}&X|2heWBiOXe$r$R& z|5HVk_J{)nMA}Q|221`p7E~!uPZjUQ6xYaTWorkEHth2sm5rY&ME{L2Id#nZx38uW zeKp`kz#m^O$ba6-f23vc`Fw|?p|_n&d_rP3_M_#_2rZSM%WUow8}mdIavSeY3r-Xa zfgL8GfSd3K{h!;6-!`~FW+_o6Wdf_Rcq56@?gCY441!=3o2G73_}sF)7Od)761D;B z_g5OwCf}=+7jXUmYpH=VfG3I_{zUrG>Qg%MI3L2&gz8(YF<8!YQLgjVLa0c zvE|)fO$+p8jrhDcaq+`Up*$hOh%JfY>-Xw(Q?j^8xVHlyrhaEe`C}X^2DRJm$mzsg zLM|9G5^`pe`Fh05Np1$aG%P_+1)`2Gr%`68al`|xHW!0FZj&b=QRC>8((3n7#${vNvsM-J`_M z0NutB$^~WoJ)`n<8Sl*@ z`SkaB5MXh6LfG(@JOP8v1W{=`Q)^@1t+^xe1Ax>oC0(?&Q(f^A$6LX`+PQc1^}?n*mLTGXO9PI z^27yj5H)efzYQxtRuLu}OEMDaAJWFfHA_uwSvix`>fmal?>#5Xc;Hcejs zUOv)m;t+eLx90>dQ{6CdIXAwV9Ta%Y>vlBkqe`%Iiqc1_uY^w}yPdQ1y9GW^_;(Ik z;(P!T_*9|H3Ojcp1)7o`tV|Dk2*%eC8=U_am|X(L79-I6IzBJZ=s%-+`C0Us0O__BqWQrk?lEm;*_JJ@}$t`Xq1d#i0BNQ*niHi%pk z*BQ(l6EVE@3C^_-V>ML)-VPatjAARSSA3dZLX|8&HJNmXUFdj~aSleI9V-#vJ2kZo);5nA8?vKyntV>swg}MI|Ek%0!u%j5OjD7VyVH?s%y+v6ReY6%qhIfjY0w z>+lFQ5cHPwFU&T(D?7lZBs2#-(wj z1i=n|eX{DaJ`*nNlMpw-x`xQ}zl2xM{&$)*{|Ykxr9y~j{C}`(s$Bo6Px$)@hwDFn z>z})djPRGM)@2UTt#d0O;i8DT;Nv2SpH4hQ@B0fbw7BYDbtWM z9m7fA7`Jh9FnBP#>4J>V4Kt0L{Lx5mV`%m;6UYHuncJaKZ~J*2*dm+~@l@9|a;aGtswBfAxPG?s3iMB_7-pTrHuJBL&noNf*Xb*!BZE@_5I=>_ zsz}q8m+$QkJx4vgb?NvTyaWwp*VLRUOZ!$2(O3h$X1w1vJsmw-65lxS;)TY zGs4)?<*!_D1TWAfm~9O`=mIXJTaM}IyWIM5lm^0}DiUC7`=g|L)@mjq-rg!4tA6X# zC|J%mck!Z$g))_bjX|F5YmPR20$T@ZmAWRNr!TGqc*SLWOXiz~>BI2U?TL+>7Tvw6b4SDebQGo4qK7^84Lt&`Ycyowhib z;AWlk|1#@rira$I%>FeoUeAyycXkJ_#lHRT%3${Xep>JyrdL=YD$-EejHo`g3dZg* z+(Gd1F96&ug~$WEQ&gL2X)bZpt5Q6H_QuFB{@H=+zUS3k`ap(GVY^2*`kXJ38ZW-| z==He+O9tAd^;wzu4(6BPJxuF&@oxa}mFD5w(AQU}t^pX};iFqJ6bD8lS~1*T+&+M{Il`8dJ9)B`40h(*P`m%n$73YIwr#aIFU^_TJ`J zh7*??V;kj^?5L|Sqwwu8B|IU^L?P!-i;dcrI!TcT;H@r7jO6&yEFA!~@-)q;5fH8l z*U=*EG?yPj#$4_?A)VS$S3IO|a_DM? zJ!G;UO`KA0_`xnJdHD41K5ZcQ9Qqy6!w4P@_1pXg1B@}cm?vkY`m>L(^)}QhTd6J# zMQ2l2Mm&Tr_N}xTsFbQ{CSNr@DZCIi36euw{f?IaHyQln&+=b8tpCR?Tjq>^?G=O5 zboo>A@Wl+@4ip*T4P5374%hEhu7h;>(~L@xq<=5;&9(-OYC+erJw&10=V25NxC`|I z?4)3}X>(k6FlsjwAPI)*BwTrF0#6IEB6%F~u^eI*at;L?CeNi=sEXbQlQ zhU+`z#7DoMZ#P;XBQG=ckN`U+!p7RWzoGQ-axtoyD;UW6*0iz>Bi$7wOV>{oSg_X8 zL3RWi@$xjmK5fhk+T&8m)lsr=BE>sa_zb&(mn!mI4xoj)dyVD(GWjPTo&Y*czFxy+ zt*6|L1?2P2m`J}~N)bm2zTr3JRO10UBl^QajDyIJ8lm-bnc7?PR>E1>=z6*oM)OUd z83WNZ=|?BFqI@WpY0(9YD!xFnr>ZF+UCbPNnVtB>=IJ((Y1c79TZMPIr;n|?z%GZ- zQXAO_vA_nUvt}0-?wT`dghA4B%Cy-va=K*H6`a(d^Y5~+Z8#PxZj}vN^>Z^Jx7wF6 z`p$5Z7WtW2z9&s*fmrjv)KuFl-dAV{xxZwq26$f9pC#JE=DtM31@iWSrN0%Id`czQ zkEYXmaS0cEl4VNCteeslL5@x{M8qrWDKpGC{|Mh-iyo~su_jHeoHlxy-XwX4Wy1eA zclIY8!JFd;km%OmSR(q3W*kDe-_|uUME-^Bf75I&d}x1u?WbGHyD{w&S#E!bi|SAC z&zg6{#97RU8RAz`!qGbJh91Cr-T^ki_q-STsl=r>`KEzPuZ-4Q-KMNAv0E{J!#exn z6L-pVlQ(nCgZS@YDO)Fj^QY6+?LPH%TF48Iqb~ZlBd-J+yjDf}7hBLMI;Vq83%B%* zN$5JjfTm-1P>W=TN1R3lMFN@?f_aRLPIvFY$=Y22nN68~FhLz`(b#Q;kw^f6X8T7+ z@DvJ>zv~-w;P`MR% z+bJ28@STB?v$y-|+s*(ZK7dQu06Sr-f^QUh#~*DM+#5^xmu%cV&0<4qN20tsDbQa>W9s^vvtYu5@o##KT|p@pdOv#m9R> zsF`iDyVN*@G`_`7#*Gae%r2dd(ie6(W<>lZjSe}iB z4*uj%Ywhnk?7Jy-$lpSZ_M~5t7_X+-u;>Tjmm9BfaDu+CGaln{?b63`4 zAMF6$Uz&L5(n%2C3=-z8fy2o6S%c{|-@oo0k+VW(MN!>FrK&JdTQraq7C_D~8D#pKu!mio(KjQQ-+SyqG zZ~}|?Nu1DCv;{fRAFRmNvZu>Ej)~1UX>w4{T6~Y&19aF*2&UGpZKHee%bGPrY`QFk z%a9v75aqA*lk&NdQBpVz7~zrp$X|eP2RKK}gZBLI&t?Dk9Ir^{@yDd;wNU#U&Y|T) zxt_U=&p#FEAI->wKS+97(8W`37jMe^fS!a345jg%Of;g&leCbZE(=v3+Ffu1F^<42C#E$A@fhE;gWMLQTeMdK+0MU83Op#-)H*46H zFMgl^yK5Hd6ixlLjraDu`{dSl?YwF0XU|B@sLl3uqOZr=(2ZNi^YgbTNQ1}S_FII{ z0*$Q%C2B{0qP|85n^STOeGLvOeDq4o3PNp~=pV3<^w2i*F!BqJ6$3nl?Yg!SjDc2> zBoG)p)jsdhamWz$_D)wFcE~V%YPv?ZHz-sds9FNS>I{xYV}0Y($XcG;rS*%)P2Uf| z=J{G`dq-(4Tb?PZxaK=;lC=USNyKx3t>}*Ea%WW+qqXEANdo)aQ@pb7Nc9~kpK=Yq zKb4YwCr39mtm*5s@r}vn4OKj8Fe9V|-gu}h@u{Q^{cj$^Vh1=*_r*o+2j3HDbAg3! z@4rMF)xH4IkxUFIG77YKXwPTZI)Y@KRWA(oXPHEXNHm7)c4``{T-W*u8*{f7-utNZ z@7%mEqZ(Cn0pY$f*lg_I1-w%dmf}Wbe`pvkUS0Iit(f)UhTRHOA=TB1(J}TPzh3oN z9Pnm-Bj&AWZfR^LkiOEfv~JcIUvK|oode$x@^qH1MF^V-QI1AUN(8eY8Xyi_C^-)V zP`h!IxQW_)$n!$g!9PGDkxm-{|Rdt#pdj4lBG#JN5p zR0Sw$Z{Qn8yvnite^I-iPfk7_)v|Q=0AOX z9Fd;+d_w{fxoy1TjZpm3*(B3LQv+sW2>BcQAB9vKc7Fjl zpG&|}ZVM{JUdmQ*s>w|TeXn0Eefe`OVMt)SIK-nkbbRbLZn8l$i^+F$bBzTJ z*x0zprErihpCw*ggXEgx`m^QNN5_gRkIApd4bo>bL*w?`f#p!|FjjcuPtIz3@@Y)=Zt%e%M=S4lEXMS=Hh|6Srto*hH;U5Rgu^6G-ioQWGQqi_2>0|| zI^L5_9`W{6&ZCFVVvA9>gSTx}`oAU=)xKyY0d-29AXocbuMjX9m+u)S&G25Ng-U0r z0-?7_I)*V;^m!qNw0kuaS)wT8*W}IbvqxXIjZX;$uENIC0ws9RS7V%u!`9BAINGJ$ zXXOE--d><3eG?;tbCuR#t{39p#KnE{E=6f z!POW45M2DZkf&!&fk%pm@UZjmi+>zF|BOKuB*u?!UoIPMZA{%e*>9MF+C7Yh$(w2F z?9x;?PaO)8L*owH9U+o!v8bAxWQ5(!=`V_p=#caL2*7DR3$9$BLz|Y30BatNkg4s# zrwP9Az9}YE^`WStwL27hN*%NVXw)5IfhbV?M#pKPwnA<81+(T10tFG6mT6sID4hPP z((;I2B@AT^7f!8av$_t8k;lI)3;(G>JwQ4ySDzaps55&l05w0sF^SE%<&I``@)sB3>&vN?q%WHJ|5O(xV<1JIdxF(G9`8kbBH-*(Ibcs)3oV_&)HY+ z+%DwbT9n!5QEf2q^buJejATXCBG1(o9=@NuFQExeSVMj8!!dh`or1@!r!LLsn1I2V zJ0LD%MRNu}o)rd?VHsJwis77lYCNA>JCAA=)3;4OJ3;D?`37eU`|ezT3}oS%{XSbjYJAy58ir$5yjzlXQKVfu_3i{`FCG9zN| z8Z4IrE!&l2{qDqmJA(gdq)WQH8)@nCog3qLp8K5peXjS9@A|kv*P1!zm^Idz<2NQbqfj)?rbBFZG+JKg zeZF$Vg0ZRPAp8b6cIuI!1cBg?8cUinHs1s8$ZPX_j2u;wpeww zinrg9Wfwuci`>G(#Hl#Y^`z~xU2xfhx@Ex-!Yz*1B~&kE1Jki(E^{4ny0jv!8=mp{ zNSZz4CZY*Ii-*VB&%yawNm!a55Jnjcq@uTA8E%NE5~&MB_))!(p;aQhSDJ^b#&bR6 zW&rx#_r$aQspUsfF~>!g_l)ba)Rm9QsOhf^gi#Rr zk(8ERZMKT;oz)Nl7k=r^K4P;R9m8sHdM^swK?Nv(XzG37nh_rl$V~sswf^Dh4O+Rh z@nePwH8W;iv6TR;dbf8p7H>(=TWg!^T5^;67d^&(4S1%>>5MxU<8Jn*@YY#g= z@L(0xp+>sS+PQ2qs`hpX#VL09&z;Wu0cQ}^ibpx$A+2=V992OnuUm7|C}N`En)11( z{zhoNOq36maO@O5XOgOi7NnZd&S~G`R%}$>{s```;FvmsR`H+P4V)WS??@1%WawfT zVh_FeVzjqxErD&la_W&2dxKdf&Gk$}quFg9zI*H)mz3GO%=aAvjT#9tawJzdLk=I1 zuc#%>aq>Dax@~L2w?($7H+X_%oi{j9Cp4Vn=puRmYGS#lX>%%bt_VDtC>Iq`LHt8{ z8cZj|S;}&=2L@Cna%}@F?bxRAKdsohsw-_6?J5%py1oVLgZqHm?zis{*W7RF-y!hF z%S-2Hnu`b$0tiZG61fGaaP2;sjtp%f^`fsCF#SRs^TG1Uc3i>-N?74l|JcVn-x{*SZloh{y90&+qE6^# zppeohM+>xm;EMu$ z7_|1$!6c#JdjO~gfLh?^LkQRrF1)TFzDbg}o{ULKdWFT*91;mlzK(I1d7`C19f&=H z>UqztN`;or;pP=6QiWAy_jZ*3nu3p`8LQZm)XGsG&1+puHZ?d)8^YK2c=;2J!UXZW16X2A;COsNjZUXgTimZyIiAyt~3u-48hfz>^$ z!v>5ezA@N!k9&s+J$Z_E&d`NwZ_fxEF-|F70j6Er8`#(peNTnPv$S@_<^qBG%yQ&~ z#D>G82amFNq;4v3&?J6?%PaV=syazhAQ_=vTfoTQj`CAVhpS9NgHvbUf60z=$cQ3W z<88_-pdp6Hl<%yB-^6S8-n>=dFL)E`T`ml3Oo87A9&Ak;qrKMLr1l;@Q((#ly zRa)S}bl{T-jFSN#WJc?`h_HmsUTHYY!Tg`?%rv}eEQN3CH!@}WH-~W-B{YxTp;2&4 zm;L#9KFH2*Y7uBn%}t^m{lMMQBwp*vrd8qu$zq-x-varU%E?UiUDC|%ViDs)>d676yOiNf+I ziNxMwxqRp%JsQm!i4ti4IyCOp*~zPbYjydaZ?;qNW>M|$um}iAPE<|Mkni@aGvq1N zY}exDAD29{c~D$Hg(aa<+W#)|>h)`wv0v5M{c2x%mm1B>Prh`xbbGLQ@eX%`ycb@`7ii*`D2@Z1{OYWTd zm~xta${H~1ZK@h5UB@vD5(?jv{h0aGl%mSO3d-(34M*QrwyzRmBuUZr=CgMW=jc%* z+}Q4Kh6f)%e{4OpQVhFl>f0AqO5V4CTOy@9(N4^&(D~X$r(m#Z-HKQnDaQg=@^b*1 zVUEpVBoF@FoTH3U`d(QlpAPX^qT+**$ARR;EMFdwVuBsQHv{Yx{|nW7C{ylfo7R^}2g8arQ+;z>D&hRUSPjkqPR z;9Yix(};lYbw?VTg3si*pE3t$7sL1ka3f{qwrMCaf_OkK zi5CV6zL>=3OHbqM)Z5PKR+BI}WH*dr$i7a&L}Ny%vbvdRJ0wb)#067U63`f`tWfaK z!nJvwFz9IP`5w}@f->xiDd;O6jzNN@b?0g@@5D)XMJg`i4eaI zl@hL%>nO9CyK0Kh>JHvmRZya8WWQT`r(YhZhxXAyaMLaIvAImynPOn)R|Utxo-ChEBU!ljeaFx8D8RieJ>^#s#wYfhS!fZ2gWfRwU+lUt5QQ)L4wZ$ian^qGx030Q`dXmT{!@*>_RZx-?Mj~lsgvHf|`p6J&$-p{Szy1LRWwTS+P zHvl7Dv z{zjGuKfY3dPEZ=T;v+WFWEi_w5+f!djB`3{dlsJkWApnrwC=Bup$ldD|6*=moTY;a zcma6@XX-!C+<#AQ@bEh-35hC{I>-}7R1PgBqc*byx{Wrz%jC@muE_v{LH}9utH>?;QsXH{a~i8 zD>3S>C6z^Wkog0=Oi4~8UZ~|I5sV-$!>`*TG?Z(iiTjfi?X1^Fbd9y0e32#|H&ReA z?&c4Paq0}2_Zc$C_m6t9L(p+Qv6k;ygXMTU7>V+F#z3Gbx5L^q+zzkK*UBRfUaMcl zzC&ik(V(HuSz&X9RW(6L5DvI7%B-4v3ajt{e-7c-uH8Ymh7w?@ozvXrG`?zT)qbS6?DOoXvl!9?$B0 zWySIC27w7s2*7rP4F73H3n_~EU@xVl7pdM&zj!km(xJ>{>Q zAOwQ#@EuGtwQ1#w)1LJK&G^q*Dm(SjOECpxv(uvE=#&Bk{&X^#SQQMo973$}mOZzz zDW!^%xH-BkwP*rNn%--*;HfRoMIg7(UVoXB9{F%%e09(41CEx^OJ|ihzaY8C0Zt~m z?>+t3RY?M{ofxgHM^~ATr3qZ9mIzT&KRDha7Ck5|4Wu%&w8o*IVZ`*(*Mg6dpsqwv z-M4Pi|7%D3_n{1KVh&e0*RS3No6^!CV}_5ild`bY8!UonFn**}mTTqXarEXX@@~f3 z-ytcvr;A|gavL4iT=@$8F;`E9Qhb>Uuo#8OpJl&3kFEX|b++Okzze5ROYYJ!q-ihclUrYdusDhfZld1^x?fCq?D!qhX?~D=qKbJY;I_nd())3Q?CHj+RL1#Vv{e65&NC-E ze}Q^a)@(!$4n&SV*TH&VEAo?SMKY)2-V3UKw)jt~g8|L4Jm^2GBlDMi}a zoB}e{a$Tdd38%aGq=p1x;TWTKI5g-dJtX7`Eo#=5O%KDaNn&|?GBmVG;`V#pIWW0# zpQeC(#npGXxBcCyXbY1M51fY=*B~@G=v{lUw-P%lt_YP6_6_WW$3*=nW4aL-`JKi^ zXmQa?5_5;(j*L5Eto}(!pG))>J|`X&6%95+hD_lHd`m}ZRrCwPTRR#m2L&=vmJRbQ z`2)qsK%#wR`Q+C%oxLh`?Bl&orFXBI*y<{bX5u!zLBcSVjK>Hke5@g!{khpEIKgT< zZUX7Kj{Hg`9*pUxtK_Fua(ung;d<0JR9k7fXKGI5X@=&$txC%G774GEUQd1hk+|OJ znDab0ynfW4%=u~gxAEJg*1-UJqoc!hEs8YP;XzAsNnw6{sMB!V#976j96Ig+ce$Wl zsAP6!6nXqK4q8H=#@410)Z?a8Xm-$^WtYVr&tdpm8Oi6E4~K}c?jn7Juk zBkPNJd!xS0fbA7(@UBf<$S5O$ijHtMGE4~jQRY{|$`UFR$?>6Q5<6zy>+H5?_tC;8 zU#fmCbyAw`CL<$jHQVU$IU`!j)Y2j~Ee@)jDSO3TS<15?oNn@!)Il}h4@<3{hZqTW zqymSAj;%j^jAcTVizjv5wyfD$Vmn4=yle{C8AM)4APNg(gM))LO%M`P2sZ@WYCZJx zR_j|WYhC_Sq~sbvj|G$YXFb-#o()qafWI+hg2tg;HT$GLi0-JRGi;mRmvwZ6f5v*w~D{x z;4%7g6i!v{#?+0}puo`#PLIoeIQnE=5Z3T7#FlLVBH!o&LteK$w;5B`GP1lrjPER+ zYo!K-(>+;`uzK}=<|g?_CmwIrBMF<4)q6q>cXDzE)O{tDgx#S>={pAgx3|en^|WBA zIOb9r<1*U810V(51_nvOE_?*s#5MYF4MmC0{`ovw|m~csV2r6 zsDWgQ^%!xJGsH2^!zY%#tNkbo*XWMN8KY@)fWs!tM0g{Z=7m1*rsT7kEDUL*MCcZa z#S&$yUiU=Awk7>^y750Gg)d?WEk5_OJGbshXzc3#%t*osI?5TE+oD&s z*8d_V{MtsY*}oF;{}N?M@y}Ew{Rerw-ytUffGL`f@IFnb;jVRmheVz6ozD#+n1Lhn zgJYVH7Xgic8^&|feQz)gjg@eyE~6@S7NFCp$xv8(3r zvP*-6Rzx?gN-su&eqP8?)|6@*i(g@pztLM=J#Lh)(uf|R+;E#g*2J17TcOPubzo`qst$iYSzE=Sn_60>hms@X%r`|KG-bZxR{X(bR*~1L>B{PMtZK(= zqsw5TnQb$6glyPu5d5Pt{tr6v^`thT+X6sbQ*$oHK$)DfZBpmLP4AJWM3;sJcn;p3 zlnC>Tr)tk&UAld}ewi|9lnAzZ;4(uinxxNr+~S&J#OlhMxU}FBmN?VejmA}lV?MD` z-g(_wK$Z29*J^^kNl|hDQVm$&@6WOwucy>MLF>eQ5MjtDlVTH|UvvHLtIKv;@nj3Q zv?RWtWh+Mbd3g`j`RAteb1m~5AVb`%#3$AEksIMp+U}gGc#sAjj+NSuqr^JGVnXwf2(h>b0dahsQ)kWKsM+!#y+;u>TW`M{B; z`dQ&5uW4?^SF+W5B^AvLzF4(k^7i!z;HftEryQ*sF7vjJ%*0INnGkjM7|rsDWL3{d zr_m9U?r)?|%On5xQm^te{{Ie&bOXW;07bsbkMz_~Ge^CaW(6~L-;pmzLcy2WyrH`v zN>M$ss38`MdT9U1;g1%AwCf+; z;QSuFLvbxJT(=LXbQ}|}?JqP?pa`LD+FOYTyxH<{CbRP+pG0M5Z#MWcS!j4c+H6XBKG5JfU4}d7Aw3 z4NWvKvl(mGNO-%p{K-S@#xdQg-Q@E2+n*?*tQo}WeomMw>ZMoqfvBpgj8bcT4?Zwg zr6LMeQ(hkX2+nbE?D!l)Cg{m?l|pDx#Zv^MSBg`a=GwJHumTYN5R!l;7Fd`O;?plv zjbEbEZ*z?c)2-jp7X};%Cmg_hs~2I(agp=l0fYd1u1B&6%dWR?m2CDhDgA7pH+d_T za#Xb%ZIs}kS>*}{)B?HLKE7hId&nfHtHOLL!&%((k}|GvxydxRQF4%rw!_KH=q{D% zT`K7*4xRA|RhJ;-52{R*(K`=L6L2WnO?2qune8y~3b<8@Pz z^iGS9>pn164;#VlK6|QVbG7-{K_RR>Y8+xnD#>Mere8_GVW+LO`cTFqJL$?O&|+4U zlncEZ#`rY#La(t%{or{dG+6mr4C}A03VbKHhjhPijoKBQA{PwkAZKo_N#e!7rsWe( ztkl6V;G^#`yI;~r+X;~=#a(ANNt}v&t?{tqOW9s&S<XkF>iZu6RhuaJF#{FyxJ5u_H3C_)D-u;qMavxVbum=)cVQni5hpHyD6~Z*4W35Jl z#bNFc_Zn(GA|eRNgRAab>WaRyDBimnC7~JZYTj~UY?CJ_A6!@^UwLi>y2Rtqx@GN^ zuYOv4{HYh}lr=QWUma5X+LR1}6DZlEx5d9eaeE@r$e@S?4+(ORJ?`k*5#+VHMMj47 zc2M&3i}1Bmf6>c__gA7+iEG}j;fJ}gd=Y0qT=U+z18>GAap)@0Q|WF~5i#74By(LE z3Sk~OqAYbo>#w1|EmNOB^Ub7%t;OguQ?Oh{Jjd+S82pWVPg>tsCRQ3E0v~!Orgn=n zABCAqXL6#&uTbGis$)~kjD;rnqmVst;wVrqxKtIMdN&OCp;f4g8s2EQ9Cw^>o}h9E z2lZM4*$qjY6y2H1&tZWQR4`tQnCvaouZf?dji1~g&E%_$Q>pc44w(sQeOJ_j9kjYtPR{)9VTfzcxeT1-zcj77wKM0C^G1|8$+2Fl#Pq#l3|s zv4TY0I}g8X2fd)`!2U#IU{`x?&yxSfVJ;36vK_JiPLB0BVfdw5Xpo?Yje?Gx(E&T< zyBKR$_p1;bNLq7HI4;!xl3cWcdva7?oGwPQU8<3x5zT$^EkX1GK>}L)-GcJ5d_QCo z2g>zrh00(FmHihVDp^olZR&u^{-^7yGTYsGdHY+b95qBx+y{q1QtkR_Ioq1-Y{QFi z9vSp6&Lg{b-%ULyGFC5E({s;aQ069V+mRlqcU)nl{M7^TM8xgwjtg4MX$E{#NDx^PDjJ)kn}TZ``74{N9& z-cE#0y!cScSDjfGCZw1$aSO+@Oil4g{#qeY&Cus$m4?a@mL%f@WzpzXwFk{E)Q`Jf z@SdB$7AdZHlvvkWr(@gAC4 zwIZp@hQ8B1=h&yIN*^<-j!bhXM&DR?sxCBK%WCpGlkpfa$4J6$BgQZR#&G{6XZ=3O z`XzY%>2qS`hw}-bIFIB7(3lHLI)qUKuO6_iLkQ47Uz)T6gy-NC80|ebH_F+#|LenbEaRxOOEeQn?n@a}0e->qO>H^G zqcgiGlEO46Vz^Uc2D!SK`Po_SB~FriemWGx(p69E>|gi+H%Mhv@?NWNh&Ip$DdR=m ztYaQ6t4u$59llStq|)XuDmE#sn@~S(LbHTdgp9}8`sNAM)BxCvBK~gh6fE^3MzLLY zEqy(!{=LK{X>UAoWT+uIfq`seLA{)*b{^4Ksac$dae2xup1Z}X!!s>bA1a zn#CQzu~dokg?n2M{INfcSmEn%(WFjdMl)htMX8nYxM%nHn+ILTl*>dXL%*cF64-+S zEyRf!pdmN1Z9>4IUXZDDV9!z8C_}?ViI1bvq{v44#^mg4nEvpZsdi~@ zLT$&bCHA81>yuqc1_2g_*;#8+&(Rl^RdX#3ArK1TEn_#lpjT+u+UQY={a>|Y<*AmM z+!Rf{e}#CJ`&&uBNf0AuYS%E+xUkuGh^Zd@1&NbD%>#xCv2x?FhmjrMb^bN2vMPUzCC4GaWoVW@di=EBrXcFzZ%XHfx@Egj6!#`$txZy?{KKY#NY=M$p zWn;@axS@?|NiDZJ!Z|z0l-;f?`hiTNR~XyEq;s)BD$V+_<@B5WSF)=FyhA0#Q3C5u zZ=EC?xQum8{O#<|<vmP@4`6Z79<^lHl-s4SbVAr*exx7nk)l6+E?6!XAN9-W7~MR^u)oQ>@M`>~d7 zB?0mDfndoG-TS>u-i}jZ1$u^R*waH|9W`QUn_ZvRKE8+P>-%EUPM22Ok*bz`?m235 z=<7fyIrWVe`}|I<%^gd(<=y<@xs7EO5{-Lm&&ZqMa9%6ce0;Rr#`ZK_Q$n5&)54)l zQYjZYLxK8lFh7BKiX$P7>Xc9CwAFjk>M6Hano`Q?Y@0YfHLR1J4iWxgfDP`2#g~E& zZ1%#Sc>i+3g79F7FwVi`0=5UcPtSA;dz4g&$tg*1F3zi}s_WVVqjzV@4<$Bt^I!W< zzmby;@gbTCYZJc4$>lI!ovHEh;4)1E{7M+zz>tF2>`fa_aC_9|2z_6x99b+A<9bi{ zM2s8(mLJ4|{h4F9B(cV{4T@)7Pe{lSi|8GDXaOtLSo^2dzIxABhW@<@P3Y1@epHc` z{SmM29)#FCsM#ksa4;^gv54#R*RHhJCdoYu^N`MGl{%vGf3Iq`XUAV?sqt;a^GtK5 zt{0|KP+xQVig7g&DXCdO_cYDX)3cTtUJ2s?Dx?CixLg?6TpXZ)myjd?CIZkR@NgmZ zUI32h0W9G$jn@u1E#dd7T^Bf9V3b`6P8q+H4lEJaJ=3JYp-Dv5M|iC44-K@ZDLbvhND=$0Zbfv;S3>xvg9W;F2FwD6yU~1Z$UyK0HuMaB;$CSUkzNi{$T71=s zRF&S4Zj?+-B4Qm$JXO*6uC{5oKyA`JrJ=%0r=xVU^3ju#>aX|9#~6*wE7_OD$0g3& zY2q1Qh~eYRcCU;$B*}-H`nlKzPDyc@bxhRuS1gv)wX6&i6?25^svY$9N)iipyRIMG z;}w%0q_kN{MR<8Vj2i`8+5a#|4SZ?1H*8GX5ro%QG&k);0}YqIrW2jITbVh`@OjG^ zReI3GsrWmD(E(*1nY#9ier~~gV=M<$AC^ukZ}-ma=|WlYH(C3hA)WrK`D-a9p882q z6slC=IM$E{G$Zc&(Yo*9qV-;QJ)dMM&*g2PS!YjJnqTx8(4H|eEM76KBxwK@I0P)1 zQ8|CO@!n#`bNtv0!i{0-$81Ptd%zyR;R4M{yDvaCZWcJ2Ze!+Pm1VnBj-nUG^hGG| z#o3bc+(}sCBeDpVd44$pWgTgjdh!qW)?v>uMWYvEMH8zIUWN^cX=#zihm9x&jj##POJ&mQ3ul?T$GJH}WqWd}m?|VnF6TYCn}$I%Gt-3<*T}=n zE?s&q@cM{Y@RQ79*d@PF?k^|Ng+5XXBbI#gZp-8{w-^wTzVsz(4$dhUn@*D}{MYC8+UJc~IvneF6>T9X9l6|`Qfm}R=O|Ys!Ro6zh z27oour7zRm$M$0MHU7{|j`|AfjuKG&nD%7{r2c*@lIFXaAI^=yyXAoY_1zU?pnx z|N2qw{-m8yc2y@j8uN(ADkSE!M8bs4 zq-9mTZx)eQGaIXWH2Gl9Dkv(hb~e)%ML=FC_!Dq9rzz0+8H9H3#m22XHjS{DKg`Cq zx+O7-&v3A1Ja>(iYxhOZpr&qeuCu@0jqcsp__aV)?S2bqMN%r#d>Yf(*DXBTkYC2^ zUy0EZ3~c_2t-8%}pm3RALdk_NeV%u*__+f#xLl@5aG`hb*fEsmTf}l-{llwf;Q?=E z_pw9*W%LSkTKPSpGRai#*YN}%&!9*X3hl)Sj=vlnylieY{H4fzkmSXuUOy4fwAiz< zwd}Enfsn8o-^dOvQ~-0UZEcVArO=XZE$!hPdp7SkW`Q$a#`^;S>tTA=`Y1~!3YD1esA z!Y)iy{y5i;rq!N#8tN{$;&Q2biKiyNeN?o9yfJl4)!dpr4y{XXkM!(5(GG<7^6N`x zhA)q=*(S7|q?~YV<(b6m7Ihfz)_Z!|o6U@fXDIfsGd;hZ)UQf$cCtsIa(magqTr5| z2`h?qs3M!-F+f~{Qn2t}F3nu_^)fGTU1_wh%~>udlvq_#VClS3ka)AnV|H%Q$?RKb zUzT8Gzez!N8`&(j$4w;Fch1f=i{eE1#oR7IwYFdnlK`N-Ka>?A&^$+f*N0pL`Q50( z?q^@BS=vP*mqcy0+*{-A;7T!Uf+yxThF6S?{P?m9PkFozx5b6ig=>0bB~j9BFjuSE zbz6W9!}+{)c#O-znp1KGZ2D!Uza3eDlTEHoGk)f~UE5w5t<767mj5&$dOv)}NVf>R z0B<-HJ@zZP>F%b7sEUs2moK%e`-DD)1ZKQ=B5}3Kt7igxr9~gbVmiJ=yBmbLj)Z`t$EcLO1Ka1`8QXu+KppQR!!2qbxS=;z$tYk+~JO~FZVS_Z8syO z;#O8;$s`Wy3mo_|{7@=wuGKv_;C-Xu%*@Qw{v&%PnQUv-xIhyv+7z4q9Yv+EszUPq zqdf6@1V$#$b(XBvBw5nN41KQiTGf5tzDxZ$J$TMn3>if`v5zsdQbSXumG~0UU59UR z8>fo$?*40z56zG7+kc}~@f@MW##Z1?(!&}(l4^krta5L(CJpw1yC%NO?UDt#*75C# z(4B4&8iqSaaxs#9!lQlKdi&kw<=nn3wi={^n^4bC%Z!5kw^SCX(EHHccVD1K$w3F{ zOgBI027dL=6D=H=f@|9<<#H+v#Y&)__H*+DMZ|Dw-X<~&ObO%zA6}tN&-a|pyQgVC z7KURP%!aeH`%*FB9&kFz%)%3TUd9E9eZ6G>&rQ#7k>*z)ye3uw)Uh)6FC^5Tf+^wv zTaM7enr*%;Cs{PJu+kHol`kh6IArP6guf{NGR}1`(sMX#>dob#Ys^J)Xd@}j_p^Km z$+@s@IBoll5WGN9S+NR0qD&n9YHn$p!n1y*TCT-vjpMShNWXokiW&+kvoSC0dmb#q zk(Fn>@7px!ghj}3ix(9r#me@tKa276xZMA`w9rA2(zq|)c=7XHaBjpX_2Snqb|F7o z#b{Lb@ytDq7Zf8DEBlLHO(V$4w)Wf!QyZ6RLvihkFYauHU*N^ zr?c!zKrE2Eny3%lr`|?S74Kp|xnXAP{THkH9}8iGVDT_@ow$X(Bd;mD zK&P(5&`ej4LhsY)r?*-NqG%+a7#?BQGTF?vLPJ6X-xR0FNT))byso9)q&xf42=0PF zfgnAmC2EA2V97PS$Odf=y7D(Xoo%TM;&A!m+Vc`BIr2$xe*6&UpU;n<{=`8r^8J!_ zuIcgtJj@S8k1Pyv911i(vKKlYI6~QjuucK$AH5lbb{8P zUwS3px}DNFllrbzOwW z!)*~G&sU4#Ch$QeyEh~YT;pw1W!Rq)Qj(v46#GQwkOL6ce%%>LLAsZ#<7~dfgRKi?NH|(o$*6z3|4*H4fyZ8a$HxO<$w|^l; z4}1`8`St2aZ|dCdYFUmrsCGc5kg`w|_=7yO)Na8~uA^lGjv8T28!=<4Pv`MsZ|mhy z7r4_Hm}NxCi4swvv3tO#A-AbPZ!*YUbm<@3zo#=+BQ8QW+te0|MR)zw|C~B9NqO0 zK_xo!$9Y#A^B&cr*@J=Y0Bg*%f6J_RcAq`ao@P3=H!mmgY@gj7UHzJCpVgg~vUx`v z98(<*?~Q>TRyWkEWLX79E%~(r^ACzqYzdJ!&8?;lW)lObuL-@}6IoolELYAAqk`#lb7}_C`)|6!VK;rkf4fu7micA+e$~?;?T2A|_KldI)ADkD%n<0F zpNQq($6LP-ygU#y^7kAC3WF4&Mkv3ezC;nlgzUmu*3+!w!cf^Ri+B?bnJE7m?(w*Rzm? z6|5M(V1F)8pJYNNFN^0IE18&*h@r)~5}m^7Ys_%f6kRc#z3@a*Y{Ec!6XV$8u&5?5 z+~4oF#qw z{KJrxQ5byxszW^h&u`J!fjuGE3$X)W0LvKwos8rLV0#Scb;QYQG-CVU1``{cRa&?9 znc+Tqr2cGms(bT0^iGU)9`=a-01Qc>|Nt zrKXi+7}NOn7!_F+8v7*`w%S?T=cgUc9t4n12f8-m9d_9Mb)W{7a=l;2%m2j5|KPRX z@j*9}!G4@*i)Fz?hovR0KhCHc`?$)85o5Zb^Bu=yZ**zxbRSbwOSH-LS6!r~S0pHE z&WKni{B+RwJT()Z?;cF(6PZ%gP){zI8=}bAU7I<$J4bdy5i@;m5zb&;3Xz~HteuN( zR9lOp$vD+X5T1I|(_Dg?rffB(AfBN1#>iNc7h`@UL#Ij*jJKnk>@qt)2^2U zEg6V;h-G*PSi7X2?y7VTp^Mr%B}rEr-Zvr33SQPU^>bo=rCP|PZNao3>$>#6 zrkT87@zD#8aeM6d==qN}{|@+bRSW-r5jZe~ehC~;b@|ev2wf)_QId2RU{C=iLqw5Yx{@9{hrD` zRgC!G4KugD4KtY^!)&vbR^U4N*PQeCzQue;#_BJeZUEF5k{KhJ&X%gbX-}I24qJoH z^U`+direROUEeyrIV67O($ekswfPhG+y23jJtHW*vgS8?!K(ESfSRXHqcv($NMDjQ zl@gmj%)gnA#edm$S>i#=(9^Xk7&W?|Q&Q58U6o!+4Yf;Otg}e;&J8@N1F~B(P~C4N zYDj#SX3*u^hXO(scvjYvN__|kxdR^8+kS@#+Q07IK&x=?i(D&{Q{Bn;ciPAg3vWPo zB0-s3K0@Q?d|^fU&c-6n?748+?gwR6wJB>D6V017Y7=Y%yT|P=!4Ib$J3MK8z*Sd` zh8*`c+I$_&?P6EChjTi{EQ(VqOsaD3rq7=nSDrB1SXXL98Jn6NnA;Be@L4G*2=uJ}4sK2+8X_Sk%!LSp<V6l^^LIj73LafQ_hWpe&Q+;c*$^-? z0gT{6M+S`nnt5of9Ab3NAT&1ra~FDGyA6Z-$7eRqAD0Lh9YiTzC6x02DprZ&)0c~l zJEuDDV9IEI_9%V8En(_A1phna7@je=pzHr!YqjOML~7?VS(u9Me-`^6_59u*4`2Un z;ginQT)}Cl-b0pH5RKmrpR4H4b0#=`lsiGa;S|2BcYuRM4}~j4^#9kz)#|v%zL}5b z9Miv%$*Cc@+(!M|Fh%bW26|vRTjJc{&eqi!-G`!)3k7;{_|iUGCZbfnhTcu+X+{)j zKG=d+>K;QblRa|>tgpQX-tdj50MN8K@0;pc~Gkzq+Lh0|vB5@Asdep?}*py!xIG6tR(1lo$sA;f! z@C_1g*&8BZvD3Dz8P8X6Mn+aK-;j=8MsFpC1`h}u;{VqM{*A$se{PQ(Lw}5(`762= z0BRwT@Xt_-Rl#37WY&iJ89vSe4^S0@^#s61>vX_o2v)7SN6KK4yI5IgSS=!fjnY4D zHuB{qyNm!L689Y2|;RoF2G5r==Bq0f)c)hhg@=9uG1){})D3Pwq4kVqHc} zpttaluBCC5fG-B9etr-rC{eVR{b?RF|3w@0h|XD7#6(arFnc6{qQ*)J@182G2Cccko&E3s|oj0UNYk$aPS3U{o9OV9?D zt2OUs^*5sS+mlbHNMj39`g_1_%q`|jdSzbvMia-r`^>Fhd_rZRF?dc(;&vSf(rKmllpru z9RhD>WMQ;syTfNrBsC~+jRc~F`WZC~^WAzsZDRVJW9)kc$>^R)lAbXNZiTP&Ywr4< z)Xi~v6(_$)EjUYke;yRK1QY~?+ityVo0z^ZMtOlBslG$FX-{Mb&uD}?G5tm(L3rFn z?mxpXl3xU8oz!U}$^dn~N0gC@Nc$_0?R2`d5MCrV>e>g)*&lUP(w@j&N6=Fm0z$`J z2SBI?w6uCf`M|6CcPN6knpOMM z_aqX7Nbrn3=>*AbCc|5g%~3=OxyzHPkon=I z2(+NX*D)uAd}o-zW}i_!ako9g#L`8^@UEZ8qO1tB^2wus>jyW`ZJb#Wie4R{)hXDG zWG@+4UVi;${&LamWgl+wj++sy2W~}M)c`|eA-VsV@c0G5IAOkmVQSVL05jMB8PV1I zXrS&GeLb4_0+fVz_USbORpjw)7hYuX+5N=e0_TKUZ8GBqAWhSiN6>6F=J@jw0M!DZ zr&{JQZq1cUFszsbVT_8H%RhOnhno2c&FfxcT7{>iA!TM5U`GhGddvz+F`F@dr$_(`4>I* z2|FAeP`GQ{wXce~J05ZC?Krz@2nKLT&U?R`+0c|YD{)64+B`Iiw}b#<%|gR`M{?dT z^Yw1Pm^~!|{su@gM?nD2&=yyP5d2Jb9Rr{Y0DS}e{F#KAr#- z!aJ+@LE|^>M|hy0BYzTvT5a~D$jT0O+7~k}T>Be8O2BZP_(`Ed$VDAfW2K-iboCaY zp)q?y1Sy^OI4id}jK#@vD0loszQGt}Fpbmq_>N;@b3`I=fe$8mu$WS60XbLaUp$!p z-r=I*20)VwK7)R!bAN|}wK}8`YUN(T5v#s4_0z1S+Lr&z!2s9OAtkO! z^&-%9{do9jxZgGiEfYFwjXdc9PHmWfJuoU3Yvj|mx^+r*O`0F2yLGBffn(|qp_yaM zD)9O0B_?xFtmOI2#lw=*{%nmA$Nq3yP^gu&>iG-JZ{ci>)r(#~f`NjM$BJJSz;u43 z?nacM2A_8WWo&Ytc88xXbesVY=?A;gpEvgh@0-fKFxxe%F86BmmYUq=x@X2P5R`3lA-bp88AJMf5Mii>oZ6 zl*FXOAN`N$8v36ZsQ1AG0o_0Q0@Vj`7t*cH{7N){7CeMKq@@R*VSY=zh|wm84>YM? ze%JDAyt06(A66BJx)FmH{9VkaixGD*PJX5RQ81|NH?bGP_-`3nLBoE1MO;)#|FZ@3 zdZ2#9ixg<(59#@W#9w1OvhlK1fNtaKZ=rf<_)AGaLfpzs~Fh)2)9Gj$khZN(< zwLr@^Pqdj&v}yU@N#-Deegy-Z*pKj+lHh*(pW)57)Wgs6z%M+OgLcGi3)}gWIO%O7bB*=zheIO0%IEbE9P%6^h{$Qrq6AS_mRC%E0@RT)P%@b^REHUjL&kya~PO!tz>yuKwV1GSIRdk*CJO#P+LblSG09Ul( zKVIH40gdNBzQCA(WbiBIZ!h#rCx69YT)fDF(w>EE{fPPTa&#=&Ewq1w`Rp}JCN8N8 z1!Vadu_M{-_A??jsS5M2h*in%>irvB=XFV`U3eNkfC=etYYdN^w`rH%POgMsgkNWV zfT#ok z)X8Od95>8S=)4ZBJb7>FzgdSTxvkwaKl6e~kHVnuNxzR=(KpkxvVN(rZHjm@*LiV; zorUTi72<)PpIOx0%v#^f+KP&mjRSM`f++Ot_c;(>XpyFm{RR?)rf&!^nSrE0zGRvA@;9 zM#maKtCW$M@eism$YZ{5{!&l>Wy zXgcf9L{DCt>&gMQcg#;7h%rCYx3^}7nSvIG{`e23&MXGl4+?npjGc<(C;y+ZQgQrX zw-}yV_7#xsj3n z_KqtrY^3x75Bl8uy~4`%I}_GTDwKvJc5Lm)4HOkJ!Ep*c%zcJm<}Ga^7F!*8*&ObV z-55NbKRMSp@K?&duChM*^h0NF($Mvj2@bJX6Eo|j^E_V8%BjZ&Okp4LkH7Ydtk7mY z=+HiPILdMQw5F9}6T)IaJ?ESqD%Da%z?)U^)y(4Kx{uyto34FQ6f>>(GND6_Y>b35 zrpa;!S6w{x5}q1#A`a#V3#@@C2%iBl%GCh@rAL%Qrsu+P#KVFmRa)EayE=E{q9%*Q z+v19s2v5$>ZL9ctPhZw{2BGe&HWwx^_3K^9$HIdt;@_ylv5I)XM22{T`8 z&XAL8q-mqX?A)^IVI6-K;mP`WUYR;2PE;&*w}9q2?dqm*NIpkiFGT_HGbM`q;EIn_ z51O0_+s#zrvuv|hk_Ormv@;<_eA9`;jNY_s==@d`tHf#LS!vTvk@YvNXYax5MTtez zV7k;-FRmmgsc`wBtvIGFN!)ttpDm`hoe!} zO;ro!`r_3X6K5_{Dq%9`ek}YOkzqojqioBKt7z4?~xl>u+5`D`uEZ zgE-%`E1Sih%jh+>GYk{qPxFwEjEAjuuyzybtARc#7ns6Lky;z2JDzQL$$xM0%t- zSrt5zx0LoM@6mGIkCtvSpdc*nB4p?AWDvZYCNAASmP8f~-y;}IyX=p(RQ#2cVV7$B zeLzl9lgpEh7~IY;E@Y{1-otZgUqO+Mj)Ypp<%jC-yS_Ad_&h4)w!}vr!~XQ7JbU&v zlN(J>yglJbjtmCDSaAU`Y~Jt9Fu!49GH4PeNOk)W^j^6&MLbtH=Bb1yvu^x;u;1S9{<{}(5f^dsc11|tQ(4tnSy|an=HyiGR(PzO z*wn=Hp08-*M*5oYzS_)$*&*vJbJW^$O=~2qtdPw8{Nb{FrzFZEca~4AQ?y=o$JR&i z)K9#cYI8$eP=g^-BZWzcM>j!Dc0(XNWVfv}REVW2!@*bNMu{G`qS4EkEKu zGHq|%6F6BiTJbjo>EN$WFF42UZnL~aat9$%wk@%-$CPTH6of>6sUb{q8d zqAx>}h^poHfd0kP%==v`?+HW}RY0P)E+0%-$wPSlaQRVAimvu1+=C9oT6eo^g16X< zmK0^%@|6AkvV8TY(}RpM^1aqKNC?*nVekL(G0V#S=P~fVwX$4* z|1`1?5YTVWZ*OleFE7tvejXlPUtb>|AD^C{?(gr%$Hz}jPVVmRZf|cpJ3D)OdpkNh zuCK4h#>R$*hPJo2r>Cd4wzhhDdM+<74-XIb_xC3!Cr?jLcXoEp&dwAR6o!X~i;Ih? zsHi@E{76ATQBqQ(rKQEr&YqK#BPuFNMn-0AY@C;uM@dOZPEPLa?Y+9Xy1u?185wzW zbaZuf6&)R|qM|ZCKd-5&xx2d?8X8JWOq`mUT3%j0FfdS7R>sA}m6({w$jAr*0l~q+ z5f&EK-`{UwU?3tQQdn5n+}tcCCg$Sea&d97va+(awnj%smywY{N=llZp3cI;;^X6E zVPV0?$EU8Yesgm}LPAngQ-h6-t*fh>ot>SKkbr@Kad2=zKtNDYQSs^1r>?FpdV2bT zf&y-CZdFy)#l^*mi3u4QnfCVf%F0SJGc#ytXjxfVaGGIVU7f$bzqq(KCnslSX6E4F zV10c(EiLW&`T6hPzeh$!0000rHMNzMRbO9UOiWBbK!A~vk*ljK6B83092^V`3@a<^ z?Ck94&!2;Wf@*7Po0^(7HZ~X-7}(g@^7HdeO-)fzQF(ZHA|fJ|mzSZSpwQ6JgoTA| zZEfM<;e&&NfByX0+S)obH5D5hi-?FAA0ICyB!q;7gn)oxXJ-cq3CYaNtgo-Hp`p>x z(4eHGgo1)1At50rC+F$u>Fn%GL_}0wUES8!hKGmu?c296U%sTIq%<}*nwXfNqod>E z;#yi-Dl01=A0LN@huhfLI5{~1fk1nEdk+tfw6wJM@89F&U*DaqQ}T3cJ& z-QB&VrKPH>3JgHv;^Gt)70u1f{rvpiy?cj+g{7mTV+ekAxSk#?ENnKqmuZ11E!j;}>25H!!5L1Uiu# z0!__r_^8gC+o?#+jrpk5IAob+?L>fP<`NzbKqU`3Wg`zuBW`0V0e(bYHy$@@J8N)f zq;A$$HjX@QeB=gT5Dnx3pa1!oiJbH=5+_SOa-lyJr0TK?q$0KsKvGUdW(Ff>W=>Kt z{AA~1<~B58r6*-&W@TYw=3ruFW?*LKVFU1hvD!Zma(+ZoUI$|np0A=`{&_d>6(6~o zlan0}6BAe$8C}^JZ5>RRSitJe#LUXX%E|zyU~qJ|aWZgYuyLgL)5Smf5Cu9KIhfly zncLcs{^`@e(AL?BkDMG_Px`N+Slj)(a~ns-zlOzVWNXdjW?;v}!pO|@eA?(A%C%)?>AY{<^e#m2w_WaegIHv*p-vKVkO0E~=*oUEJxLuNMie{lzH zFKOfGWME?i{C9g!0MLNlkc*wcfQ8$TfgNDL4sOb6%)riK2w-J4W;S7E=l-j`u@R4n zt%J1zcwP{@44{X?Iu%>QT&kClOqDId8TgE7#=z}d=)oL`JbTiC$H z-WjN^EH5vkq%A8Vs;6vgYvrh|q%6zE`bAIXpO-4K+A6Xv++6H>aw;M+V)A+{?Cf9~ zJu6#NTfINCsAp*94Air+)pIg1wKBH>>d6R;F*>@K@-qF=Un}!}^7hx&znJ4?`cKaP zLHQq=4{q|0&%i4Ryq1~%y_o+wvOk0U|LFKj(ElIZ`>)&n&A{Ih_*(*hOWDj z$<1lNz;3`|#9(O1Y{y@X=Y zrhg|(;WzW)67h<>7dcYlssmaUvvwM~*H732ch9pdOlI9YO-uSh=2Wpz=TmK@uY?zN|wD5pO;EC*Gsd$qi-` zD_d3@uY^S$)qrm{V>7Y=9Xy-Y*7IvcP!d!!#vsX9Ea1&$wHK=q z5Y-cjLyxcP`_=O*uJMLy)_3t&Kk7D)qqaGK5P!~Pa0)0QFgYu$`Fa?7w!Qj!oMZb4 z5BT-vmZZeX7wZ(GDyhWXk2#>NWNh%d9C5(3jy&?d_82Bx$A=sgqeJ}5uzn{yj%Z%q zDX4-=fJ6Y;jblMDU!)*rcn~4#BHR z?5f|D-ll@eu?F_f*TJ?mrV_tsHX+n%Nk2j3`v(|1OIlRM0+u((lWMi&|H7oPGWTp zIwdEP8`Yo~Pp_Gin;q|)!1L}*kh2A}o|yk=8OqbVqcPQbi#lOh=A*(+j*8aEyFDG% zh(nPl>ft#d!m8SudI9oMV*lX617UgwHa{G0aqySHB##=i$eiwl3*V)39_{wRdN$RI z&{$Y$(H!;csg1*8r7X0`4Cwl&1mD9NPxud<#1EzO&!PA4p>P+W>G8fPU|+@Jj4%!p z4iP57gN}FkLZWrzBEs*# z?MKMIGjhSJ`IRjaQAA@cG+PV`fGn@OnbIn5$jRuSh(0o+)rlzz&Fvc^WSvJsWDmhp z434t%>V{vp@wUdx80Bk!dIjC7lI}>YsM~vbt)D%S9G~4m9HXRC$r1A7kt`;n|5j>J zxXXA|I6b0bZ_U%RHyI*RI{c!hx>sS=te}@3{}V*Js(&>isNM)r^)wrP@4W zi!e4RGcOk|kLIQid!5NDdq)938;*rjE_=yO)H>TJZhO~|=~L9G!!BErodm<_mM)%^ zIJ2vmdrykRzVCh<&P0>y>!N^XJbl^;NmEqnX9TiA(=~#< zrJh-t97!Uz`LHF~_%*zyD{H#F&&I899+;+rS){CJ$Co)Cs!@&Aiu%%0w4y$^Hv377 zrn9>nJhwt+)0*BZpC0`O+E7Mw83Ub*p4OO1K2l){CqGFI+Uf311lA#wRfjAN#uGio z@QgO4{xrk}nXIGgk&#n6Sn*R)J%rKum$fZvu147xoa3E&8Ops$+P+MvS=V2!NIQnqag8P zno(CG%yafc>MYXZurpXrquyA|e{%S;4=tR2j6lfR){yB@kA<*|nk)}Qp7LE)JO0FA z@XM5gTfGX$`0e6@#wPEB*RZ|F+*6X4xqHFn>z=s>@1od;-*qBzsA9;NbyB9(7pC%$ zWw&yLJA_w6iH$~bIoxAQ8~m8^B{mP~aC}EG{7k%{$ir@hsnE8g?@zaBIc)iYy@GMw zwQ8<~cE_%qvy1G`VLM8dam|6%>*iAp2Hl(+_orm@eSA8~3EQGS#Oe_gm>2W2X`MfuC3*Z z?|nhcs0rtefu&#XY`B-1{n-CWY($>IP_8seuBVU{B)@gx5M9Pahl=zoA*}p%LtNUW zK%#LmA2+Q<(?B&2sxp6MbDJ=Ywkwl94?VG)s-K1x^l85$?vL>q{U)M8G6XhO-~zTf z8wy~{;3u*u@be~<+m8BJB=N7JVf@ERp^kz?xaC;jQFj4ibN^wz{@5?}KPC-q&`wB( z9w!I^;O1aMXE3{uu7t7JhtcCWK&dgF-|X;B|GLvZ))sQ_E4~}_UT0lrhBbUW%9tp+ zxc`nTJ0bm<0^g!1EtZ2SV(~49WQ8%x zdcD{wn)BLDJ;&(%=GRyzM|DVh#D2}Fbk_TX5Es9i2Iz&Y>gMYcD(OU>A2voh9 zXz#*jJ2LN5?wY24k%Gq^88}`d0>i>nf5QBq%ZwC7 zDG*)w9P5i8WU|r9y`VQ{SyL|CcW(rpHts%{i~KyYaAY`@+KtZ^>d|doUO>KCXI0L3 zdlQZ-c0T>o^d(j~5AS1Wuk6_aoa8p9BXC1u4z#;4-8VujxPs(bjss8o) zgZnIOU0EHYLdCeKzvAHH&dG!;9b zV9#HJVf_MI?4VTf^_^z#Yc0 z&a-jx5E$!a3}8;UYq1BJWw4CmSuS$oJ36woZODw48y>3al&JJ7mY>v@OXLy#f&9KJ*(Yq^;Y1_AD zzCgaT%_9K)8Za@g6d3*cbGJ@Hk#uYnwG;lGj*~18e+njeEevj!(;mXO7gW-Gs$%)U z4S|hN>REd@)d1{Z^pWL*nCTJ^HL|xPH7q=G}>s-QspV<^3tWA=kuezwf zw?EM5j~zL!0-K>_;6KdJpTE%Hng0WhUcuN2Y^nZjy#8Ur2$Rsj@W~KdZy);4dR27L zS58{eo~d$Lw*AoEdkUjp#rhJ@TMK^qwjUy^^m0d)2&sUEMlOaebuj_hnWA;kBb$yp zQQ0qk%ta%uUBO1UhH^UqkDUX75l0Dh_vp4=F|3y)dm3`$N+*pUqEh+PA0<@x4mwhP zdItef)8o1kFVRpmzR^RM$GCncnEJKtpRlPJwVWg$Tr~@OT|db0$E0 z*)13is)#Dpe0|bC9ZE1ytu>m1RkdJ~iZZ%B0guw4_fW(|VqWDoC?~cXG7O&4Ba*k( zq>O|so*qbI;tP+hEPko(-k>^iI4!PRC;M!BGlP__BSGR}HKfoE=cp2=Nl!^C{-vn| zEu9Gj7eA3L{}!pIqLwvhz?9}{R$pAW4?hc1-@MoUI6Nw+=^pPwC0;&JvO4|^_b|!M zvPd;yS!~^H>1SqiEY8Jrie^wAey<5Sdn|HJ5^3rs-C8m zuiDQUbpxSa?w$D<{{TzGuNyH~3B46Gbh0>@^A#xHlYd(M^zyS@71^zJaqWj~6$$Jf zuBI#T5AHfotZU1sy9?iHjrw?1pY1|B{@-(v$Evql2GhyPO+N_Y@45I^OqL6F0pM*? zqP7qCUV_HRceX>GGja=h<-&(s8r-y^zuV#Ra+du5E?Ad1Zaa+| z*1};;#u?!eBV8W!?c8jG++m6C~@%YEh%eKk=E;5t55hbzR)rW(t zJ2_QD19RpN)ouHt-#Ya03mBAr4hJr1;IR*RfW~Ke)eYmb^uWiR^g05k9g4!4MUhWO z93ld5j+2s}v#hKNb;ILf-5xt1zKs`p^7Xgw!N+lm2xp_$oL-In?EbaQ~my7f;=o)GP22Lr|$Enuyyf$CJI|o zMUb3WS}j%dOrOO^8K^8V)M zVU+s4%p(|p(1G9m_Ue2UJjA zm5xsvA^Fn7wE##=S#l`&@(Wr15t?)ea)PI5W=+c|Zf<*c<=`yYi^d@rH;kGwYB~w% zh7vXWMb8~sf4e(3{`&~5_NC>t(Y?e+Hn7pTXmkjEE63kU6b~KQthQGdvJV}}jP7>b z1?(n*L5)GL!yio4y(PL4ibWkhPXl)9)W0KGAsEuhMU5DIbh!6DCSi2|$7Ph0n6+=w zfZpna!BUc*FY`qDRs27>=^+EgJ1$N!uxKhvxu4Gk=>D`9MW?KlDpWwG=%RXiGW-iS zy|WK3+JJY+#D23l`OBodEdz3w6bmK*ftXDfZ;#myDVHULuj@x5%hUxEAp{Y&lmo8@ zSi2QY)$Jc)B=6$tEhKNPOg&*k?Vk0z!Pb*)uR@00Awh(&2m)1nQO!>4UFP=@gIs&{ z!q-hU(`<4@dfVAFOt5Law<1(6;{WiKQ!l}*g`U;+^7n>1Nx`>P*uU6EzX=t(nm7I_ zRwg$-AYe26J{gEwoVQ{6yYLaaa=2iNdj`(aR_&02Vm23Bpw_o!`e*3Ez6KVzXrL$U zBOeLsux(I-sl#c13`St#ZHmHgLQXqCF}+r!f?H4Y5>{nnhSZS=egU5v>TqkVgyuZc zlSm%UfruRagb>zX=DPjGc`ofRX?MLBmXd|40qQVTenyvEi^x}5`Aq7c0-r@E=;H9L z@p{ksHEbIs&$BuC&5(&wa^tdh)CzYxbJj51>+xYG1al* zlnU3CCy6dQ24*+b2I}Vg4$Fa^I^eJX8XKD=&e{Fp1=Yvf)ucXu~moGdfm4PeKV;sjESpBh8`)S_4;8z+}0PPIAd zy3DC-h%VNVPV}qFg?-?4dFlwpH>bRbrPA4OU^Tw3RidG(T;9%7`dTL*z<7?IR>jBL zvLI$(nU-G%%BQ#dS=DGczdUbPuV>Tz@uN-idFL?7QiFDbH?z}W^YU;CPwdxL`E?E; z((K#EsP(wX7d>eVVuSVg9V@(q_ddM#iso7@Kz)%*eJ87)(gl`g;+rq5?!;B~EguXK z79}~I$H#_glKCn59332PncOHwtt-+uB|Cu?!?!y|=BMq%hnGF)tq1*?w1-Xvo*`&H zTfmzIdGUMP6IQ5W#gm+QX}qVe)%%NOivmXFZ`BLCTDn+8XsthSq_%4qsq9apILc&P z8Y4F;FKyQR))yG56!uGGayLr$-B2tBt7xz-35_@xhqv`b@A-b2W}L78+lU8 ze%b?dQ;dB=D3`@h=-)Z%<=ll;{l*amUVy%`O)>t1BR3Z$&=#f)97&O)hZ!4ku#?27 zXx$#EU)<-uVB+*=y-}~r)Ea%S7gHZ~OYf+%_4ux+h?VR?7OZpctM@B_&KNoQl5_We_LA%U{xaS&HqKrYFX)e)sn;2|6<(y%W+DbWxOa?CFfS#!KGb zy!We_xmsQ20aow+>=0DNgZ&1T)@d#jWvo&8`4-ToXnskU6tisA(>qSAcvOYmH%vI5 zMq1NY9Jd9u63!H8NsnTR9qJ}C^mT*gI3GptkE7`Y;ygt5U*dMRMB9@nFdxE3%2VPs zbwquml9=X|*t&2;axo{sW-1m0ru2LegF~G~Y#un7O`me`rvKV3W1I2Fyvvf_^KR+j zARgL!!}Inwa&gDo$Vk6iG(?o_1&cCtp&%)TF@DHc-JRvxkfkudoj`=5!Wg*_5}m=1 zU8m1LuBXp@q3c0ph%6ayGr&;CGUX8?+AO6WIDTU86d0u}k9><3822enpojWI`Y2YH zZk!F!W0Y`vjE2;FN(hUMT@#brc0+vV#iy186R){{S(W!-Iq;I3CPu`n0(zc7NbzA6 zAVuxp^YkdYz?a}_<-=BB_6^?n&?wOP&Q?`_m3X$!(OQtu+5Q2N1O{)gKVNhb;s_b7 zbRqkhOGHih#zhg?bWym~X^EzillgnpFUILF?Ki{>5sq@8mL&c4EgK&W2??zwj0=X^ zN|OZt2peg>IPG995SH%BD)^@o1r-Xc+!a%MXweYS2EPd}w?!vIU27ck+DG0UDtzz0 zI?;*2%)p{Bl4oqWtf;fN0EbL6ho|V$JP!usD>$x+((3IF$)*ZqkGk{bQEIc}Y6M=Z zL-x^FkUtHE>=1Z23ij|m|H6f;AJn-omf_!0pL(JuSP0^uk&hg&I+6L+oJ-vx&S|#T zSCW7t1YHi4U1q-29?htrFR#^@(|Qtw1WEMRM%Qs%8noeXwKE#Os)Z;xm53B`K(P6s zUZF~7Kc>+!fY#lAT%J$Fy4;R5k9AsNsrKg?JI%FMSxdzb=RfM_bbL+bE?G5n|KM`fTU%G*NUrt#h7iu|I+O6KbIYdGuJj}W{17Pq;2fR|GxFmv9TQ|?Z> z*6HH6!o0-Q#aik$=^*18-+K`|t?lkS&;I6^>VE9etKTdKPJTxN8%(k%B!&jZ>Ch6F zMW?$KWYI`XSCfj?^X;aTv$c%Fsa%rH%qIOPh>9eFiTv_QHMJZG{Qf(l3c75L-4JaD z3EiJ^14+(I&T&-+z_C%aDcEHZJ$~00v~R56wt3)$`(Ge@U-XR#e7{Ad3Kw!a2{?Gr z>?+jG6J6iA?XF@Ou}%4o*w#|vreB$0D^!ssmCsCU=dH8j>zaB_3WLt#RwSnF5GtI6 zpMUFgrDy{d0CWd*R5@9{?T@y>0CHmndE9`3RDQTk+AjZgzo7Fng!v+9Qt%CKBI5e} z`f9KcJ}~$zints)SF8hq3-lujRXNk5QW=O;g5vWLXW5nbWqh$bwBF-N>w|H9C&$k< zcMjhMt8?b}9=QwO`v^m|b>FXCtayg|MkU#TX37^DBM;M6%;LEZWVeCekgeaM;In!e z1!p2#YQF`2W@`(VM?97rNY64@+Y2Ei_EWM#;aQ2Wck5rC^Bwz)OV6mO?~LE>8$O0w zGn&nA|Fn5`PJ~iM{-w)Lki{-3t)6pges*`TvW<|d|od|0>7=FzRG-X~5l8$hpB9e%*mM5t4K~|6I!w~-y zUxmTX%5Es7@?#Woq;{%Qe0f^=H7aKhhNp+T&h#59QxFCWQSh+kMP+}v(HWXlx8 z+Mvc1$c&lj(QT)Y$}R!shR_Vh=2oBZ^@L=b-<0-SFF&lhcC8&=rPm@SQoJ)7&U)Vi z_e&%JcMSk%ps;Fte|-qCXX$K=!m$iT^y$-v!Uv_&h2NW}Tn?WpJ0OQP)m-T%8biLhEZI;W_I@gBW6GKW#h&Hu zPPlq6)KlIJ>0yt*BABR=p}T2*rVB=bQho&Nc10YEWm&%H-j%HU-nk=jgybW)?_&8j z6Foit*drr|Mo+X=J>$#0Y^8^z*Fn}=N6=_bht`05I{Q)@Un=B(e)sm8{#?S6xKgAxF^M8pWOtekn06>o z=#X+iZ5c9+PgMM>ugc)p4;)BBSUOb}^@NK!t>Cq#!}HCD&k#pi8!ikw-Kg}Q?@C;? zw>Z)nCs2LiiyxQA3R~EnAv)yNpxzGP*E81ft zpNMk@09B`wHb`sFpSt=}qHc>nc%o+O0I1Mg%0dp$J=W0eJ(l?xRZ9fPXw8#QG*UDmiV57R)2v%Kf40tIo;t3Pt7#-rd&#p#oM%LXEySBT6tQOy@| z6!kKArxy~Apd{rVoZ8rn{mAJk&qm11>v|06fklvdMQqTeynVcr7)!+arKsj z*=|P3BTSK&AEXIx9_pDeM+p|NVhO=e?&of{LSo$+6Es9P7!JZa+v)AE$*a#o{qkk z3EM2A#V6_!5O`B@8FpunikZWbTPf`Zvhvq{Q}h$Fh$67u3U46nOC5wnANq~JLtJ=V zmJ1)ciM`LtLbPd9z|=Yoz*-LElnU`q#{KaixUhf$`?Vtz=@3diP)ulR9)OOX+@DtK z!2vvVY^#vLh#WP-0c1-g^}l+~SzTsQYi!OKri(;WBgA$LAFjzx@2@M}Yp}_GxW70h!cBD$!{Q~ROjbR_sOGjc z^JriV;LXRQ?AVKm!;4<8V|w4$Te=ewd#BiuhUen$!df9`Z0+s+vNp1#J0Qwu)OGs& zyqxktWu8LrZFoAEF?VWn+4z{9JKa`(#DfUOE zD+=M#2%~ozG*_H9=hx6^7Ts``O3wk!2zzznk0WynWj^^PJ_hCUCcV4_pcHB|C*|wX z0TJ(}GUY6kPoKT6@xy&s8#5JosHdG#{Q}MGHqH{;+lXhj!-^)ls&ex`c&P%Q83MzS zACfG6kM+&(2l&6yTC}b|`?HlV3iUM6%qz=tyz|g}q9c zX(W=%^`JfE=V@`O0@6HCdp+H1XAR72y@Z|BhY~RG-oW z?9Bu$^~sB^1sB%5KX$Mh)vouF7Ae$RpYNz-X|f6%p+}!pds_1vI>Gj~NI|tC-UB9n z7b!ZlEnlwAQmu1T^gh^5uHrM)zbTh+xw-hMh4Q{RJc3$>?u(U;WHN7HTJDd@57#6UFjr2&(7p;O(*{ibTGP0~WT8muMZ2O|DNTJ;5b zrKshDT+5wu@AFQ5l6ZRVzja5ywBF_>lsbGfRR;m8IFA~!5V@DcL*?NV39m(ai1J_x8V z5+|rq#1TWwQNE?c#{P22r~}IQeJ>3qtg^XQ7kFJ8*Mamzmh|}KJplNjX_Fwq(pRY` z7HeN@%BS*7e&h&Dzjx%Q)ViNcgC$fHStn%Uw)<{W{6^!1Y7U0iSffiaV;oLoOMc=*Dvr zPzrT~&Avfq2`AdjY9JZUSj4Ggde?C2&UmF^u?7W`runOqoWKh%s6P^C-_Bs^t1PI7 zKY!)xlaqb&2FB4ZOqi|grEH`tLiHa%^gg!xG+E4+7ZX?Mt4s0cIK9>x-{cp+-cv+7 zdG~ClHJ|%bBhS2lYzn}NvQOQ%w@aYxEKSpz|#}$nlY`zZ-?uMG0vd5c?C#ypM z5y8i1NfS*szZr>4EiX+KzYnih5Q~zz{WSqnW*I;31&H!8(L&FOUMYaIF99MxK7mm- zcZ2J*NzorA(I53kosB4eXn(2>aYJQV$gynSJ}w_`Y0S=_yRc+7?N2skOyzdW6Vj^c zop7Q5h%d4e`oRPeF!pLekEBt+*AW*R$uN3exv?WP%~9*>`C)&8rhmcfIxwvzM(Fa* zU9$n}u%>UpWpFTLFr>#(b2CS4cBcY8+$dlNTThkRpZ}yG3m4SP`75Z~(BaCy*{cXg z`gg8Q{ppmnuQ$v0zM%N6H%b9tG45xk2hSOV%e%v7>Bn~aLneXlrm?**LNjOfW}Tn< ze+7ZkCU8l9nLNi0TMtt8ZT4%U-*Mf-Nhl3;+RDg*bC=%Jijz3>X*Q-0FYar##FdKg zVp$>$sfYQV&H3j{Z;^B10!pSHD=OxTF${;B`egt)q8vPQ_5j;n*4*@qN&EK>UvkAwz><-w!w=5bKO=B#NY~sOH9F01npJZ8HiUDSL!$mlKx133-nCbBW z-a`g@2%jNBpV?&bUGnFezFudF_02n@x*K|(3^gzI<`)=yBj}HuZ7xeLNQI4R%XMDcV;b&S+QyqR3WhPi2fw+b_(Bt|9nPVBoXU(8 zX+lgL;S@6Yh^lfe`ODprFvcet2ekrIejNftZb95keNh&D^XVOrQJuJ$mY3J_SNX6n zdWj1c7dDO!3@!xhsc@TJ+~{jd!Pr){Hi?X`V_AkyI$oN;r;eBOt1(a4*;4x?loVop z*o3&3oT;%wzUExGaG_2P9~l_=;mW~~DqK_>L#R`F?z_#85pn|nHREM_j_D;i+Yd`) z=gv!Fcck3bSvGH*;q#jlIAxzjSTY^3<<-F6F4>qwf1#etdwE+T`N^8|)Bd*K9)vDW zmGz1OMp&&l>H4Ro$}Ga88_Hd_?i5BV*duEK@<+5VD8`Fqsp;wZ*&D3!}{{Y>8&xuuYW`PJl2_}sHmMP|5Ar1*qBtCfROP&TlK!Fag-urj6)$_2TeS@T{y>v>2z& zBR(#H_cvi#TWiU)COAJ`VK7m{^{fte&fa>To%Msx4GZU_oNuYZe(XHZOBcC`)&Hpk z+L8A616DrW%+WKq3|?=kQrU%LqYTwd(%Aj_`fqj^^iqa%b9_}I80>Ll?y5lp|L-E$ z4SKW@Lz=gG8TNN)s~KyOW+A(ZZK_b1t_N{=21JvTh)a(=goyfWTJ%Zgomg&AvW?=U z%pTxjv17ZLh4==~=n33>8M$}4WmRcjf|HKhpKFCAc@?^4{Ej^%BuCPYGHh7&P8^ei z3&mT`yf8(FFh4pcb99n^pR&=+VI}5PL{e7F-P~L=&;-!N$lEky0VR}=akrPoLl~44 zKH4sh@zZhA=ZCwx(E_q#EY2gD|LX`O#HO?Hr+q7^jU`t7O73DAfCRw!yAD(!Bhw8g zwo+i`Tz-~BTqws{mLZ>?T93`|*kxphwIWrmA25BXmYAGQ!y;DW~V5hW-@0o7Vu$ zVx^(W+^7aUewZBBQ7UrC8R%udff3^6VngpC>Hu?}HV{oB%XIWx+e}=k$WmnZYGCzC zbi_=RO^@KyYaCj!zW;k1Z+nUC8+vbdKM`ZX4ul`RPz})l$|kyk@CI$N_~f{cJB1Zd zkAl#UoepSdkqD8rYWwWtHMwILZSpTNC8ecPzXv3$6@-Rh!~9}jeU%V5oB7i9b?PIt z8=iuXAD)v7wdTnkIi_d3yC@L{X(EYqM3+oF-nkY_WU`1pm=H2gD4d%+w*#NEL6#ml!HqXF9~QR;fwRB zRZB9|Z-VnsL@7T7zbQG~Sma!5h*Hi7wvXTSz|_d@4Mr`vsNPfMGCCDXe>yso(S2`~ zsKw49Q2ufJ_hvxWXhdqN5QATzgdon-JUqGM$0=`qvx~cRIe5*kh!2xN9~4pMJ*=96 zp59L{tc|U0ZLWvbCp@QNeD%B>xRBiLyEVDaoHy!C*`fx!ezSBGSafh%Jq@6i#%)qDC_fqRA;jAx~HPV8`=sh-#hj zhw=7)tO8HAePlH8+gl!$c5k<=NXqwc^BxVgd9SdbO*cI#in*?y+cJY*Wx-p6&Z1+Y z{<)Ij&r+`S8O_U2r_O7_UFT})btHq~F*tx07?Q9~ZUoo6E(63ygF@=1cJ+L#2dlY_ zjfp!$C*-H;_o|9F&YO)1@tbzmZw?xIm{El!Or4vb4e~ecOwV4XM?Q_L>=AI|M)f}T z0dgp^fNH$u96q($pCk@T^J&_b#2E)v2V9)<5=z6~pocY6WgX@2Br2dOhE%_66U$mmUDrCWVK z`^wLGocACe4wCnqp%hT)B0(UTQfGfb4Co?8dKnu821F;P6X^d&W^da=MF?(vS)jiL zU@LsPdWg7L?Qjy8bX8R;&&JkgO$oH^F+&8kt}r$n4_fT2H2|9=&D}}Kq|IG> zNr8t$r1*z-b59<-M|Eier;jt+XS0+;;jnNaXzv_45j;lLhF=p~<*U0PktD-D^?=OC zuSJDyqxKafL>z+hSfPVR+eC{2HQOHSqWoz7=z4{i0h?Iff-SYFU51tD^|Fe@cA#WE zkjP-Y%fh)f?5^8GA}OQfgQ!!Q2s8Q{=7LZ2y%X!te4|#Z0to1NJE^Zo&l}Y&dY|&Z zyZdHM&qHNbs|wLtShn2M!-?|bTXz3iMiKDZ#Jt}g8!F7-WYuYpJ&todL&eu=v7sMc zX9jvP^7fRzG+mfo{Y0_clK70$-oJ4{_8uYl=749(=Fz(+qUe(bwK>{y1?oXH+4r<(ivoo@p$YLl>tjL$@#cZ+GnGnhFl@N-A2^=lUgr8V8JF zs3d82o#$r=*-G@85AgJ=iz#hR$`{@FaDv4S@bICc7<=_e^ErRJbN?)Un%$P<^DJ|OdW>$47)yo< z=7$8|W+~69c>_+9x{qU`W}Eop(1J#C&UcR+2o*>-kEK`LVnW$Q*y}XcZ<$veWeqWp ztwY}z6riWrp|jEU5YCD1o(q7J%9VqgVi9-G@!AugZq!E@{BuaY0(y{K^YO2L)K_Ef zqEcP?zarH;&B4#6%V@aXIs>e}rFPz!cT+`ywxeON3ouq^i+;A35lO2pJY4#LF7Qi5rg^MjdRAAt1T#L^+h zJXKPWMjYRk>N(VCnL@OItNSeeR9Q?k2y^LQbzCR_ zWD#CPDfqp(W3%b1Jbu_+*&9(q#gAvv678i)F$WWpbsuT_;`CaiUZ)k&+XSse&H_b+ zN{p%niSMOe{&3-0=CGc{hNmCujXwj|rnGG1vXyUYCMNTyD>dkcAzdo22w!TiTt3Ls zZPr>&KO=6VffChqb?US~?-?|B64OQCW|eAKpI380NT@`hqvZDjGFwf5s?=H-?@sa$ z5`pv2=*2DO@x^5_y1D3XQAwRiBqqwSyP7B`5Swr;D#N}6&c1`*>J}=TH<}b2`*j5o z;OiDP^`>2W9Z^Fvqz8+P9pC-)!z7&fo_WH-0#KQBHAq^kE{_HF3F+pG)tX#!qy47h zMN=}i_w&La)(6zeJ`6L#wJZV<-^;=q0YFrbM^+C#Wtq7#LGBsN{(fvWoILKLBi*Eq zlTFQZ5`pLVjS=;?bX+cZw)wF4QG;a-X1J2g3FpXIBjJ3Wq`@8=?mi=TEp!a5FIsen z(-RrQJSyZ3$0@|cIMb@EOA7drm9nB9bbmqPBl|r=JQB+I6J?(PpZo2^+b2}d9D`f> z@aH$@Y$-x+80Ya(2sr6u5|CvJ_zUIhWx+Q9<+q~;wrrB{&yK8yoB0RnhY^?5!y;p~ zxdIMTS%ng5&X_aA%N(pahxIfP6Y{p@%r2`)9nZxOxWwA{#{Snj$&rRBrpcg1_9WA4 zOT?>3-luV#>*}0A&>1PFF7^=@jviO_6W!rV8Qdxi6Hr z!P8p%hF!ri2tt|oVh7k`41-MB@=VL$;{On}W-B6X*Q`fq5;&vjuI_%XqSN|+0YN~% zzj<@}_L6Yx*h@mX+K3ZtcSKWH2IoS>rj%jEwv$%d*xJ@DY}qaz%)Jbl;r5YN8lueP>hRtv!Z z%#>H*aLsG#M(O?Iu=@#Oirp$Iv|WtdjB{J@e&YIgg9Z=Rv~in~&cn-JZ_{w{B%`s@ zok0MTk-!K5K+DR+Ltbed+ZKqMt3}h%TKl5VBIo-zlYH+^`d3{dhohkxt(o`zq{Gfx z;r6Yq>FLg{8j~kinH0pw2YSSl!L>ofA-V&7xV6CgK&la4odI5n!&g$mWO(lUyQUwa z0mg4kpyV>0@wedc#Cm09rQcTD9}baffd3h(+}Kc&>1SjD=~rKd>isRTL3) zO6Fi;IjKSeF}_x@)|FEd??<$CK)5O1Bs9W8Zi0lWg|mfRZsmcoD-+aO)z${rW(LaT z9>KVV+8Q-MG&-k3Fsxa`WSnn<6hJicF*5HgKkbo@FP%F)r}-`afbCnmT{aRDhZW-P zrysI7MC6mXdW^a4O5O{x_y(=pPC?y;aoOagq;&fapGo2CT8acau@Mldw5tPJ zRZwH9Fd1rez@n?sRU#NPD({QH_UbtZw*U~g9ql)jg6s-(L#=vk(8F~amGn2S6wQJ` zeqGoOoT!U#Wp^QqkOLq@1N+xvA+)>G8(K)s2njcY#)EgmtEsRj{>*wN>Ryn#nlW6}CDoY{u7J3mU_0%*>m0 z`z$_E>8XcNA;@Ghyg6d?E<5UEk2zqnl+=P2Id;K6yTfy27o?BTi2!RRxCLPemdZ%v z=R>%W2DG1L0xlt=Wd zJjwxZnP>rks~p(7kl&%uLHxW2gJ7z#{?5iwLCQh*8%z{})K%aRqsL6Enq+wMyq!v5 zDKCbnS1$Tl$I0+z6K80slW}T9Lc)B*`6GGU3`3u5hGuZ8lMgS(+sCSmD()E4cFLU5 znZCZhvBL&b!~C2N>BNX~jwXjw@knC2KQQr}i#EsNht~I(fBsSjat(EMMM@$5Oqew7O6MvIxvOhLgGp;a zL3}}aWjyscrMEr#akOt+rW|pQMFDDQRp}s0#;+^cp4@gc)Y`(;!^G91Ei`m7VyF-g z074@&e#!wL2U!ItUeGb3SISmW8cq0jzzz2zJK_Q~78T6_EHuBjJ}w!3$m{&Z24BE=ip5aL!*^QWE>fZ!6x5NIM(HF;43!-t^HvxL03;sG~NBw~@XG#ulY zo)&bCd>ev{v{NXeut-pU-@GY%(O4vg(f@QBgWLn8N+`~ZsH*bN>RF>pr}QCvm_}D) zu(Cw{OeUShV!ou&1H2rwFFx_P&SHSJp93yDS^)E>tEe#oR8(;F#gN7!nxMdG)-qYO zZ1~&xu_*`>pur4bD$i{zG5{mn4c8+qIaDe=l45~tRcI)(aVNW0nN+!YxVmnIf5fLB z^-M2;>leFPEOvGd_USdc`aaTxgr zz@nm}wpimAJq$epcX$?rkHso1t_!H|UxNxcX9tLO=bHrJKgb8$V?Kd1E&5zEg!yp7 zoa5#;V^+%E&X27Gvq49RXlizg%OPMeO?SQbA)I4s^o zDwc5P1Bb$k9pmA4u2`H?c5R1SZybILv0n$8Cd1lL%8uk&(QyF*C~u~i@=4iM(o;0k zsg3#+JiU=KC(dhS$f{so6PlfkSR`Z{luVD}DJi9=2*6iHL0Ft@A;N<2={g*Je6 zo8Ekg=8x+YOB$*xg+p* zNm8KuZZoG}$x))0k_J(6wF9|(gR2ZNM(U%_5OMg}LPfRQwh4Q4SfHt~O<{aFe}EH8 z1Hq#;vszaiLZ&#A2E>OeWl@VRGBDZkt$ru(_7gAtMLfk=_X3orNTjd@TQje~A&b~M z3NO3i&1?B_^t<3@)+{*r&WNFpLqe%8Cw^kSaLmfLs~e>==(y~UAd=sMw?U?CoqsDb zKe=@{@3;=<)}jaHOd;bZ@Xk>M6d^v`#%PBdLwC^R*kB zVXVbtNeb%B3ue(V4mDCKk=>;GgbXN*(J`UqvU5A`@tZ2ARm)94F1Ps>38Fd#*1X*| z*A`&5l(xnj;j6V|k%%5euuhn}L7IX_3u2>JVba0YhH8Zn>0T^?U;uXqA7R$`+1>EM z&Th*6jGlvrD^4id#6>UEBhayWNPy;CnJ)kQ7P2r3)NK(egthxzu+~#8_!D-)6nMD0 z;KjSD>Xx9-1&N0|TshVc4w1f!jGaRvXfv~5+3W_8P(w@h{s8X2g+891?zSOW7l5YK zfD^zzk)>{|hUC)Q)Jd^ebQYOdSGEvtZ~8glgVSi3vD_AR+?4kXadHTY2BN1R3PW6l z`A{N~r0ih?;R8_mSuTVa2k;KRk6ixZ3tLm$F>|;0K|!1Or?xXcm?^8Ik$f1vdD5pV z7ks)pM0IoHCkt#F@9~sQ=)kB_>sTvfiWZClBP!()v6;`_mvlXrNTiaMpG=*OOH)#$ zlGXweha90Jgk4>*uDYoUN%=0=&L$NT;Qlm&7dPVuE~jFGy&viOWOPPSj8B1#u0#d7 zhkltDk*sd_Ac0}X0$yV?_})wMDf_K>xr^-Dp`S(oH5x6e@?TPks0%L7I{M;WE23&} zG(`e~FbBUJhXey@n{-;%rI4Jp`Fzv$Ou}d@xL{!eXNnQphdK_ii5R`D;UuhkLRK$> zx2OxC(Uk!uPzBv9hXYc+>Vl&dm9YWTaVF4Eir+QyV)9p{;tn4#TrkOe^({*L!iU1% zI24HiFljvW)vrq~UMyU$UCSaTyns7)wsCO5qS&b1X%=$rf{Pg*l+e1~tn7T$ ziTbrW8KxBb_3zmj{z7hfQaB8kouu+ByzQw6h-6cI)@JtLq3NaoKtr}J-R|K(?(Ci$ zN`14G&cal_G`Rve_Q+y(i{giO*IEUS00nrL2XZ2=z=pn84oMuM@7QqWw8JoH)6~G zK}*6t?|0!~&DBEqb81O*bIrk$apMj)mo(qJJMO}`^#_vyXmx8Zu{h`a@N|)^-pbFu z;bcG%+&SM^;nPqA8h8cMi$sZF2@yV0cTnX?0f|u7Hq9s?{~=W;G@)LKfmU?kJev*bfM&G7|a`Y{qBWj z#|(xv4smC-751aIKY*6_2-(kI zsj=g@YpVJ)t*z9VBp=3{+#cW=;81Jq`)M1;sPg?7S4wcMfx2iNM~0X0YICW;I2`pS zl$I2uCtPLnzOCW3M@c4Cb2QV)CF3Z({M}+Xm!I(?Hir0=x{W?-jAsMud5GZX*l@+J zKc&4-4o_>ane^=}eQIy>FIRp&EF?qO0T=#U@Y#b27?TE{!f)s9gJ$TPW-_R9NMx!2 zpn?ZtA>~C^f69*>U;WO9Sv~wVQL6R_v}3F9y-F^Fki8MX)@X7wtuiBmD+Lk3ax}rt zUO&~!gRQJg7R3y09OBl1Y=}K65d$5lhrP@Rp?zCrDv~713ee}aU?`6xl-f4l`VQl)PiT!?yl$SOZQ8C9u9Cz4~+|$~)R8c%@4Jj_QK5rGL*`CMo zlj)7qTEzwb9b?enDEh`vL;~NyZ>D8QUygd#z@1s9hvSq+gu_sj5Q z76kWIS1r9gu?_y)S?{#Y{asPL?Pay!r4pP*wsIVdHQ7e$RNj>=5{Kcbl+HJvO_i36 zq5OxK56KVVT9&VyH|Y>H`WI43t+?L8M8QX6=AYG$7WiCGTuj-^it(AU@iC|GM{)d) zcsFJEPK|pt4(VX*SZ=r>PxtMzWw2mSfeax7V2iz{1SsEFrl%D&*u7>_lrYa zcF5X{wfxYjSv~CKsES+TW9)f#ujIpQ4fJt_Iz-klx!{AJ6pIFzbCHgt$L63{AD1=s zaR@#}w!&HPKf~dwo0DMI?!F|6&_*ntThi z$jY1)<4oc320Y+U_~7P87@WDc4@5eg+|woMO2Pgg%PlrKSGuIIOQf`y!;!t5B9Vyd ze6kgXF8v2v?-4Bx@l zsJ~H!!QZEJNkl2V>}6xs5@fljNJX8m;KQ}y!21UX|NLbh+}C1<{YL!dQ2P7#d!Xo$ z3K%DQ2XL5+7Rna@`rG3$8;GyK<1Ong_rc#aXiRm$fpGPi>(d~dW`uDVx0a%?GwGDQ z{CGqjB;A95*ejD~&-C?Ue<4B`lftlOSiAU3h@C#XU@E?xlnf=3E)lekno8!5&ebFJ zLl&S)HkLG!miZiV=SyXhbN&|FYsbm0^ltwlRX6&Srz{BId`n#?JpfM($-@ zI-(uA`VqP*0m@gDCdQg)`0+Pzr#606w7aEbbI=}meAK(ahfX$d#;^hRDjxZKGiNerhmEe@LT~Hu;Xjco zCHn^clvpjRP8PxK7>DJzAQ_swla>P!x+GmJcO1`?h`wxsd&q#tnm%zowRt36!Ig*) zEjEyA=VQgBVTrF%LLuiF`xzN59HZk(0cA>vM`f`5)E1l{VNxBO>1%Cr7%wlnamQ}+ zQCASyVeyMJ1^E&+k*6w)+4+HH2j4$#Zc zXdWx>+0-xqgFl?U6 zs?i*eRtLnYg|J;;#`k#y;ko|@`H3JYkuD`bN;yJp6zqa z`JDIX{eGV3^E@dYF&YkbL1Z3Hv?sGHJxr8&c2mjsS~)=HQ;^Y8Ny;1t)IjolmDT`4 zDed{u)`ToW)g}8KLf+)V1;}+sc7w-}V((}RTpD{!q+wzl0LhI8Po3Jhak28DMWbtj z8-L;Gq!v!;^Z!mkdPQocpE`N|t5c1A&n8Aik;HA1F4ysIf;WgFnfcSAW>G|bz)xYI zyzRGNrWk=3#SS^X=h}S*Ff#N}V$WRc{DMUf8-3#VH5WS%q;)kc`#uv#!8rIlk@zs7 z23o?CGH~cbC4MMw11p@B&&l=t7?S{xO(YS;qb0d$S(v1Z2^fq+zvQ#SVfQjZwx2zA z?AUjox_q-cab2@5?T>x??x8K)3HfzPtygXN^_X*(jldD?n-7C(sd@U*qHD=3z_!_rs0bP8>+p(x?d<`oW`87vn6KiDS44+|Se zGv2m~qzBlm@qRumSh!p-u-Wfn^n`8EtDVpHKCVJHfwk;$yTHbxN{n82nm18z^_CV= z4a0jiDo-89{wcqveVC`tbNG;-QmU6Xn(WF~=9%Q5Cd8Qq>!?8$2?cpc0oQQoe||W0 z9zj-}J6T*@?DMHh!;z_jTF1xtw0`%H&81l+!p6F`wrnz&$4mQ%+1#iMn@e(R^8#WV z4wBy3m!rs9Yky@@IgZtflaUX#J2baw)M#+?9a;X(2VZN{crN#h%$nM$QR6PtNMqa{ z+xa_}eD+Jp-&b<@`Q<_b>j*VsN9u z&6;{Vr~z}6hs_30?XjJ#r{m1Ab{yW(Hrqoo5*z}`lh%-p0X!red;ZWPAgO%iQS#_> z!y*2c^&?U7|5ZD}Y*O~VXGh~>=6eoRPoCkTv6}gT96OR=5YxIp*$F&TAGJa!&Q9Y{ z6uD0A!?9!a!yNVNI|lq2mk_3DZ)D^+f|SL07HJxFBGZg~eT{q_E#HjWL=aJz(LEu~ zVrnwSaRSYvVg$jyJ`1)L{vZ>yvwZbdy2}L#hZQH!TMqNnsqhZGGR)O=nB^7Mz|CqL z9`AV8!O^I`ubI7OPf?fMbZpH7^y3oDEXPI;(Km?8%L{!!4*eqX^E^6j@uYJ{6I*x$ z*zM~PMyH$GW#s3TmrM{N)))S?)9}b1FV6Kb@3k|P4da62?Be3|M^(_-8|jY9ih{bq zwvIc<88j@6VpH$c_8=>C;0D>gI?2O1C5r5)*^z5V51Vq2A!o?wfX~c6q-g+kFA{P_ z^@)WhJWW@hQzmA5y!Gy4YD<08)n>sUu(fo|oBlF-2kZK78a|8sZbZL|-ypL6)F$*? zXNvJdd-Ha4f4P5cjGj(p`qiuRzL-?JilkraQmsDOJ8Mk)#Q- zbfT75&+39tC~OE2M&6_ zbZJGqe_-!A!PD83kMSt)QTpL7>_4^^qzf`Y^(st_^fcqG8|k$!)wq9 z_(V6FCJxX~zNfaFFCHqMqpPs1_K41#IAq;#&u!oS+e!Nz>0Fl#LUPVFYyaIZ=_oXa zLkJjQwtPbF<8}b|Z?oCF_J*Eh0WA?~by;?5k494rf*?M^Kt7=JKa8;=vR*gfq zo+E6b+jZ^`LLm=kI;xM-7#qYKZzLn`JU+=(2<@jbSFAL*cus|Z6rFiT>B6$4dKD9<`&H!SI8Jj zx_oZKpl`k%zQP2pf)*a16%Nr=>z6nyb+peXN2M9yuA&4k+g8gJJWQvFrI%{Q^jkOt z_l7{`CI>-5$9DX`BJd;Bu!{%ZxEe*g#be~?n$cU z+JPr1Qxp}SxAsL}9R~p;2iD=FwVy71@;;wa{E%jGne189|Jak$pPH|L#4&2OMJgc? zZ`bNk&6qr8)vVNYlk961o0>RW{Z=ti^#K9o;(Q8tXF$jpNY4cDstf%L*p!xJblW^s zv;E{^9z8SrT^znjFhJB=ySi>@BU2BRQVZmUn4gF6JGxKiVb=^5ufF1rGp%!S851H3 zIrUqMj@aN5-*cjmH>Do0GyWi@6fqMAXx-UckZsGfd$H}COIoj0vbLV41ymq#ECsjd zvoo}kN-87(iPU&eTUb`$KlH^$wD4-44mXU!_;^v|dJX9vU0mt6jJcRvvezHGDz$HI z(}EB6KJ*^~~#r7t}S^a5fJKscT+<8{x=edIfs@@DmH?zG|{`#v@xEhBW22 z9e-3jgsj3l+IsaOEe3QixiBMBU}|tQNJWsmoRiTSV38kkar{fqkr0eOt$gtmv?+x+ z;T86iwLylx(yf6R?Hm@~cy446a0pi88*M=oF7L=m7xZyX_|)Mf;J@F$s4+BnbuHfO zhpl-Y^FbjJ4(BrUE>FHW=0+3r@ihjxiHum$62Laheh*pd0A}{~Wn^V7mlik8xN!JF z$)$`IEe`i7!R1m(_X`;_esMhGXs*$%t}IN$TFiC!&@qO_{vzw~WCkRQ|vCWWr%Y{7boQ+Mkmtlj>JGQ9yfMb~%~mY5Ih8 z%2&iZTm9Qy-&(#D%c(e(N+DNpvQ{ci&T(3;REo*8Jls%Au2rK#{IiH3Dw4hJ_bf5& zn)Qh({yem57wJZhnVc(li$UqYK7S{F0nxLx;h7Km%O5n&MTut(yMA?`X;G0610fAE zpBBTY+hpV2gH3g_7Lh&&*WXfbnZBZyLLy^nL_) zCBw6g(r&M#Bpscqk~e$i-YVIH|z)I%!gin5k*jH{Zj-QGFPJ9PHtr&xtkxd``NIdknNRxBm`inxB@n zfLHLrOcvSW>)7fYgtAbS8@Gyt>)O{U@~`r-8JazcJm>G>VVi(A76d9_cD5cK2?+*t zk_!!}f}fAXS2YYS0hpfFaNGze9Y@wqEZ$jf;A8TA#O=Oy^*0;7x`#uCKnHkJEk)N$ z3I7Sh_PZy+dOl?wu$*{OaWUMA3B4ybt#pTyf;uTrsiP^i39<$>OcF@QsBlodA6Lm}Q{Wqu;t0R!=7jSoqWD$PIE-4#l0C-hSP1`8aw*E(fiK z`A~e-6Cl$KQkFhdRTpXaw1ex9A31>G`T&^rQy&#C?xz7p`Y?=`(GM>QDTlcF0a%Zn z_BbH$URdcJSaie7S3Syb%O+<;KFG3-6*&XWNs=$UQ1w83&FPG2dsh<^&#QMz#-Q44 z1oZ*&mw(j+BU+t|w4Y@avih_?0Ssj-U4S^wCWes#KBUWZ zxkFN$G+b%(h>-J0ThNZ|o*A0;um_16CLY!~o~t;n%*_Bk=OnoFfnMXts#cmCWOr_m z-+Vyl;))vTUVaM)xE3=XcTMmD8tUXpsOTnJQ8M6A7NKWfK1B4@VD(p~L!SGzKHp`3Nkp5bwpPPo@D4u}Jcj z=7_u0+lz=;D-bt&gy`@Hj7SYEC*2eM{E1}a;d*)%dkJYx;}G*n`<5ZyTo#hEL-@~1 zm;Xi_YFUvn;W5et=h63)0-FM0HUMv7uwf3vE9|rj(Cd~WBnl9G!}AOo{1^)T zfuH+ctsW<&Mr8(rtJdD6~`}i^^G*(ma6{e+GwvsR#a% z`z!chU;3vIZ8sxcFmq(su}xOHBNoiEXC~RpfLnc!#!*aLWG8_8pSJ~>{3JT{F+GLk z#^qE2_|Se;<@gk)`%^lgI~2MVk)mVCfYyrdy*YN)Qa&?^`0^Mv9neQ2+^fc*08e^Mtp3fSW;1CdJS%zKy z8P<*dEjW}9B@u-VNhXEQ$dAZ4^+@7*2W3E#i3J(jN@Nf|Se6y&~@Q0x0#WoFl0vQ)mx)I-~4CHcG+1JdimV_{kT% zk*d*b@VuJ`E$`r2Nh!f_s~E!WmuPL9L46o=h@CW$%5XhJO8J+vqA>yM)WH*>rp+u<9sWujoXB$cLAKELwC zeE^#to_Rybv8#`6k(YDHW#gi#ufVSnd1?gUax^hV{dPFkOxBU>{&^N@`TpOJ!&THi{5TF}L&*i(y5&jv-AP9jo*`t}%9!x-B)jhkDWb=12ei5} z;BFOqhqq4}?cIO5z?noLq2#?dPflNfgI*g3zHZE*6Hlu)pH&{bWSG#jGH8HK`ObbV zv~>E()o!8hfW1`)nrXXux6`MmO|EEQT1#v|u=YpNW8Kn%MvQ`1Kg@Wl1iO3VXVmw2 z0B`!{Yq|~MW2T3?w+7p62*?7zBpW1(6 z)a}W&N#rH)L(d|-H9+P!eQ>+?v`{Oh~3*xri9_x**{Sq*@DH&fQ_*75SWFHCE6Y8%mXW8D{}VrVCkuBT15^L< zpes=HG?|pS^8)|!Cb7=ka|9H79cXKG)#CN;0$C=mEcdYGa9x%_Dh0Q2hr z8cT8kE*QM|bkv?h1C7pjNCzaCq9?s~AHa##lIaHJ3r*09%m)bk3Qt>9j;Q-2r_8r3a(bR109wIt|PY0mLm?1SX_-EWCU^C4+; z4!~0EEc*#-T7j;%049A?wIfCs`4i;49?ca3?7WzJld`NgnFcmxXm)_{vPS@Y$PUsD z;Fs+g1feXih+Mq*`OO5oZOJPazW|uL`wJ;5A>&oY$*H6SrGDslWq3P;q86P;q4qu6=K>tp=pgQ0!TbJUsTP{--?9vYjtMtLj0O|!YHvDq>hG3){0 z(HggJ`MXc(Yw=}|&R+=r7C(RceV6a6K;yfw_cZ^0JG~F@H(}ZH@ea^*Rw2j1YJ6yH zu8L>?s5%pJGy%$^DiMc?=ID~GeF1!|)gMt40w5}I?=$DO-IACQELM{P} zUA4v$jMp}xIGj$#0X+KkJ_)2fS|sJ`lILY41Xx+~p`y=2qX8l7$b_yPF106L>QVRI zbusy3+VzxWB>IgR$$uFRc^YuADHrGWY$85}L)qjugnZkhIUyq%0sTDZ-DK6Zx!XzN zCUL$M8A=yFhrwa$t#+yh(CY>nL~(etJ8=RZRI(K(MBfoTVwOQBM&z*SnLb`%lymwV zp5xb#mk9!Tv!I_o@L5*YzI|{a^zy_k@AbxS@gV|H5JOVv`-L;V3@2?&ec}Om>(HKEO6mf*N1sxu9?j~qG`2qMPqhoD zsEhta6JX3ned-@UI{5)W;YC(}@4CGJ%gGNEhYb*i)3H@m!p5>$i4=&7%e>Lx+42Ra zRtBd2ctW;VJR#%_%Ieb~6tINRLv~Y6PuGh_Z{!aK94tXK#YHzFP z-z$*5IGH;bb&c*lyvsaq&=pT|nBTO)-Jqw)MZ1DF49NECH!x~(N>F9hOiS{0(1x~^ zIluTzfg3Th0n#KJKkDH8Ahh=R1V5duZRtvxBtM+NkZu7dMrAqj&arOkW3wU^Z+3wQ zd`ZydQiNMPE|06&wry)@mZajWap(}Y*s;zkaCWN`>m6E0Htkrx7g^-IyCS1ma2s-d z*u`#DeeF+?Q9A}B-##D*^URlw@Yp-cvRnXDtM=!e??o#+ZgJHau^?k@RAzX0@}#t2 z)2|75_aUqHX&yTrlXUz{;iEq+cgUke4&DCodC%ETe6$CQ&QkL?m6`JO^P;0iXi&?S5wy6_ zCDB$t{%H!bnwgXTG903_seAgzsg0*LAKYm1$dBN#Mn(Zu#2OJj*&D0ZUhxC6KwLYK zifT1=PYG&rj?s(=y1WPuXIC~@p>Fb=xL#q(@U1mn9#zgrfCD*q@1n%I50pZ1Z_i=s z<^-{j{LCwD7i)WO{yiVoe?U;%hOe}%X0W=(r8j0kyhUDEgNNNO!Ro2O_CKGTaSmSe zHV#>XrYl#nk&qsvW|KtnAC6}{ekSA_Cq8%sA^nHsE!bT~NcT$A=TPwlGX~XJF^Hb9 zo-89|8UBr0j=shBwkQ>5jmX#fd~zUmRO2OfYa0`?w!$ImB|!GtOfmsk&?YYNE7JjZ zbp;fSAmq0|^^ih=V5)mj&eQs3hN+(4lga<>{<5^V?q@%S!~b^CA!Q1By(Jk|y{r2X zWChPa7k~{KnYewXNFuZO*zpY9M?*6+BrO-R?#nWy3*2YE<3Lfn$#%@@TWPs1?a_Zt zDs2f|&?vtw;GgBwoWTz~k(|~uuwr-;#{`{DLWhZS=W$Tc>olEFbMxCg50vu8^up!T z>Me}Ko(s0O@1_J$Mw*KA97va6@U2ehF(C9?X5$fTP##hU5TLCqfqwSR$zfaCPX?GY z*t7s*^ss@1d^93V7LieA&i3&SDHb!P&9qTnUSw9b1h*fx2RXoOwHX`ftUd zSZ=}p)i@kg1BdEnZkj6Kt}*1MuU?WH1B9DdMqaF`RV zPWSt@;xG^$>8{1sOVfMyGxlo;a55I`(p#R^{|FALO-fBvRwPL}pT>(ApoX&819TRz z>1hAz)6w`;3x{Y?uwpmy;%w-NKU&ZeI0zz25Lrw{Ph!BhZSRg>l8&6-lixZH9sL4< zj!Hodaay>1oouD;(Rw{v0-@$X5MBe3Vvffnf?7f7{N+1A{C_VW{*Q3@(wKw&nzF~) z4Q@e`gI--`NQNA=*r}h-9v%VkEp|WqBq9#qGiT#=ne5SOe9^#7>4LIri;)?zgIX^&nK$G z`8=!6b>#m&I6Uh6(OdlwaA?Ltrq;QZlw$Vddu*06wBV4qs@et~Bb}E6uj~plrKD%w z!?oh@K`($%o7={q%64!?im~x4*@d(sZId?U5Z!}aQNEW~sR zQBiwC5GS)~;S1t$D+Q*$$cNO^ryPsGd?NwHS+84l-Y;)N z!it6@9@OEl6f*|AR0Hp2xsDH;Q4wmr#8e{V)9LBn&b;H8gJbOSFYpj>172$3SFm?; z-Rtd9%Y$W20|-31JUPALcn{Yr=%J6jQI*x}D_<(CG_Qp!EOos_{t~H(MkP5~+{1|Z zRfr2goS2VgjXh3ddMD*}n5 zs7Jh0nDdB3Zz|%!h(nf!3q?rF8BUaKF|u#kpgHpB+0%Anj1;to527V*7f|*8;eHB_ zg28}$pQ2ifKyhrd(^pwVreB@*F+OAzZOHBsE%vS@sh$ichdLL@cR3~VQpr@?S0^#D z8u?>&NSSEx`w`dbUADNxjsw3?O9wvR?ZFiT_5N_Tm2L$9S{-~rjufe|2Q&I*Om$Xv zTj^N~4h_-2F}2Shn?5X$H#Xz>B70`+*egXJusdWR$0tLPWoVTbtA-NgA__fLgenTL zAR&{IAuUBgTvDy&IWg>6Bc@-Y537wxyoW%c#7SNTM+X2Jq@0Ln7RLb4ysrxMgb{~r z@dULR)5bU!pNlQ=*Xq-Aey7?$bwr*`Q}dv{pt*iA^lgxTT_KOBenwhz2-^jR?e@Cc$9kFD?6f6+?P{XIe))bWl+TYrCwfM^Sz|Kl@XPdl|i`Ii*DS# zi~qfF*ZiQ$oS@1|ub_9A%E~4I_{BLYv>cMGavR=#S4cvfr+!>;Y-xf=u$g{ve&7*( z0|Nv7;Jj&J4}X5F%Wt)<4uYy9je^>YV5%JjX}44jQ*BHD_qNT+YjrRQ#+D5dY`UR- zc1>%lA@-1X5nmk)l+(s#)mx%3o{WBq0&Tw0&j6}Jg?u$DqT%Y_o}}al1SplWN&f)g zUH(|t+Mqz`Id&~+3;%FFWL1!|(1Q-qn5zqBz6C>umoLeJ)*TtxclBd96rxFqZ9r0h zt(b3v*59lL`6dN<2GOI5@oPSW<*&+Y^~hpzT|v(OKXgqmZ|Yo9(gOSaK@B!NyBagc z;B?2IrgZ$NXGnbXl#UZ7q&UVO?}*EUZYwX$4{MREd)JJY@uAUOq95~&(W7}*XY5(n z7d>H~6R;s2yI6H`gI4$Eb+PKh!|G@&t6zedm?c&ntz1Cng?qhT2UmDSV8#U6@(PV^ zAnTqjza1d%&#G72(=9T$ZBW~DhFU8Sa1Y!-^X26cHoWn)$u#9PnM_BoYUQ1a&5!TU zg>mWBDrDRb2DQ!6r)~=bM5XpDSLVe8k4z;xG;Q|R*uruRW%&d$`=6d~gVJ-l4-Ir} zv)X!Z|C=~O3+3@$0H3+Wu=&|Xao9QWKth2s!2ckbfDg8fL|OVLnZ)=vBJFDy;f3T%`e&;Ob~Fm^{ZdUbO^QOKME%$Z5tjE{Bq0in130Oe+v~ zpSRhxc~`7MOJLiK(&~=59p=QxE|(>YNr$DbAoAhMlaRl6v)n#aL{SupFTLiIq#m z{5sbAs{Hm18ac9`KPPjK8>syh@_Xs|>0c6Z0!;`&0QM^$c6b3<_mB5ekna^uzq9zQ zEB+tf^lgkXVmM6--hYG-WiVv7*!dgNX~Lk4&-hi`Y}N_>W*kRceo`8W{+#4&qZ-e&egTWsdFqIV+E^biW|*@`iy_t=q3g zPbw=&pnO&wB8~z_)Z-bw|G;(-r{|J z(m-eFAI^sy!|-(@O=ys{Fz&5mQXvQ4XVJW+wOso~92@@{nd^n<>6uAa@}R3nH{U|= z-+)8oy94euHQU-PJ?@Lyou~F4emkJU@3R|qncdXaX32z~rgoVZ85$7rZ2i-G?*e>q zY9FrW!M-^md+=4f5SG+FOhl-;g6{VSOT^&~ibFdHSWBW1hwRt5`9%#Ja)I4D&$gI1 z)2smQPD^$P&0iGs~V< z4<8;F-yNWvlOo2A=qyXPd4Zni>+xlx7jACy)^yiDQ)q@VZY7rlnyh1Li> z#9?kT#GyXI1MznU;QzR{7PuPkKK^^2^E}T<_ng8BnN%}TuO=qB{45hr?$?FTP~t2` zC}exzjx$Ob9cIKP$E{t&7Dn5c&CaPd8_I-@UC1pj*5qv7|Nl9sI;T#{C9hBYeRR%q zInU+y|Nej1?{6o;p|(E+Y$Hdz0?a|J_M|_^w`i3VhtHCe)|o-U_NLp}yfq$2ixXBy z0P}Y21i85T%Zn^*xE!^A{UoRZ^Hv11XG21^EIFcWZCrBgeD3=((3$7H0NbT}IYW@n1 zc6qcZUQPk$^CA(M<$w6R_f^h-7%pTM8zkm!1pg*1?|zz(ToGai z5az_fpj_TIa(1ahGl%&rBBL3i5BodpoSoZ3V~#^6c!hlc*fI|;qXwxDIVpekq_1b& z#lB|_DQcNj*1EcEAUI4u9#Pa)=${-K_MJ<-+XGQ@1oP$dlZ?wej-k z2>ocMv`F%n+0*)_e$23^XLx(R>dG+hr1o8Q9$1HOyuDLHIj64V<6{AO5AW;!gMyQd zN?qjLpJP7vo|}42YNijzl}_)R2NTLYE`A>I*{cV`Y0{MAdD_4wR<~IRPvQ*5SSg_y zZleueinFPlW3^q(CWsd+He4geA&D=_zqK=de{+@PAx5l$QVB3jU3?pksT7pRj%Lh3 z@pN$rD-z-k{oXZtr~glH4xTdVo98ofR!{Rxopz^X+G~pzO&RVTchfFW?tJ_09da;P zKT|P-V=D=UrkAwXWX+aoWmTE}CH~=mdJ+F{xz5=PPy)w`oic(gOUuf%&di9kR_dY) zJQ4M;IF8z1f2~)QM99xbjB>IZ#GC z)`7zS9$GrMB)Y`;fnX@z#LK^{!(Ygset1`>G1kxKrIhK<8F^y_^P6xc1$l~chq#n2rNT(1QU(HD zBHbbrYzRChQbx+Sgg6Ad#N~pWz&3aszV-sG*z+t*Us7!{E3_JC9VZqzlyWd|+kX}e z_ue1HN(9;`b!ypvh7Vts=EOZ^9PaDX?B{!L{5znqmi(c1W6b>JO_r}(^`Zor`nWk) zkw5$*@P%X7XYISP&bq_HNreDYK&-#2&KlaIxWU+}6Kj~x8SRh-4kauPr;mBRvS8cX zm%>1xysx}o!w3Je&3golaS|GMeVMr8!%h6EH)mPCI4hM#CnhGw1vBo=>huo<$#qP6 z@9-!kqCX?>5IhkNOz+ngaX}b7RUC5C%VXyN4BV5PVikv)ve2Ci=dC=ZE()I~vvH1o ztRc^^ku9vuV;{81kS!u1(DOF6JSMLoCW8)-;1=YeiCu0Q?BO!g5Dp>@vYNtRA;TBX z^t*iAfO|bC>%0FJ9QwtN&mxxFHuQcy;sHxP%39#*>Dl(rL+RC2B@@5*jHf3qg@RA= z>d=S4e1I>HkM;ALFcDi5^%~F@-*oX0OIc!|y}D0TACfpU4WL8v{8&?cIm1+6jwm+P zmt$tS!`&NEC9hwVIY(#6A9M8BQ(0fWrc=XIg2AQE)}N9QIw{dKvbGYuVqQ4?uKd({ zLwpS@#4=-!;c!R)z?Mh%;(%tvEnSH-WPW~%4{7PUq4Qv=_K!{s2@VN~bFXpKX{;Nx zxlRng->a?s)*_EB>4U%Pqh<2+{WzRV{YErQ)n{as_zlKMO`fhk9OQW9$9?;j%rA{? z-D}$1Mbmtu(-uwpX7!>kTDFf_!ej!?n3SsH!s&avxD z_V){`O&>zjxv0^ov_w%aYen>7sLnuDHcgW+5hy>rip4`_!9#;rL>Utm=*Ym-vbAYN zHqJT^UtD1Bg*V*(l-h>V*ivCTaUbFScXjDQ+im!9nA%2{{w2;OF190P?kkB-P{*bs zc6++|Fk;~7yQ}VWO_&{Dq?1MKit-%-jL(oHaUwVYtV> zbA}^GC#Pq~$Y?HmcVB7gzS)tr#3@wc1sRmeEd+b`mK%JAv-y=;lY-o`hf)sBaL91c z2F=l;e4S0o48%MZUci&%)X{30x)(NhzD?y2n732c)WG3T$Mg&SB!v5GU0pcj``|#e zjXJ;N4iO5=g`#R^NrtRM-4=ZpK3yDEM3RNQ#~(fF(|iBBR}P-NHTv9=Pv-o!qwD_G zn}+0Em4Mi=Ny!9{k3)NKn%a9D}Zi z2Sfg%fUqr)P_946KK`9E94)Huw9BA2Ez2_0@P4dRiL{>+%{O3ADC#IGMAiBvTt^gU z)xuyToZT_>?Ci;G?=>aBR zwQ~i~eMH;QozevMVM@6FtPdqL5mwO(Nbg?z=>&%@FPTj7hNHLwBtH>ls6~}3Nt=`g zX8Mpd#>-_ZzdM0AHkYOy6Ou5g*K405hTF0YJa1zrz;utMmR3VxS{;uA_V=N_o*!BJ ziA9{kf!i6fj1eB~)M_+Z zf~(9UtBMu5OJAZ^_FrFJZNgesbVw2B_Sj+ zn)alct)fPTLt?2UpfDy~T%+s2A?nTPYR(zA}-0x@?l=5d2>yTfioE?aY=s@F{#mNlsKPUU@9tA1`&svzp54Y z7zs~z@W$ASIFEuTTb1n*P40P?t0xldvsWiE&t^7A+ZjyVzWV`si_W+ zH=(6_;jpL=alKMQaL5YM;}o@`rS2lFR`Dk0m#R?Xk{8>j8J4F$DBn2rAqQ5bLBchg zhP!>+`nG-Mz$bO!kmGpTAx_O7OZ&=M>Z7xCnewdp1D}#te0RhwJ9^hP*x#H;QcpMx z+E{JJ#x@GtQyiP(-eRHq>6Xpno8&55#y43Q-`PL9cl;By%N)^orw5}kD7F{}9BHwR zb6;TGG>}tf6ORxMqf!K|Mzg!AS?nZo!&ruAi5fIYlU|ym>>q;6hTgBo3lQ>oE+b{( z#?)$u1c}rpB+((!%|7^zy7Gq{CDa+sg&;5i0d$!fc@lh;Ye^t^%1j?-IZ;6tq7QIP zz2cBubzXM0eTnK$bEi$4wz_ZlrqtBFb5p&&Z};v+c1-IvDsf!y6U3o7d{mmGO8jLK zldN5jo@%k@0_K=ObS6l6*mJ??9ukGPwMxP~PuI0+;(117GMZd(63s~BnFftR@;1qR zRuDDD^76%>SsW6W%1D>f-52V>Azh<3Bq$6?r{W=N7IG6q5?$grvx*bB&FlJ#jX2B_ zKeMsN6A;bR(rS`&(~mYz^^Pd-ZGF8{hcDY2W-^tL8{LH`i$g9yOQWn(gh~>JBpqr> zk;KC6lggxu8VcIeM{RmZV+5kca3Ilas;GGhBwR{F z_U${X?ku^jJvMUxk~_2NbKJRe+r@IZL9n_jYH1vLoNkLm{pcjR2eo@enu~R{Xq)g-=R8RSans9E+|Sr@A%SVEWUYMe9Z5r*&M>s-E?sG$uI= z0_+`SU>6e8ncjX6R>djA`}36nFQqqpk?2MOw~9lX+Ynj%9Y9fgYE?V3=_;g&J453W}*4V(u{o`2mN0P+jz0K4wglqyrDQECj!JofYW z=TZNZs0|bGRTAO^EW=)T{$WL*t29GuAVVCHm?f&&9@wVd1;bRz$F#vfjQ+aO<$6_I zLiCR;$%w<4aSQ7chs3t_Xnk+1ZMTt!f*|+sy$ou7Z6;RgL#;uDuhT_I@Kv&aJdr6| zXryqHrLTC)NV%b5^!jY5Aj31P-60&jC#9%q&{w#fUUV>ilJDmi_BLXFu7TI}h%8(d z-3jTkdcgcE-qv_e-F}+V*4MXVzq<6HWHBBolF3Vo-oRyK+LJLUttdJ}?%Wo?cDH)x zCz!V(F}mxnSsyrn{<-~k{aY+){TB!JQC&rwh{wSGO4^Z&w|sI-OreA0ih9Q3`Qs@t zq8wU&1`@a!O%<5{A5~$^pvs}aF4-OYDQYca=qx!0DVg7Kx~hSW*`so9~02 z^wF{m#SO~)BHAt~CR(nJZdr}@^?$)3%PxF>C&c|2e|a3(@8AP26G@qHsKnd!jLD)t z%zQ#P93Kjd?Ht>{rXqDCDGqYqh25)=$_8t$1;F3E1!j zGKPsnxl5hvt(EC$3;vw>^xSV2RYaSOMto?~+ zd^_%kI&jGRfD2am1;+YJA~VfV|L_xaSbFUJBun1c!{9L9qci>RP>@%OD|PVju!RuWz z>~hSwCiRQMd9%sGe<(e@h6KM?LumDvwS)T_>hx(*qp}Bqn%eX}sL?1b?XpIbse`7P z@R9>q5!iH3F|pA^|L~ccu_dt|uQ&RKGjO#-m_kwB2>e5al|s*RxwIl2F=dt&xi*{O zL_MjO{K@ z*CX0DnWXKVJX;OpE*a)c!)m;HMripP*fPN13qNf2bgd5p7xA5&$UY*Aqc0kW0#s_IbfyzG=I~l8#0Ql@ z^97`6yTHe&REp^wP1Z&u4ykp|UyPeyDkm0xcQ8%k*r@QptJ@bKhT91ZTpyBkIq(%5 zn$8X!u;t%1kMjYC&&9bsh=0hXe>8mME3a%DnJy5a@Q^ZX_}~S@z2BGC(sf!l4ny%G z4X3dyoW=bRyj#^`6h2R$Bju9P?PqkRr?@Y;Py~`0dtIxc!F|SjkpB_iiEc=bbRn;~ zC+e7Ss@G+k=KQ^xrY{@kH?Lp+a77|8?m>M2`vfc-vMYG7E3z~yl}and$_!+qD#;2C zmH1XhgytMXbk`a)-x~ZT-c+h5f{efFo%)J*-!+|SMUdgs{~o^WKf`D20lvZKZFqQp z4njyWtkVKoSVl@7S#?MkN43pkv)omB%!}2?`E<@fNCk8f7E(|()^ysjl zobyA1$jAvgf0XVxdNgRyyYcm1PoYc%L9F|dbs(4|vy8ZZNlN0U&^zt3N(3X?8NFOp zT>;*$avXOW1x)Mr6*87kSTYr*dyZ#}*~o^AL*U{2*U5!!_m5^re{Myt0`bILg5%_M z;gDmTDyp44GltfeO$1a4^Ef#4$$b8WPv98whv0W?`F9Y|*$Cu6aZotklz8DkK&4it!Be6Y3Q^URXgf73+_K$1L*p;6@4j52o4F3b5U5CCG$KsCx{Fij-^#kPUPE& zl_&`#Jcd5(4KHKJkFUWlEC<+%Ex*yw$i?q1L*k9ip&kWzC?fStGk{g7uml5F?TU3$ zt?QlVAg;lR$1r*(Ou_+?N7KHkAP_wn>rrjEczlGvdL(!R#;VSd({;wZ2_7B`D=tk_ z+AJ9+1xwrcILcP$8Uf#i5z_D7UD1oS>fN>$r=)9kKjZ5;w7d@gkV;6@eAKCCIG*TH zvSw)uE)S1}Lk{-f)LS?Nz8CNNlaHj9WOLezk$5xA$_cu+{3}-DKj9FV%1kQl3Lr={Nt&1NkBY;c=!@O~aP|jK$3-y` zzmWKVknGec9bzvj9VE`bwf{^865i&9=M~w5^_DPVZ-CWT`G4S0!b~>W;!HJX%US#B zQXEGg?jLZ-TIvwCQshhn=fTphN=aOZ6TOJ-X)DM5`@Cbq*+1(;DxwS*7Z+#INf#J9 za8GoIbBO|Ti}5fxWC#usw*s8~R@|f>Klw}uw)4NF*+bqkat!ce09rXnfO(*PPqEO< zfVH-~{{s$*%hGhW(cvoq!Rk*p)rCXapOP42(Vyax*hu=X0c9Ad4!H?%nO z^^}h7pMyH|p%m=rib5Vfjyi@6{Ch9mO1f?Hi2fl1rHKC~A788kUevKVh4JSq-h?sH8a^WmQL9m^mM%0qY>va5 zROPv9r(0V=X8n4TmebP(>8eK6ug5_ToZ{Qocj$5}$C`V8ng1YpY{<{Vx&Lan=@-=8 z1i=>Wpk`Ov%s)<|(*MzL2tr<}$X&cL63nUvWS*iBH^L*prbGF>>G83zMu^P|Cf`BC zw^WeUYyOZC8<@l)a1zQCq^+dnZp|-Xl1iym;lvv*x|%=kO?j|n{tWkOu1bL>0q&#r zUbcPjzKA!d37COf-ri|{Yba(aIB7%Ihji>4Y716YDA{2f-)Tmv#{=ZCg&FvgbCgaI zybYI;-7Z^jq(T>XI&C6`KU#fRkW~JTHNyyTB$_3LbY>m_HkbY2=O%AVuy!x$leWv0 zQ_OPGHxN}S1Byhi;nRA}H5+~VPHU_DWp&@aeN)TM^zCbLD0MlBO(YOF7Vo9YWQxdV z@O>v1TJ%v4Oi`=-#v5=KG=e@UP6Aifwq5Ky)`~tP7H|B6a1^z^S z<%mhiI=S3AW!a-i2AW&yMl`mrt9?H*d~tv+12; z@?~;i&)xy`>mNE_o!PDj8EL12+7%WSW~cS;Kto*OL${cOT7yQTO?^Nnir(!^39wbEPl6 zssQ!8eVb?lM~c`LtfCuoS>kid&b*QpP8|RTBbiHcCswRGui3tXd787Zi5D% zKQzkD{?owP4xau>t23TdV_5L9?Uvy#xvr!{{w!IX#D`mpBT_PCisX~{IrB(3w74mq zMWP=GAXza}&TxV;8~9M8TU?YBI9c#1V}04xVS@N&Tf2qA)Ow?y=^Y_|jZuqrwKwv& z4DxYf!00dXkyB`&l*XXcDlKtH;HD%8v;?P`YKJsRJVcW;u?z5!T&-3lw?)q)VBM!^ zmnZ^DV&`daKQ!?Cp>arGeA)9E&q*&@ITW@96KnaEWnFIwYWmG~ThRsaZCaI{gKPiD z^BC3_HRs`xacHKO#XcaOlQ1+&=4c6-g@@!V8m&A8Cca3afZsQb%n`q=E*!)T+h`wI zA0xzVsv{&kZ)7%ZcMRD~Q`ZM%Y>bQ4yGUNsEBQn4zl0$VfJ2TC_^l&qFBnj{U&3<& zPrMgAFcC59COj(N#&Sp`lw-n5j3rf$rUD;QANDShO2_bgM)3XWIBdnV2n!4IuaDuE z((IpbNZs0&E1o;I!>jrjeRum@?R$53T;I`J`^;U-<2$^jM-oqD3>2@$^=q}5I-b^= z!S>^hn3RRMz~9_GY`Av0axHO>jzcMQUiu4>{mSibAP^(MH4XoUY<_#h7V1MELW^Mq zX*sUIi-iOIkoh!Lc}SP;=hqAR7pE1+c)VB>hxIxy|A0f$m*D*LdPVi2SNM0rA%5h* z9_4XA%R@!!>Q;%K^5HADQqBw{6>sMVK zT^|xKGhnALDheQ)i{n|k?(Iqx#O<_wWWEhltq%6a1v;@S)2TAd9!&J?;(ZT5U$7jf z3KN{8e;eo=a$gJy-tXpkQH0C1!dAq}nH)Gy>`*6oVML!){3g&X#_T9t^;i7ERUQ?! zb;24WweX&OND`%0U&^S$A?-r0?YTElY7GajIJ$-iXdjWWXeADT63eQVA=zx;{maIA z?y3FY_>5Oy%6SYNnv2X-s4)BccsOL?dC_~);Miz}xogaBymkIzbJ?w=+{$wV^Jf=K`E$XPI5OSOM0cquCYr!umL+xR|C-kiAH4D1 zn&gykNc?LU=+x8hL8hVZx}LEu&xB+mXO`FpNp<(6;l?Q;+gxfp^e{N&Xz8sIheS#O zl^grG{viv}?~QJ}5|_ekgU0C2KhyFd6{6zz9b2z;y&t$ScEV!JTCy)JCgC2L^10^)Hwl0J&}E%^R-= zq130t_)@cg)jsmroSysoPn%qtdvPUdyPQAW&E4;e2XT@^HZFe3?3xM43+IIY=8wam^YixVckmg1N;QkdT=d}fd4RVQ^o6_x|vc@pARVE{|WeTZ1H zX)dK5*sUa+hgkTT-2V*0;TH0F3#2cRkC&?SAs6Rz?R-g?`jGv;kTS30&sO?|-K;0O z45A}zwn^MVp*qQ<;p)T0wi(tgPaDnr)?y+E98*^wn}LOs`|a*N_LpCCDZzvRNt;n8 zx_5uSw6=Q^95$o<8~w2ZH7*RxF}1$tX)&FvA^_ahEbagrw3wt-YG*#AU0!Y@9hfUj zeIcKOCnw7A`)-jwZKs^<=)0>&ld6*n2-5BneK-{H>(dm6h*tqhY09q+IQ5~?2f&Zy zB((4Q5jV607NIr6kX> z#J!FB(sS(Cp;M0NDQ$v<#B)Q34(;xp(~M&!jX)nVZ1Dw8-?k?{t9C%(0rZQcbnTgLYan(`uDHC`s|PzuinZo ztPJC97h262Ti_5vRXE^cyIST3_?? zil%byiG|!vqkE{qpCk__t_Yh*nVW~sBjhg<@mS^$>lugU`9q}&zp4|5VoIJ-IHph; z#A|)c|faU4`xZ(m?eEa-Mx4Cb2}x()2RiA zWcLTCIUYXx`rWTFs}$Ja!#lj%Q$;rH;a)R-#J59WU%Nn;HJJ4O!=Xll6EnuuWtU;h zzL8UQPx^Z0+lkOZ<7E}AP;y3lxFo7X5wZ<-OW$l-Ka=9W6@i;UJ9_&6GnhUJ7 z+JzV%Mrco+ESsbJ0_wyelZqeeZAxtPH?S8mGI?l7PM4MB`>oWTakmC7q7Nq~d{9wR z)xIXL{rP6UcQV}QzvkYbgwsX(P7{5H+mlY_Ra}mHkXrMp-h;L`k2rTF9S~f`ZXdHmY^(YW|S-=-|rVxHLvkYfur4c znV*`~`(Mrm%Z4i2i7H{B`LF^diITCs_DQGb--R;#^f6scy!5)HmwEqlpIT6{9p zb1-c!3YUWGoVJ4(kG&7zP6FAEQ+zuPz7o&9s7&%)+}5}Kd+{{bt`mpFv*MSJ{IyHF zqYgT`E+ZqM|0WN$TrSVZe|I4RMkI5rlU`qRnqj2rQ3`)4a1llNqCpHaQ}{*cn@RcD zB1L)&hHDa)u2)DnyCS__?G8e$KB~mE>h@gB)Mz=qaN=i?8FHOkmcJ*+sa9$mN2YOJ znZZ!GB#BVATRBmX2)q}176$6lU&z8^c0~Vr^gDicOPqv^w`dk$@x4Wp zZp}m|k%pxV!_anGUL-NlhAM$}4AP!KR^X)cxJ0aA@^m-P1M8F#&s2F8)huw)Ej5L3 zZBZCGP)wGgp{f-?qupzTmQ2u3fS8442Mo9+h`1>{ClHZm9YFk#9_$(e-^Mx)Mc?1{ zRm5ol)}w&=)#@o>Ik!je>aD0$KU=#@Ki0{5a|!`NxoC~iOd;L@#+-|?0Sy|OGo%6P zkqVyZui%5C3De?~({PmnvW)yRQ%=-3_fH7SXTV)8xEJ`4NorC&B##p!?jGFQPffFO z9YEds$eqKdIS{Qo2bJ$D>R7WnrTHx?o|NHQd|P%r*GwG~?P?@U5adE~mycigr-VB} z`mSo6S0&DYnmyU#e6&ngq$~8@Djr6Wo*v~_)XZ`o8W|3aqqhqGqBK`4hh~TUqb203 zp0XwkIaq8ddZh~6Ghj|Byo#AdoRaAAhVdLNuH<`~;H$-UMj8%M-b12wm0dYfzJwOn z&M*9Ylf&KD7w*64wtYOb`xQW+LJ(qq5yJcc{gH)73XN8Y?@57sWKLvb#G(7OOguPseDSajHOGg)`iwW<{vZ3+9uQOd z{@*im&deyKnsgDlM4NO|jqdETF4>wC*)9qj+Gf^D*)6Jd3AHKN?3#An#%1lS$_lNO zU6)0E+8Jei*J>iQ79k{yi12&gGZRf(%YOaCe&1-$Ih}LnJ^zg zi^qTP{TmXPRav(ywfiRD3`9Bo%`c>)vBfARk5rOU^f-kZu!|RnCN?34;=J%nz=m~j z%laYhskHbmJYu);&77mw(b5&qhOzv#E)MfEVW{i>aHumz>ln|MH{Pu{-N6=R!f*R@ zV%`mqsbLy^nu3bkcn(LxeH5!SGx`tdSkv0Ee5?xfHxNa^d$SRztonzI7CF`2XN7V7T#3!x7W2#)+72`dmF8GT9JTiE-ibY<<{t zsYCZ@J}m3U zy)88*Ef?!)ZpyPSR~;dbp?DH6=fg*?PXo4n<&)NRTy;4(ucd$ZjFFhoVPUJI^K6Bz zhQQG!qG#vD+ME9+Bl~R~9A@Bn@xu;^f^n?vfB#r3z{mTLpZmLzp8<+3U6J19qNx6j z1FiMZbV4V{P)rCr_qTI3Ig8NI>nK#xRfW3L>7m$Aq%MCnY_By!(gDMeaj%L^j1?aO`7>ot?@Y4yoYN;S}mt z7ad(!#~?2CI@Fi{Ar3qANg=`R6CvAo+ndr{hT(IV==VbGLLTIxPU2XSn471A!`4li z5TJV!4^5V+oDJ^{02qzJC9}#K7rv{2W`xW|K{6+2{3@aVzAL}y9-vO;{oP!x4)D8O ze}Ep6jP<_dC1wXUQ2b%n4(vC>Qe0g~2QGMc@dB6h9=(j$Lf5gqvMbak7LV8HGjK>3 zU=+5tvkjS0-^pD$dK{!WJ1D}-YonhY;ns0!*ImoDNA&xqrW=ob4R~KK1&6%qnXRzw z=V<^#Co>EOnMS~>p-UnFLaEN)6bIeVwacxF&(yjwWMc*n51B1rA5y7t+$^N$zi??Q zS9jS7gR(W#=KL*w%!-@0EeNOAoCz(y%5mDZ@}{Qo56?uuTU$bg?T>mH`jC?}ey|=_ zzImVjW?TE3yepQ^!XZ|{Rhgi8l1jzuuwPJTfaRd>+u?_e$A-qkv|Pe_nEEdK_Qt4X z0NTCBo02o6YB~J?;xeJ zp&ZpxmJ2P#f5KNvgn~1_vXxm1L?b4_GW7b^ zQ(j0PBHm&+Ba=7CRS)4Ue0VBkBsRzeO4DiZV~z; zZqpTK5UPEwHu{)8w>w9h1h_WeOI2=5_Py5RvANbb%>(5;K*)P6T7yHCP#=Vv1*D$$ zQgBEG9XxdJDs8Jm)s-bO8^ga3!O1baj=G1U+-O9JFTo3-cD|jgPr?!0RkC%n5jh#d`*rm3- z11ls#WtQX^WEvtg`-}B95@}XevKPFEUW2}XmlLPlgK>o_l`3W~e2n+S6TrP8D@!~v z11|nm|MCwE%3Txp=g?7MZcfBsr~XR4paiW09}g=~9ccQO72xyy{d%+&jd0nQ)DzUT#a4M?BgDS%&^vs*U7uRWi_6|KSHXJ!O?nizw_rW`f$?l2APVVMvg z5=g!I0*b{k4MAc)RJH$lntsemXuAW|u8*4FPl{SqeZ4sibpK9Ueuw!{lU=9P_#hXd5BuMdd; za^MeB#NoYQH9@y809n_PkT1X22rPAMMAm#lv{uM;7@R%NbNoI`ookIz@cEArnpYNrI)zEjdL&0{#7wOkE$-?b(#&2PMam90nC z`@_%fRr|UZkd zGFtOTBj3*m*$X?2OWJcwDQzsEB`WY4eTL8B@_Af_;bJ7YPJQQ>CH*UI4*2l>jY+;k z7Jt5H`i1D=*gD&>k+z3F=cz}I831k!wc9)?gC zZYoXY+=LcfY01up@R@5TFM)?Bx`STk@hS6Bn$C^g7zx9FB*X>)N5Y|g zq7IQHx-zM<=j7p%sf7~!);B^JDfPNJ>o8agV**GPsVn3$7?e`yaNu2-ryw8Y#B176!ADb6x;_x za@rbQ`%R$*YbugQbd$aFNNR1Fh(>QKiVOSCpp8S~TA~h{UAXbVl)ioE_L+MJ%8n1} zGpFyZa~D{^i~OxT;hn|MJIFYXd{ycV8~{*s&a6TB{J!PKPYnDWe-0Wih(^@a8piG5 z#rxK#d)~jHQHXenWzDD8%|@$3V|U3>&K^_NXQZPi;`N6dWrZV6>gG`!9wEON6kZs3 zJus!^XFjrDi)hD0B>st#FNA{@yL4&Nh(r;0$O29b zaW9XnJ+>sQs5Y#iU~erRabX2Td&Bm|g&hGCQc9tStJmg+wF_O?O|IHWrr*9JgncoG z4cK{z@9jX&OVKo_#ZrLbcI7?s(5 zH^rf!Ls=nfbVrY8PY*K;Q-4D?zE2zIKkv(Z`*OI*@C;I}(LT7w#mL)%9>p5jAGbz@ z9JyoM5K~QWMZ{SCKjvJ zDv8YLeXzigCAY#?aGzVN<1g{<%4!UBb-c&q8|Wi|`1}tlh2M~}jloqPdx&q%ho8GF zMH|_Ut6{sEH2JzirC@Ub(!w-*Q8_p&qS4aZ>|T-B#%vDRm{~EiIc}qh!2z{}BQn~r zBlFnV$Snvl%SR)s`@djFWRE z$mueY<}VMDiJZhTnF{}iRVpVL=@abTJ&1h1acQuQfN4$J1g&YMf5?(wUQ zLy}@iSxlPpd|sFVtQ#*AUC2WWLqZLTH082tjHm4jz|f)?>!j*aJdL(4xGhxPP9MfVPYV_e-No(p@p5%YJZ$PMOzj^-+)k*0{>7tV;h)zVF zB7{8C@ZN$(6QZu%esU~!6e4DI6mQ9yxuy&&MCg$r$_+(QIKa-B z!#+FpL<;QDt-3g5|H5giu}?}QIR%PDJ+3yk{@!Zx8ej3e4ci>rj3qtB;)RpAx_NBM zAnb%!NW}JArC37PbvxSUM1(`g_CK99nKcaZbC!XQyL%;cf&NIZzgNEqyM7KANX~=H zO{n+sim>wxds3E{e&ktoID?)%Z^9UI0B!%=E9Fi;<4*QfB;!GwZ|c^Y-RQEdfO|<1(TC%{EBQ4!(pAZ)CH$vkl2Ww-t>7jjsx|Mtwh|=aKCR7 z5xP0U|6wsLg#ct8=jGrP5_0}3;*xMfR@QHjgIC0RmEq_=x;VtTkg@-ho!2K7Br!lC z8`kT%6g405Uh#ODIOS+Qju|e#_}A~yVJDU5!zX7pTz3FSBSP|958v1@s|} z&P^p-331uOTaK2ZS)UG?GH5Owc{61Dd3Wb4H~cd*GBQ`4{tgEI5}%(R|IIl!ZkAZ) zR1$4g2W~~sJ<#`N5RQ(WKH!lgOsU2$Z~O$Voo8`qe7h!k^TgB{wl}JLd&D{F$UH&m z+O0u2!W4CrDlH|9c0wKpua4+-@XG@%%Z(N!8}6f3u88rVIF_kc=p%d$`6vy_s(**? zb}xAFJ!ICC6_D!%zsNSGkQx2rUtgHees30haniUU`QdsE(W?OZMvJmaz6Ds*cE&oR_eF^J z?bQ5%CK>SlTBWFN4E$(~W4@yR{pYKUu1tb{`kTQD9oW%=P+Bj}^RW(Stp~r9H;&L9X^rXtHm+k zISS^hYaA0JBO`rtFba8`+2@AbzkfgK`nCHjR<_)~U+_qD|IDJ>0$%f(=Ij2vD`OGs ztPx_w(@TY6X>F+c!JcUKxNF%2L^{^B-Kc=(?(s0BNoiT*1%rgxLAkLhbKrDKx#wy4 zoLhA1aj})8$njUrQXEMVIa!9@for4$^E-U(qf$%v!X0nKyxRJZ9jZSSKRmLxkG6lI zkyq?e8T)vr<^)73v(nVc<8Z=1Hg^A}%ni9xODF3H=w*ZdURi0Ickk?|yd=K@_x#&6 zaN00IDoF5Ng$)yfwm~0du94jIR6JNN$MNzZ>v@Pt8Tp(nEV>Pd8vlU&?pf*QXA_xt zWAyCA9g&H%Kgip#>x0>mrA>v2qYD#rMvq>am~%6>P!pL1tOb{iVBKFEr_9D~DZ`0g zs}>4{N~xvPSV}gOy-N_wxF92(xB-#@Ar4+`Bf`P!-4k|R+r8c;dnX(sysj}zFY5DB z%|$1lo-ofmx-#0n@@g9P51VH}9|N(}$T>X&PGU>D5S9pK0=4NwMZR?JrD{|XOd237 z^|{1(47v-aWR?Q4`b*d%rICH>ro%E}g_Gd~#bWfT$IE1~#l4IUJOwyPQSRYZD8thafW8eX zOQAE?hw_6sPWie=uh3n;*kC|C4-(s04cfvU`6V*+HBL(wj4((uNUkt45(s<^x~ExN z`}!s)8yg#2%hQZ3BmLI02Zx3@suwH)9^+Zi!kn9FAmiMg;a^+z~nC=}H#hCeK@ zQ{=CJS_7q}_aE?w)kv^F7AUnU(ulMIJr52k9?yQ)u&E@^Be!7m$6mcq(Ys>*$?RDV z_D9ch8$Rn~y=QuK<<#cv%h}lvT++ur$gV#*>%yZ8Osw;Mw0_B?QvtCe;O1etX(u?d?4cSuc)b}utl3gd-wQo%(P|G+<)pf^8Gn)&RKl^ zrt#9b%a%=>^U=?9uN93sKW5JHi7xELR>mj~R(uaf_xWB45{p&NJGdnJm>qh4&YU^t zif#1mPfMEy?!8jyWyhsaYSs|iIO^N-= zMfXb)y4CD)gxs&!x+Uv|va@)Q7EC-?#p27ORNs;VQ_gKLcIek{iru>lZh(C~_?`{< zuFsSyeT!pQq-gVpLz;Vu*hJ*}23JtseN&q~T~Dn{xaPL@{xDVMK~xT>H@jRO6%T#8 zFgAQ7|7OehoApCsq(0GyX2})SM)u>5>gq$PN7ry9^ETkI)I%w3L+B*y5WKrhpCYQ= zBH%77iagXVkNrqU3b5ES5CsrHO&UL#=syNk#BCzQ%A5NT!~gf{!}d6&7#yfNshlNZ zA~C$|^-#D_k|k5>Ya%(C!(nStcokbf3!b?!t3j1zLh3IZ!pNB{QmGnJu%q5zgG2fS zIB0q&El6?ODL6WAzu8iE+eH_v66{Fd(Z>x}OYIvr2+tXI zb*(07DocdC3y>V8*;`nMC(7ZCo=2{SQL}9f9Ta$@SH-+pKi;GWr8m8>z8l(iHu=s- z)GOc9ROKvhgg*PYv3%sY#b4GStN0LN(dIi6M5lH^N?+Zn(oBzlflamPTaO}AYa%%0 zQEHjWNfL-b$gmmHYPCv|#m6GrxDbubTsehK@qwNz{_!|FqlmV5q=B_49L zBFc7ZpEUuA;V!qW^UA%gA|O+d|D}1I@f1=gfz`>CkRP9^_(o~(@m_ws0?uFc*4CF7 zB|_Z-QrBZO^uZ=l4C2h5!Qyb{{20j;a{V#uP)os#!^37&%w8^P4x|E48e{+P{Z9oA z4FXBb1iPMGKPsiqM*?M*TotS+M?+QxaSyb(zg-)*R(Gyg1jb=+AcM1P>Yc?QSHsHY z5n>35_8+fnT^y1EJa z_9ErwUZ|;h9FXM9>WWxG;)W%Im!c09`I(CI=dP~B!7?_9XCuMyCZx4!P`SAYzfqbq zdk?$UC|r}m@@;-K-i45#+y0*)MtKG{ry|!!g3N_z<`RLCNGdOli0H|!LKc^nG&E!> zRsNa1Fb;ENdvmh;CLLO#i$juKT3djsud@{h;GvS+=T?u$;mzL|G5Yn*}C4Z)}EYhrw#`jDh2u} zw?%p}Gb}L)rA|-;B+MHkjLiqj6Gag zl(g;?yAE0ZkZchau{ImeN|Ei+n5p9*YS)~mA6mnc#h|7&f=3kZe>l{Ns4cKy*wxy$ zM{(&+aLD65Mlajt@mQ<=0~}(LpVd9N3waeHGY&1E-aXaNL?RN2#JNp-(wx;YNrNQ0 z$+}lTqr3ar2Vo_b_lETk3-G?lUTp3kd0{)iSHqhMlUb40;dO+V8xpY!4_QNlM20^l z)xyS8%TK11t~|9f-Qt652M#pIuw5>KTT4aC=<_fI1V&n>m( zPQK2SKGK;hgBtwPjD!^>iG-l3vCEHNCd=$@E9O z;}H8bY0)*o(Mg7^vg09MtZh=T?bxSLMR16C_O`)Ewl$N90m53-!#g@SDLM~}^u=+A zP|!zx2Zc=89ue}fx#rrnc`;Qn2O}H?&6#57Fx1v3re~`rB+_S$W z@e9#$fJ@`1v;st=aH_C&S`I%9=$GHRfs0z!n@U%P7SC9DyH0n_pxNgTM}VAnvKe<) zADZP=1}DWPnGrPMIK??SI=Cj<<}dW&($!-^LPB#iZGxOs``ZyAC*~=2!@7Sr4w38T zh!DH&UNc7%cbkWN`$Rqc-d_rJ|RP!)_a|>v-6s^ z%M7)&!{J{wG_$`n8kf}xP6}uYD@{#9BcB6O) z`{K!0^I5f|jz5U@YQ7*7`lN>2^J%K<_jvD%-GdPtvPsoVS|Q)iQXJG_@`<~U-fF#s zGM24L{KFo@#zfdf*!8PnliWzXt>5;35ni8GnxW&mI3&)s<>#kK-sTe{HdsOSeM#PC z%M1?s9}e4w)hO^9?>7D}fDv)QBR9daS;LFg!-+IPBW6e)fy2|_FbWNX_+M9C9stnH z;2=eB3T$ay7rHDS|D``n#*3R~bpwaR%VbiS@r*Jg%fIw~XAo#7t zrK~TGpE|_LM5ssqfQ@c>s~zC~a)=+apcQ7GOL}-3-r&CS{dzA8hrG98?$QLI(+B-< zn98Ah_JQL{C$-1^FQ6Eu~4I0cM_3}n;(*|)|owzBXVr6pEs*GiL@?b9A2K9amUR{ zlwjQpejt4AS#UZww;`eX68J&puA>jR94dSij1s7X&XErAc~2UN-i0V(gUV_JfXl1S zKYV#OoCasq@&>8X9vFakOCZxvtrS>F=ECVf*_X>6p1Qs|6ztz zkXS0MrGwy8^gp|-8O%4ZQ!G?g1YL(E`0!M?(mZnWl${L?4PAL1aXSfEu)^BuK_YU=E*tj+ zJ;!_ZzHr=o)r>UA%p}aMTN>L{BFbcR9>ixT;s-@%-xx=LHP^dp(+@g(V_63c6cxYhI z*Kvg7)zTOi7ZRTP`GLpyVdp8KBD)B9VqgXY=-NXjD zP|z?Aen$V|dP@5|HZg<)oh5>-hB#P^Cw3GR-eRRxBDaHSW)$kNXit{b&Br*L(G?;D zVo^gv(W1)ekqu4O(u<9dR-v%T5Q&{hyIx`{tu0#=sg_<4e)oN5qSEez z-}Cu@KL5{l7o9nC=FEMr`+Hxn-)nbYnURs5eG06_Tk;hAYOYimg%_lO)^A<(A;m|E z6v(Qp3#v1i1~XI$rLSH+(lbUOJ-QQ?{gZiLUFv#N18i9}H>uy&RH&p_Rxk->%r;k~ z{{jhzkbbzazsvNGG>)G?Jk+@imqN9Y7H@zOLmb4czXcE1E3{UWzy^~&9r3bR&p5>I z-MohccO zANDIRFaIXcnRxnKiDH#DqrJ-HHv=SXj#ML^X{@Lso)-7JI5JqjPR`AmU0IFDEh3IDD&0ADswyiww80l__mKl~P+znN%sW zQbq_x4yIxcx%8%m*kZE$_N9Vft;AxvgV;kPx^6C0%4ABVOj?Jpl?BBb#2L$!q_|tE zPw^GDMm`$luI=10F~Q3agc!yoxOl;jSs@M-(b^<`IC2xL{sW8R{UYoT@KNF%NO`{m z;GC#azn-S9$2i<=hp^T(_+XIkpK zU-29BTN=tLwJ>t*^1K4fP=`Op<*}V>YVvIwrZ{3d$ZGSEs=}+Kz-Ez<`HwgxwL<;a za6S$_DV`2ZGL<^Au&?_6wLUELK#tm@4^O%@E3q-L*hX*cz1NE)+$}FhZrq7^@UHn7B zK|=g7nU$6KH{|NlJ%yBOy$WpB`jfhKZ@jDlA|)^rnabrpq~J;DBCMH%P-sesBtGj_ zT^!lBLsDFQ899g@gmM$Y5o2f`g`^%Qx!m7etKfl7l z!rXoMOB^C1JR&1J#G(kSQzIh9VxR7hz%Y*e`Ykcn3c=noPt9|{>t%``U579(xgJ0V zu}Cf#q3(4(HGK&Q{e`BE9Iu2T>o1hcg(8v@?~RxLvoWGjq<8wXX$H@6_}AlZ2M$Z0 zyj)uVmV#&4o+#||`IeVw+$CqQUqFAp3HyWPGi*@{p{4TO2k@ZG2I(XVj&R_^ST zSz&iapSnrXJ}|IuX~Z~U@h?OzqL4)rzIA*!ncHLJA~oyMiuk+GE`P_Ik`muzgZ6HE zaNPIovFs0(O}y@GBTC?JCX@@$+i(y0*EnQ)arj@yA@W?XYP83*S1*ghMV({7zk@lF zwL@yk&XknZAC#(XHt9=1nX6kj$C8$rqMJMBFOr|heEfr}s|o$i zGZ5ln;V?4ss!kx7eSg4+@Mdy0TQZa1ol40VIz6^uk({}!6Yr~6AO63=A!B?d$OLtS zDKCpd$HtdLIfHCJ*tB}}>hD`uUpCbEj-({m5WV`=_pK?LHe#3G=rj&(J(=K-o<1c! zDdPu$NKe*0iih@Qv?OlY+_L3(b8hqgosZ`D_C@lKCk=XMBc~6S1u5vW5c903d;;QL#c^!91i_! zGbej_O%6h=fDliD8f?nEylS!1+{^K?38xl&@-K-)${|816yqV%#4y}>AGtUJM+`zj z6F2p4j(=|jg<>z}A?NrLw{ITijam28{j$vSVtgSF=}<@q^}X)QliV&S+kbLE&Jzw_ zpH3aIl&ddaT(VIgnVX}f11(FDcJloKTX5LV(iBtDPh<;={d`rZ4au&EXxAEyQ^^NE`kb21Dj0K8mxo8Lb*BR z6CN%tHD&sqAOLF8UDZ-k(^AvYvM6W7=|z=&7L&8v;=M|I@z{U3%6l39+qSp#!N#1g zq7aI*w8am5?<7AUqB9P|U=k9ovJWF5233}4$jH3zPhePCfc!I`n zfs}b41H4g0I-}9d8Q?b$`vR7t?l{EjZ8id6*{@#!T=1vx#!ngtJ3sln4Weh`V7nKG z+@L1I_gTw>bia&-GLq%9gdtP=E0+F3xFAjTr1RwDQFZajA0GP5fM1Rp7!;3#143*< z)BWJR^$LR(zOW&Z)Kjo4_SH52LDja0ef~>R{2 zoQBfu4?j64fRijnsT>LkO&FZDP-rDp+G$+`z^BXz)%2Vx`f@J1EB~+IkU@miNP0T> z_!lFpkLys&U0@pC9h^e6C~pdiQUq&_gLiv*4w@nO z5T3}A%n1%Z!ETN&v@bLYRwRXg2(1qc{N4z1B&bypj8^>pVRa$lc#sE_Uh zy^y6v_-sGdhxhXr$WC|FhY!|o-D>F_Frg=VO4w#tjqy2jH+j&rZE`fDrp0Co61z4X zp8Vj6gY4R@>;+{KT^}Ow$+Q&cD#rm-F3A~jQW3E(QE7$N3r%M!CQL!u1 zAjz_;KBUn3uxNW*^CTm?Ns!tXp)-&gr%Fny>wg)(?d8FC*yT^)oiVX0mHRwwmnqsJ z8}3SFNwG&#;U-e|Dw8&Gja@bji%#}b$YfsvRst-lfi^2!rKiyaNC+bO5Uo^-!VN8A z!yR6EPNcb)ghO2am8Kxml;q@n@??F%_M7oJ%_n!|c}cG0FI}=dyXwH@xvRS3(Cg6I zvu*zxdmi<~z-5Y}kj_%lP+$}k`ob15TR3(x(k{Yt=56CMOFfaeegfjXm;+@fZDZqb z<8YZA>%$h^u+$?eTb20;NY%w(kp)Ap%j&|%OoaBjuDmaX&j{DRvO_@<>DX-8gSVQ` zJ8*xAoysl~paSuF^`Tn24X|aKfn<3@DxMLKr9XoY+>(-(9|wph-N}5eS&AfMINsMN zxS*#@y1pB>xZ|C?J_8;W)tT=&2A3VVIHf}I+LIy;=(K=TYVm=9JLO(_ye+$=WOH-* z@cV7Yj`_Y@8j3~N|FOm{cNsZX$^X>`J@jZ{TRz|lQsn#NXBdze>VZ1@WLR6t*T*%n zNWhOQRox6?4OteA*CkKflg`#Gp8>40y9|316+)@ffy!w1U|k73b-1CagA7XZJyp%Da!HWG$-c|7VhX2thN z$0n-@d#w5kX`dYxEw#nQKM-(z?Xo{$I7zIGa<;+~nc(v1c>b5NtzB_gA@M%F|EH^c zdeDayiz1r0e|eR>W8LU(II_4i3hl~VLJnGyk8S(r%B35Erw{rCJn|&$)gIQ3Pdr$P z5#@V3mhrsW&@NY zBS9}8TeC`7N!VmIWZDeYC$vxo=`ZrC%&g4p-w`fobc!aAZTL7dv(hV5LY{$iUf5LP zk3No2*Hcht3@O7guiVH??#1|T4KFz7fIlrhjSTjNo89}ybRuDEkGg(j?k9vBcG=h! zf9;YTzP^{w7ESJo!^)$_F8dC<(YGgQV+AW6SC5z_IXiw^dsMY$T4xQH4$WhV0}yS( zdTe`I#z$wyJ8hE?H=&0)QXSD#Fv(*FJHY~v;2Vk(B}*HT%KY4bD^b>n?;d!6Z5 znKQYpA%sR;EGBSis4OdcTKX(M-m4F(XBjMtPjxm%Oy_GzlbV|M)m4W*Ur&<9Mvo=0 zJt+nW&)sV{UL;WZE9$lF2F_HSy6gWPsm;A9naTf=91JmZA^LFa+44DO^|HF+(8fLc zK--Y9{d>4G#qdzbv;{&G_4|$6uI@p{-sYiD*XtsR=Tc<&-FdKTm8>4>sN|X0y59Al?H@9XI$=V0*dp!)MKajW=Bw#XdBBkl zG}#p{45i6uIi5bJ4~4Y#z1!6%?C;U93_}K)wji{;qIBYg>WRjV)9Ximdd2WC$;s?K zbN|RCg0&eO4vU&jS>DW3)py0=WM%WN;R^zadW@GD#dP!WHQFfXy~FDhU%=rmUH-M& z#|Bscf^;7=X57Euy z_9&gVf9gDqKy1jv=>3DpL7VYw=|k+$4cJBL<;fA6GOw{Q=-9-rIDC?bB8|;xviE#g zMn60@JocsZAw>kksskE=Kc>Tq^n^pMMo)EQB`MN<`ofO+N7t9x4)jqhpY*{!3pg3| zM(6%oU0G{{ad@}GlrSWI#=*4aVr+}JI0fISDc@cAI&;E{dH?evHcU(>g z?cH&xmLVrkn!Aw4IY2BY#a{nVgF_vJ%K?GpVOS0WSB=NUkPx8rxz59s>@C5n_?hUz?CaXudu|CL*~|j;$}c->trk_%!8V=3pxF=acQr!?*Au zeE|+Se7ZMIc{UeW#o1e1Qc~ZMk;S6X%>Au?g&7%-iZu9Ob<97Xi#5q40~m-*uKK0= zwY3h~fej7CW2t-J?##_dy?+p?aY!P{mh;JHKYw-qkmaHzeksLL$dS?}=aw1|_FB1e zk<$?y`y*>>w=LQ(3?TmDij?nLQ{8j?stT+8{CwNm@Ij&9mGoPw-xpRF4ECg~MWsvVAKIeBT6UeZ*aa?RM~)+xd|U8a_H<1RC%bz_2!e zk3)zWKz;rV(Qj^o_U{}t`VfC)*Ogu8oL)JBh#f3_yo3jj;z{@%Mg9}8?={nI_!f+@ zcTU}!KfkKHyl_paE1uJs>Xe<|x27NRTGV1wmXlMnvaGD7#j7ZweRXuxB|bEH zf`TttAhbT{JFb(-w%K_)m&cC1is!RFxX%g?Q@M~qA_3ooM@1rSZN@}DQY5UNaK2U@ zMo+)9z>Z@x%s1Gl7h8Q0KjtD^ls<8VsqzY5r ztDO%ex3%gfY;1><Fq0W096I?8eSmnQ=@-fa-VPs^drJxG-lzC8?9VhLu!A6l{)h21KEV2*D?qa~w@ zSmY^wg`|F%w-%@O3y3ImHDs?ztn}DM}sAbDB}+S!YRb^^Rv(?Tl-V4H&`>s8wj7&Nwido z5ML<3Pf-Sg?9RuSORk4O4B^{g4{z4qjeC&ZZ`5nZ#Iyk+g9`s;mQTOK!A0o4F-5kA z30B^ZnjLu&653$~hr_w52WeZ2CxJ~mY?utcJc6%&NbvH@2)52kcu(4*F82*La5a0A z99-x>n`DjMzkYb%25$~gW{!{e1Xbq0ownu__09j`uY*M$5=tH9Hz4!@JmJnnmXm!mdfol`+Pa z0Ezf4v>lBxl9(sG18X{e%|F^dvb-|M2XoxvQaL7k{?kw5tcAE{5Yf~zyXXy28G0ofWkpcN|7~am)!A;+;0~ zI63f@9PG-+o_PdCS};xnP6eKs<&IGDOa5Ac z#@3sl0wMc-@gG~C9kaoo zTiwut*GSwj{@#XCn;OL2vAm1eSPP4?Z$y^4I8IsfTguQ&e!k^}ySaLbuIH3v<)6F( zziordzrmWraCjWtZEdYxRyF6kv?bGzQ2ZzD%Q*Ee{_8iQ?lTw9vg?$7*#=`Ydc5bX ztm`k}(8TL$N*cER!}=YG97wW}*{aTc0XW)qAze|@r|-C!FJ7#RBeB7C(2@>SuF5)T z-A4(q9bc6NLuQ6cBuN+2QY6p&0>-QPhvH@Md4E}ubXFVe!)tG{8HO9##)hv7 zwo+M;N+rWbQsbl*$yWwr?aaICz=%d~+wL6tLcg9z!HM?vgaq)tW}i5T*#9|-gPr^J z7+Q4BDRI90syx&``NrT_`+*VWP+rLD|6UwE8&-d2lxJ6MdUrI|c`Snh%Qt1u z*^>KaU+iEHU5u|>&?kUlDK*c*F!OTmoa{|oDoDwcMq}Q~$erW6Wk!LWy1q;^kxdBA z_3HQP>ae4dM)Oc-9I3rd;hXS<%($X;%!L) zZzwq!CF$J1Od=NNdutD(bZ2B7r8P4Cww$Y{)YX^do~`oRV#9E|DDlyz!Z}r24z!da zOM*iR`=yizS3SXZ&>gWUw>)Fh@kXvXz@PPdYHoSzFdJt?_4cQ9m>nG}o3e%io5k9s zl>;lewJik=UM(v~J!|%-Sh4MM_MtlXhp6;QrcId*;diktUf8ID$^x65#};ay1H-UP zBo?!U_Nz~dVfoywSQ;;(x@fCs5TBQ~tGVJzy;Dt|cm0A2BP&GzJ*8~joxJ+`dhcrY zvc4_e_+WegMo!>72B|Y7Y-l9i1&4=GMCDWN#esVR&sdU!5~Fqe&UFraEHczVjufrq zC@_u{YwF9gD+LV=ISq9j&?&ZmrB^{i%L!AY!6Czn@I4GYNHqn~V{&XV7gf%sDXtK$ zeYK$>(dM;M z94F@hUKgGNCl!_^&r5L+OK{ks$+#*Y%dpQESDnXZ9Br;dZr^vmh-240dy~*?o`Jk8 zo8q6{ug7OdDUGv>q?k`?{fJ|O;ML|alAI|5is91!YW^Xq#o~AQ9NUEADi19FqsAdA z`#Wz%ufw)skm*Ugdk;yYpLi<@-Xkk*`NaP)q(#FxgVEu?aQs8#t7_5;-MJq8eBkF; zs*aaGikTUyj+f7WrWbqrI=;J?6d7jC<)gXl77pu-!*TBE)qzX;_Fdj*uC80igns>& z_ni=0tlfDhQN%03{sN!do3ud9b6^>U&v}7dCm>gZCV4KFRpSuDO}yi`!**9~h=2sN z0E>&SuG5fOHIW^!^G^N;;;?fb8@o;fOL&LU#HA*r5I)C_qey28t+7iSErj3@UqP#P zH^mVVS+Wo`X|LO>j+Yr^mi0PwB0cT{t8*S1>DUQlwhAPfBGrPu@<{}TZ@b3#`If}7 z|B7|xkkCPKd0`+X@g#(Wg~4XG+Y1kn)b}q@WAV*(?}2Li)-$pxCTQ$$6qHN z1sA8_O^lG@G*VP^lug3)BFu2?HZmF^^2oMjdizE-cA3{fB_;)VvQIk{Wp`JMn~2OiQ%6U_>Gh0IZubMb~s?{ikQFi z4=H--0@BtD_vc;@+CWt3g$Z|vP1T+E=vp_4yS}+1sx_9i(vG>4){9LGD5#pQ5_MKY`U z#J26VODQe@GxZRP9XMB$j}5!Fvx89XPu$|(cv(I8$ZplKl$2E8lXYa9QYheDYs${l zHDgWK9&m^jTDIP5%^0+u1TNS_j@`X8B_-vvnFxLQf5hRlJT{s#e=m-m+$`@6cHY}| z>{!XSBWKv`Z_7Sbvc@8`3s36Bd(i7v`Ig|1TpW=*^k;nF`#^fJN!73p>D0U*i;hfl zCZDG}hj&z;m`%Feo34?Jxapl7x2x90)`8dc zni@l`-LA(4x)lE>xmpblByjUfpOMup>d;=$6G}*jNq!Al3RI@gnSp%jujat z&LkXcCiG%JK@k38(U}%}lCLdvp1n3Cz|112g;ricl)fCH)XdaDC=&TQM951;LZQgi z!NFf7G&L0qBO@IgL=L6Sw#J@HDfv)rtSA1kGRT7WAHOH^^cmxICv?!5N5^^E_Hl++ zC$l(=aBDtsMSkdslh^J(NVyFm$>+D1co%bl9WnqLxs%hj6fAWwfj@a14h5y*hK7dW|I5CYhc$7vZ=Xpf2|IzX1r)Vf zt5_121`*s&L7^;F3KbCqDWxVADPWNTwSp8HSu9$DdyuNB;(~%GxBxCtn*g?TA#75x zh(-}8?t*;hOaNd4r^g`sZh@0cgP^RGoTwz zvGmpu7@n6w(YawhobCYt@mj7^66e0N7@1yloVz>vmDy7i?Osq?+7l36Ul3P{HZpph z3rY(L0(QD*^pwUG$MqKL6zQ$#>FL?2R~!(wLT9Jmis;gR5}}cdIg>ihH6lVDxX)l# zI8;3F!G8A0L?dsInPH(S+fHH}idTKry-}%NI5za6Opkym%eh4>A2f(ta-$f#qOWdo`qd)4G)yA9)3wdI( zhQx!?+vvcw6=JT26w6hnQwMPN=Ora24Q3!5`YnflsKgwuxaKN)l9}KF{M?8w7m4d~ zp>*ZY;h?OR&P+=5=I8P~7c=fK0QcAqM zg=VvKc|4`JmxSFKBIF4XdGg$pUP2yEVjA#IGt~r*;7NogbQ(#R5ln`cLV}YabBCQ~ zZs9B3rdtfmiwe8|fV@Pkt4yHX>Day#XuwWqo5>m)Qn8@s&R`;1PCvNLizBU7<>x}q z0V-c+GE{4gH4-h&0jN!t1#!``@$W-e13(3ADpjyWT-6V7_9!0?8GQrvQ%FTB;WPLx z!l9)svRS2)a=FvshqyaO0!y2rBSYZIL^xxuK+4Gq+Jggia0GtLLooERmqF=9Xd6;t zZ*QMu?eXW)=l>TgP~6|#-rjz)kCWG4{kS^#>!{GR!A)1&+duMd-A`@2U8i~w+|<6L z+KD~bRDJdF)#?kzI*;4itE=Di4jmi3q`f+Lhvh%vkbyI3gU`>hP{KhUs^>AwX_-d` zQp83!d5zZ7nH5gRd)wIOr6;mZD|qDyhaS2)Cu-#?sUV}zCJ`;gIk<`|=Sn4oGN?i6 zZJ0E|Ij?W;?4y$bP#u(=RR}}^(H}6?5|A^4`(3;_96|ABxC;D>=K@~RV}s}L#}fht zH!m3ejHBzr@PlHdO0+c%b^tXF2S;$Ykq2R5qENizOPGq+Y{j<7Zm~)^>utE$?imbW zVh3($x@4D;p5k<^~fwFMB1 zxQ}|p{S0vh(a}H(sBu8tBL91yHeP&h=-!~4Tzpk~ErGKuKqnl1?MY)9`Up zqG0XTgYXHizn$8>!pMCT0wL1cQtJ z2M*VnY=FzI1vu}01mB29$w>S(I5$6f;vyM5O!ZStD;ct@75>=giuQ`ZPTvZsoN+^-M0JIwmrKa z^>5pAEBMx)ZMPn6nr7scyf29dDkMxdJ|Bgd%8+s)Pk~eKtT-+pwTEzc1jM`9yVz6= zI=LqhSmhA$Mk-8$aD2)1q5d*q*ZknDkAO+FaEji(75w<%!B2PM$~DQ1{dsrOvCRjM zk9kQy^smMt!2nG6!j=menz&D|1y~?K!=vP;!VXXs8-zob5gY~rQcE+ZFeM@$`!5pr zQxuJD7b;BsnJ@Z!ug?myex`7kBOV;`%cVMMzZ^C0618z_GVdO>k;lEMmM!enjaDBk z301%OTB4jfSzd}$m!0uxE#>aT*Y`GBTl@N=|0$O$2T%WUp`@|Uy3N-&=Bv-Hoa7bP znZEJD&D^8Z$3+X3_P|z{-{bZaunBu088|cuo@GvMA+x`n++g zDLw=-e6mFOq#lU({A~E*L$V6w(S~#uU5n13)3voVzB%YkqrQ8wCNqH3jsr|MyYV`O z=uJ)nK4YJ1WGG1f9+VzhWGcS~QNaD_gWvNa>Mq*olaciJSm#$U&hnRCw&s3OlG#L&iw^gMA#S?`Ws{Emk)4<9~^ ziD{grzv`BEZ5Yl+v}vp&?qev6l)=b-H<~aYgRA6#_JR+wc!tE_q7)7YQEE-su#)m_^qn53X2=j3uO-VJ?$H)T!(9=cF zv>Jz4{)bgz7@GubNOph$Jn8l0FjMhva7INR%rW2{4-U5(guXj<;e)EWEvZqZ>)=y+ zy-$M23EzQ1&5p2--3Pl)%(90iu5oa0mD~Eql7dfn*LCcOoDi<0P8PJUVtwUwKyqZK z0T^>}_sLBM*CUT@63z-~q6SrvIe>Y)`5N7eZr31)Y6Zwo$L&_NUqhrADN_x(vdN2C2W_%M#&dBk`@&GjHl#kC%jRwF-YsOZ5= zV@~TiUO>UKwR8CCx7Tv74e)o0Tk0(>yINaaGuIjDtv)c$xyNOmx4T}WcLb~IgsxJJ zk1QVUzmbB}bs*ulrta3Dr`tZ_0{w}=b}`(L92zvXAH}~8yLbTH?(_Zinm;2$x`gt_ zKWzPtstpC7K)8K@!x0TDi4}765eG|x%amUxXuU3dNq0K&VB^cWYe0XYtsAXl4;h0A zObQCEOK$UrCWVdd{)n&m=%jOC)a-LaVPw$Fw#d!*Dvo-X&RIq_K?Hq92Q{^R=%R8Y9(5@#n zk6kHJh=uYea6g!|3!%2US0i$l>pF&ap-~WVsFcZ zk^!DJ<(+9D{)Dw}W7}Hv$3-ZUcmZJaS<%p(l=*v+Smyj_!c6om z6Cm*;s=${v-xfFw>l{#=$sk?}A_EljsVl3HI#R@R%&1qF+BnHC`W3$bH`hs)Q+x8c z+i`_a2EQ`yp>{0xiujP)aIB^BWyDAwF;SW8Sc24f;PN-F0uDzIaB6%4-I-~-WVT8w zW{azkU%t1CuX}!-6K+v5taw6+P@yo_SEKLE z1aWI1uG{nLm77ve(_auU^_f0#+iyUgkL`|9J>vEK4x?-U>Jv; z@Qpf^L~^$p{)`sX#3v_cwMxjzu!+Gk58gI+9! zd$uc-UYT>@4E=SmVfc)U*VA4GaYpY^fmx1tupc)|7E?Gx8%wfg{ffy4R!{btL_u|_ zG&xt6hLYP#-Ar_-3@(%uy@j$}2nUC72ta)%2-yzAvN8~Ou$L#|3M$|r&~7D6Pfe)t z;))e5a1yxpNP>U$^tzBd?>&p++L)W$e`kPN>NN##mK#BPcOQsCDDk%oqm zeJjls4PFbJjV^5ZK+;ShM_wzu zo0NkE*?EbILpQU^+yI^%eXt$=Am*q<%9BmDHugzLNjFmf z^Y4&F{Zz+I_$Tpd!E8}O?CopUwkIbh_FX-;?8>P(8+t8IExCI2>ib5~<8G%9xTNQl zdUY*1cJ;?&R+bst2W}^CPoL%PeRKQGhw1ia5sR)KyK?ohQ>~g0ZL`cQjIzvtN_GyA zPN!gGf8&hR z+*BKk3>e^uh(^tx(qA1&hD!NHQ5J@VwgEm}XS=)4UK2F4E4#k(tGvLOEa5j8T3BSo zxyJfco^R`B%U&$gdt zp}mGD{KsrKxp~vBU7OlBuTQMFO$N zD}OT_K<6oghXg7)JF78L#(rl6hZP)L=q7}lVWUtgmU6bhZL0xT-M%M3iX#{6HNh>T zd^l`p;goENSU4CAKLKu)fv zm|y^RS^t2Dr_}ixp7Pu`thWg{0)Ulskd<4uRnb~^_l&yc>~J?{y@-c-CR+A6HUs9M zpe-+iHod|oPoHGH!Y?z0z0PM=9e;1WOHpyazKhEj9oi9{85XueCrr1eent0|Uk|NX znQ3!lt!2#j=Sw3>^A0aczkIp5NTjiIlxK# zj7q?mR3%iiHLfQCkIT}KF+lnE!IJtx>x(vyWlSFAAN=;yLAWd8PxH&22!{#ln~t5jI)DCci!-N|9eey1a7gxU-pD^|XqeaB|z_sQqBcs*%K)z@5hwm)kzyJD~MT^cH_+)+H zcRwv!etpq?Y|H2F*(53F8-;iqg%D9~os~i|xx^!#)zCJt9=Go-YliZa)hJ)S5eeV_ z{;ei>%#rH1S3blJf91;YnxfjAI8y-Wr(iE2(y;1)4_J+;ymK$?aZ!^R? z1kfB;He=zksXkc&=1&5> zOaCxB!a5JY-7s)dK<=d;ife2z&fPMxmSaYS_2C_T+!`mRts%f5AK{RxxfDoU0Mnno zbk@{DfBIQZAbw!7gR4GQOd}onRu+)FJ7wXF8H*PmUA%D0l`D%EE}OFO#~H_tF2lBX z;leMysH3$2BfU>++*yAQ6Z+CNQq)M5M5En?aA=9^*c#7fOqsFajwxU<2^y0bF|csq z(c`%1GWKO0(wGdARusFyC$>41!C=slhxhae532HMUJbP9qkK4wLqsmI;XYg%V5+q? z%q*o)WkIMrpM;&imK~jiwey${2_Rlj7anVl8)Y;t79c&X>gqCZ?lIC&!E;hUCU4{7 z-P`tW$zWP!8vK|Q#x)+eIlZfPb<1rgh*99?D(74w?N#w1@`9(?Ai0&{CnQf}F{@{p z3Y@M?>Ar9;9~d9OAJ(XAJT-790F(29W~C8b3<_`;4J_>nR08_x0#KI>@ zx(_p2Kl~3(-*hOerTFk~?S)^)eCR(VH&T{+KM!KXN#%cRKw*n6{BA?Kq>6W^}FhtKDk&HN1o{@gY` zpyk~`*?xKrhJ@4o20$>y9sKM2te^4JC1tb&tFO@WBy=kXKvonwPNQKuGDBN4TMJWU zA(|SAAU@@si$8M0Bt3a+;8ObX7LP;OOyzAr8v3f(aAbq+zJWPjLDdP^ms1lIQgh9*HDsSOPah(IaV zP_9y`IOaWd1~ZZACZ)LfAgh`jesiLEU|#u&9VmH2nv%rCcpjh6)9-az z4*UE2Bd-K4tvKz2vf&a0e7ggBKv5Nc0f4HN3C~)v*0*qOHqdVy#o_-S9P0iraj2b$ zaOk;Z!ua>!aBvt%crgB>kER{>?9q#Lwqy0iHn%1aT6zftFt@UrrOkX54w*pftl!cv zDs%3a6LpsU+$`qR8jsqD2QX;~WpG3~VY z1-&fy1Cy3pnyD*WBuK3IGY&l^mG3Ot?+UQ%L+_2UyncPZpVt^Q4goc*f!?^lfX_2B z@{Y2|@;2i|Wo3Db^Z*zxCgbv*dMtx{Wf_}B`tKz`l`gogB22U_8WoEoNT8(^Qn=u9 zElJa6E@-{!5CZ6|kP{WxjYxt{S8NZzG!qco^rbp3`}u&Sj~CC7`zF>->e9x z79BX>f@#5zARI3kn%66R$iZ-Hj;^!v@d+9inynieTNN8Lxp=4POeJ6{4Qf>cp=5JS z$Sg|%jbv)QiVsP;pFK*+4aSjFQv)+GIOfkq516@ zFKU9p{>zjEoRT*DyixV1hJ|~KR^0%r_YdAAh-KC{McL!=(CL<-ys=Q024T}2F?u`J z5dj(lFsy0{ii%1z6T_?`g6e!0_|)AI0GeH!I$ur!wc}xb^==3+MN@MUH*UfH&3hpH zL-?1v4c2O#)bDV)+t=r~)SW7ZDKDW_Ja!904;pi{ZZl-fFt@D0IQmR3^uvw(=kR1q z&D!j5VOl--D-PG${s`ApCDvR!27^No1sI)#zttOrb>D(p=$H=)+L-8f?{!3haQ|tM zsgbGGw~q8o*p|9Y_BmO$$jQT{;pyb&MUFJx`}XE!#8?C7E5k#SQZqg0*rL3`m1Hsr z#vB2lW|PL87=v~8$W7X7kr*?ck{VX1c~yR1-<@9N<;|PY`uo$GPDn}G zaQ(1QdJ=v)*;TLbBz$8!@&M9Xxraj6u6VEqE@xhTxt~Iiw9@T6Lb)o-){Z2qisS&V zoX`+Ne-2^qs2+RB=d)LBRE{C2R9WcRZ_Ex zNZ5!DPJxSO^5s(gSsCO^#e9g!Xm7}zkDqlg7Q(c&-2QVL+wo{Le4jCB2k86cF#uRx zOaLxCK;vDb?$9ePlOUK%ZLnI`*p38T7N||s(I@mRfxeC<8DJp0k1|l?k31w;EAQ*8^QdnOV!QpmWX6;%O&Szx!iYN=0g+<&&jJ7aM&t#)%MtUwEo++*+?|FUcHZUKLM;!zpTdLTv*BH zD&>+g_$GqZP8c~+!cCM5H^6W8)i~@J!Qq2S_`8NmoX86}2t5D`oP|H|L@JTuZW@%H z!#G5I)hX#)v%G_~5ccQ7X|c%hrR74|$J#Y^wFMU?m5JGCOTjyIQ0s1>4P$cVUu9@sr^i^kyEhxV&DE{h5nE(hI z%@%ZaHF`3y^}6m)S=7vWLAqi$J$9UNnA~LnBbtbbWoOTDNbXDdCg0H(PeH#c<)pvU zUD&qumvCJ7VTFd=?dY?)WA754*G{D|RT%CqIHF_2z5C(ki5eUgf6gvAfMS4s@Q{!# zlJgv8P-FRDa7c#aClv^#0*-u~%N-;1WnfdiL|Ut2Pmp~Mv_^4A8RG|NE#Y#w$`tq| z`m+Y^>5r3S@gu%Z-eL$AeN~qzvT*UodapOUjQjpOhVY-<|~9g#hrj|I=k6x(uoO zu8tvj+CXM?{nSc`rz)5=hd)&?>RSN&sVB)~bj|`3*MDZg8mIzf>FhH+W>pNJ&w2BV zd4i)oFxvY=F`fTRK5{~hc{?Uu&VPE5{~6qoa}6F5?0^n8g6=QLv!C_+cQ`JkKrI%ijA;L zB9=;pd8sn_frEd+p;?|ysY=9^%H29_8UU!iTCn3Ll z4#74`t9A}0MF`SAN-6m-cQ3^AEAR{Q>lJ97qq-5R?l%>;co9Ts$v?r6fv(bIsu$u9 zF$v;C|~r$RJx z#?l2Kq5vq~6Wj?+D7EvM=xTMgz$(tNEkDWSWLr+`+s}-Z@giGO_83ZCqutwZx5B2w zrXqX$Y$~4IbXPzE{qrpJ#n~`@B0QEM;dt}PWHKjo?y2yjwGz2Reg?wCGk?)J0QyA7 zaJEXW)NyjLVxa_V4Sc3lD2xVWFb8Oj;!tg2sqnMdjJqGg+&MV>e+qYXjf=ZB9)2XH z&_HL>M*4d5==0$*>*!fsyWtuJL8H|!gYV~MhTe;WTUb+}1vqa)02+-!fbjUYru{zt z_X!KPO4q+JZNf*Z81v5Q#UvYUWGAMSXt}%*1<1xH|Hy|lhFG-KDv`ZWOiC=e&I6e8bxg!Sle>nqA(jE8o;q}9X&x&y(L@zRPZjP%n>wobt^+N(Q z+;FTb4J*1^aU%-Te11PXbYj8TVECz)T1_&FLqL}Q40nHW=-Ym{-U9b#1Gd2>$KRU< zVY0g5!JvSMp@{1?&fDOGL+>4f*e#QEnlFTNKizT?ZgU3n5Fh%*69kK35}-~$U}EpM zUbp&CTEV#RiOw1H6P#&G<<%!djZ27{Wavw3B&$ebp$V^IsJICvnNZ%=G+O~pyrf{>Z)LkeS*EKZhNkAK zAc+?c6o9cd+)k%CiLpNg?DV`E~* z4vrzeHm8Qlh4i&FoJ0+*s3ZQknTpa*S*e+f1iTUnBbAwvHIqqrGl}5an@J=xid7ld z>hs4dbn-%ZQs^Z6{sS!?Rn|6^Y28wN^9TF73aOpC5yUZ8z3dF$NZYRRj2SOe9KIb| zyMef|TJ7mB7ouRa9e7>_a;NZa88Lkb{#?(-RP>3VV~oLbpp8myEtgv3CE^2Azxu+^ zSG_GL(nwr0z3Z!+UU)W;=*%CQ=56T1@}6VQ_wH?zE)}0WsNeQYuMWGS1nz0SUj1C^ zAwfhUv^X&Wk4enC(ZrldR1}9iP0I>-Kb93`$O(yBM&KWgAPznw;R$9WO@@f2|HYtp zKC&SoZdKLY?0~8wLtpzLiv69l@A~GoJ&>)*xvSWI0_s=Vd~pGQzBWcb0kg8QuvEEu z7{y`bS^vW%n99=bC|bGIIu|w0<#~y$$y4x<6d&(YI;YsoTCD2{5EH_F+QhV!Ik`{B6YhqI@}`2?dTk z?I99PHbrCvwgE@8I@xkf2Jru@Mg??7KDuDpVX+PH(4;=2`eekPwV}YGguy05RIE1wHqK$K zDG!#R9ev`N!Y>mbIrwh18L1)up^#`NKC*~I%2A`DpsD$ZzczzIx>rpFB(g6VdZL7X zio<$^LM0;D7mky%l)WdkM^b?C0%xz+vgEUM`Y?hvSI`q!Dodg1PB6_ZS>7saN3Jt) z>R{KRZlhSH@gUou{jUf<0!5H9^_7ZCCTf~GmCT7m3a&l_-YO;{4rXjTk&HAybTiY^ ze#NL*oEMAFX8PZ~cGve>wWeVwZ8<}0Ay?m=l=0r>%L{JBjGbMvIdxRU=EeOjUFnER zYklCrK2M)n3Ys{O(49#J%hWv$6_RX@87xrha1J>|D)_di8V zk*Q-t8*qSonm-g}(%{8Q&3GBnh;?r;Qo%=DFv8;%y>Px@m+MbvSeewkKG>6*`U0j^ zPafR1`u6KaVAH*TG@Br=g(a{}1$URVQgQrihCLCDeI6uwX z2|?9)bE?Y znaRxAdJQG{ynlSy_@L$9tz|-BC4=er;81^KD~-R7_l2K|xyl zl%)LreYzaV2vU-GnW-(=b+~d@Nnf&2q!K>7U)ABaHmuugWT0u^D7Eg4ru;N@K=-!= z8Qn_i!!@*vY~^m?*{AwY+|0%=@zd|NzqAxzn?iMr&0KuFta?7;kCDLH7drZ1l+SWB z;qWed261gb&g-~nGf0UG`4-|k+t(2h4;SeY2UJmk-JDgpOrCu3BTux zI$fbwtBsKbI)e z!KOoDj$iVe8P%n`pCA3e4aBI5Iu~F+Txg%Rtrao^^qqE}+q9ireISHpT4ZB0w{KYI z5OBTSy&Hkv-rnny2dk3;j{1Y+N=U@O@X3ht-6p(%J4TW9WW#?${K)O-$GB$sL;ZRz zC|<(>d$&fM#%J_Y*RME+^8?E#EX9|^ZmFj@Bmxgb+~wNZiTLih)Zm@M^?|vQR?Wl| zSAdgKORL?cP7qVUk?7X(HT>nuq}+Yy@WtP?_X^lH@ODCmkM^72m6U`lW%x( zEt?qmT6meY%up>`aCM4*Nm*HE-%*YVio>zzz3GwLsQ$~KPm%3WBPSJbcw=Qkc9G|S z6SLM9x~djdsrv?{#odWYn>NR#O<4@&j|aPzjV@0#D@Q{F5K-6bVgkLV=W&jch>k3O zT@Mwt(*;#)9%Fb`n=tF-Z<632tPh*yvH68K zQLfi`^!*lx5zA%xHV1lb>A-dP@OJ_$sTa+I)^P2id!t^aem-Wldncrb#pgn+9`SM0SNZpS(_+H%; z=q){$nKCpD$pIQL$zs&!Na#%rz@=@}st<)>HVjJ* zN`)qP4n9NPzK%6Rd=fRv;Q3nYU~VlhYww$PK3KRt-tz|mcoz#50uGbufp%Vh$JzTw zd~2YIOFJ5wBf6*{H+0%pZ`-5h!<@a6~jol2q8M7w?^@DFd|_Dr2x zq3<7?Wh{B1t_SiI?Nx(9rS3qU$r9iK-YHNiG#d3Vd=Z}Z1(@bC7x!iospyi4KIC8v z);M`8bV~g%_;q`TEZVm(qmAJA2|U;?*hyiKD?MUv;~5eo2jUySI*rD5Iig13!GhPE zX)l_4ejn6AxzJXQ-E7R*KGS17-hLQ|SaZWh@a#$CA`|jqDXE6`vU{mRr{67eb^war_Y}!QrVG9D3bgZPW+GYQxA?-0+Qo(K@|Hi59JQJ-~xy z%)8<)M-O9%6))r5AReN3Xs>w&D?MjxaU$?f^`W>L*K4$QGtx39qP9N`TXJyGnV5o5 z7mSsIG$?KBlKc=;f;kcch(O=ClAG53V|>+&JjB3FI3V=Ai)MGNWeV|N`&V$`s_COHt;ctQXoJ|7_)+}zn24q5AgOGM zLkSN=@me3y7>0eoUn}dUdInwHNNpbyuMuIENu5p`5@(3#ysLz;qb*6 z5D(({I%Ll%wux}CH6A!4b?5IClgGVPd4`} z3y1FgJ(IfxRrnnpJWUA_hK=d_qp<=82dHP?fHWtt+9+0jU{xGZD6J0kyNg=l$Zc02 z30g5-VBo&cW@IXzb>G@Xdrl}s3XY{zERXyi=SOx(?cq7aGlc#pKOr9JCwwMOH%cwnDaciQ6YU4f!@r&mxDr+*j`1WNu zzIG?a(ij(JpC@1Q9N}6e@*MO@?Q@TGa&~ui8RR#)YLa8(3b%qrx{jw@YH8aL&$cwC z=S6nWBNs_EaG-^qrA2E?OUu?r1`ZsUWEsA%3r&Al`Ka+eK*yr^u=uOf0L*DU9{3?) zqr1dYI=aI{bv=Ul*74oK3ivw0M9|sM#rd=p^fZ51w>CUYaS1?USt-ZU$|olUGmrs+ zSV1{{Kr3k=K#mHaAxG{ zQVVm2Q3qYmoaLMIbM~NBedRPs({K4lcfZe`@UxR8%-}dH;`xd76fUT7<%_js z?D4;JLQ`Hl+v~=S9xJETwzChHC73nd6Fd|KoD&m~n>GeFM*(a!m1f_KIy`IS0Rvd$ z99jusz_fi?O1)tPo69uEKQtB0IfkvHA2F1r#5a)Y{)sqDZHhzcF_}n+BK3wRej+cG zaXgzrn~Y(&jc_W}hY}epl`;~kAXF0Sv6N~eC`i#RlW#}fZ+RY@T(W(dgkfw>w$2pe z<*n8=lX0sDW6E6D!FBQ2|Wb_$dXkDmMsg&00fzy=M*48Rjp|x^gzPZ3s zZ7lcMUkTo=(2hLQf|}+>?FW#pyno4ym7f{Db2JyVKhF=^O+9lzm$;3T3@)eqL%Lfz zQFuHn<7qL9z>Qq;@UexD+U;xk%y=-rxr|)3U+1yuKQ@y;ObIQz6e*Q3 zqw}C4FKA{7%c}yiv$Lx^`=(`nUX?A3vMUwgjdY>FSk0@U^^v;q`uIof7plEfUiQ5X zI8L%)f<`LY@@N(gMw!{Voun}d3o6_waI6Ws1Yelt3CN_Ty##MH!#_0rkeEi}AGc#u6^JVIh!&6&r2EDz&N!?~4=34D}oPVI0yUgI;Iq24Ky} zTKh!nte`0^^B;{vg0?Z zxrJ_IDI-mvK5A5IYFb;y#6NsO90C`fyqmsSa;SsIk>1)QkF5<2yF}u8NF4!U=1G*;UsXj2`|)=f8Wj5Cf4ze%*AkmMF^Jbsq(sCm$E11S!r13w(O&LO8N2_zixrNhToc^`eNY?h|kzJRuKx{_PIGK>1q1jp8H zACSZO{hMZv{@HPg?E3Y4qpu_r&Oc=o*>mQ){#%iivO%V$Qc+m(ssd8h84x*k&P;_mM5a>K@yw-Jth ziMMh3uzTLd>R|Bn1TNAKJdao??YN^Nv|=$sHna|ByZSWJht%DeMpR`@gIU&Q;h;zG zBpF5mQOS|SRBW-}((fwRQ|SPC#5+0I&Mc2cK7|B_b866~>Wk0tbSl;3y}m~rQ1!)a z{B(?vKNPj)J&j;{!EZJG%PlY=Q!+Kg%q zti)VKR@tViXJk%c;l!}sUxad%0wIR{iZZLm;o%swrdPg!O$O17>$g05DqHp`m8bmV zV}l*5ds)8O5y0z~J~hG|y3-GR@N)V&?OtoWhtooI=}F!hqy6}@(MR9yxvWkJB_G$} zd!>i?Hv-pI=+(v^chG?x**oL5BR-kN`-rKHZ|OO(r7KE;MM(>CWq+ys;mf!)SfkSE zvvDnX+YW0+>*YRj&8s*+2)dP18#PDXuJlYi<=f=p&_tt36&>{{Q3yP=J|3pf$rXlz z+GSSN7-^rBn3!0&`C7~4W$OD;QZ_+jLFnk>;`rh?i@obgDxX)M2rDig-Mb>GohiU) zVeqxdhoF61fby-dq0Z%dBo4`g`ZmR(mi!xCF`>ja$M?Z8lKhmtj@lg&+fuS{X7#$$ zhkdKoEk*oSS;@;)okvz3#rf~!T)A4K@aX?3u8qj4cEO)BeKd-Y;aZ&d>>BT!Dte9V zh2K@>1o&nRT1ytS4HUxjV^H$?#QmHziZW7tM69%KIZ5=^00U3 z5)Ueszc&uqwU|eMr}4OtFOrG1#@lf|3Wd&N0A_W7&EEwB=lH!x!l5o(2o6WA#{U@Q z8l~pQ4m?OswtGI_AeUQfR(RbDug2YN%JaH+@4mAX_!f8PF}x6v(vGW=k_i(gIP{av zC72n+9jcr#p=5&>%L+Z0G*<9ix6PA82cEfQhv4WR;*bN`h6nT^`N>#-sKsVSis_1? z-$~9UFvK<5x*L&rr>jwERQWi4YHTx5 zK5C_paXz{1BX0i~gE$*|fam}2`fvd5^Hk~-!LtYsf%3ji=#^?+^h`W=QoT#L4mauC-332&wpr78JnFzqe8DqcTqS>OpXL60C$S4++P&FptgE^|g`VDhjzA z(ng0CuYeDQqmdxgA2>$JL(qnwgUGoym%A2&1IGR#4kg)5mye<)qi~`7v(G&=+{us2 zOm}DZ=Z1C~H`Cpnb~!t{&vbEdd2ZaDaW2l|UeJDh4BuBO)Qa@bMfmZ%&Yc!v3DIz> zkj1fCo4%@f*4;(Ckc;!P&g0xSVahGNP8F^G1ix~3e{NjOqTU`Vxxw;I3U-?5Qg_#J z?#F&{e(rGw{i^c|TJ5Im9P>BShfVm{<}aa3pJ4rzsAKp8IqdZdZqtN#gxs2ki-n2O zye(s@>uv9F8xKb7GnI$2rjS-AmaQzXHtMVoE$(3+f$i#DF|LJnx#!}ZV3*%(`_8F> zE2ay~1w3W?Xs>YC8BEDvjyEq~mA^!$%q1U{5`Fjw{vk*7>~Ykl#5Tmsv01TeHpB1u z5FA(E$7(u_FawV6y)DUC;Z^4r$0^Kf&N`l-usIgu$Q4 zdG3UWmQx}k;F@q$R!`Z2Ne86N!SSswT^bQE9d`YpT_($v`>Qq!8}f%)S@_1|^CZpk zqyi2DVI8h{Y2(dz5&h|eB-ilcuH_LsaL06*xPEt2X9JRU;JKf_n?DafI7z0?Z21z0 z4*FpL;?Wt6&wFef(gFhe24bi1mhJq%6b>QdGvcrihv>K!t^9{4ug8=-h^J3MGdRrX zgm`BWYJY1ZD9NCV1+~k){4Y;u8}f%wGE@;4Muc-6?~@HaxH%klHr;~dz-K&wV>GO| zI%bIFe}O~tJ>_#)qiux48q9h8xZXUE>O-18?Cpa1@p{a9JA{U-pdyGoM_j?3(nfh~ zak302*?3yG=f8@iQkFC8dCx^S99rps5nvA17OtxOH5x^f_-oqEX=sq*6X-+Q-8y&Nb6>d(FbC=1T^~}$3`;oA#shS6H*c2L*pi(RE8Za5_J;Y9sH%!P?1$MCc(j%f~uCI+2pbBhNI@p=A~ zI3;0;9E$zf=l7RZTaK#3AtR7dejkU2O?Fv3n0>Ckz8q)%z#}lA`H`HLk`R*V@j<_jMN*S8W%DGsx6!EvsVnSL%yhS=;hC4~(6vMh2T$6&B6ArY&LQ;bGX)M+( zqx$e9P@*Jp*|Bl6%Gl|5XAYK-~`tnZ?@Vftw`jB`k8xeEeyvG}f!pbsrVH=CQykb*~a$7$V?1jcrY zCP+N1kT#H!s!}VJ^6A~?+}NU5$%)i(T^Tw#es(bbb1r5i&I)89=~+!)_dKz zUffrGX6x3=-G`T6TWqIfn$r<1EXTRBmd>mmha17p>-j73y;t1}^AxyOqd!BJw})x* zqhP4qanKsz)~fyCFl{F<;iX*gZ}{uP?f=Qbh0#=+*Eiw0lIjl-H}Zc1ANzyXT5Ny* zO8#LoetldMti1R!&LZ-Zt;PYVJ_g4!#07M*3ZogOeXjI;MDOR|s7#z^6#grfshlyBfcK(8^MlEmMduqHD**7<0*OV7342RsYQ`>c4 zo+49Peq$#OnUkfggykdfWhbN3=iXO%RLV%<9eh7c7p-$cjQJD#r2c>4Q1%7}cqptD zJ|#GeQWtPueze+PRJ!8__T;n^BLG&_y1KPl=Gzlz4MCT_nL0&C)NSleKD>l$4Mv^L zDuuor(c1gV;To9Xoz__o{Yz=CMxBy%TyJeqd8|gQ9YEZoPY*>G zl33i>8dF0F8@joHfaN>o}dU|BM-M}6`;Dwz|M~LvpIIs8H~*$ z=Uyxf!>p`WVjWXO27WV_Cphc{Tnnjb6o(x35Bn@GRwleTjpx1ZSz0I$h?f zd0HRuFYkSRf5J-W<}l)@k{a#8d0Ar&Mr)2-hxr}?~%G4jDyuqFP+5wYCL^CSflpyiWM4#Hln*@ zu(dI`dlt&Kqu<0f0MXXlswI8n`)i=XAba2+KfB67LFEl1i^VX?)1BZjR=YVVkAQ3* zzH%~Gy~iu{f>#ILKccF0=MpdTeS7%Y35Sb;+p-at))4%aTIXXd`S)hXqt=vxRXEqY z#j>l@hvk0$=jA?foi5kwf||(94d>hFk~lfC>0u%qjz9Huu>PD zI2WJU&4B)=*g2WRjJVd|5AY=Ql>bjSWC!8Qf+@yer`OSeOe|q0RvOfX^yBjcjR!Df zpVtMj8+2gZt@fDQW{q~4M{tOac#nI4=N99l-W?Pkg{yI?M>6iNybAh2<=0_g7kb4A z#W}#TEg>Sxi*^$@s-Ys?T_BvM9yv(xn1bK7+YI&zj2SVi={I^O^&c|@th;cUysfqz z)A|zD#Aan-o;+V57QPcw@V~|3oZTyzuTc9$Cps<5KS{853h$hsVhFbU7cR}*wPtY; z^eAr)%QYl6W?80JSNvf5l#q};ALBLP8TNZzZIKybxTeL~PjOhcF1DZGv-|Jey@Oh7 znqhNVio?o}Z&-@2D@A=d;mvlC6tTMv*lIgNHD+yFaB3~$Eh0`c$yQun>3_)u(T&8* zJ%dXwy_GR=CxA^<(d1c&Gl{usfmxQBTZBeebUO)sLSA{}*bC#vjXl!i%K_g!H_pMf zLVh67_49-2zz>`jWMeI5!4S-5P9gVMapiWbwg#R1O$)_&+E`qdzU6<5!~2l7%&S{! zO#YtPHk7>3?iil#yl2F#_){8G&fAr^c;CRXjTdDM%hSr=k=OC`+xtJdjYChe#95e> zg5P)t%tu^3gX5p#P{bJo1*{#maP8cZTwW?AK(u&q?)>@l7xqXubts1&2Sy#Spw^=m zmO=a8KhJ$}?b?M`fM7I8O;NEoqqY+IMBp zsUCZ+Vmizkvb(@4Id1$B@3<9K%60O=*Yw4|WsaTf=Qj1sD^o8WupfTYZNr>dPQax` zKYs`DqwBU?+D=ZX!Ci_DG5>2EhAW-AMMXsoRstj8B)tCA!nx0^UHeiYz*{vA3-(Wi z(w{ttIwWxl&qHwO{JF!1%@5QA!?R}mr0ltCpP9S*d`Fhy|GEBQYEzy=(^n5#QV!~T z`gXLw-0(KTHA%t=d2Hb==Kt8Y60jz&u6<@QGl@YZ5J2OCtr+{E2yPLJC>}*Y1ubYx zsSBlUi3oyKT(IH_sRdDg!MYH|YQTN1;J#vQ5O-~CRZ87zM8&;UtpB|eP_X^A*naXq zPkZ$VGnqS?nKSP_>wBy`X6`j(0q#m|DB|UN+3@I}R{NZJjKkyG;-F@uyI{tV-Y~u% zNF$w_0X#Sh>+H@0ESLAV$S_Im?(hFk;{CogV~?y@G3V%%iK(;`ZhVGUKd&Z1{c;=6 z8r?Xkaj$;4cijPUahh1j5X3l!uUsbacXhqr-#_e(`t-?@DI2qLAJ+o@g;YL6D}2l! z2>+k15C8lKE04q9jhlZ54^|xOBA_mJc5BPw?%ig`wLdZ>$1%RE*DOW$kIE)lI&$3a zka;o*Ivt?5_ho?IJ#0n`?3i=!wQ4qZ#V3b-7jN*|cTv5@gZPKsu2#yxREGcu_Xw9f zhd}#ihvX&}X44*=CfPM6sWu2a>p7mO++ukp4v7rvFL7_T zbm4N*Ar(mANq!}7`c*ijHlY51dh|IUgqE}`@$>)U@c$JKOT9{AW8fzw_BG=0*z;CW zeckrsK^VAF12%RwYWS|KX^>ag)qPI4w09pa}`6V6pzcfjaqioLS&LsEu;gIurnwoWgRS+{K^)2IqsHn8z-o4SdU^)&t+hFh9^}pt{?#0LN zY@>paI*2}WGmIbUN#f<-FkyO{(fB~+L%Z^Di2E4wOJOUMN@)M^0mJCVRm&bFOkF!XcmlfCP8{X?eQ+pMsT;P{;JqWCC3#7elXVEei9)qU zowJ=YF@~JLNTuN9ZC4}K7pRLZ)@V7F71=3PeJJy`^Nl5epj;r49}gbR@y>Q3?7rX>6F~G~S{k;Cy@kf&_z za7pJep~RS$Hd*IGiLUs$dyI&~oCMmc-nz-iX4A<}8Mhbg_5&{rmUGVcKGQ~Y%G%OM z|GGHjScRQktle4QONsxfv9a-XHXwx&zbMmVbR-IjmQv<5l`ELzyuF>{Z7Ca;hDWxZ zXTxgOZVCTr99H1lNZ@daX!aHq0}-19uAUNioBB}kN(t6jo>HzE5r=_aTjw4Rk&v*M zw^=3bescw2#ovTOqCXUF?P2_RNqFtgjI_J6o%aKzK>Q!i+fe-&33Z?3PbG13Ae{I8 z4dfGBRGY+b5mzyaYQ8IWxo9+|89SuLh;})Npl>=}wq$Jj3XRKfv)|Lk&>w?6b^$cl z4=W!}@qpKjQ!G2gXMfmeyj&0@T&<(x?&h`}oY?T&;xUKz3$Q<$ni!hRZ$)P!o*KzY zX@@|>^)pYKw>=Y73%Gyt*rn<)?jd#?T=V+pX~s}i;9&Hhl`U{8>+Z1N@i@NP|)DTr-s9{pW7`Ncg$2BZ{BZ2F$1yFd|%T)JU{Ldx}8aHe{%t@VaO^qyo;9>S0B6<(N%uvRBr{a zLyO`2+Q13|5#n5oni!!AJ%+fBYRAy0i$m>VlP=C^HJEbfZ_*d{UHA}V`^^t!ru(T7d2^D(7s*x=+3>q+t@Drc7w(KrDyO`El3AC zvf$*;^*GYrNnplg4AmNJA&`iH&grwqToj%tO$pbzZ71V<7a?!#_+rQT4t_kt4B&To2qGtfK< zZV?<3FBzzjnD41kw~d;9)T7@@`=YQE?Ht zEJ9(@DN{2`DDMku4!ZH^B=6dH_RLmwF>)xrFVm|uCfR23_SfMA2ZO22=hd-7x=`Pc5zMaSYQ^FFbGG{*#O5hHK<3q7Qc* z#i16x(&RqtBay7I19xZ%wzSvNrdxJyDAa-cX!`KSE$9UWkd@$QvqqsyK7p7{?(odr zc)dcWS6ys=r2KFHqxGSrA+|PK;_@uRh(6>Q*%F*#FVmazH{fjA+sNE=x_cQi=EHjM z#C`U-WT07PKD#>&`;e#Dk8Y{}gTkY`$or}bAe~PePAl_TS&khZ)THniEOvdSCsadHfP7@;w#k`F8(`jDorF3H>#N{`tk zX!v0BZY7a?@@+!-Hal?pLWN>@rV(3-=`FABt7K+46_jRlasWev_xV z2&v#loI#M1mH7lqy4?1@o{4y#s{D3OGuqkN&( z!0bhw{uPNWy{uW*ahul>9Vg#1g6PA(5I2oXe291_ge8-~#|`me6ymg4q7cp!9L|S7 z-=Qbg`^S6b*)Pp-3NjO+Oh(*Mnlvw;Trcg)v+^dGvTqo!>>%@kW#xs^LtHrx_H2u` zo@d(7bZBmJ|DMHxi<1AWBD8WhSlZ?-!>N$e6S0KIX^joX@Vulg3GS)bK9|ATpPdf( z=C6KzD@Z(n=LC3aZE@IqhNxcwB zK;3VHdk&hWK2*w$;(p8qfrq(ki9YNLP_yf_o`Zr@LaD)FAY^oA$FVbmzf2dzdI}I6 z{`ouz&l14+hYM$LT3zSCat3mK88EsmY>z^`n?4Zm~ zYcQ~Ob&pNoL;k1tJWAP042k+N-u(w19 zDD#pv(OQ#3wAmwB{B$OC2lBia$ zxPfo$4jEfUP3^93+htV7u=g{Lb+ey+;pwPbfkV138nxo`sQJA|U5OkORNNqJw|eUO zQEfjMRkUVlVTUQ})O(k!M>HSO_lbJ%`cc<@?R48uJ)uK~?l(F-Z8K!imXobUwP~)t z-z;TDT&JCdm?JPo5t(n`=RmV6_eTe$HToVozJoy1=+;rg$z z5Ytkpor=VFESPoxPtC{oFJNmmu4}x|ArjYg#eE;*tpse1nM3jDQe3?UKbwMGw_u~0 z*#9Yw3x*A$Q}&jW?8kQ_vC}HdO2+|LF_ZGK1G>>}K#anydg1N)Kk?2uzhR@r=!&)S zZAeTfp>Cs<3&L21!Ab$@udY+}nnguONno_R$B=lYRC&@hEQp|Hsv3vu#J5p?QB;Y4 zXd^B25P1pD^EQ&w1RfJoW^qI_%uyWng)(-rKqm5nE&pt{a{eK41wh&$0@h<%0>J`) znkD|qQVZpp%|V>q(la@Y<0;5hE- zh+qG-EepThibF@>HwRF?1BVU8+3#cSA|&45qb>N`SS&nvuo?E;kMBIfK92a_RO~3m zV0HS6@h;-MaS^?w93#9`ABsN9!kx|_7dR1z(z5wH1*2Rjg)-5MC+5`_NLb935|*eg z<;&S0$^$PIn;@4;s;)nUEDf$JEsRMyiNI8`T_)>1=~!ZL;5j?G9hm=Lt2x@sb1nf`D>==BaI>;HO>TpQC`#X4^ zD){oZQAKe|j}H(}U2;P_VQb6Er32^SlCfidL0t0;h@~^b@Fv7>!yhiawE%H3*fKm6 zA#NVj(1@$O;e*QAmnjo0xIwOA5vtb&3qJw(_R5|Co>PXTfa>h7INc;k- zw{XePDKinb+tFn`xC95BAf5*{lC-Qsz754*`x7dfLnbP*iuEDHKExrHUG7f9l5m!h zzzxI;O$I-hhf$>o-e)+hjal-cIA`jtBP$YcCRxgS@>}@LHJ6KP5m(r*sFXhBBZhO= z(~QfAI>JPEq9mv<^=5HM)m1Vj4MrIeUF!|rD7;J`)*a`RzB0x?eNzkK=ZUV{F3 z53QaUNsNL^!$4x?I5GvVfx`!{gToNcdPS($npoy2vKVGVZp_Q>+AF+l-O>?ge$EBXazLq>wYK^hDrU!6g zV-uzZYRv8h2{;t0vYrB0tUQZ4J~`R3|GM)O*Kx3#A8uQ;`4(jgb|`<1H;qH>Y25yHLpQgE@18yj@+!t5-x2o)$PBX7{n&|oy$Pef z&}j8a?I7GBrgx8zbV(UUiw74>*k>hnG^q@R)TB9hi|E7d7@VxstF*lmP~}SXVZFH^ z*t#>^tBTA7slMU%Col@Hf`kN<&4_vYtTtEhhT@cIHj+-{ihIZ-@Z1cdlZTBjZZCal zGP?|i);TJidK`}_^m;?U=s9?qJi$J^m#WjpB{xq))y~c92o7fy0Q4BPiJlR+%-A+i zrq>62is;CI%U4SV=NT+I%XYH#tI#exdB-}|@FipPHV3HcIEBx|$EigBS&oZBQLQ#k zO4jQF95-MWS|EUH1@4gyBe;Ke%pPY);}6AprOfkk8crpX_v70J52Z4?SsFT1yUf^_ zINp9y#pvq~JrjYo?Wa$F7kjFJ=HO;y&&-oyOu_D)ck#ghJaG?at8v{&#>x1z$EdgF z<8ckn`5Hgzj$I$&qxbQF-`ubr*m@XF?1{bS{rm`rxZ`7un zKv&%V79N;0XB~bvAD6bl$isN5J7(HS4`mL*L&P!*koP10lr%2aU1$^zVqAA4yv#U~Mq#6qZf zMI>!WpX_E=3%7bKKy{2()8u3PDi2sDV1aRjqelB=2?pCd!qR}VDUX4HNTfj#SKP$=xH`L=`s!5m!Ypeh?Y6CbD_ z%vNXn&#Kc|ec(6s(-rEXu1g=NU9YKc?N^_?tM2h=dYBRUHngZtycK+Kcp0uK z&NH>zj^9QTbK&?fVRnr?L*ZB0O+I3K_f|PmJ_y+}yWO#LnzDw4STgajBBm?i0m@4I z6jv8IDjJW)1)8eN+c2CUSmWesA&j(YamY$rWUa>2ZU+&ENkAf(5T_4Mxs@OWS&zf2 zAw0AQjma#kMpkd!0F3(22E>N_1)deyBE%(4KSG@23pcSvYUJ$uk@s&-1WCovlVhXX zw!2M6`-0)K2Gfm=xkWs~wDT=JPV}J#-q`T5@(%;k?vSG$@+!<@(>^$k(&Qw-R(bwV&cUv0>JI7$b|G?F;$u1Ii z7u3d^0G`exIs~8h7Aq=pThMI$F(q+Ltfv5v(7yC3=95ROa6N%ZZGZgEojdOz-G9e* z{`+L3tM+zF7G#@Bamd+?l)otyKI6gKEJ`X{2HxJUJWYVQ$GoJVd1oV~euz8=kAWu> zQwsAbqni^Xpcyo2@`# zdgFN;ffj>A=CV+p2VW&PeD2JVKT-+LS`oo9))F4QSH@b^s*R7JG$q3c+lq7osLlh#*iD^TQk+OnK)#*c? zIbTG*qQkStRp78!6%M6VNt38g(vp!#XsMoMS)r8NMa9f9f|RLCa3~ez6f{f-N0d7G z$BG$fD&0mH*3!=w@+M~hFRz%m;UKTcHZ&S>wXRiQGrF-rce^D6c}ei*$Me2`=fm0e zm1i-KKapjZYc7x z1u6NSAv2|%4K3G`|CD?v$SKc3xHzH7(q1>4gY<=2r@8l& ztk|owC*+U3R$vVPC`pl}+%SS#t6iorC#$+j?XvgB^*C{HRGX^34W zE{&poN~ho{8sPsxA4Q}WioF% zBD{t^eEQ{@Ehp*K-|272uT~UM6qK7=Jmy1i2pQ@VYpC4ypk5-&fZqL|bI;NGc11GG3AQ^3M^H>{?i&gf3+l#~v;cTw2# z;Bi&04pxtFBrud#oj%lTxC?NsX)S2d(6b^Ax4d~Avg6wze)QpK#G_J<7}^LmqTAaC z5wl;cb9oXR<`2LnZcP&q?{ZQ`0v8dtxqVCmVQ*sQ!W?>k=U8KD;8C zK|xS2?HE&Ru2+Fy14TB8W%RM%KeLS|U*kDaZ|5m>2AxKh-{Up>!^h9Aec$9~q+O7-$r6u? zrbS_sCpR}HV1f}FHjXaM{fUDFVkXy|oRvv^a;PWcjLl-F;|1@)yr2!ZnI@~RVM!Nf z56#?gssIP#U9DZMg+saR$;sh zwGKywL7cCQtq={IJ^dpCZ(vm9+g!rO_6BE*_E0I$AuTtM*t+};_=r}z;&*OJckLw% zy+-w+>li%ctx@Vcdo953RoA^;<@7c3+D~oi~5k`YVDDNDW=XW z8%Xb7trr80&yW>pv1|5BC#|XcWAJBVEGB|Or6wqX{VQ>btKKZ;{A@M2?%$nTIy%iTxV_BXE&Imt{s zAFWVYJg(vpB9sVR;BO)#%NvC6QNE4M9oHKl8emhR4D9iKBUGErO0&M^FCx!jJ*qtn zI-S<51Wo&}HplMzx?a|R#T@^;>WKYy@-bdI4xrs~-U+~GGV!YZ8V(s&9%rD#6uYq& zUwM7_2RNLb_IUKQtJBkdk3-_r^-z`?5TXwi_}d8lQfV;gO@7;OA(2xgX631rhSbF+ zQI-quPMwtVfvdb)PS99yxOkl8&^4OLiQW?%p64*!(z%nzo9^Mbd z6sm#Dn+{@ca&jSda!~0_0sW#-xew0*J~7`(8M@!>#P`fKV< zuJzaKGEqyO#TKQ~WIC+j{=6OeBfA`Lwae2>&?U@k%yi1oeo-Gv*m2j69xZSp-X1Yw z`MCTZp3rM_s?;Ofkdh4dVV69EPMJ3;0WCX!&=ilcBYswdqwhF+wgPdnR--WFZ9ycD zKkMPo!?pQw7F|;eE`Mup8iyf^GpkdT=>GZSGDd*t5;J-mhT+CJ{kL&gA&(7*FvT&z z;*sQrGl{&332}`M$V<*$hHb=v+4OkdZRk-O99#Fm*%lJM1eo2UT`^&jAce&x1HzNd zsqWoyPJk=67~iK*PZpAteG!KObGA8I_~Ut7;<@kTs6H%&zZ|D9U}LY0@L##f9e$te zd^HYVh*SK;%^e%?g19jt$OFp#D97?VaKBDk+uD~C`vMk*;_AkM7LB%b)Pi#$z~qEe zp@I55)3>-?J9PTuIsmnwjIZgE8WcLFy4GeDb{ObxP7d0J-N-71nYhK^k?JrNad1`Y zL*Eh$G02`oEe?n-^$!RPVx7-7BrtG`jZ4>E*jJu~Y4?4azk_5U3!EH+arOHCi#kzC=?CZuzDu*fDX88Hy6 zb^v^L%GtGBXq%fuXGDN%EPgcq+RiBa(3$o)ANd*I-v8j+G;C9)eNx^V>H*x?1Dt7l zv^7gdv}5fl@A>)Gi1&@}Jt*W&;!xUS72-Ede?S~25GO&{m4f)8+Z@D;;v^2PWdXQ% zSUBuj59|xFZMft=9}}}hj5?^IP6(y>FGC71+O)$L3hhT8*Q5zCRDdKg?r$kGp)XxFD~Vp3?~FG?zv)c3t&t8mqVA}!KUvaHtfZ+yi{0-_)Ei6h@Z*e(2_4N>@oRt8oafB zgA@Cv^oi$SH?jKjh?;!>v?^*d6ftT6IY9v-LKW6iPVeHN^+bTwj~uL$B$4Uhv5A-5 z-g0aD_UV*2i9=2L9XMbk;Lf%R zPMOdlRIJ5H5W8tx!1X)II{wm`;X$ zx@5_cFFO7_eR|J#@yp_^vEmqb#pA=jrvKdW3o4(^)Mfe{Ed2epqYSv$)``YJD1I%v zLNpGg;Q+;9p`utRM{*Y_Tl7iDdeU|Fi|daicdO_QWo6s7Qb5u2vf|jZATe)Mj+06y zm03T``|q0nEF9W)auTse;?0{EoT2qZxA$!hOYy!e`{1?CX}gr%hfo&Jfv3b13HKUk zv|B2gxfkdPDUmSc%(9h25L0UDO{+s{`uqDk2S*!?&g39E^Pz;{R3oQpgM)*8jKRUi znqVa`sU+S4PYfhwJd|1mtMg!)8YctYKw&Q>R;O?ZjPim=$U}CHz`f_C zcmszVr5L_ex4?*Gy3+SSaY)G}C9F5mt+{CO44rw9Ex5m7icTn1$7aFL`%Fh7zwYat z_lO?+E`JaXoIF0g$Tv2$Iu3J-uI*t`y4)l7uZA6Qs>Z=?7Xa+fm4QPcsj!bN`ek4w zC7+X_+#3;x&EMGQEGKHJaJ7jSV&2&4)}K$IOD{lmCntx(JEJ@xa$h1Et#1zjioGLJ z#DzCqT`}HQG0+wsJi{bT-s;HbH}B>ECn@#3##0G&!Bd~%?|I_VI}Hry72ijGUh0lE6pt`2Zxpo zPk-`^MQxRgLu!5~DKfCZGG{1c{{bIt9@eo@zwhSW8#tU65D-7?^-DYZ0DFKp<|ru+ zX>-6yd9v8M@v#9KGYLwgYLldjMSmpN5{#_UK=e0O`hW<97C)i<4kY}$L3M%HPzdW<2gaF z9sfW2a`GG?dCGww_XCZ2xHpDP7-P4gsZmk-O)KVyo=#1T!Zor5;Vc*eUgyh`td7IH zVtqaP;y!^Zrl;Ip;_jRHtcS>#nf`kR<@r|j)pVx-x#xMR%{06!mi6L9s9RVm0T{2H!|^Z&}b}p{%}@j#1C0XI3F|vhtB@sTrb3?aQf`b7voy1 ziT@q;O3F~C!(WI??$n>vn6~u@X73=j3Qaz?2Mc^FljmSIispeYbGpS!xagBkf%eq` zs|9XeWKA3b%)}=b9ix>g%ds}_wm}}acc<2P|1{zUB8FIrEy+!6$vxG?6z3=S=Y6d< zVg^QqFgzdfM4whgYkW9J-#L6R)`SNQkMv7P$zdg>D^J<(e^B^cdgxXE96d;swXe=F z|B#RU4{=z$j$N(7INThch`;=pZED#Tha065&b}aF_DHR%=_Z_Hdt&RcRU3ZjNtEFK zl4W_GwQYq1GJKq~Unbx&x}#fRow2^oaYV-%#kuR1f`rpa!F9Z4F}9ivuhGj)E|yzses(5J<08-UN{!u1O{ZUR0X87w#XW~?C=kcGSW zKUKCtp7dCc>J;=Z+}fqu%S4Q!lO|7}KK;*>2Fs3goIbtdui0bm7)I_iu@du?;&p7< z-^1a(!Y6dZ^oLm2Nu|+*q%X&bz(ZG@?x<3mqK_gv?jN2W2a^2*JN)S0I+ISq#TDo` zhsbn}et+U|Il%eli?0Q%WX5V=VP~-nU#a#`oZMmx6DiB&Auq7+e<@1#Q`X@`qgpLj zZ6-=#Y0NrCt1zftNF3;I#?<9w3q5mL*yoJn(r}qVuG5(Uha)8_9K*@cjtYgwdpYHO zxp0g$$uh57>WZchT>YbM4me+^<>u<|d?09=c8DDd8hg)%-xHa1dQy*ctK+a)k6(72 zp_kurM;-sSPUT+=OfnzI@JKKHBtN-KmVJINE+qlrIBaB8>oSekmg^Ox_TJ0npyil; z{oS7jkW~d)VrqtlD9*SMk>q%P;h+gBwa%dEEK)uz4Tqd)00q`O#Pu4PJj;PKq=Y@R z`vf1UgTPIh*jpUw-9i@Xbo5d7)=Gm#u~Xc+F+ViRSyEK{5OgaqmW z+{0eM29wTrz8*D4(3Rx+yUR8t4nLmrGu>P-OPTuf$sodr>HFHGFdb z2TeDGkIHyO3t-?=7w?5=bUMH3xLwI`u3o8M`=~R0c0IvS#e1FB#-RWM2hz&1F|tG{ zRA8;b#x89Sv+!FdUqkT82Kp~a$f_8cnv$fF`(7D}gQ#&uDs%lLeDfHXmU~`kbZzA4r(DuJs}3fQ4w~4$ z|AdeI4{_MFm|s5mw@!U+GSs;A0q(gHtuU!Zr6z)(U?`tmhH)##gBr5R+z7pfZny15P!83E20*bYT1PD33 z%j!4;$L08Qv*c!wxk%=P1;`I$pMe=4E<=)ij`lL0cWassEbkL+Pimv`*2 zzCHY_A#u#2J-nlg`+KJ{g;ka~k}qfB)0?M4&mf)=Bm$$}ie4ApKlnnAM{Ki(A714? z=^QV>qgHg{Q~*UC{POYbwTrQB96>W1@dO*Rxt^PVt))UG`ek|JmLQ?Ap=V>);(2>O zpQvtD$Dsq<7i(KMbs*SDS)RN4n>b;dbc5<>OFbxtcKmcPN+P_6%LMy6ZoGenDV3wSBjSJBUo$(WA#jtK)FhhdX}h zK`-CqnnL+^&ZKoz*3A8I#4RA%vY0;mnrENUZZRDAMbzT)`2e*q8M;p)I+hBy&MdKq zf&drt=`Xz|1p^}pJj8!`iM;&sDpcnyv2K&Obs7WRuK}06-K7wR#4LvWVE;w3HI|}8 z9h(LNa%G%SW+9ex;6Pp}eTzOo;_Pf&azco+*iUJp%v36q#2P65l4vCn+6c-kABO~p z&O-pI9b{YpI^2Z`N2-YpFhgt`j3Sa4RiMweIu0MD78KB{M`2I-+u}n?T;)V^5B*_& z&)i?GrHqSH*0fM(0DS37T66nhQw=aDq8zn;;zc3aN$JE{+JNL`3#C#n_H!%I9%lYm zMQ+vuAp0j@bMJu_IMv;~$2{9UiVO`kS<@a?KxkjDe0eJl;lQI)8Ape>^;_z>b|zWI z)@ya-7EJLYo8BNYan_Av^{tM>DVuLyoJB96zCreX%a<85$E--qI4tM;q4r{bItxJy zA{l&;h(&v@w?S9#84gVevDU%`V zyI~|O6aiTBwExF&2*zb?&-PD0+oW+f!Cb208OBX+G&+vBW%N_1{=dVanc*amKMeUN zGVg4O+tMiiD#}?;SRIFl^jLtDeSw$d0dIw&z?BBn+i_TXQ!RREwlEdAHS5<8s~Zds zVAN=V2CbrY#NERj3$Vsgl*D1l4a-3>imO?%jD?Ntii4?c3u(xuPJIgwIU1@{7AQkw zCP6}@eM%VB1f0WEBKjyjT0cHiOnESH+XNzGgJWagVhSm=hH0rD7%^I=Zz-^6u>`YN z;3%pmYv@YvjBP>S5*g#f!fW3wF0t6YD5QLmFJ&tahk{5kr9D_dC`~qH7}l!%LsrT` zY*>b8PUsj)8BCmx^!vQg~+X{I;Zkr$daX!fb+&M z+If(0QrdkW`pBgAa7?IYMp(qD8Xysba2BE?a&k_^xRYhgKRYKZ;OLPHc^BPB=a4PT z3n1OqV{7jLOU9DjC}G0kvxlA9_HMFIMF$EhuYSk@4-TU)a%CWnYjHkj*ZXemm8jcD znb_Q|z3X~y-PoNNEuMjZI%k{o_VQX{6!$I-?gTR>!yk7Wiu@=g;7Qr&zQcPrZsIjJ zVj6h71&2IS+=><=k})Xf7k%9iR>$G}>od*LEKs2=XYVtNK)L+QJZL|UAjpn3Vp$2q z;Y*m7JHV@Lliqc%0WTqX^SRu+cRjD(OLBss_VK<3kT(qcE1FySIXS_WWxanFpR%)z zjuwPNWL^5|o9FhxRAwEU<6%m|Az;b?oXeo^zO=I@4w;pSSa;5b0F*Z7DpD+Cy;Ziyr9tt zER4deYxV!?gioi?g4Ok?O|4Izy7e2@nO2!~8)6P)mVL6N%<=CF1NKa}D*urE6&u9+ zWCu@ajNcPz)oP3$st}FKkUe;h;&9E?+(*w>qY%F!AdojaIdr;!uFUaB+QK zO|)r8)OWtKDGnXVwuhYI+N=4uZrz&y@apZ=>Ez&+Q#(5jG=UkN<`J3OXWf@at&YRP z$Gy&OJxk>H4Mku%A7+^eq27(|*-qTw?+3pe1LRx{?b>wXW)kh(i{=AKe(!yBt#}<9B#ZE#pIo8PzQlP1S}U<&fx%BF^O=f! zZ0NFmdt^?%X)o&o+sZ(B4(sF!xk|opF;e2{Wt*vccgY&w+ct#}ml%pfrfiw}Zh`18s{0V2w zbd)oJCtASm)z=NqotApqgRp+30uOzQ9~w+*N0awgxK!jh|;A1@>7Vq1?7NhqjioQ*eAN_cd9uz3X5__nqHuePd z%O3sH_Aj+M4lk&T=J^y&Es`%29I{-Yn9&H9NkoA27h%}TQ>#qgJ61=W1bEd!jpOFx zXqDWcHgtGOaL7Ok?o-J%3ZFZPC~tLk^N*h`?H_dR0Wmo=Ay!wWwzA5mx1rK$CrEGo_mFH(awaR>y5FS6wZli>vRAvt_C)L#p6 z)cGmBuvZIuQ%)%t!=V2ZfIL4uV#Ky5jfTwVAyEW}EcC=W3c1PArKuiuyV03`9WQ0e z#33Dl!SA|#5dT6nj0A_k>H;(REF#i*-Ml{5uVeSESGQMPB8?j#bW~EnloTkMSLESA zC>+p*56Q^e&@QGb2VZZ~;H(dDuDwdFcD|K}ugKYr@K2dRVG6m4Xxe|N<>9rnYT4QE zdwDO8l~!k6|A+g6bsCfW3mo7B%>8dtS7>#Pz8${7&Xvjg0ym?{I66)1XY#WvKAmX+ zp;d9nfhYbnKh6|zIRPKhNtEBQj<=uuWIo~p^Y3%}REPU{Zjt+KC=T(Lg&IF^5{^@Q z=w5&xN}XosUOZl-5&F|XbB28j4j11)<+`>Ahm0m*eyZq~6B46ZSsjOuqP~ktC@3gM zz?+6xkVqvG32zaB2+tH+1Qr&omx5B;re3_?kc^SQK6f`@U-?t?7?UjvZGt0Q37A$T zrZ%!S$Xi~*8N;%czpMoy`^7D6vtiDPTc_e70~hzTSKiOZsOv;Ua0Gj|2?%W85r@Zf zmB@1-5d#M{;*=gC zK5#zhbsku@2mB6YkkdR59HL z@)J%si#!msZbGBl9rh1?ao8Th8-0a)zWN5&+yOiCh3rwXCoe@|-6186j@5BUa``ba zJ3Yg$ovLo$543JqJ@Nu21wGKc_oXP2r!b(~NO6Uuoy5unG+QK&yg#>4;K444{1_%P z2p2HL4^yMWJVQ_NW%3+$H$@uQqK5#3Nxn>ZDP=t`r%D#*q}CkW^ZO|AboDl}4NbA_ z)`{)q27TrpwmJ@Pbn3Who_P6Y2Bl5R$oYRwY?FT9J+jKISvPLYx*p?0)d6P0(S}2J z=eNjFf$3zGea9Z{0yW)+j|=Fdw7pne{D{rbOX4V~H^7PIr*HNT?UM!)=mR~<&%3P zTeH8+ab$z~7`4U_^LJ@W2 zeZWu;@!+g?bRiBc=?ZIZfr&!<%Tm_IPi53h6FYvKRDhZ)tyU$F&*d-QSjDsTdgIR# z-g(1A4VS7>bsz8xQPr@VPO#`>RB1}PKC9b92H16dLrexAt;8~)5)QBCN`WDU5@yb5 zVMQF8TUX}0A`0gOuCSoRlx#V2+y(r|{ff7DBo%je@0MVB#K#wpowqs;w>5oykzW1A z)hV*LVO88Q6;t)0*`ZSsQd6V!UNMC@G&hwQjs}e4R%OgM9!GVsGU z?%t;y?O{yK&!*I`#kx0V7@ikZZmt;9=Ah{Dh04VtxeM-4D;vwP`ElU(7sL|fk}xUR zy7S8%3CYdL5z(h0rJZ*vZ=NPBMS!T8fNz!P@-i&iH)_7+>fMk@O;U{mjhN6tPRn+g!pKQ|52%Y zOmda4Mip!f_6t_2yUJpMs`>$J4Ay#D=1 zU4PeysVFU^2!}iOr{(36tG7Op9OvY=@M97ar((10$cRLJD(XjgMsuvW7D}814SX4q z977-k6o)-&n8%AG5CT1cQdb0ODk)9nhfU^*Tb6D?o@S+%bW}nS$?^hUWPNOlbC#^% z*W;LR`5{S3sw9@P>>^k=!kd3MX!e1S@w0hr+C#PwJv4jbif~A~`emM6E8BA5GWNU? zY+M0+Yk;ABq$`x!FE5mP!2qt|HnzfrXMy*KE4VofKv5;WDa}}C-I#dw%DX)Z$5nL5 zu?U9~n$Dk3uRgKwN+&0q4Frb-e>>}6bx$N78takxkdpBjR-`sCjG0^wqK-s+$i3DZ zFAhVQMW8qoeI0N11>#VFgu+=ukteW>L=XVX?HUPFne)p$Fyl5_!U?W$Q^(ESzD#~> z`=B<=`z|1sulj-4PcHrFq}MKbCGY{@s6rwfv*@nsR)OI zU;AZ~Y*>}C19Qi9!23bZ71L@p3(UE8mt6vGt2=xp* zK)HIvz$NX>35$prOTaezQdQ5TkNv=R&bS4{+wgR~@4p)1HQ@0!Y9a+5rn#pKXwvqs zoL-_5d0)hWhu=Nkq)FRO7DSLuV+#j@rvW(ozJCH@N!}N9`wllXPQ-#8%?a{^@I1u5 zUV40buZ#{I3o8*Pk(h^v^f4rZmW(~cJs&l&h7AiTF6G)oYB|z<0D}0>B+xuR@Twa~ z<`CE_?6>XDBjVwa*J{T9EYJXXi&ccgP`u5ql)zMeor-Gw_N!ou1mSGPp0WJzo6Z&GhW-FkIB-#ZRV z@~&57~H-IjwU-~+Em=H(rSaR7vR-07vG_|*)U$zP>(qFc5C_hj{`nh==eN{ zVTJOqsjU6=pzI^|hc%cK5ejL6-s0;&IRi9{swyg_>YY-@~Cks)dp!1Dbj4MRG zO>K?ApbqYmi1Pi%uQ~!ee%Nquil>Alaf1?5Z_5n|gUe{d01gh~r4D{FlT2QRsGO}# zJ~qqDyXWj1;u{@qo|7UTpv@xIjEcp(1~S4yW+6ZcYgi1e=Dyj6MyB^jX(uUB&$} z&0!B{eXkipnhO<}5-!5wxw-SiwqBn;OVv)$tUEAvEv7j@_`MFWvx)^C3^cv0+@U&u z8)S#7Tvy!Koe@@*fu)gtI42L!sMRu+U*u1?3M8-_Uu0`cYM+@=XgaZd&Pd6&hS4bz zpWPwhJ_DHr_|Q+IP=sV5p5$N%{&YnpSDR!rurpL5&w*y|SAPlhJQW&IT`06Kt3NX9 z*4lM!NgrGiZ&W1RpN9=d_G}u8<5Y4@QrKcV^cE{4NFXR6?t;X|hGT2EL5%=)3rV+P0!A75ph>t20 zhZJqTlfO7K`b=7LOK(R4OXpcuF{ab|rreasmxX8e$5Mq!mi`CMCLpm}hW|Tn&}60| zUMaPAiYGUg&VV3Rc`cK=Jja*UP~pVsOJZbb)t9Glumn4Pac!cO6Irt+VR^;p^~iTp zljv?k3o3sfh($OI^Jw(E>vJOOBNYUPfo5~yACs$r&}x=09Sfh0yL$&Z%pq8EJ~+LD z?pheZYtwED{XN4|d=#KExlhHZ&g8KUMlHXXX_DXWjRP)h{BO1{Rz>d6SMAD(vyOxD z(!@Y{)!BN=nPmuQ8(&kKx=%(NSefx5t%6X)(Z>PjoyPezEqoz5m=5Z$(%3tlQy|38rQ0e>ex5ly^bAQ z!ChN~Lqmrt4<2kIGC||0gcauie$*Py16&RB$vtVINt4YovzH6FZM+fs?ZWhNpp1yw z5_$3TFde>xIjQ)x$GkOod@T7e5AD9f?cXj!oE`#MPp**UskytYdZhm@N2MYJqQ5{K zx_uKaZq$M@Zgo8n_YV346A$y1IloM8$>m=n+GQkrI}~v(!EM~69>4r>ChPro{7c$H zmTTERe^l-LU4XIWNQf7dJSi6-u0?Rq?;yLcZDQ|nmsRbu>t0i9<;R=p;6eNL< zMs3VJ@oE?id`Vg}q1C7pCr*qz0I+e*en%Dto|X3-zaj6%`YvODk-E1^%N>2ySKK;e zw<~AopV;`wz)7Go`zf@ibMDNU??-zK0}I!MecwrPc{pT?u1r#h*Cb@Iwq9cWSf1nG za+BhOc*XAHU#MdMFI(9~@7alZSPRs2k3jp{`mgxqJMC~XQpn4JGiFSesa46J? z0~HucE~do8MHOh;SrlGBI(apI&7_xiV{Yx9Kc~^1j)y1aS1HQiXdpqsUFh*xv3FQm zIOGZJhAl83n)79jk%;jVJr-Da&r%{!5zK>WW+kz-mr8q29K)CD>yVGBNorND#5e+9 z!A6kcWVNOfiOiZfYqR^iJ&M3d-xY@ww#=dt!HtPG7G~O8R4)c=#o`N&W>w_LX}^;k zNJxN7RK$Y}FPqsi4CSm)Pr!49g(y)AcB3`fu*(@;(oJa?Q zVgoZZhQ5{d^_@-mlQkSyY%0?nV3K!D%D(@U_f_#0Xc=|v=h^XMX-RxkhAZ~W-0M`F zD{As_VCG|Uv;ak3@!|l1$lj#+7)$Ko{uBM19_3qaux~wmWYD4j(Yc763D!)P5K9L% zrsn>I`IJt-y(}8r(lEFOB%pw6te=X&$Z9=-lTskJf{GqbrnK#|CqJ`5J}P9x#T$k55p?I4UnM z-}-Z-*Y>w6R>!WGi2TE%FQn#8Vxema1Z?9s`S~}OvuU-MmF}Z-SMioI3Wq;LsA)6I&o(*lXz+lxy?YPH-$i0W zqN2=4ZN1tK81UG)&<;v0ANI#Q2)edzz}c+>yjoIDC-FvK4`_S#?0_#v0(g{<&dgNA zWQ3uzXsuj|nB1~?$g-Ic^37!_m$hbG5>{62Mr`dY+Rdd94VK1T7jnrhL`-Uz?MtY1GwKemM|>iHJ7sXlyr~YszV6w1^X6H)&P$s|#oW@h0S9XH`wyvEcd~Ul zybfwfUg5CE;Vn*1ba>C!vI=oXIbV!1iC=c>se$iqG~*Uv&f{Hk@CK|s--U!++aaw-GIRDDt??3 zVBT>seqcuC*|`P&+)7q=;d|946?aPlI-I!} z@zd58XFa+{;88N2_u}yIRKL2by>L=}>y=q%!&#a>;GJ!e0AlcRk&Vjvcro4vGYu#x4epUZLHK zKavMa3(+lVqSZP~8H>}YKMiYsc@7JYvBECDIN~Ecp1NnRH)*zlf>sC%aeP|1I=rEq z4rNO*>(wpaYyc9&MAerqTD1FM%O7~)ixxz_>0u5avs~jt8#hBDLn01CEy*h!2Kx9! z@_nNbZu*M+Lq;&O7r>bLjQHafP&D!4ogSSxoV7bHRO6zN*m8ns7J=4bN@h}dFX)L_C$oTZn^B=S~XJPwE9BZE|(rg906MLcfE$=O5pDQXpm zW}l;nLgp;DKgN@g&f??!a;;SD&|Bw29lo?D+!NP@xm|ybvk)UUq-gpBSMd4(5=q85j|v^O;XV8@=A0BSxuquiUX;D$>jJFF(PL3OeyWy9Wvc$m zcpL`e0)s*0b~@A^$F9a3&OKIA z7%Ef4hKYt#R12bb$xc=uH(~BFc5tNKNJ0e zdpzQ&+9l#AO@I|-?ZppPL|t-y#$sN8bAXAnjd^{(pA9l6 z?^J?&2WWiYX)5?m%AEjpKI=v7ay;UonY;UMeH=n?$be=Ue%o=*>|MHuBKCAfjEwl7 zy+~Yb+lYj10&ow(-}|~JkHttE8X@Ok$j)^qmnP!a#%vAzLrw_u*2BqS138`;QjMsh zqC9rYC%1Z>9MiLQ>nWi507F(Hw!G`eazY_=M2sY-{~1Sp8?gfjnb59T!v&muCv*Z? z-ecSmiD+xah}b$p_6g#SVBEOf1h(=x6bS^qI&@B+L5p3l2NXfu)D)T}JiiJLw4eut z8_a>zJLkWut$kYSIK1;}>_<|`k+8Z&1vh3cy0Z3$OVNerHxjP(2qP(u z?(O=HvA%RG|Kzh+ks5EzK_A4zV*2}TG=VaDIqDL1Sa=fP=Qhda{@tcG5ty-VV?e}m z!(rmr{7B!OpfGUtXEeJyKGY^p4;n(`8hAYm{X0+&EMmYtk%jAE!vIf zP^&l;aB$SA%dYKR)?^785z%8^pKLvMy34NpVp?FK^#EQ;i#h0uwjh))*uHaC-u5XV z5;1jz;A)-s`>&pMG7+E0rktD!Klh70eoj%YV#PsgmEGwsT^{BZcy`{hog8?MCVrO8 zwUmz?g0&wnA0pm?ar9VyK;sEhzWTiPS3|G=`op2#g9d%|&91gez6>meR{eo(+f>Bp zhrL*MckaE_`PbDXOf8aab=vB*y^E*qq|^6-SjORDPLn1-bP8+=uxsqXMV-&@W0&MK ze|CW51R^u0elO3w!=Bcc<{FF2O=aoXK;GwrE_D>J*+Iu5(44B>J* zy2jr;&2;xA9+K%N|F{`U4@R{1rNkRrGvXPJTnS;>_*N$9_LdEG6nxUmud+((cKD_TiaAs7BVgf_T~Vwrx)9lRfU&Y95<1_PJ6_ z8siIA2$c0@{Fo8(vqt`S;}g0N0^)kVN!2Fjw4Oo>)u+YeB>Z?esy=Nf&Fr79hs@T! z!kX6sby$CU0$r)=m3HHaT>ao`i)pov!~8bg+q7>(WW*wk$&uX`QRm|mve32lO&#hY zuwA+@RM>zB0lJ@a!e-~>jBfp=5aQqJ%32lwPc^m zK6m$9;qNYw&4D=o)5+8KzNqE;GGpX@v88Pu98ZcXt-zE29SOT)PF|D8A>V0IR@d4R6K3eV9mtD5! z*&d!mWOBMX!1U}m^a=676{-D)Y|`mMuw~n~;ZVKltrWObb2;Igh~b+lO3_JaU^T}f zg>|p1vvygZoBZpCXWyBB$bo}eZphR+)T)2@I<75K9j5#c|@Vo`!QvSBt+GwuAv!Q7J6E1A>g6= z&EqBX_}BtwuFIgo-80%fYzmY| z2a>?{BSws9v8W+Xs)&eNvf{h~V8B*_LtB6epT`YuGs1cfAK(aK$*Mt;^AE+`dPg$O?PEEwfO~O-{|^HA+>Lp-TOUz`m`Sr zr-^BL)5QY~8o6GoF-TK28jVyRJ|h*F3Ri++>Jj3FioPzpvK%XBK>tVF`1WI4Mk|5F zcaSyGy>*Y-x2CWhTa&&37Ay*RUO3CiBOARt9FF>=R+ge%yv%w3fnMhitXhwKnuvr# z7`X%6e>Uwy9bRRO;j`^3m%YxA`-jCE`pF2}Hso#N~nKGVt-ZGQ2P5 zZ|nzHBoQo2d}lC_KW9n@0Jm$v$;_RzgNWS7E=aftwIr`_nAUULjH&VQ@%MWw{G98w zZ8df3{c%0xd&ZBPda+gf;;F5(ICyI(Z1aPI?^k9(5!roy8TfhZwP%;{w>fl@Oy)m7 zIc`S(bvJqn*qYT-{O}%a-)7hq#qX}3@{izan#HivGJT^TK`qHE9DepXkF7}q7(Uy*<2|^zwpXkD z(CERKHBw`LZ^idve6uQ**boUg$KUZob5*8t_GRJ+ix_71%eHEjTtDZ*4H?v=f0+>p z_J7#DUAw_8M(i}av%Jkef{V}E;r+FLxEdu#G%^NeurEggn2BQ1J z7S;o9?X;wAoKUE7USzB<2Z#Iv_2oxC8+|D6D;TfqEjTd$>~6oLfi7Pi@|e#p`ONLf z!nyEfuu)#eLnRqf#JU^)#H4VII$ZJv{@#?~OnY>jVbGe$oo`-~+8o2Tuq_3SI(W^fo6oKAyLN;Yy-Iy7a z-K*Ad7$0*Zi06HI43M~T+!*o%8h_|u;mWwM?<{Zg&*($ZkC>FI*K5P=un!4) z2@Yqg)Ou;=S2)xvX6fy%fp!m%fP+9t^M})>;CK^*O6$;TJ;w5Un;#S^yl+}JoGBjQrV#-(J8P3hh}E@MP&9iXuTt-(v1 zpm$z-v^iH3|M0cMPYkO@8SY<&!v)xVNTx>KaTFdWn^XKdemyTW)jqlyAH>_jhUel% z>jzMeoyVa9F;FX4&HEHX+7hJ~hQZHe8vCfPbcxJM0YNMIeeS0ar#ZuKS<`77s{wg9!~3?>gF=rPa2*KY)PcX}v5oef6W+6lK%V z3-affxNRpTeLA(4qkG)iD0dU{*N^D@Gae1?I1Ba*E=B&Q%&vI-< z`zcMw^BhF=9n0Oikt6?u$)_5M_$-RRjO7Uu0%-j;;wHq8M^m4U6FIwgTQ`5j{3WKq zz7xC5*=ywQUz}2ok4>J%UlAT2o;nwd`B?}JSE#~Mn~~EQXTnma_oDu|h}VZt$Y%{T zGxp2n#%syND%rLHawR#Xd>f`@r7P(&8(e#CzeB|Kj_u)VwT{D+U7Yf4pOE1vg{dhY zTWO&uD#h1EN;Fisg+>H#L?JX^T&n0LBuw*vFlf)7Q5oY;0$;@oUpRPd%ASlqVUBP< z?&Yx9!_DEPliT#DlGueMYEzlXD?fS?%Mq_LhsPG^L3?<{lOr(TSrtet)#%p)o}a?f z28Q=MUVe+v7}>$BB#l<856jbSD0kkNxvDx2IhnJ^Kgh?C^F@q+QZ&ON{<@kJU8LC({?6Ssh z{@4s6Bf4j#*i{EorA(@-aFkx*(Cy&ZxVRJ|2a9BWl~ae1oIRh&x`8qb{1~TeGo@-x z^WX3Wx#=UEmMPV%Gam$_+H;SG1#owwl8((jx0qqeJst;TX%OXI>1x;jKiQ;w(-|0zXkQtDJ4imowjP=@V1wiS0ee(Yh^(t zamYY&3DYY8XST(5mU_LsVNozXAPc$_zcvY%$Q4OGC_R_*VyY_k(C}%`{U%+c-4;S% zP4YcrJ+51_zzT_#aqO9#eK&bcCq_`CJT?awk?u$2gLgJDed#55zx5%3;lfdy);~TK zt)jh89P~W5e*MPC&o>A}93OweOkynlx3y9pI$=5L6zBFNBxm2TgjP=vEzpoyme`cDPj@UkRromX z9@#lUV6LoM|L5gpDf!Jft_FQlWWFsUngVP-L3hUc&9`AV->cYt(j(6l{6IqKuRS90 z+kUst`JkN{uW=yv>)F5F1L3ERjqwiL)ZyNxcAq0ICHtInT!10dhb?G_*s(hLQ0p9Z zJc-Eaq`(71s_`BKevXYDn+^n~3e@3OI2;%B^1{n*MAqChajxXDC;J+Y{O~&a5hgla z%RfT`WDs)9k<&Q1-_iU*xa=03OC&zza1cYMhC&)-Gca{M4$Z%5_a{DX4J5E5PUIg2 z^Y5(0K2}T(_%%NDrvIDs$Xx9X>gGL!HJBK)c5kUbyeh?n+>)HoVVOO@US2@x6)hLfmyav~t zF;V+AgbF}Zb-SDtNmMzN;AFi;C4bsC%Xnmiv0(pV)Uw}}J^tgSkfRyzI?+1{|2$RL zhM0z3E9q2-I3amL0VCwNrhV{JS9?u(&M2HrFkAmBI!WyfN)I2*20@MVp)4d7;Pc}E ztqR{iyIekx{W-o+%4`g(Y4|aj=?VC_d1`=6ulWWGy~!gzYSQ4icGsBW9fZU`oVEzR z(QCA7)r^&Rg6#KX+^jUoREN71quLI;iWSWoG-%-K4_xJ9H~qW;OL={`I{Hx6^&uyO zCATaxH3sz+Z55i;Ug7XarXp2ICG&`dIZ$ty3grfIJZaz90#Sb1%u3Xd8jq2KrdFm_ zM?`!ki$)w)bLUz$r4Hn-LfXyAH<}W4M{XwF6!9QauaPLvW26m04?NXB+#pSpe1k)4 z#y{jl`})M5ww>H>^&e5y0K&hD=kP7&+MDQ2!ms0(WHxtW$UUi4uT}QO^`=dNUItE= zZE38`Z3wha>d|xTqtU4K`g)@JS2S5o=ZYG`(Un;#mL}pTtWFI>}e@ zJE&1#0Qv33=x&UaTeReT&)cxQajCslZIIu=J~RM}@X1lCwQ@sCJR=@*?uGT1nRZ(6 ztHa}Pnk$a*%ao}@M+ajrd5gPvRh5}$622k?Wz#TAsAx#^;k?_GwUScTgc{_r8E9m# z)e{`*6|KXo5ibXl;A|6Zk9q7S{o8n%^qp zepBAYgV!Vmn-jtKj53#&V~f#hL)3ZHnU|Z7s`DH;7;xg)>KC!GviH8OXa5}r|780g z9~_~fm2>Z(@bY1&L5Oz4JDp~_)^C`wVl53=s6PA*I!E9jr_%><{b#h$0{sw|J5An* zJ}zL3B|g0Ob*QA3)S9n7NE68!DJciHRO3CGEz#(;YNlDo&o=TQW zj$AxD?NQ)~VcU9)IU5)lJ?xOjYVHx&_?$PL9Q~JwU;R>u#be5~P*lS%Gx1+1-F16$x8GiiYE0n2 zN*{t_&s~Lug*%^1sRz!&z~@glE!%PE7MXdwnJhSeU+V7hb-nlwBQ`$%sDR&oWM|)u zPAuHmVok3y^^_*ZD)|@!wd?#nJPzaH_B^YGDiGT^8}w3*zV1k-8vbGQo|FuJ8|*2N z1vHt`oOcgwTl}_wKN#D(T>mmpClDRFaKZZK>M_Q68RSJVw^!dy$fQ<;6@p3r-N0ip zM;ihBnsPS{plH5jlrH|R-dA9atZM!ej7+M(!2boc}JWj5Zs zTM_W-bVUWvu7#iuu}yg#GQgTyG46fW-ROLQL>kTnhp8tWXBc{G2`AHf(61Pk7WvHk5a*}ZZ7NjUdstE2frbF-44wqnJ=)uAaN zmXD-M_6u9Wj-m4>s(!poer{6;w5-K|viN=P3t(Ael8YQrLM4=>&j`xMIlWLIdW}Qc z_(SVxLjGHZ z)}-+`j6mJOB^$a07ylK9WQt^q=pHprR~i=dj7S`!Obs`9;!0{758>FJ3Pb3;RamUp zzNs4lMYHTi@j2QDmoDAYP~$<_swGqDNR(h!~o3Gg1>-;)=fwha7GBrm+rJT2JMX zF0Cg%GMC5^Q>#w?{D&;hT_7XV0}?dRH8*;#{7O>2LhH50PB{L6((H|UgEeYJhSDZE zelrQFC&o7^7{4;!0UqFHsypg8o@-@Fhi_cR#MyKL#i0)8uUVQNq9b;>{pg0YAotp6 zfcgSmIWb44!URv8!Jo7m6O+uGmAHn-;S;?~E3v<((@F9otuLwtVQtPnS~YdjqoqZb zMn%Yp$c*t1PMf1jdU*sj<2f+nNStyvHrMVGlWG9rU&Xh1wdu*Kizvyw6raYKVujQ$ z6U6cHvZ&NHi3tcruQoH8-M8S7r)CL_y~~X7H4gQ=%S|fX3%uJYAh#x05(u#ZTH>JD zW%>`VQ^<2s#Vw-ygTj(C?hXJ^&uQ4RqG|;w<1vH zxyd@A!1CtL8oS(eN9;o&q=$m^jH^FV+aAWp`te=2-iluyP;cmBrn1pbD+Mvq_m^wpZ@;&l* zvu}@6>MiP<#R)caTjW~`WPUlc`%8)doWmTJlAWo}q&kZuDp*Jsi+4kb_Nc@0EIxku zIG&wG9+ROAT>-;aaXCs2;tO)*jx5zX9FKIqrG(yxh6+ZyA`7>S`j8vh)~K9W)-SD7 zbLRusU&IDpyRrIcQqt|~2ZGY=p-ei4`iD1P@2fPN>5hZyrfQ_B3&rR{J{yM1HJK8* zGRX&JcOs`pHGlG?-sF874*ceB9#@aN*gOx9qU7A&WZTd^UFTv4k9?1gzEG~Qq#F6d z;f(l5{rdI&9pSy_!HU+5@}Uvpju;S=CALrgFZ%c(jyE^%FhQ0TSv<3WM*q-sRtWW@ zc6{Khlc`Ze6pXysw1~Mq%EJG>OZ2+-yYvA68g=e4Zh#TM8~WwJyAIExT1~vlS-c z>v9$1TqPW_4Z%$*I*i;drpOEZ60vX(;$;_LYEsvu-aM#dUL5P-cI?=D&Od~4h_+!% zT3bh80(mfII-bg3yx-+>T*)W947RJ!Kj6Ukj6XEN1$92h_AUcvA&?)PJY!vc)XSLG{gdNe zl9zOw8Fk}=_pQ!L+`_!`pAX2Nzb$!m&)8O@-R{j#kk82t>+iOILx-p}H!fyhx!9pq z+nA06Joer1&^A4}=j>RuYln5_HmN;grn+R`>-Ib<`{tbdoT<5UlnEPx@Y~_QS*1O; zHC9Z-m$dcBbB$a*KRO83_U^l3c2?0qDDS*ckCfpWD1BcJ%k>2sFT;wT`XNZ{_UuaQDogt)OnP9J260 z6T}l5rOI*@;x=-R8M?>xo0_MSK{3-yt<7~-1;o>#Yc%jU;X#xsKLs;ALlQ7rt)gJ#_QvRmD>ZC4STq( z=)=qP@>f2+v+VAfQx{r}cy#&Kq{OFN69*M|eR9mp^I78R^M&cR62BXBD{69L?($PX zEnD6=wLCfT;jqM5*Hb^bQ5??eaNB2+F>7M^#Y^AxW{};w;hZ(%v%in&+GOsek$hVO zTfUw`&iwnucut^kiW}Hp|L?}c#6qti5w9=nCwUcK-m$U!902PbR>1d;{2%+?0v1)d zy}$OJJu@(%Aj2KR%(Bn`2SrRUU8zYhlmk!&OHjfl0Tpv7MF&hF#S4g% zfC8dO2BK+41riNS(Ln|Be&zptdqBWbC)D5nJjZj~Pfs9w&&)U9e)qf9yVkq-@*p8g z|E2iVL6u4|`xxFO==7P2Ju8hBPNj%7Pvy7akCU5?#Cnbr$7eh)3zp#J3Td#WYA-G& z+u0pgjj2%UD5W3b&orB-LUv&{m^m{WoH746_MWFyt5q(W$&Fy)0WOhOsnwpTh{5@P zjl<$m01HB!H#OY3UCp!=EDVPkoIZnR#_%avn}) z#N~-^e4dWis<7Y&z7UJA+{F#wp?iOvUXQ1?;^mL9#&7vu{CY1A`WSaL;+NidbP(o$ zg+o(uY|MbnpRxXP%ql3T!{5{K+bek81YeKE5fZ^KaTf}9^Gd|`bqv{K@6scea3JFY z%2Sg7dK3l$%RO2RB9{kVhm%7{EF@naAX4Vwpj#y1>#HJ8XssJ+Z0SM1+JOnpEA9t~ zIL}JPa_3?xz`P7hsn99csNTj^JvbPL7Y@nQ3aR1iWXqpMFY`PiE`7Vy-OjyE{P?f^ zPr{*a1iGu#>fj1@-uHD7TKaR` z`}o;OY!C1`0+GMdLQ?%#@ABM<$Z?_=3<>+nH|2f4_~>B-X=Jf;Ls6d){(L( z%5eK{(fakZs%1$YTG&}Fj1huSc8md4>78Imh|l~u=%i|W4s7et6GPQ7`!Ja#;61V< zdszA16v#OXStUCMg@R!S4z02XR@;A!=*q(IWWNAqu-d}#9sKO6amYc!_wygP*JeNC zeLdk*J|WdWI+C z0&;OU{zE^pTw^~IQDOVnIBe(!FvM7WDrMnuNo#XPXC!x$NSx#lTofxJik!d6+;9J% za9H*T4wv`<%lF%WS-cM8LWnNg9knqKBt3kj&QLwAimat3SMsQxm(4kw((`KURV@x_ z%}7K?diYj5EvHfpQbBxeS6wAo@2TFtOz(~Z5Rq*C27cVbUTWX`0e&6|oaA%dYoSo8 z2HwNcr^jK`tM>&y62~V2!hceJ2rig0txr>*A28!QeVSLGUzTs)>bdC0dyG844AL^9%-p$O(kHbw|yIyvGRIM72Oi3aHYh&}anMqF4r`hQ+ zCNByhYCGSQCW$nUdJ;ao@dP*=LG?22t@)H>V933Y4E#zhbssz+zs9H6;MAnzsGyeB zb^9D!Yo6`Q6L#gkze8VhEe-{={7DhF08GF|P zyiG`!JsxrC5APsebEZgDFU7bwzkL-$QagRZlj#(ae?NFo5Xgj<$ge_O>uNoIhgh1Y6_J0Mg0>gW zcQt6oR{+KYhYW~~EiKImJ#qrV7bJr;w=N=*TCcsIVwL{)wgdjY?KD(=h8eVmzA9J1 z{M-2u*y42|$r*9UIM+ngs~TG8q-eLCcHbE7k~$umy(0gk#`-B*A8enmF}eRO#E*LL zmf(o~xbF8dyjLir^Ln05Dqt;S9Ovq0O5R4;0XBSJvJE?&;Hl%Xy6%DdzWUm%XPghI z&p`xy!p(CW?Z@)g0a2SdgyA?n3ax6q4UE<##0Hq-W(DXV$paDUrlqTMY< z+#GHF64fdMi!=3TP4-RP{UUl@z*!&T!Y{Da4{I>a{>xXr@u(B7x5Kgf@Q?BM(pOl& z4VzZtfh~BwH_yYZ_+ z9NisvWz+g0$y&2Q;z(MMfm6%X!%TUBA*5TtcPU!U5uNXl zYCePptf_6xjtl!J4*RJX5SNhYf@DE?SU-rIT!KUkF`$nC4xl!BKo8BMel8H|G zW}xhZx-~J-x-B{;EuD_p^B}mc&YbpB!u2z5rmCv!M;*m9eZGXXYn+q9bNb7eq1m`2 z{IbjC0a*#BqO~bX>&8;7^P^2X@lw+jlC|7wZLRG3nC%L)3eQ1T;UrzoY57mUqHHlRf4)#vwDrurZ;b1RyP{|$2 z<)Kb;m7>a_sv=aQNOUr`uaX-%smv11$?r-Qi(=-n9tG+j$I(tvp4QSf7qRNu|Mt_LG+5eV~eMd{ue5h5UF1T3k!p=tR=# z*>)ukz_vQ$c)J(fD9VP^(Z++E?exGt84d-*``4FbHP$@i{bjmEtjvSXgNr!rZh=f! z7g|LxUr@0s4o__J!9tW}WD(yW=6H{ouC;Cy{YEq{6KGu-DPWlW*MwbJ2g6w}tMGh_ zsOGqfs*^#P5n*nbc@YV2ZV8!gd3l);Zf=?S5nMi+9Uxibx0xONbAPNXX1|bIWT=NJt>>LH^{Hml?Jug1j9$)u($oIZEJoGR|$)aSYym6Da1$pS|Mq1M}`)T7c+3ip3OJg5A z>-k~poYXpgPKqJw#C+tP^~*2NIVr79VIlatcTfLj4rbapsj)XVpYc{xAd&>9^PChb z;l>N-Lx0q|D?3O&ThB$uzYyb!D}oX`L_+3aNaWfs{qx}dM8{hEh{R?8F%AhY;2Rq0 zQ1ht8K5iXiO?Zy%DS}8ACx*jogbS&JpPE)bM$f4d$#p5aN^lN(Fq}(P)ajD z&bsEuH0@b+76Wsx^k^9>8~2LUgm7^*dCHYe1M z%kX~`f`_a~rdy;NX-aj6Hkaj{r(9dYA%fvJf|f>IXGGc^OD&q+o$KmY0n0T-N=gM} zJ(ZZd261MzLVf6W+|iAEm2t_dvU@mLq`rI;qh`?iF8J~W< zcF~8&-H-yzSdUmXka_z2P&oXj#>U2y8_&A$>jYxqY`1a0A*PU>7LCN4dx!b1cn1rb z+Bj$6X<$yR78&6Nk0top{e^=d zY%ABc&Zj`|Fj=*{?_(==}~xclP`(eEsb=Gw}Op8Z?Ag;_%fUzCgSc1V`47 zCPKOL6Z6$2-B*r0K5iad#%~@pjSAX=IGe803(LX-rw{!caSc4RUgn_B~rnB3%+nYq2$q!X?<=FiZ_L8wb+ zL}q4YLT2|zrRFd#r?SS(K64n`$+EDlYk4as=xnv=_ zE9^b16mpf^=%j=bwm;T-BF;Ke*V0l~W@a7L;c3^2HgaEkopGoQ z>da%^Kf5AHwjRf6`^!Q)H^@)Puzb;h&nZaO3O&=3iW?U!_PE?Mr+%wV7r|kI$Lt((XMMoM)|oKS9B_UmxHAs9ClK5Ohc_HWS|4m14mmo5$Z8i(^IIW& zvhL8bNfxf`bR++W09|2_uJxxlIJm+hF|ao-Aq*_}Fr!|fu1LI;j5Y9db5itJG6A?J zs~!9Y@gW1lFeE=LdRSo`U6Fu0f)j2WoIdGITpkFTeA{%jaEm<%l##T4xX@=VzOX;q zpO8)yu}xa~WYx2B*V-);{6jXdN#6PU^Y5M**N`L3NRF5jo+*k)R476qi}%{u#to1O_+gZi3l&8RQ-#zTgTzhfm7F#>46B z+Lkk|V_W|~cj4AX01%9ei|Zs}^2(Bd#S`Ok1jG?ec& z9#t1Ab9}mjLja?oH_OU4Pxv(~&*Koet74&qgKncG!QUM>Yh|9N!(kC!F{}04fXGZY z(!4dqix}`Bxoi<_k^ZvlMt1Sx2xvM49`~tTLI_QB>0smfZPemM__XEBB3y(Q-nNGS z1K-zw1rBLuf9cFx?u2A}tO@LbqD4IpE@tXEd!8j;rbMRr_mGRM%#Vog_z2s{p5EciGZ_XrN@Wa&o!%%V!)4#+8A z8%O_`{7*Qfb(`rsOI>F;rn@v*_p3J!yJ6a=I5acK6zs2GuKd5@L)!V&;;`4OwDoBh zeml$ZS*B^jlJ%=rtvi(maBWAa4r~BIK)t{3sp~cl^s@C>f>99|46VJ973@I+I4fe< zs64yIb4Fqs+MnZ43k0$lOWmR(OKm%zTHXDNtYtK`n%)<~+K*<8(vRXRy%dK+jy2OQ z()FRedZKN~{+jFR>e6bgc5akC5i|SU;@oqOg~LA5T~pRApYTDIOD7zX8-Dr};mMGU zRrA6+^5M`Aj(t;bYn$f8KgVT7;K`*kxt^zIXJS_z(Wm_GoG=0Ns7_IJKRdU$ zA!2TRfG${v8i^UOcCZrDRSNw@qNm1TZ8iTX1c!B=bMdXybM$j};Cn^nDGe&aBh91S z9+cr5)`i;$AL#?xeG7d&xW+` zu7dlMPUH2{f=6J;fP8zrzS1`;z_7_#Yvp42NJuMukJhoD;Na>!w7*#3f-(4-z9LxZ zSsI6H$>${DQdgCZQodmkT3nmbn4?-)+ILA`sQZJkI`xB)J)?$BVcWiP%s*?~=Q#XJ zlz=2c$eMcak*A#x;ZGcTJRh>$bi3Uv=9xYg4#lSv3;UP^s;+1{;}BRMm+CM2siVV| zTJ$k!|3ICCURMK~{3k}|h}lQ=ka544zxn1u+43+q84(OrcZui?r#@7UeM%e>IA?ps z3>-KxCdvXYe}eGpij4Ag> z|B-oUse6vYu53JYv`c_1e;3;H@?XD>$DxP`J(zp+K#aVrOW|?YOK~kXKYzja@<(vU zNlw_~(V*E^P$$5koezV3ZPRz#=5AESJ1}rdC}dsd&@>Q}UsR z>(dfLj#NhrO#d3+)=@i2H75$Nn0!<|UQt;{)vC!M8BQBFpO`mW9nBsZJ_pw9_x0FL zlNX6uWOY>A8v#V>Qf>Xejl)iLY!T53jU}a7S^f0LMhnGYkegLsn{}g@djCa46?0tV zof;aL&G8Drj7InI8*5{gL_v#KAs1Y_^3>hfaDPUtQ&?uw@X4vM3m&g-A$gbavJWvM8IvQ{m}|Yggc51$K%EF}R=>&k zeVgi`ZXSLPhZ=XNSD&&b3FVYU`Gblj-T+H4ZnuZc!`x+fW`@0{qI@CZIljgDTAoT% zp+1tazG~W{J8R#3u5I?e_X8-_n_N9A->cJ1OMA~n|*j}poNp#>n7fyvZE)Cn4xsC*^rDYXka$K zRtCuTXx>Uy|I2<#De}V6I|JG41R>TKn@;iG3*hDJN=G zJI{wK9Z77T%rrTCdc!;8dRheI1MvJ~IV~!k8SO;*lWQ8k3PW)7*acQ_WYyG9Bt3hs zJgYPF>a1aFRS%eY?BA?VDvLMcMB2#w41Y+_s5EylBpjaF2m4>bhr+4&TMvbWXVZK5 zEm>IT4%}_8bTS@OK(?B!^U^e(X|sVb7_`*I3~ehh7@|LuFUXcZ&kwbSU{Jui*ea7J$wkb?T$V*@nCE}fP?v? z29I`4>PDXg%$w$=mmHmoATqXTicvwIJWU2%b7QK>vnLI zNq67w{7f+4q=?ZsHa2qhC;HQ<`t<6P-$novSqlE)#iOI3-)y3hSF4Jt2p%_4&ip-mZQ}fi zKjMoTPAG((Avo1`_kmUTk;6eSe`)7{ixcl$H_-N%C&Iaqn;$1#e;;u#2!#T@d|W?h z_udhhd{x^|5x{}w6NON|smnt<;zu{8!+l}&CIyY_7j&XuuU4I25hkYp%1@x!EJt4@`|l-2Sx=Ij<$L0 z?4>Ke_EjI#htSt>*R{KE-|V253!m%d&hsfu@fpmxcMoscaU!9ZbN(S2@7-I3y+?+o z%h*>o9jr1vFyuRb-fu%l^IBBYktl9!m#wgz zqm#W1#Kz7>4wghxh$7oJC5q(!G4XR!ceW%%tg%pxl4wJAb*K!4mgYv}2jlN)4SaQJ zMK>#B=cemMwBD}%>?)DktYi4~#ovx1!B}@elS9vor9;5#{)U49W}mm?5WwT^c9Ph| zx`A0^CV*3t1IJm_83HKkj0xXSu1)uD2AebJzpr+1F5oFtZclb29^nGYOLHQ6o7JGRusGVfWr$-#R1UetX4kr0K#a<6Y}jZM)pJloOCSl-)dSj36|hpgS$| zYeclHJm;5@8I ze8-zyT~SQ7tvkmT(x3BTYeZ>X6x+FZZ1SUBz>5hG9@|!0(E1!YUDI}u+t1^WfnN8) zzi?Kcu0Inu2>0}Ws=F@ywiM;IpQ^7Zc|o7ic!0-&Xt@xWm5#IoGb8{!CyV`)hLeR) zssf8Ey0ip%t^zENFTCg>;-)v=m|as-$uf=uH`O1vt(P-CErY$Y3mfH}@ao{1?wh>! z;g@asA;ak|7Q^iETWny4FF4j8zD%%enX;iV_N9DFIz4SvZ#QjW<8_6W55p)(X}{VA zv)Pn5e8u*q7ZD%u()j>hcsk@@0vAF^H`P&E_)=t8-4nebas?DA-^bxVMnc<9x@U##QF8b>FBo&y--`9O?obW*AEeokJePqs51zgbZw zugV#LA9)ns3fRB6Q2`ZF(T%JzK~WqldhWORI~;Nkl>*1MS8yF(a$>J2Mz@^3k_ck1 zjSnZ1q=?#!A6+>8_eNT`VL%LzH#inCsnwL{duo*8=cIta?TwRMl6%b$1u(2Bk<8p! zGvA9gKVXF#^yp8MY1?73dykXXA{m1I7{-7 zAA!$;>-Pn^DUND9zY{-C2-UGjtVzSkD$M9ZBB|P4xlR#)9g~V!QTJcK+aKTM_RH;_;)-ivqjT+sD9p z9bnAGW)e``71T}kTI|W^SlP2y2*G^xC~&w4!Iub^T7v2PHSwc$-c5l+i*2UcRt9-N zK(0-BIp(tlWmxZGpp2UX~pmiv>5cGdnGuZa(0^y+@&k#w5RxRi@CNA z&cjFkIS%qG6;g$=8hls*_0Y&G6i%8%t1CYj)3OT7Y)=t^xhd5tS4hsIQgl10 zbP}>8Ul$TJ;#6VcS|KO#n$HjE8&;=3#!@%~yQKPQIzn&n#))cjT;6q zCI=et4rlBCANy7w*2J~;-`mkKCuwbaA~!B$jg z#ie2tv1m=)N?fX_pk=EIDk_Rg6Ts4{1PfB!i3?hHMg7j11YACQ+poRP^ZilI!^6y+ zBr|8u`JH$Dy;+%F$1JHlnK2-~W`S`1QMc&m@SzY}E2FeGVTRvjg zu=`Dbo#`K05;?@k*;o0e%&4d+=El;KlB)JNv_T#+aXG{_i3@iG>3FIJ!iAiWb0{Wn zv6OO+SZFqu*nUoC!VQ$og{5m?y_E`wox^wBj7pts?lnHec?5rOHfoEb3)8STKct%6 z;c$?{F)|^?9yg&lbj_XE_5AH}`w;hSK3&+qd1mG;OI60A+G$5`jC$5T!bP+nb2UiT{ zdId*#CRxz*8zd7$vPHB=$cUBFP;ro0C}6u-D?u1UM(L6(2a6zLQN&G_g0TD;Znb>5 z5-w7PbJU9&NY-;qX9ICgy6ZqX!A=I0v)J7i?jF5a#yb{7Joeh{9e4iuDXj$0C8TMN zxap1KXW^K5TAs3Y;R0K^VNW)GZIS43~{ic`#A$>pEY4YpINPf3TKYBj65<&6}L{x#C`Bx92{@7 zzgax{?i~EAK_0Tgh+r1Vm)Js!VS9no6*gnQ_JL&Anu1*})R$;BCq4hry5-6In~4N2 zb0l2PN$um5SXur9`keERI3If!l{nO@v%g%&s~gBk;MA$kd|0zlpChB!ZA+U{t^y(ejrN# z$TC987GRi{>=s&7$rJh+hNq>n40Y8hnUMAoAt)qP12y`EB3QFt44lP+bT~L%>VH+# zbZQqU@20-928O%`9?xe-E@w7oLek-b;iFjJ6|cxc#&x-x04(AebjS)7G8WIy0xQ@v zZb6s9S-CVG1h+dr00_Qr7T${69Os&DB>r+O3?{09w085n8KN7Q_};(J%T8ND;ne<| ziW{~qEaHvo?o;+Sl#4{SaBHr@Alq{sPg-)^!7p*AwIWbD95LyioL_#8k1hB&9tf1! zs??*fFX^_z%_(YIl~&OSx5lxM;Q66mRV!ozdWSYHnyTJ5omSrB;(d+Bs;9U&~XF(Lu z0y=w%z0X1XTyXCR<%Li@4`$wl*_BN9Gp2kBM};f2$fUB-;Tz}>N$*h9kN$r~0CB?( zc;e#BjR4Avd^AA-vHf85?j_&JwU|kIv=JqqIW8v3EM2~m86{2WHac1NJpJU|B_%he zelyi!`mm$Vq?>W2+~}-T@5IDdfV;SFhFYmr#b@IujXVc)vlxnAYOO>Yn2Ei~8W-W( zy((v|v;9^LMgz>f>-Jl3YnbWfP5tHJ`M8)&r%KxYdpt+p!VBMTW++xEf}>D+ zH{p;aD)FGVYghTPFOet3e&cQ`wV7D>RKTs=`$}XjiYys?)0JzdBPCTL?P=9%9 zFkaHBv=T-54h-OVUyEc?oo(RtM3m0|f5ag;-JLe|!Gq`9N>YNeM%`Icy1j5liSLms z{>vxk_wz5i^&q@kX~wgdvH^uN2eiysZHn7)0*>BEK%y5jE9HqUGN&HQjb zkBGD951*?!SwSq|@f%N`%;;L$wYtA4+#=0?IuJy|b5;19=R3R}grTHcdYSR?)~U#y z_dB?6Y`?y&7N9obTkNNHF4ihG;TT&0PtC)gYMoT>_6vRmjsCKEEdRn*enE2kh!fb7 zOzq%SxSH5CGOxO{(e@tP?ELA^7nJV2PEEzJm{-0Q3xk4!jQP0Gjwr1cxM5?o+SRT% z)`d+;HI$c$poxDMS+Y7%5tLj;E2vno+g1*c zQ)_99l_vTv!@e5S7tsScZrx#-{ULVn@N75J!_`f}qpW1HcHi|cS1gg)uv-Fp3yiMa!b*c9<` z?5C*dT`;FFVj-D8p|}~fS495zTn7G8@-bI@{( zyAcfWa(nHbRDr!`%2=4ZANT#X^PM{UxI6vkgcEpX^-p9Le-t;?1vE_C;89^dAt50d zPQ2#|#rNq|NX^x!N6rmDlMX2p&EKRrjJw!36cDU`3oj2x1Es%6lcm9(*IM@P7v)7~L)-EpZv-9oZ2HobW5(pk?G5F(uXuQA5to zC8SWU70z-hHRF(ov!uY*d}mIt0{)28%Tj0Z6mTCy4g)TGo6@^t-{^4QB^@iU5sED> zK}p;+aM4|zIPs|+WiznETX#);-1Xit=N16pU5k5jfC{fp?b>C3;2|>Qp=MwII6I`> zXL~F}Tq6$6!bAvs)h@>yJR&lwA`w9&=f17Q!5)w2-oLR)-hjhVyt6766P0F4G!xav zh-k&H;7`S|ImVtKy%|eOioJ`~ti{sYflD32b1AL)Z{qMhjG{Pnt!hOMY4~|r;4&VW zC9%~+(rMDtGW&Nx$^rbsspYFUkdKbxWXkh6N?~b2D|IllbZ(#>daJf z&eZA|4rITxjrryJxu7v&TI)tuhLS+|`BtVMqNNjeY8+#M5xnGm(O|Mj$RFq|nnKO| z-ERFEy&Re)fZ3J&1QcHpIC^YcL6-buYhQur@9O1udGrhls`?5wbs8Mxptr_<2Y{k~vuoe-nrOJs$X8?b)+Xp1Nm4T^f!_ zN>y)-YJdO4=G*;C9*udrCb6S+kw3Poyr=u_=8H8?KVex|1p@XZ z5SYfe4c{2DPg^JydV_o{0iux75ks|lqdGaTw7RBt2utgNMW)PJIc^iF)M~X}5g4eJ zcPBfWWkm|Lol&j;0e9;UaY$}qS#7}$&LsM|0QB=9*0u&UQBn9LSO0flX!e(@=iXTH zc~GkV@WmS@E-2e;XkWW}{uiGcciW&1)+0Yk|eu6ngWt#jq~BN2r%g+U&um<|7E zz5JSeC<4jHh-(pjYC~zhp8e)CtRsHk>JCSj@?M>&K4(yl_w`suzDvxlM69J`K;Zzy z&7|kuKaSh*B9%Kw-qH&oeX7mX!*H;wDe*aRU+x`C5%V|Rhv2YR%JS6FRu2jlsb2f0 z&$zpA^!Ty1?;~zF%jDY6{5}oO_&O!UZ6P+m&|=F;_FL(6-Qn!5LR&nEO(JS3Nlf42#FP|tn1`FJ8eyg}JyoZu?rihUYfq=UvfDYHC; zf%~!di>e+&iPuO26Z0^w+Ypb;Gk7LB8U&)*HVjAyL$4}di{?1hfSNPa9wpmWq+ubM zLZ_dw)!C|w(lmT7e2h+}z3ue;_t3%>JAWQ?>xmq!Y8Z(3>+kR0p{mUI>T+=P z3XCz49DWyl4Rmk@RBr3*66+EZPX*oi02eO0h9kx&FD6bhCQeD%cuk{;!bg1)Jb0hu z6PHr_(uOxzSqN9O?e^WKZKsy@4@j&_OI(Cc}`DF z)KkBv6<;H+q^~*vcg%@t`m7^nyEfv`+{8oi>jb@Xa_T$yK9Lc|F?e6ClSfA$$2Iih z^|v8tq|9Mc%GIacvAq!=9t%`RWd%qHCyV zMG@p2OJYE+`kl96IxFnvBM0!FJi)lPA)oS}3_%JpN_)y6L<}%7x3l+fEmmF z%T69(@%FbEoSAp*;;HoN%bwHr$YTE(hp{hl*yiqt1ZCIjH_jK@|G79e^;1BSae3XX z&SfRUV&>(cS?Dt&;;(VS`Tz`ht!r@x%Q!a{bFG?vF)#pmS+uv{b^BZnQ9?bXLvv->sz<3598}vG=m*43O5V$|8Hpt+t zCfm2=KRK`WS{xhJCvHA!C7HZUgX^iEvJLlNjxP@X74a_pbWfXb=qH`J5?r&?m}f-% z+SfMzGFd$`e9kr-?=3C1Tekv-T2g=c4GsJ|t>IISuUci_B-;=-_I`)^UIVX#(2{(l z92NIk7Ph|4Uf^ZJS!Pu>=V0S7N%RzVKVSb|V^e;*G;7wZFV0VCmcgGajXy+>vu0h6 zpGi+HeK)%lmoXRPn|@Y#bjzOdma{${x@=ZaQG!R6UzLZiL*zi0GT-sOWw+yUSU5Pd zi(lDzcfZuJL`$r)dIO9b4sRn6ndMc|TbA+Uji zj%2wradTddb;XCM38(vPI$kJPYIVI0ZnkZdhh{9Wt{-5h`|H~Og6Kx)X#5!5nz^Lw zHO%IVcGnMefwRv3Ke#=+*By_j5Tky6R!vj4wKTrH3pboUw5Aned+1glxA~imBe=X% zA&lAH=L!{`EI0V6lIp(y^~DxhyW%*@fXZ@!x%0+5jkWg<^0s%l_};vln(0?Y_vznf zdQDBuxu)*H^tfbRMahIKCxkgLqu_MP*6~^eNjb< zYjf9fr}D9eGNStZlU?uuv@Li-Q=75NOi_<*#IRUEww!$u8HLAPSkC!T}6RaJqtldbni;Z`1 zh_xdpZoP^eY-v}l^#s~MTCbyNec6rH5ZG;=quweuR^k?0oX|Akc2RCGhoT9(%sEF3 zhn=gOb97~DPGn{6^m7Zg9L~BOP27)X5`c3Cs!x`CXtKz1$!*6^k*ZnhQxT~q*4vd0 zloIb4TJCF34HGftCYfI#?hw1{LxFaU+TY)${6zIpnpJUqbwYX}& z3Qn#nAG{zhoeS>5g{J5#uNF{n(y~IH_yr7g&&XVhMP~8eh(qA%OeUo#7EwxwiF^p0 zP%JiEE<)m1u#A`iS3DW0_tqO^GQC=_RvQ!wgIcZdR(mVddVSD$Z^t2Zh0R`ZgIQ>* zzoYqG{u>agkOc(=lBQP6_!|f6j5@WZ5t+!lf z>r95z)K{f97}TmJ^-pE$pJh6sww(DUeXy@1QL5B63d-xc-2wKF$RFMumUO*$9iVwQ zz!to-k)5t?!;o<<7998W1BqY#Rz4rhrwXTde*m(8dUiG=2o zOpX)Ls&tmjk~!7aX$yrZ|M9*!>*a09 zG8X>lFApp?Nwfo{DpVMLE zPWyzk^Eut_w9g5jjv+oBJB6Jd=i}2}z>406LkbE`Wa1=qB2FYNwzZ8n2x)?hFA@@g zAJ*+Vk$w8~>9Fk6VV(9p`r`P$*5oNZ+1c%U#_hm1;@5Ggx!{u>aymO~T=wZ-u7!j> z9O*-To1IPmjzg4X~Aae9Jbbp4k4Bj###~q)$KSGRvxpWi3 zPQ<6{ds<9kA%LbhyKKc)O_*QP@C9%sV$15~(VQsAtvKE-K`I6}Jo*IRcQa~rvOX)w zH&~d8J9S29t!#+~btiqE`$7MU6TCz<52_tD0z~%V(?=qaDX>a;bf(GWd7OlVCX z`T%kxXAWGtbZPjMvrCQ6q#0ul+3CnptFjt|t!(95gWDeYS?&AnKFWb(VI6aS(aCilBMAnxCIP zal|1JACZM7CHWJ(-pdHLcwH}ZEHSSiQ}MxZhDn$E{3N}75iGy*bG|qFn`w4DFX3(B zYl5fTK6^RPRh21)61Vi2E_}CztzEI+Rmj=I6Va7}J)r;g$8ATn-Tw6aS&*&_Bs0`3 zYg)^7oyk%S?Id^=hqV|F5bBZNHh&&Lv1P?EaqjuYx3-VC_4rm^lci?8%y3g=&r35h zGEPpOP|@}lY26|ctk09<@TS>Lrh>k@Q?oG_N4FBl*l%&_|0**%EiFbZM%o9^289qWy zr{1d&r@cNte!>oYd;B&r_g_Q;*w5a(5ej$joi`6)R~E;J-X6Xd!LF8`BPzSM501z< zbi_Tl+abQYYED&!)pSdE_iN%Lg%b;_3UR|C9}VJ%;Nn5#OV5YLe{GHX!a?x9kN8#b zT8O>C{x{72;TPOyN$_0+*AWvh4PA@)ZL#N+um(r6(r5DbpQ8Zq6jPm)EKm&5rO6xdie=>kH<38lFyuT zAi>HOKj3G5X5M+e0)KTRJ-OfGC&2^#pIpOxHeIi^aKATye)P>4;?zsKWoEqa-h_3V z+Ws5yeFL#jnA{V%d3U!k!~$xoG|EGMVS}=9Yt6A?v+zPL#|oeaLEwwvEh}(L^Z)%z zV_t0&V&n9Sr5EWv$Cj>iw|de);c+_iI|M=k+7ZFv@sm>y3tr3L1J2(hu>2z#aa@47 zOSesAGQ#maD8ma!dai7_5I2EK88CrA>t-BFq-yWK-0Y}qRmwN)(23m)mh4JpgmH^Z~2ljVj z*g}{?l-mLE{qub~M~^BQJ@g{qowKGyBAR~eaHtnqCyK+!Hd?7vWf=d^gu^4N4N{#} zc9G1|qL4S_p+u2b6Qp&P>gQjOgSH4Z?(@}YjmBPIJtR0hl#=Q=XWpFw$AX6PXmjti zz|21^HuDebakz)bLra%0Xi>ANVJ5+$McL3jW^!VZkl#lyaoFW~oH^`7amW|nQLQQ> zXk!W9D1n1GablRZqPq#ov<6MUiNLKc?U+=m)#>k{CIN^cu`gUDl^Bbc;Z_hd%0s>h zhGMN+sj^iU;36`xR7^}#NTgcz$2i92E#i&F0XfI3HwyaDB78TBr2xzxAQLHLi5;FyIi&4f7-$>Dmfh zcqg{v5v3Tmo-)C&%@_N9E`8uM4LAGjZ__c-LAu1UMJt{^TOWIj@wD{&x+hH<7pGKY zmPAU}26;%|PbV}HYC$TS?MYqJL_1;RY+%5!5-x8MQ z_(ELBy?(=&Yeul-?F~z>uaAM>!yyp{Qyn>&YWQ?=>bALA#cu7_@%>fnisOTRJIdJ< zSkkx+!Qq9}K&4K0SMvijF9X>2v71aQRn!t0^?p0?8}cxD%*1GkO6I(27J&cCNV&^x9NhMg7uzTx=+G3ho1Xi zjY+UQYcczy260H`VNQfi)#78cj82w&`z{PWkNn#YRU`z2dYK^Z?9 zX&QZlGa0ZM1w}ZpNNJPT7f0CI;n^Op@xWZY(@i^VNbL5C>tV&w6FVV}E4CRGIkan7 zVxMV5F854yiTM17u4TK$@dI#SH6I>@iRBh8mxdTt#>JFpIEq8+!{TJuTF2Vbqh-LM zP)KWPfo1uSJi#LM)e8ywPNqfhiM}+HMA%X&hRe9}P_lF6&l&iFuGrVOZ;qW&%O&_s z3Gd=5hMhgXc_BV(z8BYj?nIZ;;x=OE8N`U(_9kQr`Ljj~O`G-zKl21ZuePt?Fb>#jc&7Q@XOlfy zfoc@|N+5K)#WTe5ax1JzppS}xNcr7^*Kv8iEa=k6We<8k$q6WqYCb$Qx$tw_llaS} zeDethC+cp5b~sZjCU^~kLcCh`3ML#yhnyKo1CKR)2++0g#rCcVj6NT`#?4Q$CK6O% z!2Rp{`K(Ho4=_@JEu6O(pOHsxIcPzoIdgu(0$jTSu}vh$yvuXYZ!B4*#1kRGu|;OI zj7d!Nov85e6CNIU@J3|OxCnI@(p+j<#mpEw>zyi+XKS zz5GOZ`6ZKFJZt=-&+J1_1H<;;8$tBKljrT+zg^Ju$n`b_=1F}we%-0lb1B=2cgaDBg%)op}cc=(pRJ73^?TCo}Bvn z=fc)@R2;A(QR%du`$YWcI9g6DMbX6(jTa7x{)4xqF02iPlIk%Ybum zQEIG*2?*ZBm#d^K1qRE6^P#OX_h)}2?iPR zJ)wxNVy5DprE-hxpHM*UlyAJw2NFDx|EYFOV%;l+dKl0@zHMsjhcv#NRO|MVSPx|l zY`EWW)m_&)qn}*Zlj?YinkOrY=)(4QXKY!xXpy$#^b`lvf19(4!9$(~ zK+wfbGZVnClh0~hgmu@6C-w|&wK||tadEL-^r_Rw?sV%AXhFA3@#KrH`}LZI6%Mlb z2|s=rbnbB)4r2+ZEYooGz36TipJ7wB^vb9{{vL-#F{6(Z-4&-lIVdi9&+;u+<{b|I z$|huAP4Z71vGDg&xoXAr$ig*{_ch!j0A{DaoK(*xZ?4zlTamx+XwpxJv5<~<#R1+& ze4?H5l{>%@ns1|T|3q8^K;$wgM+p+3DxcC4EAsJ7m|Uav+>^a_D$y0cz>hPvHVS1S zj@KPeOZejO*G14feZ#d%aM-g!1kcTYW8UHLKZ*}IuK&!^_dcCG!O*sTy5-r8bKy}H z*Fs{0UBb@AhI_bpxVwkDrxvwz33rdZTfKo)c~BDF)jgcHsHk(Xv9aAeVo$icx4hKN zB``R!XsqbclNMuqED?KJWB3!XT89aUz8G=la(Kwq zkRn6t;_>qrgeOb0!vJE(T>{85GJIAytL}a3q^EdZ*wd9vhEHL+bnEhVmHtuIB_b0C zVXn8nfYd#4yB>D^0+O0F|F}(??~P9ym~)m4QUui)7&)ziuFwGa5 z&VkgkaK6{vYhOhj+yj{KQ?2+WNqBMY0R(ymZroC zA>qV*pG}1o4sQ(ARkz08YMq)itxRQ7%YVqI%M`R*rq!zTTDXWW128m-!=Z^#%kRO! z+@}Dcr3<@){Qf~#Ijxh@Z&7YAHHm5^Wz=fir42X6S#XnxX$ltGFQX6di!*u(iBF-RO66{xAP;F zbxCsWMzT98iKdLT5yY;7Zi`DQQ)s_g7Z9=R8%Wky0E;!S?8t?A-W(;xqiQ;>b=Q(M zbr>})Klt1w7nhbT!#cXmu5h{6&ZB(wxum?Lyoq^%lX2I)%k!>IysBS@$9$o--Z3NM zjK>lq+$E~2@YAeilnfxsf`i=QRCEyQUtq!#xqP(44E)Z+IbhqjxZISmWKd`PzU8dS zgK4vW@k&yQRvtX1Cd4~gsU$hLry~_281y^6yOBKteTf!ijAiSUAivWW_e81HG zVLs>Sw1x-3DmnZZArgwkVu2tOF1da&`H9b9Cy`Ttzg2)j$PC8;`QZmnO*Pym_nLF9 zo7xAT>v}gYvSr{o+Pa42-R%~b8cT+7-V-!=agb2PuzLTY2NC>x7huK@a$rn}!Tbra zc8I-oO9WfDH0DnnOIC$piA)y_!yQH5D&@G9xQzPl`{2MxmCmXZ@h-fp8`U?9MYvI+ z(kQ$OaXy5?D10_smX#%o8<72rR|EIygz?ZM#@gD}T2`j(U)9^BOkwTlXlgsT^a_1SNbcOtlF4lk52&)0t0p##HiZZ(48IG;Rw>^*xGRUpb@JUz=4 zM1>$jQ%+XWBpUU!h1n4e+-D3%K<~|)HeDRzx@mK9Rj>Pf*CCI6 zHf=f{1p`MIo+ID1$<@#{4O4*Qj@NNW^HTUy(pl+nj@Tc;Ek!Q}yLbR6tb;>NU*^J> zArOoy;Ep|LFI1lJ>b4X3+M2j)jT4{tc_}u;4+U^D0Iqt%kH&HzjdMr)FU~;^Tw)4v zw@YQ=MW5qihHSbxrm%2xCvtJ|;>Avdw}*7v=rhLk@$K7B$tmIz8RGJ1F`vr1C7@_Apw z@ll?)Mp>r$1gDU1zr!I<7)$XoIrb9m5wa)%=J+f~N006&_^ojR_ZfowskcMlVyk** zR`v9QMc-DfaBUZ#Gep#b!>ZR_A%~btbBsbZ#xM}vqDPH5Cl(H;&-7z?tDc~s+k_1c zgT8227^&;?aMHHb7JA7V3i10neQ6erp$)%gA>hLH#Gm&A=QWTU0&DQ&Mn>T$Rjcq{ zD>{!nJ@PcI6dbvt)qrpJ4(+_-Sm&WTP7gTqZJQl?KiV;%)yUHWcAP#oA_FJFoJ){A z41%K!d0*9PMy*4lKP9DGBKQMC%b}>to|rYby39r?(GpWV8lZf^h$xvvqEZgPrSIgj zp$R_6f5yo*>dFtWCzuG0Ti|20I?Fohx}oH#0US=KLYI40?}nQ6m1-R7YQb=P;u~>D zS~P?TQn@I6$djILCl!BP#ZULQ@mQ)GL(ixP`rGs9xpg z^nzSZhlHU*ONh##@&#=trOb8Wt#fb>2dujk=?Saw(D~{ygr1922gVo_Sq?fx=}Io` zuRg2NLJWhlF*3o^Tc@h!GU#JNwHAbC<&cLrz3v1crWK4d9gcK}|AD>i6lK!X9k|Ge z7=xc+p+>HV*^QX~F5jjK17_p#Gry>ZGjMzlYAl3p!S8=d9lRHZTK(lXtg0{NM<*24 z(G2(R85DjnugT&L*;ITizOCpzZV>nRX z^9KP_2Zyh>rX!EeNxk(r6b4bgZQ@7H)FH%2Z4lEAnsTg&wQib$kD4Q{O#=3R7>9NI z*kmkOe&PpVeL*281QRl!}nUJDsy0>hYmRMeAvE)NyMntg6Q7*DcjDT#77eF!>O=X31>@hZq4L~ z;ejuJEphF1YO8CfL`vNv7Lq$1KY4%59wN-Q9&4ur*mD=Q`NH!D{XRRY0SJn9cMnfh z^A(KbS6pmtuzR@C|91Uy-S{$BMMO0L6d}W>b2SuCX#j`BPI(0J2_mAdt6H>e-bUYA z{9*~#oh@JY+r08L;VZC8*v|VXr3U~kT-$}8n)Oy;M}pAuSzr!Kb4`~)WLoL554+PP*I03^ps2(99Xa8{o)#c8 zf;j|-S1P=9Dy90l9*13Uj%Sv{Nbvxppg;>QSHcxGO7E;zGoU?@%fB8cGS}>Y6EBy4 z?1Ce@X>~f)VO)5ZM#ynSklI^bQkspbi@T2M!27-8HD5R8Kt6pOn$9t%d%9K-CK#e%{x{P8$4tb*v#xtCRs9ws2Ei$4-0(p z3tKhuIw$l^IOIK{eBIT5F(1+bALBTyI7@n5S%FXtP!^XMpoLIzLr8oZds*6n1L3Q) zcc>4C#Ac{LA^uBOwFd!J_>2RFFO8wwQCaoL$F*JR$|U{P;ylQT2JZgC3XL{#BR&9#mO|qhBfIDn$sb~GI7{s1 zTFnS=bi|)6RT?98(H1;QW_TFC)@rhpvK!CFXZdU~HkN_Cvkt6HgiiLnQ^~~H-{0BF zi?7!am_me=m45(Pa#K-*bpb*#ImKg51tumexJUli@*xLPf8IiObje*Xp~gY+Kk3zi zrd|ya;#>J`Xf4$LKMo0wqVa)7Et9FeIem13*0q4hTUMr!mK9&=4kGS%#WFWM0M1Hb zcYpbh1jjJ8obcg5FMvj=?a|ye4RJ;DpKv_Ep{^JNp||n+jFs5hUI0OI07XyysM*5t zuKnQvk;`C`A8L@NmiW;BUl}*#QroznafgBv!laB5?WNL~i67wFn9ch(d^7vupfQII zZ@U+O=d7XJk{hh-2Woi876baZjbL8kZ{s% z^yulIO&?-Cayp29=e!UR%FbEMwkxte?4*4_{XRuEiJh_pAb#`M1GqDyuUjv-rM-H& zE&7!We%YVg-GAHCK@x_hF?JQOoPFkIx81fNATm4`IPoD1!);4&J7GX{d*Cwy7sOIl zOX!=CG5S{1z1Y?Wj&F%`-u7@#7~D9}z3=2u)&%?zJ9*r_w*)iHffbRHu<~(K!Sgc< z*LpS_*CX@GO#_+_;kW#wkpEg7avgE6pH6D|V;XJ-)+E6V+q6{3jP4`e{8Ml!6f&;? zKjbk{G=#S#Cjvrez4fm)uHX2PQ z%pn6y#`QgCJr}>onhn(K|$GNCgZ|V`F=e`-?>&znh%fwNeBj zI3Um5E`iv~FOsSUQG7EVqPkU&D6=c$6)vf|v`>0M_>d9ItE$+d`8GsLv`PvJu+%#T z8L)5;NXqrMA+Jk2&wJqBrQM*_W6t+zVME?B%E*|bP^u)VuW$tUfXBbmhD#+XN#+)u z25*qd_ScLJCyel6!4(igajPVlk^djSheQ#IpZ}BUkJpXVwNn=1?i3@9O4|>o5FRkc zwb3>zOO4}vMBlf-;ipy539srsSmU?(xAJZ3!y%Z)KoYJgCkmV%ht%-oVE-99`cc_4DCW z{3Mh&vZ+NqE6oU8+FAlMzxf})hvei$?7&3$!+coBP7(fs8|50MMpuY#WKojwS%}s~ zXPMX*M>@R)4qv!U;hS4kdNZU~_5Akl#g|{hA;StRdk<4YN=lKrjL2O6(&m(@A5~(6u4J55aiwG~!#Lb9J(!t+S=RF9MeS zAsn)7=(^+GyP5wU4o8j#2%#ys{&RsDH|pUczmIdfe!4Sn>>N3N>j)`8*KOlukp9IW zXguyt3HXJVV~)=%e-G*6>^(6cFf6S_QIIO639Fwn!x#^bYZPT_P)ry`UHAqZzP?Em zhiQDqm_Sf9Nz<*kG*d2>mZ#x+7DT~ag7*_;TE|0(HTCpxPg-rH-tg4F z%WuQfv7uohVIh|gY=k_ zl!*1&TKYUtl|)HLt++SBK*>)N7o49Xr(z$yGMCIltpG z!iV1nW_LdtQz&+|qH zLuON73}pG_^a+Xw6Sk;`mMr^kpZ}FPnM40IQ6eGq5BfA`JM|m1e_bxuVF<3qH_bum z>Dj}UZ4DB#>-NK_(x(%4g6bFC_h5IY5!gAA?rC5e&N$NU!iie;2`FMn*<+-;uot4*enSl;rI3@3-fzk^DnBPjl$v_vPc-cI?11Oq14|3rr#S z{@lWX-oRB1KKa1o;xu&2J$1ORmgW>PyYWh^75JSDK$8iK*HhfvYz6iqbIybHq(FfCdF1i40?I1}t4#}z|<6f}=l2xn3ib~yb{~kW%KxEZu zl!@N<<23#bL(#~}2snoOvvA0pl<@&pjrLR6oATh0#91|xf;hB++i+|gjkj4@ITzb9 zCX6VQ99%K24@#J2#W(+e{rvqHwtsP)m7zKNHxXHnd|MofsDw%nH*{a%>wQHK@koC? z!1wfWfZ>h6X`C}8&SC@tQ4bSoplJ8M1V~+Fq<=8O4L?;@Gu9tGH`5h#$BP#{Q-MJ`l~pcbgpK! zb0|mn7V@u;^#=HK*EVN>L6=~jBb}tUX<1!WimAS&^((K-WmXuXi^jc;yC`U>YRz)9 zJ8G&g{#qO|VCUARS)M_eU3^j5EZc5a#UHhp>&oRCO_`yo?1X|1Yb0oR7PXte6nJl| zN$u|m^($C6&w+7xYaEg-%fyaV{=;izUuZBq!Vv1)XWRLo0PE%y+?gz^nuuOlnCdr< zQ+!|H{+^)#mNAsZb;0@cZFq>8f=`EYUzer{hgM@UwK=DLqg zrm|lA?CaNYSTPnC;<1Y#UMFhma|#zf#3f^vuf@(b@cpi%1c&LBu=_lCmO=7XV^P%# z@KU&@B%9%w`s8xL(&u)#&u&0itz0}B)VzX!j~|FL$AlGvh!M~?VKoj6r4<0k3z*L(f1MA(BByyvJoXLIVCs||FwR!{|8g7(2`@1f zKQ|*hKlO|?-(~dE^kWOAd^rmKV5|0HQ~AzP=dX|k9yt9kAtMkI>JW%&McnVolFq1DN;qBKu!Od^Jp4M-lL?mk;-1Ms>?xPpgq>J{r-KM!HR((!Klq03dn; z!$TZ07k#$eZMxh1jO7N&#u&u7keD~TtAih!AskHhn-$tg$mvQEh@c0~$V=7|@q%{J zv<+n{rBe1Vy8<-PaBk&UmCi<@-a~L$sfMth!pjsk8vh+1==soe8&u=)VG=HqcuO?u zo9poa8RarORhH$glt0YQR@^`KB0YK1&efBn|PI=die6jAZ}px^~Uua_tx0d3-L=GCuYFQMrmp0_ADb~ z{ebQ-fS3D?vy3DX$W;Us- zmJsPsy~ZNbdi{sKd$$mo&{0pG3?nuiCXtB!%lVL?KkGv&{Lqis@FNW_l)!~#ht~a3 z7*^wu9JKwm;@fV$x-VL~bjp+|iwbBLSmx*COi9ua(N!jTJA+7ef&Ww4gA!2mdnLc0 z1M>zPYM<3Msxgc9m&DrITKBiNFg70*^kDFV+ut||TZYN4Q&MdAH_3C*Qy}N%o=7^Z zQ{-kY0TTg2zN)B4vkjF)#D-Aoamg;1+G9@uv-jaS20_Wn&J`!p+u|gVNR1-l9h(oj$uHh3u7?nbSl#V) ze!@3THgCqIP0U{$hE)WI`@hF49}LAQ)*vKunGN;9W6k&BG#d_frT|EDaK?;h=wqzM zVaRw%>s}?q+IM^%hXP^>WZ*zkJrEc$9u+VvAPYpH@YnMp2Tp@Wk+~mTaqLqkgI;A? zia0&tPr#u;uM>m#4XX!mpM8aM548Cj4s4BGC$EE6BCaUPW zW1Uasf@JsWFk~6Fe?_S6bE0K`iv#0Zept?}!UA$nrEAXU*ia($x^^)od!9Ra;N(oo zpW#vDOnHS*UwWvKnC0sNs3Avdq z$xWX7yh3|)`*zC|SRLT~EF=5>5pN}MF{l6k^Zm|uzN6AHT~v%ju2P$oGslx@S=Hu< zHdkw7m6Reg6v9$$M~p5nd~=&`(A8O!yKa>~kA|Uz+Cf@L)q09$3hv60DlS6I z;dJEW@+XRbm$%_vamaus%E!EY#UOu~!Qz?V#UepfcYz%)Sh+zqpwTb{n-J|`d?{>4 zNoM|@sr!Zz970B->~LCPf&2aEXe2}$_C5;I&#oG24wuItL$1qx}WF1s;v?C5~ZudLIo30r&d@V znyu*HfBUUZMmWbNUB(ulx&0RJTfg>R{#yhCt^YI|`?T*dA>Q#D@igzphiyPpGt$bU zbBB@HL&zT}u&^x5f3qANhJ{rPt(M}d;T%SHTWZ-;@ejbzaxm}q=d}jlQ0;CqG!_!w z!e{|a&&g1=iBt9CkfCUnM{zEriB~fchGPVfvR#hau1IPmt#DkPG|)R~1gS-ET%NE3 zm8Uhc%5Xo1P&;flp~`)Qo>o@wlTdHlJOhEvZ8#DXW7URX&6ydJU#<;@a)QI2!5d#F zAMW_qfl;`}ayT5W7%NrePTTf3-&T#owuKcoks-hoD(&>WjhFtY!hegc?k?9Q8j8@xQp({0qsxzCX zV;(-dwxv&=*_|01F?u;1_VEk1D)67m;qVBW&^N3gqab6FY*g`QpJ*4xadxcgVEYR1 zz4F8n{#qPff(}1;V2<4y|86+MrsbB*d{uUWVkm*l^^qisVR>q|J;U|x7=S~r{*D0g z^71UAn5iIa(KI~`_yRq<5FQ?0cmtt+1cw{UGA=B&u*B**f4-p-manSUBpL;3R-rTr z4lN@*(ubr(lmV5Z#$g{DPU;%Xq2e?+OxxE2+l;hrn!fr2I_|5dIc|ZGKDY15Dt|vd zp9dq~iBG|5oyk8kcQb2;cGtm;t7FFHjeX*|>UiMbQalL`hvUKutit`XI2?X}evMh` z8D3B@7wzv;$0rMPaJcXj!jE^WKO`z$Fbc(}yljdE+t&ZfAQFzhY1j~gM}u%kt6&I5 zd%azVElcx^jYXzHiP%fnIEvrgPa+h0NqYV7Nqp%IW(8W6T2Q>S@P!Ovsh^D!1KbKM z!bG2=-f@P?LzYkCdLSSzx-_3QrfH^$a9H}BduFCXMf6|kWtT+&$*Ci_Qx8OL*@Md- zcPU|v;@fd&{_vAR#_$BI%SHM`HedU_O(=;Qp0C}~u)+e7Fy6D7P?#VrwkpgJiNeCd z!bS0R1>$&NeEbCqQNdD?spx`4T;xINlm#!9kD2S7w0*>g?c2AHz|z#&ISC(+@b(^& zgb(lDZG~qi0PG3#G&o!zwlqAf;0q3i9*&g@{LAe8BG#fQ(7B&TvoP((YqFmbFQJ!> zsjn7?f5O7Ooxr zY(>f2Sc6G_64j}v8i#ZQ+qOlsFa%kKhyTa5RR9c_hk1|`K+0#Rcc?#P_|Vj(lh-qS z0?RQp_RiZ&5Yh&W1)*P~t@GxM;o4Ioo925Ia{-GAO<%y=aLUqPd3f1r$*bFM(5~oB z_n}!ZOxp*+Zj;cH^B2)3X?Khrf4JAuT+#+zeKTNg@1-${$q?KOT7Fg6U|)=>~!y~?Zzo&Cqs_hqwFnVKs%5|d*4l;HC*=Oacl^* z2r`LmVItgz%KK%X`=cOt-)-6>2o!tj!Qpm+{S18QkqYpp3-pQMMN(BQ2FVY~{?fm5-N9;DYliWKthoLv$ zql_bj<;e&7iF4dyj5;3AF698MXYYc!2qF|1fxEU!TfRSl+<4{#$%VQzT%QV!yhEAp#D^D1>7t#uV=Ig;> zzS!Ogb?~(#bv+ovJcw~}Oy>9KbexZYZIC=$*`1O(Kf%Ze=NL zwGB&w!?3XGcLdg^mj|f)lwGN2psvf!b$8}YZ4tLnnJi44@S4g`DclG3H}7zI**O2` zzEHl~sVRrMvV$*zbSMjhF@n1k1+?{Poy;7Y#%xQ6fZNbzTTafNi_Fie+yT>b#kuas zf-3ST=}+1=JLyuDU)p8V*IX-Wdoh=qSB+!FpC14`5!W@Ik$&~aLt^{!_;3V$ed~vR zjcRge>ho^ff8hPIOaH_JP{3j|ZvD+dQe46CXq|vIP4f-GA=d3INW!oyN@$yUC zdT=<&nA6MbPguUp*P?uopgWvnmcA|788B80>fYoIA^dD|5I)WQq+W z&CH$mLEPwOL>K{-oy9}K1GQLJRkPMP4%B6Z3}DBZp4B5V5Hp#uSw%`h+|S6Pkvom* z!6B)_1L~)zu2^JT8c+kGFaZ6v%&{Fh0(w`f*@UT1Xra@`0*2A)Pi6eB&sC7|8zc`| zFxn9Vlrcr!yVSEukx-RiHfhydDwQe}xhaa^7-?{Ba&AnFRFM*+$dxK$Vp8Jyyr#z? z-nl(HPI7T^b#%Se_Vcz*PEPZjCJkch#$n0n&V#asx0_m|&8PG!-sp32NA9!E{5Wks zMR8&J#nuN_X2sNpLzDc2(}ESEu_r1DQ}46{rdKXpZUaynv-Gec#X-@FVmxoe?`Z-2 z8=1Sd0KLb9Q5h6F?q`c^_h4auF`KLG@jK|_PsSN{T#jT&)x3p%JdJHHZrO>}=9{FZ z#Kh$4rwqmzIzm3t->J# zYrcsZ4u-|C@jb<}7n)$z!|(dXu#zSPf)q--h{<%k2B(7| zMjgjKAn6$~!V;j|BS@Q12?nEG*rv@GSq~1kn^W2BwLs~}2+7VJb(q<@A;!%7)? zeocc4Y>iNcb5OrLBz#D9Nr%O20B%*sA&YfwlG7h+T|Y5lXuam!41}U?ZWWWJKOJO! z@C^z8*08t@1^s55<7a$du8LUR^1a}Y#%@2^vUE?W!kvjWqUoNkLb4Uclt+4SE0&9- z^PAi_1)97WvxOhBe$kX%uwK-8kr|_}u#VvHfU05ix`P6OCj#_K25IUo?$i@W3G`|K zusb9uelmCF62>9ezXB+EQx6Uon`>5t%d?VFZjub5I1R{Uz$jILI;o$<7m02JO$d!o z!X<<)6VLS$0FSKZc_f!5$~YWGp(q*ZWTgfopAq1XW{RSPi& zzXbSUb9GkYajYS&iwl9)2X_Xn)x_vtsNLy!lf@UcAYpmK;@FQr!CsihZ$rUm zcGK!*O+B`{J{bHvj+=PZqJsiT4!QewjN#L9=dPLS@Jp=&DBTFPfZwQa5?PxM*MQMj zQJ3LhxzkclK@-CQT~KksDkCE!+&a3;#VL>Gue$056H8JvGOXrK7Z}q%ro=2n_gKa)fJ{ zU|AMh6<7>0^vWV_6Vo`ETwX0PocM!PwQm+oX$k2u`L`i$)FLP-#j_aPUQb(YSw$^l zw&f6SDUs-FT^o(i^xCI8AQ25yw+oVX304J0h951!{kZHh9~xsi5*%KOArZSZADa8Nx7Gt{ zVt94C5OCtaiPF-?{&UoWpz<#aK2X{x;6w*E3?EKW@~j7s@6)IB#1lon0lBB@IAqB3 zkVuly%Tyvs2&-nNa@HNz&l-akYXlk#Y2ag4&_<-`Q^m$-D5`pag1j=l+Bb{2L}Sn^ zIC1ngcyhg;U+U=qwUji3m79>fSM68B$Dnm;qlffL3pnu6B;d&qiD-a4#A>3KQ}1$FI(EPX_s)gNfn^jv9;JZz&03R~GJ!yy^*9`8fm%n#7vGD{5dF*G#>uPC+&XNY*d+bQ zc{HiNxAQNRmvYqoEi8ovD@6-}n1tpfq&CVRe_6v$ayRuJaR?yZ15jjldW8bYqr*QY zHOe2q9f8BL=ffz{QHQWW9<@YH%Hg5GGLc|_WH8264B~7tJ!+W%A(L}JW$2p?HzZTK zAK!WS1O6LCT!JY1UiqD(E%4yuaor!!`$tafv+r--jOR9eolP~mI0SzS0K3VaF#Wrq z$3NNhWWWpAW+;v@0VV|NEnp9{KAyGc<0GX3mjh-E4>)nelc6u}@7#I_y(JDFTy^U} z0y7K*O+~WmdRdP4?a))lB}e~1bd0B#Y(dxCk-`fI9OD#2oE(GtQ+N!?CPoH8lT$Su zV(sP|d3fw}_rH8-W_(Y-b5;~p@IQSHdYj4``+fk>jj<6Gp#U-Bdp^p@H*xx+4*1k4 zwcWofYsva_IBaLKh%Hwy>B2+Zr zm6Ls^Cf?*I7`!Cfgt~C>yocxK4h{Wdi)h+Q>CJ;8QSwK3&L_PQNvhZ5O?*(SKI3I~ z9c?`=;wtN|SBo{+>lPzw)=@h}RPN~ID3 zs~?BgRTYaUv-^aR1u)zm!{TQ(4oCj8!(!FcWPl?Fzq_%7to^|CDEssrgK>@nu;lVEbh+SJPLAz^ z&Lv!3{TfYi|V@jzf|whkjoidh>p9$f!by+}HRF$){5ktRKEkG&O7O!$EjsTJ11-rpDooLx-EYN`MM)zvuZ34u{LVzq5zOu`b6p@$BRUH7Da{h{C}k zhuBH{jeU0TMw-L4>k=}7lqFF$^zY1WRZ$e~Gb|~KHK8$RS=xlp1sfO&8^zUPFjgs` z4!3|JDhjpNhID|({C96rlqr?q0a5$B2jNwY6xERPRNx#>HLwOWBd-A5|N^G+x2(|L? z#+dGLT){FuK5C>Ihq8omguyZXhTxE8SV|~O$$6c9C%e4{hfy;gWaaL<+LA;PDJm@) za%a9$lpIDVQHH(}VjPxZP1O=ImpQIib88rv@v^d7z5IDD96b{an%J))IONkt zciOfQebHHLli|y+n_eH0q6_YHWM?R*wd#Wrkz{xY7@bGQr=| z^gmR;h2s)?T^%0&eabh!*3uZMsR`Ur8>v?go#byGofp~tRiEcKxPi_4N!A=}6fx35 zgY+_)b=i>S@zZYSSzlGn==n(5Z73KLPddJ6n&yY_z(kU28r>S32G}AMHUslVLvwp< z?siHF{MQcY!P(EsiF{n@h=@0Z|0T)^m$pH*l5~c1G`T3HI{3_;q-z2|lTV?j{9l&f z*Yx75uDDY?h?(GuX<`F_s8(9oK{5XP)mUucYON_la2P~oIH5^ScmHYI$#qo7`;&); zlHV_HMakqczXsrtD_~d2KR)MG2;P1PpVJ!*b4LBCb6FGOhaQYnKE0-vhY0=r=jei6 zn^P1ET9@Bd`7Ukeqfp^lrSjlWKZ9_{Ws^+82RuC1QjJ6TZrKdg7)f-SrrMOjfh^_a zk}S>uyodc1s$F;G)#8$$j40|s+H0k9(H4fq3U)Vk>yb<*mqigY9x?^0AyxDm9MZH` zLaHp|t?sUbMj5sbE4)UO4?8c({UAapI^E?Snz)LX`j2NA zfUwBCkO~piF-gZT)TWzAm0`v}oP=*{P|>JX9ztZ)E3|gSKRq>u92?XG%TCkALU9J8 z&Z--TLx#`NM2#0TZA!1h)XyDQ-^>oyL6jRWwJholT zTm@*BYO|eG$TdbD@<~N&H!mA(=b056EDuRqo#8hoJlDiH^lgh0tH*8R=u)eO_$h-| z0t}xPdb0J#gB|ZE-|SQwzeSs|OFkG+e76V8c^UTlWP3ZH<{s*a*8UM%%Ow+mv2I7G@@l zJo{;wszc#EFcHUc!Eg(N%~Njnl)y@OXWdj=VMqNjw2l%714Jy zjcsBS6$-BpZ_HRTJcr+Zc{a^LRIzCy>J=~} zH+RTHC6esUX1uU}s1`YF(=Z1Te? z?T;9ZRtb9f4fzcFY-x&A5s&?OmXFs-5^QVZ9yscYPrgXnB(#G%eQf%HDj6*hP)Zftb)G!?S-jYXE&+Bz+LGc>! zqG_`LI;;e9B0J3aTp}okxmGq0R2Zw%W^n1b~MVqhsSB$9<0*PYiIL8X$!Zz&o0D?k8aVUVY*?Zf% ze7f%a^hv#=TsQr?MpcP|R3pFpdz!~!#HEnD8jqqG7F+!S0RzQt7%)Ao3_RXFFY{P8 z5z@tPoPz?1Rc7kmMLQbNa)N^95VEd&Z1(8B)n<;l?K^|*gh}%m2;A@w}Qn7 z+S)~3PyDX@(EMgJzZg(Qc6F5qS1$fPMA|;0P*&?hIYN~T`Z#sFXKrT9QRnoipgR6^6qvD5nQWpD%VLzp9Ti0Ip#Pc`UJ`}_*gr=tk z$wMNHzT}DlDiaeE`(BBIx{S|6?4xuHOR5htldK<~!8HYN%$k2Lh)uS}@l!&|}7EPO9ZV(O`;1M~V zdadicGgsRAWB1}cv^MLPhe$SI!comxF0UtxlDjUss=g`VvtO}{mdU>VQlG{s zicPXkw$D>0z4WoBg8%*rl2yjg}-91HIehfJC7Hli6? zM;_Arx2r)6UpFld8Ay|1^U%fBNy@d$8i+&S?U?6^t%B?Js+~+XO*ipA{|3T>3)rTk z=jPn(^bCfg*c#|0y+-d`GpFf^WKL=8=|AL5Gd=}3xHB?&CCVhNR1}eK+UR;VN%yjP z*~F03Y8);%=W0$!Jr+hI&7-DkU$IcV-6?h9V6|B?b>lEv)+j%PS}KM2Ky)?Oq;2@n zB0gRuG9uA;gYCnh+0P^i2{v3lg)yN8La|7QU(zTN#z~_aGp__RfqfS)G=_$LOX#C- zJD%yQIkiv=8O@?se7jCX-|jC^s}X%WM0@fCa;|yx_PUOkKHlGP-Qen)tfpwGlUjav zxKXq991`bM+p0Ba)x}=%LK{hFzRooGgFm{4uSh~jA-Ul=oSLV*8GAKJ+sy381GZf5 z(@+||2mRRR!2!M3N)K!rY+qwmEzj@lezhSqC39!{mr6o;k;=|Hrr=Yfua4fix-BNh zPZoc&{Q9DoFLx~R>3sZ-nqJ>I?(?tDq9gjeI#M=0ebh%Et?RgdcH)ocW`6YB{*TqP zf9A)ndyK}^W6IgAj;k?!vCMn%)v%hIh+%wBFL+QpXCADn{I5=Sz-b$sveQ6}zOG#! z28Eul-s+b&aCLHMgQ&S#gc<&cDWbXNRA{JKQ39rGH}dAMfA}ymZ~gqdKxLkql*jXw z^N*H)>zt-X9>TcUfB9MWbWgQhD4(>{GS%JET1^fP)|RQ%>x@;E$h$X6F9UOtG>A4CdH45T?frG)n6Hehwi3)pQVYg+h#%5)-xc$mT%68u z_94rIYa61v77O+0S}bYfMMA@*wQp5sz9AHfw$@SIzzz^q`+Mb>iuYmkw6Jt=C<(DF z2`OpWvP4S`Ar2)i^COmbdHVsz#Amnqa5!3QfMH?#+bmL8qpO?659+outfLy`rwC}u z!%NhJB$Rj+PyPq3*3l0X(;+t43sXLkh6ek~ypx@E{sR8L2(@l}?G2yU>0) z^E~itMO{F*-}-P5zZa@Qw^+-7-)eX4BJy|)JR$xvUIZSc9Jpt$JDL$!nfU8CS?CK^Kdo15CyN<~6pM9y_LEImThFXDc5J8{k0m|rVr_-U0VdHe=5md7_1G_5?u@=oy$ zlB31GT6x8qJp7atb`OgoK}kd9Aw{v(gq{*rMxp6?0_%9U2Fna}pVo$pi^N45!d$O9 zw9)xXb4q=nITmh4UuR2-^pn|xO{O)5*6x2;T7J=8Zc8-0t^WXmH z>+U|IdyIWl8@*`1bLY%uZ+O6q8DwWL$PFi4pGZshIrz{rw!$3a$Oz{QTVf-Q4^=mwI~o`=_eO9lt5fv&`K;%`eT} z&)>c5LZP+0Uvvj_w@~%`TjZV^!mr^1;eqA@6YDSY%u=c!AR#4_S63uH? zW`(C(E5&sDe*YI6`5>OZ(r5e!Tr4~Fwl@|bbW8uLnY;O0TU%N>;3Ml)>u76B2T4?B zOYD6+Sh}Z1V{)*xj>f(?zQ@u!HQLQRE!EH6&CT5{%-!AHAM?u1&p$2IpR7olyBl5% zcSSBVsX%04&PQ9fqN!WI(wa()LsEc7h4Ky7%QfCQUOr>?)*C1X-YSD5rNdnjn(J%O z_<~b4GJ=A>quZxIs|Dmw^K+x0eL0|7YqK!(Vq_OMPyjubwFvEgWh}A%BGBEYO?SU1 zVz{P9zAA&KYj+Jm+v}S^=*;rh7qBV(li#}irP!10cl^cpW7D8UvMAb=#E^=F5>tsd zK~yYJB(9T)ZEQ@7yqXt@B%k^6AL9o^`@IZm)xJY%1Puu<3w116LNCq0s1)uMv#XX_?{tTf!Gr_S6VLttSi9_q!u@#AgQ1iMSaiA z1Vjt>YCms(U+;T)Q11k zg-TI1f83YPbA%sBMM7bUIn{w`rI9Qs3Ki*MrF@Y)NnXH}X8@x$Y=M)MS7}`}w$Vj!3$f|aszI0qB ze^Bkic`7WbGossp>k~^rLe!zrpU=C#C$G;bPmg)?W=t>4#xGS7At!id+z7i~PTHMs zmJbQStk}{E35gzrQ8U47a^QQ+Gq4HP@53QAm&FrMe3uv{U@%&)MqgRJN`6Z_4)L^N zfll-v)ngn$MIM!6zoZxUx$}ny4WlS~@w29nC2M87{$777p7tNU3JyDK4kWxrrijst z){$8BJYB?CBZpzZWg79azm03k!MoWklFeiDxI8Y``pg4oP$(_ibH8cF;8yfa1ig4k zdX()3ai<~XKCX3}(P9SWA(PMU=L5+J=eJxtQ3f^@)tKd&RvoEa8LSkEL>2h|;FW+% zf!QPECZn24rtPx~6nx#*8l^}`9gnoo0T_xGP*mCHF`D@`O`5}>nL^bR?`NU0DQPiR z2X4*HdBe*PD4BU#-Y;?l->n*V_EF;VZR~j?Hdlz z)BB1zq?|}t9MqW3?~iYOkCg@>+|?Y4`h@D!85J zQf(iODDTGI_p%f-Cz5LyW?NhCEwX)jBN*Or!8!?+eNc5Lpy6jM+k5W9xpU?~kiQd$ zxVzsNbv$&L_csfj{u*7}J^NLtrvryrZ3SZD%nI+-Dg3;(^B)b5J<-3DGn@-&cId7= zg_E-Z>TO~Et+UA(wmGkYLpr0XQ<%m0^WTyv?iI7SF3c<~ov@6M4e(*Jxdgqi@cHSn z;CSo&Q?vNwi2|KY7v!KbWt4BCNB)2_@AJp)nj567E0VQ-AX=ji5kyaU$nmdlh2WIvkQ)mT~ZAT#hU zsQ&9^KJe-|Bq*DPqb+yl#hJt;`+z>WXEG4a`IJR+0_O6g$R-Dp6=jB5-Zlu|`&H}| zlEdpne)y4JF;IXZ5WFj={IsyDKlm^G_UnxontK_amqq5(IUKDsYiZKfF&txJ;4uaW z+x4JnaV6-_9;Ej#6q`%wjU(ER?+Ittx?(-C{RU>EeAsnpg)8P~!VL4PYn@0S;J|2hbV^ApTX{`kB33n8kkphhtD=C!ldz9VROL zZayTT$A0AARZ1TVLN7S}ga&VZ$LBTp5O=kxs1_6mMEvB+3Td@S89S>}nl%Xtl_>!! z%Gi|Z$ZDNP8me^n!N7eLJ|wwPp{Sx=m5LNaR%gA^v|(H&V-8b3aeM%A?x`otKdG^7 z*C9v?|DZ>X@XT6PxQ#YRZ3EaW#u?%G)SGmL(zA1@q--=yRwh8pG2?iN^WORPQ316q z+V2p!>8{}h8gt(S!1``jDijMd>gTLn{9wxFabcTp*4Hn-;jbkjc)cGhX0kU~^ngbO z?8ECQ_t1ixB?quv9yp}~S`QBY(e6+{0g=J2NDdf?-QlrKsgCZQrp=xk^FKb<|CkS_@xgfQWldqPf}FRVv$^?(h@%=i)O+jFP%Dl z6j&?@Wsxir{zYj6KAL@v&E6LjMTLla9XeS79MY)UfyJk*dx6iPRhq&zC~*VYVq3sC z;7F3UcoPTapIkZ|i^w+gg}iB^8mZe*KTQ#JIHLU;0FsY_+|PWiMh$jgJ2}BTq{*i! zhaO(T9whRlHrDv-0m{dZA~PP(hnPivX2++{XCCt9?}QArR)o~+sA2_mX8nfUR@fww zsp3?!plDfK7WYvn9L`{S`^sk(QXvA|v|=e2lB>R7*S4X}qha|wZ>ifhBqsWQy;e6q z&+_QL;fqW+H*VhBbO7FXq6161s8^SsJfrLK_u&xeys>VM{2e`cwXsd4aY*Y*&FY$9 z7logT9p(R@+kiqkV?>E2;7RtCCD~~;r7D#<+JVo*q;Q+l`Ef`(2>^>;5}OSy7Qs}m zOuIDL-Y&_-PUhlbSCxMM@4I`YeU1{#X|tEh?FNTb37PB21o@uG8`toIj#{07?H!}O z$^-o^9=4L|BjWwHp+tmk`xclIMqcOHVB?}V@F2}U?`z8vXp*|_yp{_k;gh8-meE+0 zJiwm=5V`>{D+ORgXat2r%uQ>1Y~_rnZI2Y0+&-px#V4QMNROGdWW}&gQiEfH51w7{ z{kg)dJLtHOu417`aPgLh9eIvcbmT0gGapi}a>ot>m?tD4Av!uGCCp!GRc#(n9qM0Q zBC4~sb|%a$-2=IVX?%u_wg~qZ8c!HxV7mWOJZXyDq?kl zx*l;a<8VK1=|>8)sh^I>lX3vuMPFLDyPE{}w90VLbx(Isw{RpmY--JsbP$rU!;VZV zCS#Sc(pZsFn&K1j!C@!j)Vi@QOh!p}`Aq~DOHjg+qcAsd$L z{bd7MzV5I-n%yqVNdg=4U~zC;+q%Te4^>Uk%QM%hH(W2j!g}^7%I>6h`wf#;;w^uK z24FQe3?N5oN#U?fjg}+h(ay+1Q>cMlH?LQv9DcRoSh=c z#ReN*U`*(t6A5yg-gG>Ly1Xe>0q~}ANSG4cst~V-L&lzQ+n&~QNS`r7ChYIidw;s? z%Qzf@agozJZQ58q)+b!hAmEX(2(3wxiUfS6E=b201n?_2I|GJ?F$m677Lstp15E$N z%WKG({r#p-5JTgdVpui#PCEqu1s^&o0G#-Nz_#UqDL+LwNE*!%d`=E;q|vqpTdonEc;^N11t1Q^c;toQ=j$e(~oK% zefN8K4T+!KSuVrf34Oe#dGzTw9d{UW4O@8GN#>QYl|hDyQbR$3K$mR@OaN0$yoAiy zW(3QO4Yp1H2{z=B9PS&(A$}9AHzAiPEz;5luPN3!ce+?Z3&5<``82)1y;F)zx8F?tESpSnU6aY*x@;6=m{M=QK0-+5@RP;lnY? zWlf8tY0q~NI*!ehdr`c#O3M*VG^*-Hei(I%+V~|*yGs8Hy)W5*3H?1gw{#J`aVofW z{#~Yg5Vxkar#7~0zx1xa1}rd`*dMFkXsAcD)x@-xi7d#rqy5-@52B-tANyv)%A>bt z&zFZ>oJhLvX>fSc@f2ou*hKg0`2T}`*nN9F{gBM|^5Vm}+m@-Qw?G^xOSz1!ieJQ` z6w771+wbZnD*ahB9->eyb+DD0*%KEMQ%BP7SlyJt9AV?A(mq#ht%8w^BE5XoqMJ9iEZtmv?k#ay<6QC zh`?J|-&CVKXZ;Ck2YOT*4^wFSn5wGAW=lP7u_PvDB6TLVmlxp)B1o4s5;z{i;0dCR zwoyIQXnX*d@!KRK51!P8v6rXp`3xW-9<&4Sye@TstX1&Gw*L=s$byv!J%#gI9GcJ~ zjkB|}ehEtN^;;ZH$!yAsx3$gK-TVR$6VWxZ<4}WDD>c$p&pbGG01J}#_yalLl4F*S z^=%4=Q)}#UZApj6!SC-}*q`u$(TA(pSY+gpTiYLTAs9o#$lRZ z{gn@VpvU$cJUtup z!&}c?+|fGO_b62t0IRf^p?iN06F>57{%X*md{5lo-5NkJILt(SZGzm-Q3gL6`jdps z#j1!2ZwYEyOm>4SYhuIY(>@g#A5FLtF`(fvIXJO9#`T=$k0BQTU3yE_s=4(Dq4OhuUrzSv<|8 zF7wn%`!o|japqCqGN%575%M7Ds0)tQQ=gB~j))9%f4==()4tcKv3Xmxci;&rx%@xW z@UCZH+HsB^=+^(S2XOUvNFI*Oi4dp%0vyt=;RR?8m4^VY;>RY92`ziKVLQdEM5EUZ zn1srE{1%608}`QQwa$(B+EKLn1CMB>5G@_BttS&l(`|i5n(a$bDA{CVuyYPU>=FQc zHyZ1QlcXA7-?~W{hap>hL^|M|YwCYj(3;j=9R}s&h6eQCFLR~ z=nOUch6sX4+hMK=TSEbf#SXoo6S7>?$;FXezJTw+bp4b?x z@6m4CdGAkGVBByPci6Q6RnhKys*VVnyl_ z^PUxrIh(Zi2byFJsBzyKQ}Zk<1D?+h3lf-oV$ANrJMi)Hw_BV4xV5$6M(fg=#{9{i`Kciv53M*KnB?&cK(kQwUw}g{HPPd@L{l#0 ze;*opp638=Thg)-TC;cj_YV=W^8PIjL5UVlo;(E2;4pm1f_(IFa;s^VPG66aEEny&rxk14GN!Wo7r`wj6Vy)i9q!c&byVjI< z09&9WQz%1nu@!WAI>9|rJE-~NW8vYoQILPz38-`f4x7UwdEJH{>HQU_v2Z_#f6T_3 z)*WYHg2*h$JytD}7S_7^-hD{xZ~Ev6Mr5;C2O6%PS^8AO@PipLaKf6H&m~OhEH-BNQF&%jhR3sUdGkFfS3I>Ny=(S*M4HMI1>r3PVEfV^d zOtF$=T|J!=bb4)(WuTcZ(q5(w#)|^}3-}PzU~a&5<`PwRM*hGc(s6 z_MO;4{ajmTlXQvsoVM*75)}JQ)XB8f~3B4 zjPjb+?{Wt9spVoEDr$5$t|godY)YNI^@Q$8UAgU@fy(uf0|w?=zmV8%afQL4Ix#V^ zEfdXUaG2&pw%GEN)ZR2k1zE1FD%*j52x3`;n{T$Ogi@Kul-golRR2ja@kxOhZt=ZX!pyapJcH~ zp0O~FN_)ko#ii-d&o5jR{qfXd;9%P3VqPPN?)|C+#GSm=@@@aqVcf!7hw_&8&$G$O zus1h1FUu&4v9`u&OE*s$DvFFusjfaSd{(f1nZ0@Nfu4bL%QEJgZ;A{{DM_iWFgy$P z%{9r*vdtb?O38GB%Q3>oWh18bzUUie-p#6=@>J!V^%WJ>m8Ost19lb4TMItCnG#)a zP5vXzVX1*F43}BS=+HCrEVb{v_MvRf3o^aD0ppOW9U$m<%Er#e4&|&BLFA&-iw+$< ze=&yKS^!m7^~aaYYS?t~(4o&ZpArI#^WR`EXPwRe_yTTw|2nDHYsuv=#am;|&3l%W z1zPM}Depo*6hn@~CAd^sCW~ZurS1K5G~A62jERW}wl-fifLOhy z$1Bk~89a2=&Z3>!*$Gb!sYN??rWQ!Ai0MirIrSkHC*eOkg~CpfB%%IfGC5UpNtIv9 zWl0K!4RtKZkM1p(C#g!N>3mj4z1Xx;P4m0Y9J<(wvQDh>lx7k>)Q` zwm%7nn{ed??(^0#33_tjGclNtr2Ky zFRBuk!{PB*ERHGLl-mUkzv~y2-tki+*t_PH_>d&+2hcBhw@tv$^hTyk+UfFKR*cfp z5N)Yjl@@8@QYiP0bTSWf*Hl-{wI*_cMj2KS@#ZA)`~*|JWvBdfW9d#9T0wnhYeu$y z{FP^Z?I9mfh${gaIaT+3?S#;r(g1ANx&X@W2$d7tG&#H;1Pry(p*2H zNcdgK3d^Gun2=U zSmqC8jwI0z8H?}_7XxVT$@|i`&gprA{$6jQ$z$>ytS5z4V}Z?BABNU%{MyAqdOB3P zz$uh3FaskFsajRLRGRI&ycmji!nd*gl^Y$p(OEFVug z=hgh!?Q$8L*A`w>F^5|exO8DJ_xOaGu^Aa1ew%!hIDOu->x+l?E~&r%`Mep!1gqk> zVAIPvQWP1>R`^$hhT>~Z8jaysM4z8vTrMT!yG?Vzd=u5w6+2zGJgDZ57fULXZ(jAz4uR>{^OY3L&z<_7!2<> z1MYfF_nPK0y^j~wXYgvuuEyGCB3dL(3HXK%%-5jV{jc7NcF(`JH=Dcq=#tQ~|3JRY zAB#f*>i55|uUfYM<}0L@zn~xbfppvV!lKAM$c?b+LN5RH;mx4z#ZxyFyp(TqYW+7k zPnmeiojYLvm0!%5P33dBIp0<1&h5p-m&c)ZV^>uyKvw}mwnqVME$*?{3HTMz?HCCt zA5LHM2f2<;OGOV=2CgxPOqbve+OBxNkJI61Ejp8mqyvz92wESBipGzpa5x6zu$7J@ z6HL9XsnV1fF}Y??K*as|EFOz=TwODA{YPk6gu@hWwhhnL>t%-88__GWzEXf&Wu^44 zl-jD)l|=Fdy6k<@=$mL@3SEstW!cp4{wHJGBqGKGTeJubD`$pE^qB#}J2J#@`}UNY z{)KV4$wglE38EC!0n2yo!`&-mL9u#%EU2zG{mF5N_4lX?D;5sF+xO=M@$edTqi}v( z6+FJ}uaRr+Z25w4=_2oI_eE!wJpL@2{Q?fv=$=zO^he7xF%E}rO7px$n4Ye|TsMF9 zK`Jqo!XfD~wNfJ241f4HGYHx34Y1V4;n74GAJlD0Azn_w!%-%-7JgJje~&lTW&WTs z+9^g2KL4TQ-{O#2n$)K$pObcGU;5RXuH$p5LK?e<;JF+7qR)A*{aI4OfdjQ;ruSn~ zS?M;qZ%ui6tRacEw#9M%-wS~xO*&vX9lI3%qmJb<+KKlOvq zzK*ZQhmKAjI~2D1xU5rcrCr3A??23u$H)6xY~TA54j;#FGzp>OXu9eP_s*S4Bgt{C zJ}i>;v@wlK^C7wAq$IaAPF{=j5c04X;Gheb?8v)GaJfWx`XK}d*5y`P_?6fR%7jVM zgsM78ERz($Nk|FvAL>1MgW@eOr!U<)aJU1Vz&LDafnSHnCwvH}8@&s|!i0W6=Rlb8 zNqG&6OUx&#&)Z_Q70&@E_ClZgcwe&&GLa-D+_*7t)27YFwsrIU7=r0- z(cfGiRd#bvOlF`P!VZdCx$V3DY8qsj>)NOp5#*y&?N2g&G?iC}5o%0UJh|GK6pOo2 z(@yi@@wV`NSd$6}1301oV&$!0o8Ek#)E|pOm^A_0qcia=TU}DT9uCv8(M?snHeP%W z1$+NiKdk%GJu9m;D|d`ryZ`VplW%j{@iV79O3hJAxB3ID#s4tiW6B!Yd;u?oNecmf z8K8={jkkM*wD8UvZxXKAknmtkF>t!8Z6MiTe|ORxp<(El=v4P3PXz&!`-l^m&OSqi z?cL+1J+wjoX`iaY$F!&>8S&R5>vz!U6trr53;Z-h+;;)wy_0)x=7oOWI{?FnEZH*x zziTe6qEfS+sCbGOYWwKSU+V|9 zR}rs+!`pslUtG|a#{0VeyiL)Cof3*lG`_Z~P_&ETLjv<5U6Xrl>ur0ceph!FFX*ts zs(7ph#)z!|Je5oy>(&GU2Rb}gAqvDDEc)8xAO4D3@)2f_{U9%jD?LaK%iN%DY4b{u= z4O8}PDj;N7PXGrV3F$KpAg(9CaX*^cTDcYsPS{RaB-Y4fGX0%&O`J@=>D=zVy}OqbumDdFk%ad8?L5(lInHn%!q;!3$WFlh}Ld*+le(n^E;c@(GT6W`N8?`qP3-xlrKML+x=E9XQ;z-HF3GCQGI*9jVDT6vwVBv zp=NaB1j=mNu${m4Gp=1oPGDY-`MWd+8hpf_JxQ1}58#s@N0e%0q93Naz@sf#Kb+$N zq3b6$Mgglk{g4FnxrYp8a;x*!2Hz##>9V--Vm<@fi0K8)%yHXwMOG)Zv3=BBI@S)c z%OdC_rU9hnaS}MCy5b*$Yd}#CQ2HI3amI5gH=!8fRs=DpCDZpf&^q0dfVoTQbN%=C zUf8?$^y$+*`aGli@V#Nxi{!Z>HpAY$tSmLgJVzK>A(9T*z5CLTOP4MU**|UC-|h;+ zgkh;W88dN<{b6gCbZYF{<2suBBPLyL-T&UO(irl?#TS z_+)R()~^SezLs3x?gZA;r`FcEW%kPezb@?MC1}~nr=Oy+oOZuW2wGEDog%D=jZ6`h zl%!Ob_$RcCJD~*Lz|*G>0B7I5qa%P#;gBdlykSTG@C}(I0FQP@Ws90OCqIzG9U-u~ zr|iJOz8-xq4SUbdkUwVLglQ}0X(lrEvV&IvP@!VXFOi7|WQFzA9pL_)N`A{n;o&=eJ=-{_wsp|Ep5cMml2IH* zTSN*;l0@N`j%qC(($dl#MvdxGT;*a{rLa+H#5NMKTx?T<7=uNPj`Z>Bx0^0<*)Sv! z2o~*U<5FHu-=aTzJOy)r@Pmu)qaJ^)?6x?#YaDLD8lB6Mv1nO{76_-`;*iTWKZnpV zWf~J-<`8)AVO5estibl9N+wZ>lQcf`5SWZ-Y89|KEI2ZqGUBgHKwBCV_>zNe7iU?; zm#cVqs1E}Kk+O4)) zC05&Zp><3SDOxp%Bz={qU*TcP!&H+)JlM-k>*1Ac`8XwMVSwn47I>*DhkL zUeuaeg!3j&95c_RZ&?2vZ(;GFnUnjaFW&il<5w|$lD6F&KltFQ5&BFD^(0NZ;|Uo% z=cRvEK1w@omUEn%_DJoK&RIm-*s@q_)W_t^tfhPZv1s(oFIJCPF@D9Oi7Qr5Txsz| zFwRO6nE8Qb!-B-Tw_P3%fF6fZIgX7G`+K@Ma~Z%DAdDG#h?=;X`PG+S-o+Z+ zI3O56;CGWy_}CfWWDBG4FSBVe_ z5@LW3R?^A)Ir>!Z(PI9?KDe$f&C;C974RXY-Cmfgr-uZVb)YOnM^C4jUWqNhyj)s( z8|OGa8j*TeH`QA%PfuUpN#CB5A;jVLl}3OQ)(9l*1x$9uDSI>n+vGg^ubB(4f)G4n zSy`zB3jz(R`t|R=C~`=RnWg!nrMWVGWVl+J5jYj00SPP77p_vXn%a~-b)j3Mo8$JW z+1sa@`(ip1o5SI=Bz%g;(Jg-4aoE@V7R??~+~#BHgws|?Wu;YEx~J8S#TClbA8^>y zn7kfZPWtwnRX-FKZoQx8i(3=;Sw>Y*ZLM`tBHIez`Ct_!s74lc)*|eVEHU z)i)`PdEmGqSHOi4t@YzAZmzg#F=L$5R})u^UQkHC%SU}eWn$+57ahG2-mQS80Tc8P4oM_@DK_$u_wH@o-uML*d-2G#pDo93MqPU^rG&8%E@sm> zjCeJC>+-A2*S9)EYzDY^hbKsza$b{wQ2T%2+cWmk@9$PdejG9Y?wX5N->ZMFASeI3 zc*NVzx9My7^iI`zuxRhsK-uBLw-s&r`O zUtt^uyMkzZBhy{W#Q@9N(4{M$foW2`tFzsiOq|G@>fMLKFPQ|h z^~R z;W_Ah>|y+F{l!_P9OnZutKRzfVQ*`vuhyvSFH>y7`N_0v=dUfr&F+VQY16z1uEgLt z)TD27-zeCK{J%__wp7`!+3gFsW~s^wV_JE`o~KWr?zv?Y{LBtrbS+tj+{S-LH2c`mx$GVl8_qO{fRL>9a zMXhg^8htn<|Jau^fGy47u;l2G7~n3a_^DyTi9JW;p2Jgc=J8)}aA5dKtd6-v^M4GY996m51cVP$v9rR$|qde@Ch=v&frMEMt{BOJ~F@c%Lw;n25c9wt1V zbDUoBInD>t&w^vsM75;=lD5SxHurhRThIFp)q zu*2eTOE8D*#oU&-mOu*6GS=;ga-^t-!Pj$Zlhh7IrHwqe1eD#4oi)Z?i80;7`r zv}bF~(-OHZ%&az7?KnI$Tr{_(s;0}yw!rn%;`#OiPnp?~o#|Nao;`X(%0y+VePy9Q zRwn}+=KG_Cbg20apAL(d(;lBP=OjZ=4`BN}rd{p{2mUF&Oklm`IHV|ss7#R*F@(BN zP@3384`J_B{sF^3H2mx`vaNRO_wZmzm`0x!rMkI^W!BX)nOn7Wh`%!s9N-%8ZME3I zHqZhsBxfnL1B<(=pfnYPzZevpFIyXgqZ5B74>28d5CKj2^4OvI9UZ>OHmc*WB-9~4 zKUh=3Z{WfFz-i2`|GfJ^Zyt}qp}CnN;=3(ASC4Hud(7vo4{E>udhA<~b^bxOMRWqa z!H6FsGcR^isPlVp7%b>)b`gE^o0riCU!@$`E=^k%(m#4O(#y&e@{^;5N*YeJL$oQ7 zS|tc)+!Bg}Wc$x>RrTs6K3%`jIPpBk@jk$)Se_;YP16kuo`|x7hUNQ=ZVdCING)-W2?oA1r}cj7B+zC*1(`2 zo@Rm0lUuoExbPhU+Cg*!YQ<#iM)m1x;1G*Ti2mHN81ydNA8NKR8#`b1sD_o&U$$W( z`|yI;+pcYH*WM*h57nI<`_%5~Z!}{ccGSQHI$cRlm8bVGxMrwB(0x~HnM~%QKz%fW z(Akvq;LsuR@LgZSr~9gmz8kCEvFf;EiphZE0%n%y(`Q^ekR)-*Zt~n0Fs&=qpM_@3 zrf`W2mJY#LI^~JwqCowJp|Zr*a#3Pd-CjrUeL-5M?mO*OXgwVplJ~ajWi(A!Jr>?K z;|i^z;gCZGX`5+lYsbVCo5COEp(8zAR$iTYr-%2&r2tv|c-z7^~cs>j(|M5f?P#E@<>NXALb9i4p;a zD^|!93b8F)WgOaCBgL#pUPJ`5hj49v&`ghUKF$GbF23 z3rLnp>)RebM9Nk8i$7DgS=MR)#_q`jaYzwfbzvbLoqBRJ5*Zwv&_l9;;1FCkhr?y_*d&WburSXmt0UDq1P7aYo12?uD(cPi2iNxw zf4FGI3}@9BB=W=Yi^ebdCYmkaLS{ilSVm=h{Pbrp>gHrrKHK~|y&EGfQYYWP`CgiT zS}+SjR)M{hWBta@YpWcS50*GsRn$#SMTWD4Ne>mB@%M5s*>PkMBQ%4HYn1-7S9|IJ z!Hp)H*!k|MM}+Ugu;-7+{GV==U<($cHK(!a_|AaL+)s7h-PRN>-pK|@+v zT18r2or{%K6*3KV-}bsuiZWhzJrU12V!_!V)JZ9u?+siTw>qr4=Qhb>BQb=87lT8e z7*TmpqV9+1`}v1bsWgH9z5P*S0NpK3PcXl81|&zl;7A^@B)PfGpQ!p{UKOOVMhZ8L( z%?@PgrVzekMqj?YWroEEPVv@1PaHGpM-yez7ahxa<5!Fy6OnypwbE_Rv){;EShZWf z{H^WMecD|@CnvQFPZX(7^Leb| znN=AEp3yBxG)igz2X_Hd9>5y3j84e%Zqmm^exKae%D)FE+W^XhN0HWHlZ3LmlQCc; zJUYVSD=U-kJ__F+A66MQC+4*GrdPOt+0M8MljToxkUx|fs4!4Hj%_OwTMMg&1fPi? zE@cuOZ4>IPANTkvST83F$M?`?8XdhWLwmE$2~90R>@TmZQPv_OEwhq21$X-6*zYhG zf54$^TR_P)(aZvl-cwyD(!&L41W2B~GA;Qle@iisZeAYpbl!R#Qs6h<7VXlV-*n3_ zhYSse6cxFLsfwIX-g8?keA>M>mmYb@KR0n^gjvN(T5SLh6XXeU&vEpeSR8iCv6?wS z&^S(CI0^aG#h*6Z{mu<3U>56O*J?be5AshqyuTIzjHKCsdBLJe#5LY6-mFHh+1-nPEr52ug$ z3MSn2O2o-`eR@J?`WL3G1}9fgE$O!#u-7vr*#VYlQ z-kj-SEn$nrugsai8eTUBhfZJB*sYV3!k&fAF*`@z|roI&D3IKmrKS4yAv+bN|zK=v!LYcLG47JBcDDZs2#SLtKc!$2OQ39IYs zU{)nactgtTQ3NNlehE97eJ`n8i9>$uquTgKH=1$W<}z@2T09n)U`a?M54*78tx0bh z$aBElDAjP7f=Lu?+qFMAmq3K>Eu3P#8u@kQWb8%cv`%q)ojjzXPwsm%ZJM3#E6SH( z6I`yDCMn_kK`(3XwOiV@FV~i3&W8&lYZ6;Ut<~k#3b!DUs8x8nH^0n{t~{{Uv&}Bx ze8AFcO9OsC@WT(e1~954#7!ucg`~#Mo_2kN+miq6+j~(c7K#_29QC|~$e1u|Nomy9 zTlGhmsjoeC&ogyElE_*<7TI~sNZ5G7Xgf$Gwdc`Fd6+)k{yn+;B1o>|YCY7})0fN8 zQkHD*M7kjih64@>2tnR1LWHaN5OGM7`Cas!j5YM$L|N}m)=2;QRTzhhj25OjHkg~6 zJ5*Vz86&-n?7m|9HjnCWYz|MQAyQ!G8NxjhOcB$swUcJ$x9EiK^kZdb=S@prFO}|3 zY`S~pHS(|z=j5w;xg^>A!81n(BkFY(FMM-8F{-Z0A=q88Fb!!_-(>gjy17b!xm}Rf zkYDhi?a9*S%;Z~b*D6yo^V);WUCtybd0cvJZXmcf@);M_IpBHU5(7I%Z=Mc_C%A70 zD5w$5LQ6Dtz^FpjUxS{k7RkXZYc}SHgWoP?tzM^L96Eas(4#-n^7Y6=*=ARb6np-= zG?JHNY=?!P0x7dS0GTS$o(XS`AHE#NW+hj?0AE2rH%j6SfECXNo?vVo5L~!ng1|^U zc)#L(uPO(?wGAGnI0#UNE%KGV z07lza(oF51Ke8=`LEOgl{7=g;k3cZd=gl;|m*d#1;LVl(rv#;?iEc~+n6q~FxD0JA zw4M$0mibJ)51C(FkTub5xW8&V*guYu1?rk{<-kXpsM;viJ-@v;B_$=exXQ6TCBHbu zG0AG$$7Ah!TASV2v0rMga-(qk5Dd>U@-bzwtXGicV0V>q?2pvh0xkuP;d%i(DD90! zqgoC3H3fBi{t^!30Gi{(=+o{M`;&Lj6(nI2;D7s`5unpkq=)_T9oH9MZ*=g*ATvC1 zt9j3h0XWo4zc#2rQgE1-cgyKd*c!-L@1Bmvt2Ql1KF^;7GW)W!e5%k5hC%!gKy$eb z&YMm4^cp`jKLxosd3%{%^DOU8mxiSMZt-p-W(zC_4i)%(%;m9RS`<{%d;bR<_Ol%+ zVleu1a@ZSjNU~rl=7G`EF|R_JAa653BbMp1z&L)l6rnJkmUe4n#^!Ly zr(AYLn&hEpwC?hu;*f}(%aB3WCMvg-jQx6CsjdWh#th%)bk2-Rc9ZCFT*8R<{2y?L zg(`(h>o~}0f|}6zetshgH2pL)+ch-`oL3b)Ih=YP|EQ-G)z;SjuC_{n#i&1&SnS-t zD`(eWEK%;@R)NFqT|0Mm-ZW+8VKCBv865~%WzE%Lz=FF<`>+Rx1qg>D2#W95*81{0 zTo;={)T3E#t71-SQ%En*|76F#ne6X~VC>A;qzN4>{>q zQbAvyo{*kE|2-9lnn?TWG|opQARvB=)1AWrhot>KYD>iXPD#M5aM=+3Wh!|Oav2g3 z4uwjVcA)>9+=m$v6jUI2sJrbuXQ61;Qu;2~52ZeZ0{akCU}>RCTb$tH0l{!QdrFs` z=evAz;^D*kjEBuHVo${0+p1`Gdi;u?a#zExM;`8Y)|~X>ncHBHBdEdd%AO@nPnv#& zFTwKA+yRKi$w5NkA2rJj0e0*_y?mrjM|2`0Yf5TRSJulDU}^G!l}V^Qn|=Xp7#cU< z5Su)Fu{sIHZq=p)L@jeO#>~TB1GNW-RR1n3he$#La+p8l!U$T9>_qu*MvM@(lA?9* zrlp=FCRuf zFGQ{7ZrSu6CT^oD4hc#W*3{%`k2Ibv6DfDs7_?#hRE9AQVY5gT^^)r z?y+8X$9n$kejHK|9=pByp^j+o^vNe)Au3T?$l1^Wj=i3-gd$rFY-|h(;F;+~AWs-Q z&(&qym?HeRY6#U>18}ItBEf`hYhuFT*x0yTuDtgKDrT}NjlS8Ee&T3h?Oj(}q zKG7qFYw#!e6fnG;vnyw3PJ!y|Jcn&NciqleZOX!WS@G<_98EBE-<&ZEO76_r{>cCw zvLzSuSfSX*^~WW*&v=oUF059FQWTfWxE#5#s_%q_l}-rX&g{u-ftei+z?)IdVv zkZ?$WyO+O}m6eynLIv}u)@QQjTTt~>iNoym?SU87M1DS(hE_L9OhC>EKj;yx3%CPD zH5XfKVO2dqpth?9fc_)htW(Hxm+xA*U2_`F{&tF9AIByn*_D-*Nl9+1^Bjb8T1s1r z8Vz_Dhg83^WI%COnO|lat7ktr@H$F3y6t%K)P@TbOc4PkMq77F2q%-SeV|9$EJgs% z1xUF?^y9Gh{^>$XfWHMxQ|Rza<6-lsL?i{*Wb3P5c)5C_}*^8)6Bx z-lKLnK8z`4?>_nBcW20)iMQMEj1Yq3voH?jav$xPt|xkM_}aW4VK5~lsb$WbR7zza zmN&N~J$shTQ{s?~GaD3vS-1p;4G;SL6sF*lWI}#Sh0oxvYR$4pPjzSt0?N@T+U*Bx zc%D^Lw}fLq8AzK;@!R*z8i2z&nI@TCAu!n%xi>MRBI_7rcbk4%Li`XK;mPoAfc3@I zH5W9zKTL2(IP8fZ?qY-tUQP1#GmOQHJ2HNWN8%i!Yw1N~E@;jK96S8(SwXHKNU4`U zQrycbQtIUK34iVgTYWKO#OYq^qw)4ngMnCr|>yXT%n!=Mo0PTlY!#fz1L)k>_@yFA##Mn zBxGejY=t6Mg2Umj%at%ir4&JaOz#(=5l|df4;P6;aVW^xLeraJ*x-<98;c}bwptR_ z8*9G$aEP{fvk9bZwYQFaNWv`7rh{{>0j4g5Q9sR#14yH1Al&RuUylqqX>_5e%yQY>f@_dM4P?O(djSYuu&g5@RU*>w)~g!(8Yk_+C)Veo0b@>8=6L$Mn$O` zOs9AARaG>&iUC~m!T6}w$R{Ea;{EPEU;*c^2#2w*Ue8e%<6EagFustKOO@_ra0u(f z)=zDjL;CEL7JE4#UxOs5Q+l>s};glg)q>* z+3D=7aT|RZlh^wn>_e`jEfiR~Sh-kP`U}k6tLnVc(gb@{$0085M)u#SJL@)s-{)=s zr3dR4o?%0M>6)W1P?@V#+fVY59fCxCO#;@-lf54ujlTF7Bec$I8cVyd^&Jkn8|S6rOQ!Hn3< z$*U*WV#WmZlDwrjy*Gvl4kygD#GU>U1 z>jEq;mKJz;L>7kzr-U~wqwSPD2l^MMM{BbQC{rgOm&bv7eTo?y;>rr@vSXc{yK;7Q z=j>X)et&1q{w_Y7R7wCC+%wg?Q&4c>f}e(lpT7Qukt5^u{n{Udpso^gk_eQwimL++ z2$h#YOQr~{7Q2yJ0xpbDOkf%a$Y-GtQ&abk@ZAFoNMoTmRR za4j)5>_Y)Tu{r(z(J;Yb{y%c->kow)yb*_eacp!HADIBe>RQKJ0&GtPm>t9TA4-O^ z3q3}dkrLXGJU=-<U=f?QJqPH0iEqz@o$WC)>kMVe;;eS2rl zww*aUJ9l<>?z~N3Htp$z72RMXRQL4=&71E#vpvPp($PKKr9CAt*$_Ap9$pl0N@dH7 zund{%dO0v7V|vDPCkfAy3m0V9kW-oZ)K}AV_iq_R&6CS*)S2J}c3TE;Ifn-hNwCUYS9Yk#;Eg@|!0N-$LT+G-x4b5KeMAFt>LiJ)R)W;yqsN zs$OEeEIP_Ks-(m)dhdR9mGoeAtO%yKo96Dl*drwi(K;DU>dsleZC8QnYtfOj4Y}A0 zOqn_<1;gWz+X<@Nk1>UIL%<=LS$n-wh#tIX$^0Iz=cp_o9q(_XEFhh#fpK`zTUqAS zl`>LUm!}&lV)(CgM6mMvDsUwZZazMbuYTff#8Bo2sITFHL(0@Z%hr}3t-4-DikXN~ z=!GEUy7G|PT&7dQBiQ}VyU^$ONQxQR7%oGGHsMcu0&>QEY1-4!{!v%-jn#&(23@5_ zr%v>}nVnI)xc1<|krW*N0&8c9jj1V<-YVrYCgMo{@<;_;yZfN3{>WNRM%3m_%&OLFNTCLZ@6x z)0@tFP}D#I?=aEJzZ{-qF>(BC8Y7RT)?%P=JWhKZyRQp7&?+Mm^W}n{yxN;L|{h42HI(KZ+;u3%- zoo~h=<03)WRRvJaJCK~fxJ2^8pUadyOFqw3eJOnFmBy$9<50Yh8PraC=}8LKKs!odOW~K zs{yP>C%p5^YWi1Sq`o&91K6sYMn%2ORYjvf(t&`Yt0LeyZwDnRIl%TO8Co-MQDZMO zlxL?GCxr5^{`ye_-?}di(4z>v$WQSL{N(XRR|?NvU$D+)_3^{!3Xd^yn*r(1UJWoX zBTDtl!&-(0LO+V}$Mwhb0v(4Ba88o8a9Q>nQ^?#j0?We~r)}vNuzQL}F=YVTMs-wg zVia_QfWbXF=zHkybh$JE_e-Zc=?|ijBR%Zo;l?=MmR+BuxJ zI7&pm^$w%3GA$#HWQs^=GPELPg|o>zOu02>l2YPJYDc9`o7+~)C6v*WOS#lY_uu>d zIc|NwwafRh|KqVo`+t)2_Bo%+`}6)h->=*I^&;yXQdG!KRwQ0bU}KUW$r~iaqfD|S zB15-Xm}jzX`=KlSh*Ea);G=I@XAss9Z=q*z-%w#(XSEG|ttiI;85s>7BQ8r(&5Xs8 zrpJuIk_Q!|2$0q?lOJ8;z!$NPPhM4?bJ0Cs*N#6@&{4lDIB@ZZSUfWFMXT43?)BOA zEv3pr!l839$}SkGU!E^pyefxZe7q^K`h)t8u6ZNM-X^2hx{ARiB?3fU?}*F&j}@X< zgv07j>R(@d{HNcpPx&Jp_U_1cs`9V04?6lBhe7D9Moh#ltd?>KhfLxpV-}egkvHr| zA4@pQS#PvcS6gizY69B=EDs-Hc|x!Dzf=n{+3PbQ-jlVyfz&z(FuRI^{VKuIOtL7u z>25DiS|ftVW=nf1O7To&yiDY^~ju7$mcg7w}y1`gK@GI}tF>OHs6VLic+b%N0fw*Vi* z89j}rGyD|Pi@sO&j-;=}VnE1VM5;xJluSV@;FaWX-`XlR&x^AZJ2K5JsjH!nbNL*zGNROaFxPeyF976fMYT2sH;0MSQY;!mim4|I3bn{oo z#l(=ol2W5&ur)dVmwjhi;r!PRjc;p$G`1MP@bWzWA@|@P7iqoGXUe~s$hTU+pMNm* znn&u?v)AC8g?^uIgyG7q%idVMYKcT|%h}0e`AC6UKNCbo8a)8|@wk7B{9}}koM*y` zI#TU)59@S^ISKjeO~Bb4OhQ=`^3dDsh&q58g&S>Ag#$9i#dH--xFIxThM@d&d z{bcao4Pv{aZ&fpTN4V03IZY*`T5et#7BF)XN zeKk2enkV`EQ#tJ2TVt51)TEI7JcqgHtZNMS=@^Gn(&U+>m@@8|!`ovVrZx-wwKJVo zpenGeGy6cqQX@{st*ENMwJg9<$vthYtpW0%WS9T~b3lL3}nRIVeVuZzucHtt0I zgQ)HqQm{q47a+H7C*g~hp8EIT&RnOo%BSaRB~K`qq)0=i8A@_(Fv@CYFF+~!s3))a zlVg!oMkzjQYcxqYkPMvLek}hk0DD+&!v6Hu`e~-y?b#P+Z!&nTZ9&4*HBOdKKOfAR zzb4N+c=5`Ctb~QVw7*D~`pU9X9z*`!L~ma_EazLDl2+I}II8x1bqt6?2G9`bQG`@M-L0 zD@kOnRTgZHVQb)OgC;dHi9^Pzw|yL|{p?ykRvv|^q>0LcK_)C(l{fKCAmcLuPk18%7ND2Eu|{I*|awLgmg zCcVac?mpWkh3AaIyRV<05#M(GU%BN+hulQ;@}f=54$xaqOsBY1a;hI{az!&=-9Ga30;gb>>a9 zQG_|13oPO<7YtX#>~qe0EkwT|KHj_`(FSA;)>%3pH2~&h4k_j0i|1l(`PqXQL1G_1 zB%>b#AnHBe-QFhkD}5mOSm)(Iz7Q+4m`4drrt}!QE8>j)@s^hOdq*MXhiHp4 zN*vlv{V-4X!Dgs@1e07)I{y%ljMox5@RMb4WrD>`NJsyWt-#YZUQXWwDA&My#KU4T zSu6^|Tk+@^Fsn+&<}xPKzECppZYs6XpC7Avze_jX3gg|C#IbD%hqd=|NmVlHJCR9$ z=2nIKbA7+cjIgFectF5*5 z`fd0P4qZXMT|P20P-m@hlr1bkuE#kodR;5|s3|J6N4|TIavn+xLkTDEP+u-HUG^Ou z>?5m-&v8hCJ`Md9CqrC^pH{3LfP3iE+Cr_&p+vtQu=sPl8!rJQLd4^GM02^VHw>@; zB4Uepc;jFW16;`RG&XE?ed+Sg&jgkqq1>P#^ja8i7Yf26KFfyq%e4!L4-$kPu-2`!|PmCtqY#yMmk_Q!jc zhW-YJ{g}h^(nV9;bPfwgLwi_CT|!P@A6MDCxC+I(p(JZGe+D{z1BKfT!QBzli=V@p z71X2=pgAN7E>X{{EqIJg=H~l3(2EvjZi#ugt;w)&50|61)`d&9o}z^CfcX9s84WIp zed|I767usyLh`Y>kx@e2s}$7i?<)y{3ljc?QsUxrU-Mvpg$?8sl)lxJ=5Pm!!^U4< zSx+|Eon4jC#3zk7<-m!xR%zgUL0{$#l~R+Osu6_hB7N!*YzMbV4CmKV4z4N$OA&rn~mbjrYdpQ%$bdQY%L)xv5=O{XSD6(e4CwVxq} z8(0M}r5ObgcPvIXi3aF1mB#moqlR8L;7X86-%9Ic&mCmJ4&~*`?j?cm16AQYv|i4Q z3N&FbB(H@;>JxJC&K;$b?T1&2!|%|O-*@2ew-1kJdJcw%=i`4N&W$>#4`i4y1zjmQ z*t`CcDnRMwU=qQWrj!dyJ#Sl7I8AU^{0W$qC4__*g%5@_27_(#gnh`UnCq;x=hAPb z`I8(Ktj`?Mx&Ql+$XH1dIwrYp4A_spmXjN73+?WX4^0nrbc^Zk?!H-5n+e=qV(}+E zNBJ!sC!>~$%Qw1$PZYq35{Z4-ylGHOw(p!Q7t%XEND^J*ceISO+)yVKX3CzD<7S-D zmNa_Ys^1omw6wVU>zPOv&*?eFf||ba5A+EHuckcw(>Y8+s{-`AxNMSTz}{rggykU? zk0;QTP+5S)<>X37szD0N9^sKWTX`ym@)P#qsqI>=ewsXvh@-{P$}*gp%pT{EHAVJD zLsC=COYW+kpYN795T21>S(Z3pA6~X+Ai=)OJ|C+|x9}4oAqn|qZk7WXA#V1GWp3Vy z8F)v2hF)1&KH2OCLqbTaY|sr)odDgb>D~$X33#$htl|<5KT~w|ep8b9VqvzHZY;@E z0?u0qwKRQ&|61XHAEC{1P@@fCX>n&u+O30W`h#ZGj(&!Q)lMD_r_&DJ+2Z0+rBdzW zXBwn2L@!ZG)z2VUN(y(Z^EDPbjQzx~iO{R&6L0IvR%QHjz34zxmV6cKTIU zIXTH1T&=3Et`a(VI2l#rBZQi*J&r=GMgvjls!j0;qXIwSIh5d{7yLw z^CVeavQglG{oqr6KcFBqL#Y6vU05DsOLk|Odd&%+DN~Y`+UXhC*)=A$UTE|VPjDM7 z@(AlnJQRS?_p%`U!;^PxI2OngI1O%SLM9Pc?#-%7p zbPAqJwfX-X{wjwTMJy4Qjo&4QXToeFacm|Op;gzy&2)CV`1d)g*y=e%@T7_TVbdin}(xiM%FTlZzj>`lFEv`;c)hLOuYRUe;O5keCLnF&oMhqK4zK%G6T{w1~lt zc;CRlSq(8U-7$&ts|p*sOS+eOg$vI&bPvbtCGfI{ntftsAJR>>eS<{n$GW@E!5>jI zlVg=MhpzICKhpTshY9=W#(AY$aQGST5dMHW`9SFaLf=V40G@F25hf07hX}hg>o7~a zQPMx<0E^(BO3DU7MN7i3qQX6jW}l>TehD zBAKAnBe^|Y*@unS7X>x`ZX8bJV^j1JhKwX7maYk+sLA;@A3$9)zpJA-xg+%f@UVS| zzdVUj&D)y`&$j8iG@tLruf!q~GVd+$c=GOHM{0aMrT$}JvTkorE>4{n0y6M0XOcWD zl$TlpM$IpqB{L=F)oj&$^ym8`4@uhK=ivt>-^A1|pg%t~OO&LhE-tE~Gh0xi`Z>uV z*2)~7{BDHa)Xl6-IS#*;bM;S+33L90ynEI*ib(#Ii!%i(wr-KiY%w6s|e^@~01QQ!JRFg#az@5X~% z&{$9J)T#*YSo!<%R7&)x>D?ZyiOOko;h3DUouk` zo?Bn`K#TSyg|0rJ56^K3Gh?1?sAzxovfiDh!AQB6SwY9^j%e!tlMemvDm#nljArv% z3%c>0&VVo7*ih6{OwNf5m?AkjuGjayoKF@ZwC2m~Hx~F$9%-6Gc~|f2xaZ9_be~9xcgi=dCMvu{Vy;vT8Y%rkf4JyF{S5-$D|$W=P@DX3>Rc z6blbN$p#pkC4W~ANy4F-A)6^D$6~Tf6xGZO1-#K<0z;-OistYF>K9b^_{C4=Fo<9= z?6_Fx37zo}RtuK3S9Q#EbTk-~^KDrF{8YDE$tmNtlxoQ9nj{aG$eNj{IYqpzcgx2( zeD=R{*cn*Ax)ggLUmC30d+)zE+%6TFP;}bQj&n0~e&%d_O#^OLol@=4Phrebob*Os#$hhukZc<@^_S6&FUp?MaXSfzSgG!! zImC^1MLnlzb_1r2o@{K{aY+vA<;8NRAOAOp*iUhb|DwmuXwA`l!!w7>2GzdrR8q~a z?M^#*R%l*%^yL1`vv*Es)g~%nmMFQtnT@18Ig$M(xmzQB8EbOiNBO}a_+?)8VPxFE zj(%a+FE{0Yp~x^xzsZ0KY+IUdVk%?-!{KaY#R3yr>S2U69kryTxD>_EVlXv@JS+_0 z@692DGQHF&SmM018ywy&lzTX2CeV^p3IO2ush@y3^yJs7nyOZ*UbzHX2-xLC5YFSM44G5DYnn%}6bvW)KEWKU8HvYG;4MPmEDe2m@AqJAHaatbANqHY#rQg~3-r@w|qn4%ndkgw^ zhWsEdo_G9W%ke8Ct1gaQTy^|n>bLnlL~^w!lBxx;)c=q$-ae3DR%CBWG{H~3Bte2) zT0O>0C3Kc}-nT3&;+nl$p)~`H-E=Z%^yq$dlW6J-pB72-JDA{o`rgWTzjT0%Po?7T z?C;ZqvD$#YuYG74hS`yCYJlNwvw?B;4s*PyJ7nG(LvPICji5j$rKoD}+-aaf)>8mh z5^ebymAKA^65LEd9#LR!6>Q|BV;Cv@_E>$2`)fdDZ!>K27n&|cnecEg_LuXBG{buN zPJ{96PGj|#^ltj5qfx%x#v#?MONS$@bga%8h4jwy^H#iLX|FoN#xX&8ZqodWxvF|< z-a~3-ZtK~W)lbX9g(p39Pw1Rex1XOEkR%FRZW<>3hH&@}+{#VQBt=-nIUuD6gu{jM zkqH5MX1=aAZVIw?vRZZAnh@1J*?UJ?I$ClNdYppd%Ee;$9jO*r9tKMR7?A~H-i4jc7JrMTbhwrf$wz?3fO<1yq$OIIT0NA1H; z4YYUaeSzkH8L3~U^>Y2lNXNrPXx|ooOUKBmq*|zX7M-7kSLyui_ zwXp~LK9^okp_ZqLJCd<`5?RxuBdhE1lCeoRk2Y3JJ(s(*_6gdbrI-Aj%Jk3QwMrkz zUgvFg>TZ%}8k!w-8cr1{Sx_K*mk9agY7V9TsgZbKq%L z&M#1ya!(c*(kzT2i%CiJ@<&ok?@Q8Y+Scz{O5 zJiZr<34QW|)jCUBGO*{lc#r8m=Fq@3E>`x7TE}A)e`9%QK(s}5`^iAR3jC+vQD>0U zb<-mw@t0H9J&T@mAAJit5_$Ll-7kr0=uUdr6{9~K8q;l^s0$h@I)1?d6%!d(O*V(^ zB`-xd1l?1wx2LBSXSZV0FdOUT>4nY1*G>SKWpQBVZTT1LWy;&*O5vWZ2e*euWtErq zTD?6jxai>#w*^xd_J;(2Hq;pH(s4t#bi{Lk+xmBO0+L=Y+8?+kw5;ll4XvfouV+lv zpBEdge&*|NgZjhZc5uZ~=+Es{1tx=HQgr2t#6C2Uv05xyPq`tG)Y}|0ijMoq_*qQ+ z&0|ZhuRqpqZvDjkA6=fNa5Id>0Gr)v07?I@rmY#KyvL{jtBKXli>@$^{X&UF1g%r< z3>XbHFv$D{I%$$#+?FPR8gdE?+9U9uCga)}kxMuLWv?ZTCrG^_9Pav~97;p@Lk{Fm z)mdEv{!c`uEQmP=EPA<#yf@6@JV;6&=@PeewIn~l@^Dly7t|=%_)JaoNL05`Q1F?b zrA!iG+VM1Jkl`lpfJN%{n9#KSzBqf8JxD=bj%YMU22A-rYbi=&$oR#bqpaGF>{}7K z!PZA5j~A;Z~aY!8=lN4DO_)skh|6Ku*r%16|n%4s>RBGA)_}IcI zqJIGn@jGIbsdC)kVI@@kj)a;2+8AJJ#rzWO+ZoQJe*5(CIJT5@*h%A=S`i0XVAKjv zTwE%-Oew~=LTN+wCLk|GZ}I?EV}44Pwouq)sOsp~)MMx;G;*WU9@8|5ykU%$a;{oc zi$CPOBDkbR$ngCUN&PZeN4UC85g(-F@)8c+Mnln^JFV%@fAd=BN#fXvv+nc71^jYr zrP~q*TIYm;P(>yWH1mGmC_NevU$(pgKdzP^;S1(MdHxK^vaLItAzjl4D3isFLAu+($ zKZu=Gcs8`8`B;3(xrgnV%t_0hN$ByVlF*xyt$XH?F%EZ(xQ~?YUX#7=bn2;kclTD> zR)5Noo_yzvKiAlEHQ8(qmn({}37^r$9{ecpAt`gn+?2oRt7P%VQ5(ed z;&V3hI{25B%9N@olMDOOXB(Shk>8Eh(25mUdJ?u=i`U4R_pOUET0TJc+$-fjD)6LU zATd~N4`w`wEu2x(JbY_-$@NDwL{b#%FC!0`ApheaO!xfxSroJ(jgu>UVO1`a#oxHO4%VUAKg0cC!<3Wy-cUQ-@1kLB=sp4 z%H-f%BF3cEGbsKza=%q#!eB^vcz8mm=6aHkJ@zUtOpA$bzijie-u(%2Y??y=hd=z)3IrT8NEFRUgx`|0@C z>ZA+>JjFOhYQbhib>2kpk9N^gf|%5*&CqmoBfy?;`nhydE<13!nk1sMYOuePJ&T>Y^c z$lt>uLmD=q$2nqtxwt&F9ILz>v=Sy|fvCR49})BMhJT66#U1Wpeag5IQSIF5q3ZDK9HL2a><{S1nh6d| zX~Fn~&Oj2~xIDq(SRA{pd@aL7xHJNe<#tZf0GSwU9#owyA|VpuFUwifdFbow*R@%< zy4}vw>+OyX&6II`4WUyuU!lF|+o{>PXibFA!q0^g$AhTYP;|VcIi|npS?ruD#v=h7!Tag3m-2Wp&jKTdWgrwLSSQVt&V3P5n>BWIB8wUMV5; ziEJIerGqcNd^O*@yu)2Aeo)jSroTBc^=wB+hge+S>sx*pwxs6#0_r zYJL8=PrX<#Qx5clMfjJr!*9vqZW5M76tY5JI+9V=e=cp2!iu_h-XQbxu^ zL&TjwizL&HuI~RO{AC85#+^S9_`kR*WI~Y{jJD9P`nQfwoXGn+y4MkRr>yYWwFDV} zCS?1fWezG9n}1gF{nG|W=N{4862(-#qZvaAL36`uDYnqaOU20IHb{#!d0r-z6r7r8 zf^}EelGwt1(%5i3T%d_NNtRL>;B_hB*Lqji6Rai(Rxzpx)a(%&8JQYI*{S)N8de(y z8!Wwbt$)e#TfT!L!=7rRV4ckAkpeZpCPOEE?f&I=DqRY7+x&u6oOINL^L_b;f#lmj ze_ZrBZ57|OMi*{1wLJ>PUATunAFo@wdT7t)Ti_K8;A;n*)hKtQ=PUelhqMWYjOxqN zf=4G49+iZi2u_4Z&hb75wOAt(%lfNfCOW}a)dX5{*d4y1zXQ>Q0hvxaXkYx0hwcj= zZvdsLks-fUc%DenorFX9tH?u|o`EiG14S?-Qsa+pco?MLN9aHRZjn-mU)>;I2$Eyu z;QM4A6|p#_;JtFRxd(J!%pr58+O#~1=@$wl7?wxJvH8mncU9cM>ZQIzKMG_!qqDNI zPCCQG^758~cTFj9{|rB62^v{gjtk|ykWdt!819`>xMA9U*#*|&`)&^mq*eRGtIv1- z@mR*7@!}b??=GL}Tk5{K=-&t}9Nds|uW)vBw=&4L?lI3KGd2rtmmj;C&NVf)Tb_{6 z-MP{YM9Z+_vU4*QYKQ&tOxrF;@(-C~`TXD}pg(&K;K{d2la?Jx;}?R+H`=pDIW&}T zX#2V63m(rNiM2rI%L7+F+?zcJlo#szD{)9n{OUIl=78}(T@ia++Yp*(1mlGezp~{! zjy9xVL+Vx78n-O%UgZZU1_UqW5Y|P%m8Zej*-igJ;@I6WCB8R8-8^nu-;9q*sD(&@ ziki;VFbj~Z>lFfwybIL)c|RXZKR*)Z3t)@wL&~bX*`+xEM2~#08mSp+zNB}q%G17| zyKdbv9#`nEos{O-qY}Xr@w9k6%}AxgTOL(L8k9P8CTL_nI%?abeSO19LtaCz1~0;a zH~juVj#=!b#k)5g@>6xLUv}nQgjSt-FUNC=nVOa~6C6~G{9S?Te`XSgM*FbE*6j7P zWcH&F;Or9fKQuksGSV?q^$oFjqYNl#!o<3Wn-Q&_t9D@4PSNf^vrchzI#HnbbID{5 zb8}JN8jBaoL-AGcOj*;N{xEKX7HIvYIHc>is6Lc(A%er9EtfyEao8fBgU121lE?WS zM>{n7vw8GsT!+Q?b*LksiW-* zlHH^$yGdJF`}Te{iD9AO4E5-neV5S(bRH}$dw0ITQRucTMF=ww@PI*QKF`7vZg`J# z?`_?e*t&4DjXBMm(Od=!&pNNoJ^6VQxQ~*mFW3ZqKh;(FhfFp8BlaekW!z z@qj9}pH-~@|9)nx3$-WT%%dY`J9cm0-kjW(o=Io>;qy(NESEjI?Mt+9oI^o8o|X-R z*zmaR$>lU*9j_^a69x6c5}k?_lH1y>l{@)2Lz|1DV-8f9tOL8&^sUqd64?)LhNjNw!C#icP!Hn zYsDFxr7X~A;~bjpj&5FIdi73h`$~hve0%&8UY4JjkN=nD=Z7SOXpKg5vBIKy2E#+b z-3Bag)amORUogl|EGjxdQQY}qGyiqBk&^kW8^6EYloX^be4Mg3E(PW7x;-IgC@_6y zzRyky5>ZElRgP~{W~RSV@sEEw4)Jzl6<^Fx{e2rhhh&6Y#7<*Sa(S|3*MXp%j`H7g zE+$n>1Do2i7cE|zd)_az$6rv1Y&huASavW&X2L>XuGFc1XrZ|AXL(RTXm3hTHs1DT z-@l)ejRjmJG)=Kwx%DTu)@8qmx>3Sms&!9QPn6LLlJ}K8sa{Wi*ZC$*qnDL~Qd?)J z0F*7~FtIVGbXOKz56(q8!Gh*WP^yf0_Eqoh>2Tw&Kfu-p!G3GafBMD|7+%j-=mDh` zO>xuitXy&M;m&FwDYo1Y=8(a4P__X{EQ^e{yo+?}ggj(0%~S;P49ZnUpz)I4utp81 z@o1Q=0YF5>HxhTB0h>0=DGeq;?d{De5_ewKPx86;Bj@qUFhK9Jr@-F!DI)-tI}{~P zug*06KlaT9AgA*U;LkbV`Oeq9bk$c_p{4SrNN$_GYSZ+63yo?bq$yd#LN#+wleUI3 zx?sqJxg>>&nOwS=&8Vb?2@OTABmVDuzOSoFk<9+*iSK^SdC&X)-sgRu=Y5`VrDO7B zx_=pm)_q)EQ<^FBPRyD%?cSk+k}F5=PIE0FAC;IUPG8cTW2gPF@!mb|mFu;?VuED5 z|B=`kX6AKB)KbRwA#Rkewhgfzt2tzP%`Ld8OP37Shd|VIZ{pe`1=Ds+EOEUs?T7K} z6P2OVabQ0fnGLHv>Tt+h-D_>;U$ zN_pWx*<^uzrnUq!ciGsaXWaNW&e+D-X-3svr&pmv>KTW(Yxya(JkG^c(9vw2sDgYo zRkRX=Omn}bLG5o$pYIu>A{n4rTiGqwJCD2>f#uZFuqn!7V=tl5OWD{)Y-8JXT`iuU za6y6+X*N2lEE|5>Qzx_hBuf1^uFIrij&5e428L_{IQ!Tiqz`gHNO8I4C(s$vvD9;q zy=Bc(@@Nm7T5k`s4<1`C-x>CN1dkORY}Og;NZ6}j=i|`@#>XI z!M?+SGt2JHA3x!rdE3*x!?)P5hi~4rWokH_g)RIG$b7_DG|>&C>~@7Puau|3WzIV7JcA~m+($u9M<$8MA5xb`DvIbfp?_lTfPO)Vlpy6)v%md68 zOUl_PV*d$AtU)d;zTfmL5f|^ojyA&q3-Xv4rCvcYiLPjw};Wl9KG4Bqnz9%93Wo2BCK5-A*YoLop;Pn-n@YVW&=_+7=y1dc)Q1V+*x3{;S z`0RrPD)^QK45bPuP%MZ@e?CvD>z5hma6ghuJ>6b*R+R!7`UhrgC*RnT1hD$Yb=G`~ z+xbmIsY^Rw%kF^`GS?f@MIf-&q-yGy|1cqmjF&EyxjxloSo+S&8V)J1Xd`PcBQevM zL8dmV7+@}5x=WW|2CxW%9(s7xRjz2ljD>h;Q!`-hEu!Kk$H9zm@COd@U~uy|*2`r8 z+C{$stVvUxEXTUex!a!_J7`|sL9~#>u~`-a$FM9zws+||b^`t=eABWEgea1?CI5xO zVSxdEW6B1|Q|yS2v~h5q=EpFqL*s2?it4QBUsQ7lEF;V5IA@MtD8!?S*qk(2a{kB> ze|$#YH+)@YVvqgtME2>i@pDM=g#dyhLa;t`-WANWh{HPpY1k4Jri%?UK?KQoU3UDr zy>_H5`uzs-b~@|d-ulPBeKC($b`+NeIcsbkGbU`qxueSl`d6#XY7UR>$Eze0Vq;^h zj4vQPv9hL4s_M2I;gAB+p|e=okHg(`EdzTjF0euke<6IL3Brx3_yaSzoJJIm$6TNn zm;q#X1B}~<2R9Z^op;{Hq?L8#yJ##N6NZk1J`9R$!J+ePyuBF zu>n3mJ^A0~r?gB|l=D?U-^LAhkN_{R>Ko2{h{L7Pri#P%%3cFplF>>sq0a)VtnaaBTZXe(CkbmYZkeye;q3VA>H{6)Z!W9l zr(jcz3xqVxA+2n5sUqLL;w%_z`#9w1y97bMAMA_*FC7wy?aeCf?FSA6^&hjfwUax{ zvDJ?1VWhjHT&SzFQKsv7ffm}A{t|68&!1p`uV5d76<#%C6dPO`bob5|Xp=24B4dMe z0~=k5fwFV3vO&5{ZBe!nYnY4s1^OGYh3muBcdRA zPo6;BttSY~%bVhmHhk1!oVJlJQ;ycI(W&}a)uZ@L*M}5mhlrOHc;VM9v^VWK0iFMP zv2!yvWNLG`-oP^>J|e;+DJiYfXkE;qO`j!&*HcG(2%pWD=$sF-eTOvAR;=anGD4J% zL#F;{zCRtLQ_0>jUgK!0#y*??Yci6Ol02p+&5Uw@!?pAwNtmzBb$a&37>yndOL{!L z=F8~yaX7Q$@;oh2bvP4uup|N6&2unaeBA zbYcwR4qoedd2V}byU{{YYeUrvg);fa`wp6yRf#5O0?4i}jYxasT9KsTlbh@mkyNgi zovfCvhySZBO;%6#_c-e`v)oC~$HhslV(v)Kw52{%xpU~|8wJ_}E3az6Mnv2Y{H*pf zEi_GTd|jrnl?fV$rx^ewp-;5d^q)C3EjcM->56xZ-*67e1_3ssbr1?AjvK{vPYgq! z-@m|B$Ua9RYOTxnE&Oe~f{pQ?*w{N2+lO?;@_Ui(BfY44aSZ&nQ$9qan6+W|*RJ1s zKj7&7wQE;n>o6GdrULObi7u<~QddclecwJr@m*Z?KHVDRD zV=#H<8}6qldINK)g;!9_o>?M74>tJVDcbCOZ2WjUrb4^=bFb0pFfh(>56ej#DYj1g z@YL^Q1iHJ=Z3uWgX4%DMpKLw)VA;z3=S=iL>Hz||Q&VeQ-Z{>``dH)1Z;w%~$KeaC z0G!vVuT0oB4h{|$I~%7fhZqMd2OE>O!NJbKVguFZoJHW3w3)2SQ0lFjZgW0QZ^8zI z){fE$&Nz4Um+y}r-7#X!?T%f?eDLMPeba4%zg?S%5wM+{M8&zk9bsb&PcKU43>jSS1?;!L?Ye!e7`+(u-H(ehB7acW7>F&kNc}Ck3 z5Atvusu?G(V;@Q(w=AW=_1?Xd1?{s){19Wa{cZv+tj{w8<9w!0jT%>mmc?FMV&QZB z%#wAv7Q=$#mzpJ483Q#O?zYm0%6loU+qah#oVRg1x%DMEWGEqHtBNfCvqrx%S}Q!*U=kye1v zZzc$Ja7R`y7tyS32z_lf0o{(9_YK;;#%0`6i{hjP?0p4LT+O#94grE&fZ)Lrm|<{& zyF0;UfWd;hTOha-+#xu@-QAtw8Z0=$HS8pxy#L!LyIZwYTebDwri$*{r%#_g-M6QI zx6kb(Uo_-zreP_dpCwwY64m1wuN-Ypsn7k{L%Or|{1N%<)>4J)&(AiGUAp#Jq({~D zZHg6-!kX7;Um!?YPly=!b(kF6Kx7d`Drrhe$+}mhU#u|KsM(x1h+4Xw*~D`xD(+kT z-3pMNmo82gC*Q?eG*x+3v>^+(x8vTo>R)_P^8Oaxj@D}kQ6t_z=zgsB>)Itf-{bc* ze4F%iMk+kPqGFk&E7<;y0F#WjVV&FaXDx*~74^1E0wUX1nWxx1C-P!%sJ~3+cW_9RIn47NC9Juj6gr#+7v4ulmhd!CyiIod0OaX(!u(dA9h%>e$ z#nnbESGhor#cj)zz}jHsx~Rpy)_B8Yys(Q~OKqs~d44EvnZ znE1O{1@7IjES-uKsxY@v?_hJCnOlCaXeP97!VEo3E%l*LT(gpAom&gUH@wl+dZ0VFzVxD5 z(_g)&2aYTDyO4x_^qDPa#|nBQbM|G+k8A;B4$Bu5|Dq8b(?X2eD9rIL=8jcB)a)8g zwn=>Y4)~^s~YJg|9Y<7?%|LTs6fYG0_v> zJTObCbbQ7~E?qTD45i7N603;1H%=>9mu=As)1r>X{?aD6q@ZHN$HRzV2Y45q*4^?} z?+Z*l|ND3mpDxNzY70g+g_Tcj0}7HqMCrQY(@GJa{SgGU@vLkWk|dv))sK#eMq{x*jyPn0t>^rh3h^hlQzm=Ul)F$eP% z=ExxTy}9oTvaR|2Cf(KLgW8MithdBGh7&9`9~k@YY_WvZX|t|#Tyn~7wbugK%|_HS zZNz-)3WztNxA<-pQclg&P&a4ioV;`~b^+lf$qML9X>KcBiGHpZA(j2JE;P@cZAfoE z3ko`++B)sCcYP!>Velo;8hQS2q`qc@ttnfKLNq$g#j9)jvR7qK%iSrlQl%i8MR} zpw%f1exHAIc@p0gNb1)6i2ZWrQVzi9fPB%|Q%{~QNNexqYMr3x=+8D<9NIk^>Uo%u zME-_}{UeCoHe$b!3{Dl5RLDEFLc&e+qg(b`F10}+()Pj2Jnv_*KMM%=O>@oVgye8M z11loKmas4e>z2)iv%TanK@|spbANIXrvw6&+0rLCc@MrR#|NsYzBn0NK z1w&qv+zja1!hWP+8qC4bZ=VR5(yz!gOnPp2ZMw4;uoQ@)&-BB3Ne-UkyJBHS%U6K)9eHSL1s(%@#&W4M2@}~PP8ebX4G3+G8PxU#M5yEMu*DB*7fJ7^ITRPS@ z`3Ye;DA^**!7{g|rshJqBdY6$mfKj+I&5+T;Kg603B`7}HkfDwNqr3^s7Yqo!MANnz7>MojaKlwbyrz;H_gy=x z{G!?2Aggzd@8gCC_q$SL@-aQ9&)e)**)wHq4wgm<=!J&owu`CdvwOSgtleA&AkF! zJ`B0=79+LIS_PXd&dz4pPcmeNXt%y5 zb6fA|8Evh@V=yqr zupN%$kVdR}(nH$`_-dqjxrv=;d=XRRww8XJU%fI{(MaIRTq9s)?UbKJD-;t;%N-6c zmN3Xo7T0sisr{o=ifysASNMiJgzPX8N!n z%X{}>HW1Q1X$=ejm9s*Rpwd#Lb*rICZ~Q^@OtqmLJ>A#8E`D}>f@_h7XPQS@+))|r zs5{t9jIZ36WuP{=s^V00E;~#nUQ9K<;OYFl5*Wldt_BWw67XE4AZN||1||sXZ4A^b zo3t_3--aXBEg>9o!b!7O-5-~+j1?)Pds(}x{??x$bOwGyCept`G~n0;;i!_PAqvq8 z$y>cq9d=*_X=*md7l?BlMVUIXshUb!_3*V7pK-=e8uTIo=^{J$43kRtOEf(ixps=O z<+f=;yBlfMTYi>gE5pm#-sFyooP>1qD$!1`lf-AhqXt8#Try8S4WQFJx!>ghL zcWEQp!$d+MX3FXdnAxabP|^*xvezo~5gf|ithiil_dL|xPqZB$9~<3&6S%H4x^Kd) z-Cv*y%4BMYLn4_(z~_6rqtv09Eb3({NTsFiHrq|=Fkt0;jM!bfylRRLk~+{Ablf7QcTSc_NlJhs2XnN{to<~}Pnh|?6 zrks&CBRRZ$XlTf(nh0Xg&l$ppE-O(O*}@oSaS|dBlJZts&TLpBWChf0GYr`lJ!TEN%T9s@X| z%505~+Sxv3osEJjPTW0l@yZCk-J@CssVS{39m5W;5GtuAxV{6&{)CjEnF`6PSI705 zq(jYVi2WOD#?x#yvB0~!a2>$6)cUg8uE{FdSIIeNV_XeN7!HP%r%J#pm4KgZN!>*o z&inm9QxZ@sstc9au>|Kpi`KTbCc@i~;8s_vWuQCrKC=8SettvFzHgq|T%@H7H472+ zJf5>-mQPXMKWlOVTT3@!%VyO^_vOt&_Z<6Q-k!fI^&?pu&Rohom^XyPvDN;>mPM;G zP8p}{MHvvRB@0?l7Dd;%AJyAv-SgWw@SuU!sKP$x65t##AJzQMC5Ow)BVuG-W?gPf zf4l2dqfrcgck_CRi3v9%{FLghS5fawr~p5e z2XzyJ201fqL47W>S*Vt?OVMYmVvI_=ddi=8#P&l&N4*N!7mt~7-cPnegD&JD+OiH? zW=p2Q=H8fWsUj(sGqF4uv#f0&h6Z!Tj`Qs9KPTE}t>Mbu?*1scp#(czWe?7yotuY? zd>pDr8rlQiiGLNqIp#mkoYTR0{)$ftsh%0Fjfs#8&lD43R}NosLrBR#C4;25Y}loi zCxH*!ckC>O!2RWsN1>wCK|#Q3<`deb{lU^1wfdeVXV*Wd6FX zNX#0G01*?$Cgj0mJLwB47w+P=Ha%QQ5i!}!`NZRnBde~u9Q_9pWc3>m>?sn-a+Tr~}n zUQ=S}=3HfF4rO4s*;8HI<};hHnsEDm#u>^TMblP8-MVn;>ppiD7^buuQz(cmj>EF7 zkHdTUB#y9A`D7gLfS;U1^hyzOpc9QHof&6-r<41J`LZ=iAEwWETMr7LDZ>TjK|PWl7$#mlSf> zfgY{8*d(wbzivLe2O0BS@^r|dQWk3Wg-v+3aqX|}9YG2LCF&^nc)VnkL91f>xUB~$ zsH_ez)BZp|PC+LDFU<}XZ0`c6TOK%l>L_f5`hbi{$(zn>ZIeq5Aec%LIU3x03!Le| zbR%YWXe8j3Z*%oaU(WD&8R;|i%*S*xyHwkt3l^f7ijG0xc$61SxEYOm!tZ?fWrf53 zMRp*d{(M~UDUQq!Wc40frd=>8DLwhMTP0dac8UL7FC~g<(Y~BWOlYd%mYD$}9CkgH za&hTI-A}u*^o;yNqC!%a)Ad0Gh1PT2MFjU*1FUhcL{4}C$p?}Q`rj&|9+()Dq)P}MoA8-}YWDbxApE(pa##1w^-g{T4?TV}+Uokqy1E1{iP^SrSw2hUVIEp7!T9qpOi52l6@W>06@IhTDsUePEKF5cevfB&(!Bdvcu{cZ>Pv;F${ zS8e)iJF}8{@w&TI>Q(oJ>;?CunQCNoMP(KB%yYCVofNIZwML1Sxb~Fxb}b1$K8Xm^ z9(_6Lwq+$NuSiEk2)Y!ky@@}^%EM#b(Z0& z8DnSK-tB6|&pufKIohjes%VJ!4BBdb`KvS=yvUS^yW)eJ9Q$t39C0PfhMLR~y9+-* zH~QsBW_=QzvIC-c;ib~iTr75|)l3c~To&*)oiEbu3?sNog}(dhX-HoMu>)Xdv$79b zj`P9VcCI9Fc9JuBSlOi#9=$1)iprLJtdbn%^sqpY8Uags!K-k>e=I_M`syiPnNvff z6ra^pQ9zk0&1v!ttuD%47g$Fzwm{zvukiEdW(J?i*(n|ZWq@ZKk#8GTy~#TvBT_xD zX|B@TU0@KdYOIV)?fl*eIhV#~sUx+Q^|wAa#8;LfO>CA)VM(PiY_iYMP&69dP2L~p zJeqPZ=MiVBx-r#7i8vtMk11s8{b*;ln5up7*}{6?YU6{s!INOgqH;RESYo!-8&v*t z=n2sadJv?b0+aR%!$Ny3vuMc>jBbx?x@n|E38*!if+D=(QMPYd%v?+m_y zqfh#`?H$?qyc<=B8*o*c6j^Q<2WWCEdM}rm`p2u#cVpiQPsS~M-y4rw>MR)p-(%V~ zC|-U_yL*Ze5yvI)?6fk7;o?9;!e6AD=zKukRzG(8L3&0yyDyJD|Am+zGGtSbGe|6l z1}jd1Y~AYJi@`chIS!79E=GSUAC;5qH;ttrd~L9f)kf3T8qs{J!Fc7_)J4&bO#{fYokU#=#^G-)U)Hwc?EeEmL*Q)~|`k zQ&;bqqWCW0I%#L%%?Q3wPcP6Oze|VV;0NwC)8TXl?LdC!m)a`>RzNkImZ^vEchA+> zYk7jUsNOK;Xk>KP?I?cAk$hEA=RktLdZmF8zn?C_7(Nua_vRjZ&<59kXPoYXAAw?L z{}T(0dvAdjj)PQ-RE3#cbVP`LB-D?u`lpY?bNi&qj!m#`JKUDqKO~0PLNc&Vn%-i3 z=h&#&eddc*LjPc~tSIL^G;yF4qO#+Xu{C_LB6P#zemG->t9ff_bmgE>X)fRVgEH_W zbTL5Wqm$_Y~#<^W>jH5;|bn#!j?Io&fiHM?{qiE;08xgx{Y2$EBS~<8Tw*3dcqbio zUD|`K3c`G{gvrUs+*e7paS%{{BNOcX(q;uJlK#wYU53o;m}r zy+56|6t}0sw6#hTnqZArYQ;y96r_GlmCl>Y*K90AGV06^2B$>|4jp$%Uy;)jPhz&m z-Rtb$1~r|aE!nz%AImQL+S67L6!|p#;4Mpa{E3;D%!>ACf}EK;D*JwERw|I~vbbEF z#oD7?uJbDUyprwV-ANk%Z9LvbDah8>E>r`{-D~NN_L=$yJe0aVn3Qx~MoeA$zQ64`I?A&^`-TMod#n3 zaA0$HD!}`IDL?)8El;2KceGN$q{WSjAe4qXv1r0ELq&7wN8R6SnYApJid7)^TDQ8H z++!2`StyIN72`6Wa5|nM5_XiRikZG}Tmv;f=&ph)Q}hp}2Wj8E%bgM;dwZtv)lyG| zo@k}XjwIphE(@4|PdT;X*~Iqf>RP7Z;%So%x4+5-aj7bTG^GA1(BT zwYj}D@P#3+82Uj#wqDdlQ4;^?{6OJe48!L)c=cYgn?L$Z7&%m5WUtrSNLv)<|MEm}tohn_W`?H)O1Hd-4e*rCU&! zq#c?FguS@+Epq?je$K;H3POQSBIdBuoILxH|ST+Z~A|K<4k|OqUk0IcI`#BL(dkZCO6jzMbK74fYMvyzBYA(tAi!&H8S2E z*ghhMau_AeDa(s&04{qbYbPH4x*(M+_C#MVWqf1KI`1NdQmvn@)?%77!Mwv?3Hh~3 z90knDmuaih6H7X)6ax!G_R!vT|BJQHFm`X(6P>cWNl{Py4^&*+Z+s3@%_UWp@cN&w z-PcHn7?Nepx^1T_!l|@?ug~RiH56ae6ybqi;ZrghD!of#M+9TVKvF*G4{_w^YMCiX zlje$<=ZI<-7H09&Pr^B!SSkU5TIAy=-5ycb7`xru{oF3eKT_YwesM@9qAViA(LJ@* z#@oFU=qDY@_QE8WYv|N)1P8t~nFyCIjTexNmeo}!NVi*Y zGO{vtgZ|88K#dF^pxBhoOVhNzQAxi&S`{xdI^M~BEUUym+Q6dg^lqjmCzEw{KjOW; z=S&Io1QelDe{;;SzuPjO9jb)1CHlfV~ zZqLG6$BWHXo7@6QpaWY)ant-0X=7picsHk{!W5ZFyO=eE;+Iw@T1v`QQpB`aW)L{B5_Zw?lpu8DAOW_1oX`QE_A$3p5%9S6d~!CVnx+>rHwJJ11*C|g{)D3BOT zMJjqq|9SkeTJ28H1-lDx@9p7LL)vZ72fvsfQdd31`F^`o^0hf8o#>1~Q&Wjjr^B!K zb`h(lVQ^PIJ{uD;e~-FzIf_Lo%+)CI051&eE{WbNv61G|z4Om}f&Sse9hVrQ?HB@D za*zrqZPO7YVViQF9PJxv&dxXA>MgsV=hE)P#R%It1ieXa0+Y~CO{dt{S|dw~;!pAW@8;ShZ8D1uEP0A+}Sjg!3*r%E zYa2%gZ4evhucR(O#NNhM*v3^G2u-qcvr}-ffpno|?V$`QKrAf3%cwZoI~h6taTCf3 z2vD|h1Uo_~fB-p&u{ro(iZg>izd7*p|0U=j75yox5L8+ffP{^z4M3D$j)R$-Sr+U7 zWo!zOcd}yO=Le`b89M$FUe?_D{U6QvEiXXW#@-lW|653)F0@rfj@s-joXp(N+PIk6 zDA+;JI2#2wG|r{_uXX=w^WR#sw6RyQ1sg$rsmy_cf}Qnu<3t=-fKcsl{YpS35OZ~u zQ2Et5D3yc?3k5sdZz>5D5L6w%l>UoI(cZ>L1>&d;P!tshs6t#F0a8}bMu`0JPf8ac z4($>s165V1Y=8ENDu{ypk1i00a{aA+fcP(_zr}zu)le|BfHn+TOv;KADiQa;Rb~sZ zcQl7MK(pjTSXj9zK14LRqLNE7dN+bgxCYbEupOvg&5fw zL-h->Hgzqu`1$`FS!Szg8rn8X;soAv8v8s7RA;TSQKN)!;NbuCQtLn%}Y%SVK(jQO#A{h)#L}wU&^mn z@}G{I)d|lB4>LKXmyc-63E~zEvjcI$mZV;xJ}_pt#tnrQN6!+7znP5_UY5(RqOZXZ zGx7JkH2lGP`|6%M(_Isy-q_hrC1|Qv@lBVcUxDfxWL!K@P+av&j)5@IK)QQHG@SC>H~O-EWo46tPRL>ro{7b@oDdfXs|-u7hFT=2rY5|osP=ijE^bN6 z5cEY`e?a=l?d2||3m1cS1>L*89AZDlbWT~4sU-CYjr>cLNLWr8b%*W^52BOIb3bnA#h=o&r**FLV#Bm)V zqe2Nt19%v{(@7QPUEG}&=h)1b*rkx{8DFYV`h4sT;0LXK_|+8bK3K%4nxK~y#kL3^ z$;M%v#SC)337HcR>%8$*jG9*U&5|QVGnF)WQC8J#tA1d0hm-Q9qTMhaUCIv>f z_DF*!&#<5pOBN~o1f8L*S{9{~m_=d=eTO>yNdNvvK$M6w`cHOESW@XK`_6U~`+LK) z=}PE#+CUfc<(TA%8fLApIC0{%sUj9cF*SkVTPTHal@?jb0|_JQQfGzu-K#9Ni*NH(N@SfFg7AckR1c<}kpAANpik*1L@Uty{x&MR9XnleF{p{A4IS47W& z+R5BS`6UJA)3*>+1Tit+ZaR-?fqi0nrXJsA2fwR)VUV0KS>3CznbA_&?BJ zUQ=tWZ&Ka@2IJnnL+8=XkJ!qVU91|<)#Jk8FVFE`pvxJwof$-B36Em5y3ms&M_r?v zqT3Lxpg3ADytGu0yu`7~$@#QEfRGBS$6~4Z)$cp3z1`PBqk+%4&uHGmgC?nyYRLMY zYfkTDn;aBZkzNbuqqvc0`?rp{W84z8RiPXXpBh$~Yz$!BSom0G%&9v(JjE+N>JtbD z<{-7BBrI7ajJFJUerc9i1&Dq)H1I@}OlTGxlJ zDWg%t#Vt^`#7`VwMU=D`gpw$%6ZM%4`*|1fR}}t^fJye?+f8qMADvn%2_!~}xu|n> zkxE+KF>A8@jKz$S+wDMl!+M9s?KDQh!FZ?A;H`NR&+PeLzJpSH&al_rB1{_9`=Zsn zV5w@}MdsXmDfkunH_Zjs3uiMpp$j%SLrT-}LQ*E5aFw`Z5AAhgJuw53zB^Hq?US~l z+#frLDkmSc!AdlWut8L`7^>?V{aFB;XHINmB>T=&gZ$nJEfG7o`6+aD*n_&uGsn-~ za{C|^xk>j&jTF@nu`_*sdA%3TWrOKd(SN;PKC%%n;myq=VfWoc)WW1qw6uM3BAbVU zP1==>?UTqmc5_@ey41GT7H^UL$VGxw?aFo=Dg9Hf$r(OCa8#KYnOiW^gBQ&wZC~IC z0V~J*L_3LTafjD<0yM3ZUGe0uf{9(cz*nL2$I32w>ZwlLyoXSm&0(^G@Mk%S&8$?! zr%78JwvG9#wc*xFUk<($1aIZ4sAR?1sa6zr#f#JgP5!_I-1DH?5%yZ-mg22NXMFH$ z{U)ihbto6$Ub&~9nVnboM$2t|gVxZ)8u@uhRA%q}d8Co}{YOft(~hirp$bNuA{#Q5 zAikTC?MuyqO6n;Ub(V<;*fHBf1~Z&k6UV0e7QFHm52b4v9q%DoBJ;8Hld%|K3=wwT z{7bc;$K&5b4z`{~ny+%z6Sh>tdCMl`x4ywaw>|XFn-T@ zwd~zDaP^XtYDEkNxl0jO*7cWofeWI|&gj@NuVF};XfY8E3nU?_aRS+n&?UBU%@0`t5i#qdET{>8{7$HVDWvj_8B9XEqY} zTa)zfc@dGaj}pJ_bR)Jh87p8vd)|!i#8_i9hmGvjx+rwgEri02PWgH$P61rw+9}N- z;iqh_r7{p`dEb$FkMeo_@I`RlTZE(X7g08fi)vTkp9!3;;NUq1ba;QDp}SytK53MG zEN|iyfBhh-iNoD5=OL>jic;5S$eerbsZ6wDl(d=lCEGo_Zs zrr(||MP&}B<)>y&%*wDmng@n&Y`Ryy(;~-?*Dvi0s@cr#>F_}$f+5m~LHUo1Y!Lgu ztg`>>RTL)|=wB95Ffi~>4^K}|kB^V{_xBGE4?lnYyt%o#ySux+y}iD^zPh@ao`xO_ z1GS82fch_^rKKe&CwFvoEGjCBi;G)bUFG257#J9sot@?7<)xycl9!j?+uO^?$e^I0 zP*hZOadBa0W*!5Lxp`n3#);T#jad&tB`t|G4(UGvQ@XXB2$jFGexcJ)Inuv&q zrl#ip{=T=jHxLMHX=zzpTqGnUL`O%LlarH{mKGBe3l9(1*VnhPv5Aa~q@$ygm6fHZ zr}y#k2?z*)Iwej@O8NkGZwv#&$jI2<-Yy^@06ndNlamtx0pac2x0jcfXJ==nrKKb! zBz=8->gwv4n3ykLzBD#AK0Q5^l$5Nit5Z-=C@d@#6cp^~>488Xo12?eRaJ(DhKGlT zTU%QV4Gl3dF$V_+W@ctkL!|Tbb5T)IXJ=;?7M6m70;t8o#KZ&`40dyKYieo&002LJ z{D6L?v$C>MUS8hW*$Fj;rKF_X*x2y%^Yi!jXJutIH8nLdG8!8j8yp<`_U)Utwzj>! zJt86^3JMAzA74;V(CFys&d$!#(h@Q8OhA|f<2G*VJh6BCo%+}!;9{My=D6%`d*TicS7l8cLr z`T6;>va-p^NgN!UuCA^xU%srbuVZ6lLrv2qBqVTgao@jx4+{&Mm6c^+U_eGj_U_%g z#KgqqR=lJ;e z(4)pcAW(2{a79H$e0;pGuWwjb7y$u6U|=8;5>jPlrGtaR>({TLexyHs{K&(@laP?m z+}sQY2M7I@7Y-c;rOZ3}KYOHp!JR*W$zNzsLr(TDM8XOMG=Bg;Ifx_J80-lC`_bYR zaD}3`_Yg-4Lx`!lH6Ptcb2}Y{xiKG|I=dWD&Q=6sW-jS&4^eTKS2c2fZ^Ug(C%})& z>&oM5WordxM&W8@Y3;z{%0~r;LTCsNH2&*0fQsTbiQ{`dDxqH)6dH1h6e2eE5DE@v zAd?Xg$U(u$&CJFL@@^vV2vQSqZv@Y)-j@F`ehI&_MAK>drwA3Q`M z4o3FowvOgD))c>Zf(>n)9QmlIp!pPkHO0#IZ|2qx%)gt(Y-D2va0S}}SeSu;|A|;m z?!PCuvif%=jE(*#w{^0&{4Kb#5ddNdvHJD80a^pgzoWPPm$v>paiAGcV%9&1p}oN) zXJc$`;wB2kqkL3QeL^+K#sp+nWnt$5vhc97yas}JfWY6v|DqOwzW9J5*Z)oGzsAk~ zCcT^-kEo52)2|juiSki7Ihh;taI!+*mKcMKnZO(n7A7_pLpCNZP8MS(6LwZ(E+Z45 zp&=LRU(!L#OIbTOf~}1pf0ySn@P2@=>`m8AD9KPL_^T z{9-&h!eDDVCy0)!f`Y7yj+}_7zN(FlrGt)&svIjwTwnIjq?(+Lnj8x^C!4;!nux5J zf<6ly8S8(KO+^sR059l@rS=GG8>Sz$3|2WL}Wz_0OZY5u2dzf*q+ z#|!vZ$^W4Imyr)G^2a^s69s*i0e?Ttf41ybWB(srzZL!esP5m@{v*IY8u&*8|7hSJ z4g8~le>8ylZ}0%RMaD?a5C|ps?|=$BhaopB7Y8>JD~Q9GiH(!Ph>7bL z+F^rmaDgE#?5sv$w*MI2tWlZ%anTX9_hG2i0eE}pP=1_;mQ2Q~^-xg@U4Y~mT$)?}$HUFQs zS^#x(W2hMw2OAea*xb=U5n?Z5V`Xb&{mXcc3m^qG%d@w&`DJ!R0kxp}+vS*8Ph8`EmDK!}#kTXmZc$I6;+AUN%5F8jRraa1&XeomJ30v+e zPNvaOvulWHTi$rU1ka)VVu`3adC4aAiF^FsxzpRH)xE^!+>`Uk7Ip_-AT+MKJ-KK@0?OJ;j7H8l?o91>YT4I%Il!-tM_IW zyJi*;ixxGA>a9!*HzP2)IW&ShYT$%(Zj_Y<)KG*$kw|ynzLY7%ORIzx~wcS!IZYQn%tx#X6DA z7my{b?r!o{TP=TNMwI)IJvZW zUi9QW?B#ZFGmwZPLL{#~qAj&o{55R&p>wOkIdWh|boVN< zbpK$nYboOJh2^^gAr7nLf>^ehnXNLPYB%nM0Ea@ol-N75MYAw^UvHtaduy{D_`OP` z>zvPkv?OJl1#xLktDmuLKR|X#LJXrLhv`z!-*LbrPuiUl2-7Iqq#yOtu3EjUJ0Yrg zgLc?v>!8AJ4TPYjqm!pdx>$)K{p2Mci+f+_;G#f7H*ANK! zv9q05j~3%v@%0zXJ@NY1`-D+v4z`80EkUozAh|Bv3OZY?ADw=iU{?(O8L%d^pVQcl z?fYGnW4)nRcbY^mZy??bYid6WhFJ4xC2*KHpoRM-huI~VBK+9Y_C)in>Hhkd?+*VU z(gj&-!VZ8N)L+PIe8ffe^rBYm*@SiB_}q`zWo_Je7$1sOhI_zBx=8Ehk5|kV5SuKu zG%llihSU$|Q|n7A+I5ziJ}s2!Plpo;uR679JNKR)8Vo1fM(~Jo*C~iOHSXSTxzF#pwGHKoa!+wwR3K{LZfj$eu%Fo23?n(I&{h zvfSo%|E_;rsH@|8(dRmS$*p0+@1>*JRv81m!M8?tg96&Pr2cRF8ED&jK^fIG)-jbGv(4nK~s|mz5B534CTO}n4P$IdT~FqgwP>_9htqe z`;Z=A?jBw$#?zGc08$(|LL7Kq&E3~)2*4P^=x54y1qjb@$^qkc(J11D0Rrod7!P8i zNV?ps^W1O1-tfS!OT4yBmwd=_3ME>+Qu3M4L_l8eT!Y*^dE?;?TFAclv5 z4-L^HL~)CiBm6nlH}aJ1;}NzVPZ#n#y-ehA-*O}Ks{JZIR*)d65+Z%J;UL4))3U_ zc%Y%o88`?M^LOha%vCbprODZm0w3Z~8DzZbldc{{>B4!U0!4qMdQw1peFbhbDgMFS zEs7-?E+#9Q5kQX$^%egHj1LY!;}z|~+=>gA>3fM}utxEWYHc?N(XXQ9)s^2XMLYCB zVsc%G|4&o+*LSDSaNehgAQY8D9eUnQjeC3)y7R4UZ92SwRekzYDMM#WgY9_xeg1Rv zYJK4OQhvID1N&L#q@}txrU2X^+LHHdT?Ad}UVye`VAh_&W9Z<#5f#yM*8}J|!tEmc z^rXZXfiR5Nx8%7-hw|ms)p*R#11Lj84oGk&BTJh7@md=PKcr67FMvv+QA^)C2$-or;dpSbvGIPFD$UV-yq+ zrFuv)GgSVa&hY@}&|Vmg&2R$L?+^$)bA%FntNA$Pa1)*xD+wsm6=Gn2 zak&urBvKk(U}_PDhs zgDNlj6*LZvz44z8VyGon%cQvNja3u`I5uLNt&4zLdFNJ7I#F(vMGE#ecLrFlZ?Fv> z;z(z!f;Cj=Jl0YPk&clLtx7j0taw~kAI}EzQ@mJ?4qC4k+d&J#PZ3kBfL4CbkKId- z%B()jjq+Iw8Ib1rq|=%dWElXcYe-^xdm+KiQ!mdyF~ zBfkjVtS{PjTMz&JU_Z;O|BI%c}*N0rb$_=r;mg3F3OjX z-9{E5@Cn1j5on9&w^SYW<}^<4@r~<_%~aHu=k!zAR~%-<`N!ecC9%XMzz9?0`m3O{ zK0rmvoyl0Bp)d1{_{Qm;3WH^=0Z;cmVZHcI#qR9qZH9#0^D|u+%CLEl7KUI5c(F%} z=v{w9swVW6#MwD_sZl4|wdJY}%>5%$aibPX1@)gbKOu^smo z7)p`QJ1qIpAoqQeRyQ|!GW&S!AwDs^+jM99(lU19qD0cdU031T&>M#G`6*l)YGfHg zLYZNmPpF}~;-W>szC#K|X&li}>6bX2^o-^6C^XMn&UDTYs8Hd^r4Bh0-rNpltt%eB zsy0XIB=Hv$`Vw|So9d5;kr~VXiqs-)BISrt&VTe=+8iw=HWLybbGBBv+yIKFpi-d2 zA)n||P?y{Q2J(9*YjwbgDsnx@+$(NWEOOT>?opjIuDzm`OVbzip(IyZ;+Cj(HZB1uV1idF2g(6jlqzB-5W5R zzi)*B7lZz<-w`%f0kPEv*@~=mg=BGfH*Q6jwxEUsRKW6y1$Z5YeF89Tq;K+Z_`>EHvil z@fnhANAHRu9DXLyp{e~~fcJ^;TaNfVsH5|h6i^WQ%cjAA5km0{XX9gP>8Ikn(As^^ zMyt2gC*f(EOm7xVSTXARQe~IO5!Y*L+bj1t^{|M2TB+BDp@)<1Yg~jQu~o*YrJH}C z7PM%kG&Yy%SGS~NkBU0sNAuJ>@~~gZWwcUU@LwmXcC-z~!+2eWDHdZ_n0#%E&kdtg zQZTmK$;Ep=iEL8vbkF0reQx5~aIGWTGx#+6Ol!{JnY~U$8T?vzTGQ-pq-M8+1)KR^ zA;op>GtqsrLvnKNgQoV!#~t0nE{aouR3F}Vs)1M8SFP6&>`=gmh zO6xw(ZQVjXY(VR}fsW#Q3z0!{diY?)GdR`l9l+rAI{9+2L6agL;~hmWf9XZb!=7vO zH?l9flOEgIj0bBd(~2Eh1L^O?{Ioe|&B1e{OUa=l*v<>@1mBz+1Gdi*j$hkk0A!O! z7^jb=QGR|G4z??#-aYkw;nG6sENt+pj;`wds9ue{CxyCP8ylZ2A(X%LP0;GaKzfG6 zcc6s5r_b37p%xz>^^F9b2{H%f_{yIJoD%2wXP>bHR{;Dj!+{TOH>)FXx zd~315?jig6$f=*nhiiu%Q9B+r+&+rq_HA+`&8$E}Z5gt3JF}W%xAYspnZc>9c)Ci< zbZJ}0p{JgY1b0oh;=_punV9A0ZQH5Tx^MG+PCk~)mt}^M76KBHO!5RhA0F(Tc}@Go4Rbv;CJ&WRc%+mHF z6Zn)mAEx_0s6g`-OY>xJjUow!DEUjcH_-HdKdUfE-wi433AHyZ{xsg9OI@?Qz_hJ+K3UIo zgN#skuT$PTcj3*`eOJ6Lj;GT+K=1H4Rl7on5#C+lQdXP5a94zQFQ?ZSC`((NL(K#i zT?WB%l|!(M-|bp)hx1x=hYe6ozIiJu$K4QimBEp_M2GA+85EkSEalY@5{*qL&VI7; zw!OIc`?o7?c=-k0`T?wh7wNI5YzW8XTb5w$&$6u@}wX1i&`4N%Co&rQ!4vWCOcek-qMq8P{Xj;;p`{_c|9UmbYrG6HGdkOb2# zHx6#_J&j(|%$BwP;NoaN!S&;&^K^S5B8(kOWBj9ov$YsxEz*-Vcg5js$b>DF{>iP8 z_;RCt#{S~n1?G%9+8tr;ZSVg8m_TR0a(+$LL3j5lJhP!FX!q&mJa{?C%xun(G6*25 zja|?Z{)bdMyYtph+$451LIka8+Cr+z@F5UYh~^+d(TBQmSV{YkbEsLt!d16UAs|vp zPswT-0_{3t>0_~^`NA6Y_{6x7^K*D|j+_Kml^}vS)%E<{vpGA);aEiu4&h9Q#kyvoX9HWYZCE655gFkIieKa9D#JD)OW#9O}rSKKv9# zD$AkbrzrZ+5I9uyp~9iUp~9iUp~9htL&ZKc1P&GZP|=5mz@efK6%G{+6%G{+6%G{+ z6%GxDL&Z-q1P&EHMbU?bz@efK^}!+0q&q#4g{5yP%~~kQqQNEkG^KesCHaUYMF~7v zA@anRo~u_zca9at?!c z?#|f&B;rocdLRNoOS7gsyCP6Q{vNaYI{?Iop+@gV`JGF0YWm^fJ5eO?d$Z0tm-XL@ zm}i^uY#)r6$Vop6hdOeo2m4T_k5Q-+Wql>-m&D@L^uP}Gh;zN%&CJGptcG(9-S4lv z7bN%N@YI7rb+9Tg2O$4Oahtef`Wo@9J-9`RH0+%Z;0c^EB*%jl%K*8jp<;d+m-2aJe|aOB{Ql*kWd3 z^E@zm@3ERuA}sFiN5m>;EkzRHsw}4MDZ($_Gsn+id)UDE46uaoC4W zrIcp&?#;jSEAEgR&-EeL@9Jw}YMPIsb!YY~{d&Y7+tkb$i&_;~(w)1`a7%5>FQ_eZ zUSQG$FVA=G&RLQ1%_|{+$*s)fGV7T>Tt=%xrhd}Pa7VXn2Ium22O)kf=}bT^}1l3j3!r zH$`mLm_0D;q#qo8#10}>n2%h+%rVG|FX0gFTFk!&-z5)5v+tYD{7Ji0ju6=ZB=d)d z3gnKy*UT2uV-xi)u{L$<-Ws!j(v4rgs_4U-<1mHRg)HBF@l!WG*0&cxT#kR0%6BF= zInW@h!L8<&uyB8aXxHh+PPT4slC$G>TiBNrZQrxLYx1pK1yawQ*~!WG8`{d(^IgRR zTtaxoCZ6l!RW+gZ;`gSmG#R?Y99qyd3Wqhv;S&DScKm5~ALf_pH$pdSY)c*!@;R{0 z*rH)HkdVDrCese&GF7;Jnz7Yt7g7=_l0LMmV>K`wNbY;vjHg`+FY}BMoPNaW>2QBl zqa-BgokV60*nfP{+lU|6Q}kiYawsn&xTeanT)lR(k*|xuecdUG7M&T*Z|&W9%OY#Q zDoC?Avxv>g%v;fDzA&5ohkx)W@*lr9J~gRaKpnx^AN%**pjNtM`#n)l`~D*CVnIqXA&t1Q(<&f-B&-JX;r(;$r_qB%(j zluNdGg18d?NYzzRQzM1L8s*Tp3RAxRMxt=|q8#cej;(O0^0&in1xLW9aT5%OQ8E1vyDtUm`GH{kfq>g+ty(L{|*|(H!!? zjUGiH0#fwha|ILcIre~c#NnUor=TjhC$4}#e98S3BEnjFpII=v#gPBG`jDirPw}m* zKe>*4h%*)T^5W{im&gNx>L#>2f z*7qHKpTGA;;Sj@nbdS91uN#N|*f@6R5Ix^etPqDUv3*E1i>FQKPMxz!{>l2VW;lGg z^&v!zOYcX`8hDJ*?b52}P|)>Vcxsoz;os9=CXn{phS}8P_id2|#Ua5&ty?fz&K=WB z#c`pD^4IIMOK`GV7^jVoyFuj~vfj;+d%o&U@0 zzvv&LLm~f6S$S(jU`wytIn-p?`2e*X1Wpoaj+uInm>GigkUR z{eDFs)}R)|+GJ${%%BQ0WgIp)*HIttEai|8?WG%Z;_yc`(Q^1#;5hiUJOMhU;^&U6 zWew$X`d^$wy}Iy(aroRymFh#ksXB1j{aJlD?{C2&;hS{_I@xr$Sj_kXVxiU!R zP_Lp67Aw#spE3>^u1>xCRk$m}?(`J!UPPrYEpte!TXf!1Te4%9zcCRtA;V$6(ciw+ z73iFD_MsM+U^YoqTp#|+lW&7dVH~2r$VPGSg}kmISO<+rHcTQh)=a@yQXdj1Sgzk( z2P7p*&%Ggrb96F)ZrGpuDtu@0EqaD?NZ9>L4CABpe2r*0QK!;`q%CYUu>CDCvc`g@ z>ZGtc{i)DAIxAWS33H~M!sIKmqjR0rGuxdbMBzsgC++ z(U$e~-A8>Y!u1Z?j(=Hxvc2Lr?n3i52mZ;)*{-}h%zM!c^XO_8*SIiIt(!(fqkc6u z+1MUzcZO<*5H{PGd3}4wZ)We)L1x$a(_$~X!r6JB^I`J{b0;UK^cHk_x>Lm@J(zB6 z;N)bU-j&u%H#dK_K&>6=PAzIVg*m00=bAg2JB9o4QtYU_I63*T2b%k(^BY8W%{Cu7 zh##g$M1+Tjr>BR9=lVJ6F6QG$7f0z+a-Gh&I+;J`q3P+J>6VCW^YrBlE>*ay(Z=*# zdU{s0x#jKc+qci=o$<{I+HCK>>J(>Z=l#yB3w7LlE_}2I(1FH;9J&&!nZg7&`XaKR z>@eu2cT7x-~{IdQ`KPT>^q z({SY6Pwtk-ia8U z#oBju&Ky0;>2-U|EL#?;x!`6rQ*xF<3|0;6`7GP4w-2$*ykfx7v}gfjMs#l{C6ZrMc#> z=jFg)fW##Ea0~wkANiNXiX7O-c#UB&OoD;}d4g-dbKQBn{LqXK9%s0|z$}`Yre8xJ z)WH>*ms?Qz9YmNh25jb34OY@pYtor z4!n9yKtvQISwny(qM?0uxf+$LlIG*d?IcjpL(l!BzO5!@?V+ttX{|Ih(8g^LglBG- zIV}APDz}2JX`#}0k=|k)vI9ZDA`uYu_{9(A(ZkgWA*3ql|%%3Q?h=wMNFqZXUk<8)L{j3OT8%R4uL8(MXI2sXK{GQm%VYRkE$GAN zut3mDmDbhuikAJh1ciIv9lDq zdCWQJkMYy`G;XC7c zOl;#ms-D>#+OU-Xc=)qo+P3ZO?YES`8|@a{~`r^J)+$y;_#kh8&^^CN!mAa(3P`L z!XdkQ9$n(P13osw3$K9fP)U-mwnRNL0EWBy**l%5nI~~YIeaIqLG-5&7-~61>Y20E zVl8hwH-~Q?PC1c>&s&x%+TsrycosFatQSFSx*6S93HkRyd^$s?>Nr%5@3?qIhVV-R zu%6&I^3ox-L`d#IqFH1um*;>H)O~%{{`=|=qp?~=X8kUPY%L6O5F}5A+U+x10GfuV zYtuvN3lmY2_U(`g*4(J>bv<>@Rd3SFx%YIt3W4kXG3`4H9JtI6P$f7VMaPg*4oOHx zVdUg@sBgUnY}P65*7-jGbZZHD1sm4-9WI*XAgI3f-SJHuRSAb|`n%5j6o4G*{8cm2 zC<8dees*j?59r`z9~MOE^$P35!O8YnkGeC}Y{m@4!_t}KhaS4v9dmfS1L#97;U04L*$zVzGrr4VKeIceJxy0AKqfdYv*k7 z{NWj&qWj0p;!t3Q=O%x=r414dPJ-2(}Mc3Yzf`I!cGln9o{m0H>G_mtPhXI z{g8Ewaj4#{MqcAQXV@{-@3q|#W(^mdd&X&9GNd{mC>kA9ThEy@qowe**}7h-fTil< zP*mjv5>~CdVWZuHvcN77LvpRNLw^tiZXiF&;f5vZh>V#{Gdx@oR{!p?=ax7;qgydA zH))HO!vi^YZqOY7gSI;&?d!3x&#IfldUQ(@`nYx%-SX#2PKP+|FTmM19f|PbPhZVA zESt}4533-#HC^(10f=Sx;Uh=JVf5asYNm~X!X*&`-PzfTf0S`ZRxp1#5OVfzfgHgh zgM$Ln`W%3*WBgJhJSbfukVSmFm`{F|!+-w(8$#HfK{&f` zdgoun69?>e&V&|=kLSxh#f)3$1af@}qz6aa(rPT<9yC9P4(uW8SjQ}BAczf(fXyaPT-3t+; zaRa#e;-jQIhnS??DV#N<@NiM;Ovl`m)3Z*@$=Y*sW_@di;?SSlw3K9zde67kCN%c@ z*HaTZ8I?Wkchi_^#gKRRtJDK@%(zis(Iz8E#+Bj_FCI~Dho9JINkaZzdU0|H2xYUq zy+0zUK4QY+?<3*zqQpbDRefUf-){*e93nRT(48$BU|(Aa#gOa^tUr=JUGlr72sxV^ z{YH$U^j8rI)6!yMhGad<;eu3X5Hn?Yed{F7;ZL>9bAzt1ibYC9nyKEgHGgx8aPINq zervehV5iM-!-WzE1*rb}4{dCPq9t8V86g2x7l#;5eQ|R;=&uBVl<$mhK(*^xz3q4nuZ99f>sM~%FqPnJC3H1E+US*z+FJzTX>jW98J(Dib#-apL8HcQ~ zFp-47i7p~m1&V>5qNG*_NVt?k1e0mLCze8pB(VYRz@{f5PZhvSD0jMJP|-(}o)oZ3 z5(QDj&vH1I*>)G}FC&vEUN=67a~OWI8|3W<2K zaf^uHFwvhN(bLO^N71WmUM8sc*qrYY;G#9a4!^Kj0h5pBp)?k zqa-6w5t1g_ieO}<5_}}=r4|CYJ`{+c@_fMj6n`&ETs9{X`$@V*@+z$l+5fF|4IeUz zTYI!Um$v%?Dm8E>W#KZ#EMV56DYPro&gCPVm^Cn;atIN5!YmS4-xI#Z#W-B4=IbWw zS*(lel2{jQ>m#9ELTtk6LzCY>!#Z1TkjPJYs5E4=+4947d2gQ1Z<74KY9fG| zsYyYo4t>aoQp@2L5X$wN1oCc%@97$TGUCsQM?mm{k~{~#oT6z#`)cQq+lS|MgsFT6 zx1bjend7M%A?F`hZ_(O|09 zwC>jRRt+d(tEC9YqS#iAQb7>6fKrWdK|ng$TWThmnL9J*{^#6t&OK)g>>d@GnHkE@nAqS|k(^ktdhDd) zg#gqKSKaJ6QCQ$#cOa+X+F{zf)ZRX)g4v&9kl{S>r1RmL``U$stka^gO*|_)`m`B` zFbH3VKExU=`Gii_F>snWSwD|iAn_@cQ6ph)RHj%Q^HZk?{$I^M903QjB9GWbP;H4r z{N`nc|HE7g7W6}Vs#P9AbE5I1%w<`KY*c$%{u zG|6FwJrE6Dp7lEPAu?Xlcl4+ne~?c!&<6o?Q@x74%J#voWtOS#8>4Tg&HZaqv`l#m z9$b`)wo(ft>Og2C9MarVAK5uMIko%sfcYzxoWGctDI8?RZARUqJ9v3q(gXg+d{)3z z_J~<0z;xpMi_4_O!GP)_APhqtiF^*x@p1 zTaP6R9MEB7f|?e{3IF_@3u%vDi#|lpu+W0jorgZ_pG?Q*Rz8CaL492}{PnQzW0=ft zRHfSV}1G@chgj#&pDR!$rD~lJsJ2PGf`?`Phc!b#fp!Kx*(g60uh*VtOAjtk3C1` zb)pHcIKezL(3}XHEQv%Ywoy_9hs&|BKwbbzqo0&$`p?RoL>h&+n>4;MPb;_ZfqlQ% zp$|!X#~D2Qt-+eA%%%8DpOwd!)%H4;)93gv@cgv9`}-W*-?aSt^x2XgyB|q9=SL)R zB*rdPB_hza>_amv<1)ELXHU=)`Ve)JRdUb7j}1Buzkh3TldbbdADxBa#$%12&$CR6 zlaROJUf^8+tQ5gjswBJkl87iJKjW4v%Q-?RnM9FD57MlCL8N-wOP6_ovvJgG;E+U0{Io^T)b*xI4{G&^hN6H|cfud- zCjZd10N?rs`W<2pbu|XEF6)JLgV{znG`A`+Dx5ciU&&!M;qV!RwMZNqSc@7was;ll zOm4EJB&Yb)YM=%kt-&cncIJAt_d{NbJ|sX{W{;3FeNA@D4JWW9V7O~|csSaa9|Uu}lH-~dprsF7agh;qEsm(7 zzZ-3hLqH75VQ={KH?v>q@{Kq|FSEuKi4(_~k353+nm@Uakz#l_LJ^4{{c|wOltY39 z1#zZzqn+V<;_qPgc?id^gitmGLOFXV8#baA<(_0b=e)0-#hICsEU`po&gQ+6ePw(J zKa-#E=`hv?L!P#|9H%!#(KJOh%!O-J4)JByDDKjCc_zDcyc3KVY8ln0fj$@E`sO=~ zh5J_FsJ=#nMF^weFqgK*Asx}>i(du|7|`i)kUh~-^RmY?MuM&yR+NWU!5huJ3LG#7 zt4KPB=3j)joA1yO`VBQsXSA#di9yp2psmFt3RQ7Hx!=*n4J9(RaBz z66ZdHjXwRC8pjbS*H+<>HB`AA|I1HqH|k$5TVf)M`8yGZ%?^zNoIkX|3AOM>TjLN% z@?WG4lK;IN0*WYzb7qJE0}`|3vg?I+;P07V!Mtq{4w?@i>h{Bbd)Vd}RHuH5WuAtp zNI6M^(`JIYd4-2bdpTrLV-sqkc-+=}|a*o{(jRzP18~NYWfp zRszK}Bjy2rlKjVW$b@V#hCPG!%ZUG84$)l}7ffO{qu#O6Hs_~s*jhvouob>i-dAhuL(bfaO*%1OtjtK+ zDi-m4ckGwK?@PYXSZ#pN_7k{ndl&fa-p2+WPc`s)1fS0rCpe_W<+~3UGi~+PFV}}0 zq;9XxMGSTXAZ)1^01E?fxAWpDcV3&;IvkchE>|Y(KTmR}nXCHvnsTW72kJv$j1${$ zUhMr!ahnEl>@4e!CIPU$tD1HA7!GThc*Bcx_GZPH9%OdJD)(VbslE z+QW5e;qdw%2phF6g+JB+=}C*5E)-;Ij5x6} z@q6?#4vR3WjP#7+yQ~nlv30$=X0hAxbj!`l!%R5z>i~znjKjKP9~w`Qm~u#)t@2`P z$t}RmE%vuA{nVpRJ1enm`;{G>+ReXc_krQ_Zt>-bqkL~A|K}=G&`W`uu^vFN3#{&Z zs~r>6fM4}BX2m^#uHlGFxT)SgT$lttElV#Wfb&&_hfk%S9He%X@Uk4=?AuBlCfmp) zPwz1IbKk~fw>tBYF=E3upNlW&aD_23&XxTb+veuwhD!03@+BK`SQFr_kJMj=OY{QN zBE>7<%$MrJZ}J7+0{xoW+X{ie+B!6{Q5>7N*0tPVF!0neUD11AzXdnwbaEG+P9~SD zUE~J2LFRqruH^P_lRtVGBsbuu){osHz(Jw-uLCBtqw^nszy|L683%b&y}!)aw6d3D z$%!>+;Evx6<))tksq0&nL#>T0;^{K7YUw}?%43%nz&BO?`E;d+I&wZ7uv)S>Wd z^TYZC9up%`>pkpXrHhB&I>z@>o8^$CTPFVybI2}IEP}?81F#QXei?`GJ*G_VMV>>9 zDTfrP8yBobc6nZGz zukE{JS65eCZ64^)#<9VHccgCB((LR-FE}(KK;e7)Px7h8WU%=mwE7>u*OfF&$sVnPI1 z(b!L+s0IuB42PQUCejoE+~HhotWm(j+PIHk{%^4Kjk^9b#eL(O#WF^7)?WghWDdyq z@AFlX&`dEyGhKIxDl=1=X*dM?Gx2JC>4i@LxHS?`2Fv~BHL-_)`wgY2b@gHB(>b_S zg6KQZWVhU#iD1lxGq8{{pkJeT8E3fBjr8FLocInnZ@>Z^eWThQha?C1mXuhR#H?*t z;rah)4gHjICZWLHm>f)l`HeZ`aA~p;hwm|Qba&{*Dui;c{O*5?nCrzKo}nt3|4W1PAR#El(L5s+1`V zHJk7d5m@yx)v$yaU-~dQI(lok>rJ6dCPN0LIzg>dUv~`;-x?jgZmXkOCs(Lt3BtnC zF@rC!ui^<+nHiz{P-WlU>uuDMR@H~%t9P)!oV6vQF^3K6Ml;}$RgLr^F{!6%hlTJA zW#rI-J+jT|LyO~^Bbg2NuUyF2#-T@g1L&LKkOu0!nZd!@K@%iukqG%C=2cP1r$7p` zX9{z!=z#VJ_BaO5J)mbE#}d@s92z@l!6e$Ezh_dQZr43~i-{8_PTD1L2=$GMii*hG zc+jAe`0uih;`>iq$mgk|B9wC8lZuMm}QLrMY* z|9zMHXB?I`#Ub)!!kXmJv5j)bS@r}lHEUG+Mc}n@sMa^s$xU-efP45mi0pNPb$RFZ z_r-B{b9$babGlxjFY>au3$>s8)RQbL)kl^H3>Eq=Yd0;kzkiq-GNIy3Mb3$ey;9V& zdq>Q;i00z#L}ENnfPZe$nx{{mOlq1#^jBid z_LX}De-`iQ&Lk$lMdeY9r$W!Fy1#wND4I4n&T z$}^vi<^Z5fn+5(3hYJfF20tityw$*4`y+?_+gcpk;`&JT%`y97#%s}sG?=JRyQj+J zW`98+Qb6!o?xm25eLZflf!#%yeA(Quc~wa)Fe#T|BHQfra$n;gHKSEShW3?;<$=OZt!uxqijE1hu^b?OzLrBu?Rjp26az2SyJ28#(M*<;^bH#9oH_snPbA*vRzqnn`!h`<&Q6UuH2?OU>^we`&>d zQw~Q79^nND_yCXt;ZHo;2f{&r!r{?`;MFN&!<|R1l=qdKR~8WY#!NcSP&sjG=AUuc zq;(TXh;E;dCPXQcU`UDadCEsQB zT|I|sa%pya{G2%#?!)wR5Brw9GbgV)+vKhG>>m(%*lL5R~w|Jo5nv{K$za=TyO8sZE_B~^k`>c688 zkpP7vU{27)|Byax7!oEpEtQX5&SWyX!%fEAM!yDm4m9g26GRgnTCzuYupDwJE*C7i zIWsTq>=&Dz+`gUNKZ>n(a*i|0%2Z~iRZMyS@7aClYfeVw{7A$%HX;9FEYX^ZX7@S&H_s| z4Hlz!1O38sNSjd{+WGzNQPq8|Iz$c*YabjOg}fAkpn6sr;!*qHdhlSV?fl_9mv=s} z%^n~Bw8rH#?enSh@-)uV-q$KX{|<}FhI%@rxnGQOA3MhTM{mA#P+4%ZOL^A$b zzDSqp>&wqxrAQb&6YYJlNmnvzuKH@p?U|9r`)sBBL$-E-q@5RZI@*X7T@s>{0y7bZ zNSNZrd0$M@vgv|KA>2XXpaZ`2 zZA@PUhQkkcVdcCV&&%crVh?70nIcHaW_1~`Nd%MOlLr@}S6C>%ggNk^?aj{Ah5g`~ z&OeouvEL2`UeW^Ysg6S^i&eYqJM z!5Qq2Nioa^c`G?l1W4X!;y?iK1lyCUPT${g>@+CeSQ7&^nsu%)xd(jE`KR<5Y|fL< zIK5jWkPdL>?Aq2B9DhI8Y`5ZlXH{QepNxtQn?M-oFjz456U-r=))qzK*eT{vt-5~z zj02~xh)T9OfZ&DKo(fu@LxMw*S)Rd%w{aWmL);u86Rq}lJ-_qic@F2;jqB(&W?Gx$ zkh^&d*t7!IODqm-DGnuJmR6gk(h;>zQme$o#ASC6y<8u7XQcAL{KyW8&k%ZIs(E`mXd@-S8d#@&P3cHjBOj2@ksn7w&$8HhaN zx0j+xs^HwVYJ8nt!MlJi8;6O6@emt+K7G=D2P<`0{-0qwAL?CR!!_ z^w24>Ix+E%*2AW)acK1njP*fhnb~=Q7ONX&S3jcb65IbmU4X0cVB^PBwtktQc1;BH z0t452_hWze^5{_x?0byswQ#r5KI}geK3V*2#=@!tI=xP3gS30hgKp=CyY*)Wpfkg!)6I;QJFF^`BtjYD(bm!>Up0Dv&>=$YEj^fg}WQa59O6R zR^bGX(=G;We|Z*m&bo|L)W;9Q**NxV;E*CHLRD7YF;A=DQ1yu)x_@H59(Qy{AnrX@ z;BhWz+J9fY9!&!OK@$Kl*WrHF7u;jc^b#83mWtx~b3t8$aYwKHp@JXY%uuDNQGno zdtH7h+tIPtz#&PIdm|l3`V{`QLsRv2Md3kO}m=*NXJeMyXOE)JjyIrIDd1|NU z&sPiv@=eDB%f}oFj^OclB1MA548BNY(D9tDD}UMQ5#^hu)9Hk2ok5im5o&c z(U}jO+P5Fk*_&=9`*7#QX5)th)eMKmcG_S_un`J{2Fbm2d>zpO&uJbmBAdc1VL@>C z*@qzsVNCdQSMiHe6qk}}YV!F7+LV-(xVe_e!;&w5UX&dBWY|-s^^)kV9fUHOLZ=gL zS?6ji2uObrmo_6!8&~X5=oQ$%W_G?dgf=dEv?7NHK5j`!`O#42K5~!;Q_Kocl5k>sP{`RR#x!1mC}i%vxo9Z$pMsKOlbf zKo1F@S)}F00W&bq?@Iah88zj>{V!a&a49{EY56g;2eC;I#T3qSSc8pE0BoT#Jnq zSm)1s6*r|>4m-fEE`lbTUZCjlG7brvq?naeV*CD|gZ4f@_N>UDz71h1U&7R<$W>WF zoy?#MD?}V}XLbr&zU}$)y+0q>d*skw)DEJ*p(`#<#J5NmlPrfM2d|s?KzI{zIQ!iC z!aXi#M@pT6_vowMV$cQzwFfxu-fJb&bWKp)b6 z@3wbxY8Tcb`G?5JRK+}F^HNv%vZgWpe6ETy9XbReNfYcc2-9p7qDn-QNGIco z3_P_!CsXs(3i(NrXrNj?wJ?294xnhWVh)q27yj3O06zE(c<$8r&;o_qBV{=h#(tMen9q$(Zf$Ipj z>TIdiZIP)y#Ojdk--2UaXVP=4g2}pz)+NUfWL=&z4ajF(e+^;A1D(#nt7y2S)GW>n z%!F_W!T>!my%NG~3Y1*61Yoy2DD}W{%=&m^eO4Ns^=yno3%0_IMFnO=oxty_fs!-n za|@zA0WVVIn3$dI<`mw|Ha)X##G#T zA<=-d8@V%T-D%@588TiL0M=L25Qko1j0eCTLsU+EB@&lxg~4L(nnnib-2`xW9=KxG zzz>lXsG>4L?JHs7>61~uNe{d5klc8pKT1uMVBklI18jH_p*-u>+KgHLZ`2O+jXD{{ zS3MH~qvz9xI0TOE+AnS?4w2OrwO*TCm>YLkQ{5vrca*m9fcA5(--eZsyf!&#wMCA9 z(zIRScX5Rd+LXg^RdRtgcdp}Ip=tLe)0auJrHNK^T(~-&jHyIca%imI?2%n4QyY+R zh{lU2Q_FQHnUN-aZ7#y^4hR+aV6}=T6v}i=0)Cn#>XvkJATenf&yX89Cz zSln})0?=X}4JOo&9`#CTnN48GbV(tVwd7v^bFpbA88@NNv;~DlyH~`atpP&Pb2Cqn6_jef)<>YUn~un zAA<+?bp?meX7{(8bJDkO@N4>PIsB3N41r~Ty5HhgcHRHC&hMqpjq(i$2#DYfBXPA0 zm>nGD>w7XFK$)EU1dY}c%ltz2VNwcmlT(sYbOyEBko3gCam>c#H19ii5`Pch`sG+j zLV`poNeES{m1#<_ThC4fJ!?RSSU_~T@BPBSCt6BNAvN&v2Doc#&7Qt&41@+qhr1*#2kh($qX zNbr_n7WFFnut6M~twFi*CY%e7jJt@O(PKekobtDKF!ndlhN9ypZEj(~#=;v<9C8Z^ zv;_rz@H?;6s@>an!hNSjw{%$}T`*Ha~X#Tpk8ke+++1HC$=CNsg7KSBF2?bEV-RqczJ!n z)sgqK1(%Nvxmpy0=5V6U2q18+0*6bPytaS&Ew5W2VDV#5rzzAStt>4_nH!f9mwY3s zUihImQg-hyu37jee2v-bOei zWw*f6xAid|<36l-rOUt2hs?cDKs;m&yj?lPdMNPC4mg~#3hfp87?owPUc^IQt|+5` zR`3i4gB%=%|F+G$nb&C(ye#DDbi7$|QzzBpN9+n};E1I#H!YljiXX@Lv><;h>m$xI z+-Lm7#lC6mxOq;1;TpJ-8GhbxwVH7FXb2pBt<#>~y>DmRQ3(b$&!FQ}IOC%)B=l9I zJCghBV-k3x1Z2?)Xa;k-a!&OxN?bUI4pp$IizL`Hc%hc4>ayu9(_N5Jz0GJ&INr!+acgF{(#Nze+>STJux>Q!)8 z@&#N!WRmGYZ6zPOlO-4oAKSHS4_hc7o1Myl@WE`@F{#G+Ie3->j))P5^rRrbrj?|} zO5>$g+0tP%A~qu@+3KdnJ~rDdjx};P3h&Usj;0(^^raq5 z!UA(X@87PjwXmy?G0buP?ct}ppnH&J%R}bj{obldD`|FO;!IV+cywopi9HlFn)3-d za`NylXRnYr9Rj%({eHdH&aUKd>O&e#I`hWB#iPX((y&MZ>)(Cu zY(R@b$mSoyA#}4GB7OR3B9##$5RU{Gjs=VaYivft;#K}_kwZow-ttJYwjTG^_7>HL z{JljG%@JUg;HEP;ggFGhKO2{)nE0YAga?C#Y}AW81g@(MudO}1olm(|DjAoue*|8umf$0?&e40{G$HHe2pfkNn7`>;0JVg*j(sty0P z6uL5dhT53w!(HF9c(h*%wYy#G=4Gs3K)P}Dp;ULD`CJ^4$~+Gpc%B(z8(Dg!p_tj# z?lVm-tPOXC`)Q%*$cIK4|R2;R61~Xbs-j=YqrBB+=C&lV@{o3a)9Q zK+f?Dwauoz4M2DyG8&lFATm}VUj6|%X1U}^f{_1cI(mA711_$PP=^+~UcxU?R>4{H zw6$=Lq(M=JT6P7!6Ry#4U(+1YIPrl3J=UP@XJsR&RDe$>fCm}f;GNt$JG6~)ND!>` z%)ypSOK?b-nK2Q!sAaIj&OW=krJ(Jgj9RKeN~LJeeEfw5fa-6&&! zGi?TZgkWN7fNlYqM|!^TcXQxiW*W$}A*ldUr zu7?{%7rQ2fsN&PLjMWB$qlQdTSk|~b({;>Fsj{AZO>D`c@%aif*3GQ3XFFLt{#Rqo z$Nuq7QSUNa<-v@77?aS2O$~||W}KJhY{j~FyTmR(p5P$w7Ewhm`B$gmKZLQ5$qqIf zEoLQWMiMW?iB~hYbz>oVXGBi>L=_-OjOolD&b;}94sf|7kZ6BnuJ*RFfj-phhTXA! z!*;l)nR&S`wocYuNH@yZ9|Xj-hp~;1Y$N)RHa-)xcH1KJGD%HK4@50^6rA8at}Hk> zuq@=#Y!8o^{4md&^73FA2jnL|p6=Fp_3Du|qGi2?U;oU?d>{OgSClI#sDfX;Z`CgE z?Gr*%eQ5sW`&i4_7-qs;&kr|myu1(kxU(zH7oxJ^`nx;fdczvn=kl=le~H~$G?s6b zAWZBdg+;;g1T&;RLVtT0?$k}1D82K1wUh5>y}G@b7oX40%Q3=>d2S=z+}0!%*v41C zQN4Pn3&SBnjk0pvqr@>BJP&4kY}>7pea{evI`5>l?1W4LK}?c85+X%#Xi8raGYN2L z#2ha&(!)A3lDu~(Z~W|ufXg8X63oh}zWx*^A5uV-IzBINgjFveegpfkM87>ueOG@4 zo^P5%Dx=0TFt9vi+_?Pwn)3Ye^1$*7Gm`SZLMC@eNMNuB*~T~|%;r}aH$O>wrOVfG zi1b0p^K#toe*3iCGdM6LBsh3`NZ@u)&)MaHRly-g0Tt4B=s=xAr0td2!aLnPlRm#r z9(8>u$8%6NV=NaTZlCUTeEbU)Eh3)W;;vA?x%dMRL)W(6G_A#!E2Zd{zTmYATX_Y2-*!rqF~$MP(_HNN6J@OSaG$DwHHkF-jsziDXyEHcIX&%OHc)|D5N! zrIL96@B8`xd7l~G=RV81=bYd7{MPR=_}28H($M|N${q3XJ$euS2|hM%BdQb6i(wTa ztVe9bcik{%jefltR1&pi$wU)$b8dIH-#r3Rs9EKWTRpC$Ex)Oi*p51(-w=n^zmM;) zR#bF*XjdkD)nN!XkC(yXhTNH(x0%c{f7&{)oFFd-->2P$URN*fzVC z0WB1YpzK98dRu_ICBB`}G32*R1u^~qvMA^5a-zxN7ExF3CS4ZDr32gt%5s9cjlu3B*bFxG$_YE$p)*gm_#i2c z%7Y(}1pR$(cl*SS2MiqQ;jplHWvO{$x90RAw25lY*UC2e)^NzkZTX{n57+<9zEP5v z!9M+pmldiN3xdTIwnbPC6tXEvfW%(ROIkx+58m?Y@e=4coG(?%j?5uGlFk=tu>cN40)rrDrnbawdM;aA~==r zFH^sr!TDx8hJ+W!n=dQFp$gpiJ6#(707F2$zvPTB?wim3Rq6363kP-nl*A;7sXFpU z1?45_79TE$g{@udb*IP-9d0I%U1b5Z&jr;*8!O088X-fpwCk{Lsugz~Ar6YaH5}GE zUR3^P_5~0e(v-^UGZ+&uRNX-=1X>)?g+OHJc@LW4Flv3rE*l3e8=f62nLd8-?6azZ z%YLs$-2ZXIgH+Vx!Ml!|-x*6A>BASVIFNPx`OEXz$tkUaw1a(X77eXf6k&8PSi5@< z6xu@JA1ddZT{d{oh>chIJa$xkO@-=r85wud+Aqr3e1G%s6SC%UCMjmDSxd()mGJ^2=$9>m_HPsskRCY zwL$}id}$t=WqFEn*YC*1NrSjAOIPwl(aZ<)UAB=-I_mDqDJzR`L+*x_eigau$LDRD zwIrSgvJ=-0?#KBYAzf}3Tz~kMIFz7$|Ier=J)+6mx`X~$J8$yEwz{9PpC-|KUbJ_b z>xW;Hd6{vf>Sp~za|`$rpYOh;5C3-c;lFt#epvMK zL+}%to~RP9;;M{hdOYv9FwKaF0YdG;XeP!8Oh@?+Pz4-s1SOi zP(M_fhr?ExwkCe{RZ0r#+ofa9Lz1~nvEc2pqq-ro!Z)WGbXppU@IuH81NKvUlkiM? zr2#k%95H(qKG${uh!amnXXIE;_Em|~UU zhki9E|8TiTl8sVG*{5$a`tX@!T1#|G8ZSxmZi{}319rtWlx&h7AKwX~O_2N5>BGeF z*bG%H>NdP$(H9=w7H!$49p|4*Id%baGNrvGM*O?FwX> zB#o4qyhg*Z*BOPZO5-KcNRz>6zE3iidM9LMh0$?zjy{G$s9&g{FDb$KrR?ud(z+?3 z=tQHso^X^E3Jp!M`u-)lGPn2e;lnc)IYl!J!wSLT&E|{|!-sFaEqjwOB4dN9CLgCz z5X+fN;I=I*LYKuHQms(m2SdxY;h#!DHyrqSih36Et4=}3)vH3eC6X1yehP;y%zN;7 z&!rIlTj_=uEe{*<=k2?V+ICbyys8?y@0Z-qNi2Qc3zUL?_JBz}v=qsobJ=}tm$An8 z_iK{(^(0$>{&%c$0z5oD$UK;aX@j zksDfiHC`%JcbV;njE>f;FPAIo%YwuF&I;2%KT%(%4!$D#D)gU6sC_}CTrQW$AX-G+ zBA6pjkn77OUY=1!2~tUdm%j514!PjIg3B`hKpJk1BQi=Tx}?}glr>B_b{x?%>!(uA3CT@B$3h)Xd~o)bvX3h zi5^E|`=l-V!lNk6Fx0QB8a87ezfzR?3iT<{4!+mKd(Y;|g2`vFEbO!yH8{mBO`Z_1 zei=`S5U!#WoumYPc~J|$mP8-UtL58N*79xoqI2&uGBT#7eu8f^`BgRY^F!79+oEyi zI;ZimuG1P3DPXZtt8}8TN5@28x%nn0`a0oa2=5Xh-v&)tx^35Dktdqk( zbJ`QUQY;#_totE>3s{lU2ckRqg}XGb@+x6%4jADdqPqc(@iXh zKh?tjKudfR^!241&zaJ^C zy;*r(r@hX&r>+H8ODj+7T)&|6M#r$4Q@eh*7Il#2c-p&6@pM_sp>!Y-toXXy!v)J^f9kROI)Vrw`a1-rYnBW_6xEf^> zZGRs9R;6$9{$0w_@UP4+lRQks?+87JW430X$OL>)w?-_0I{kPer}wuv_zFtujr54I*=u|NLMVc0Jh zcAuMBaSzG8HG5(AroB6^vxcp%4`?;H zw+E#divtcO)*hI)y?~U@DkgNZU<$iwoqV6j0ZQYpWa$zDN z9WRq6gy~Ctr8f92A}or6)1kgiyt^ujhC2uyoc|X>#+-nZ zu(IDe`V|_L0AHCtB+xmH=UiEZ{lYMs!vEbN!kz?;Rx&BDf^0uRTW$c|R3W-y-UbR5 zaiICJ5p#<{oY?b;9(^@xt==;X+35MH7W3+MG4I9! zKs6y+p?_e7MPlH@)QUoW?$-7JaVv|G95`m=v=ahinp>^TlP*=&oLep+Jd2Qaz1tjn zTt%}CP5HiSXP$MD4~gUzmvl5+-e{IPA{6-JziKpi-ze3kU4RyFGw50ja6Lz5JaP?C zzdADSF>0!G@+^vi6`Pdtq;@!9!VkCL9Z3QW%EhBG{+e(|z{jj6*|^kC``nFi$g**& zBvkkU zHAbZ_;K1c=F52TgFb!62?>6$t=DN_nO ziELtP{Oh7PGi2Kz_wL5Ip$SdlFp=8`6zmv5@wQ5ce@MeNSk6&>vcD?3jJ@2VGd=%k zIr^^Bm+C_X-Y-q5#)fMxH1v)Ms6D?k^|K0{uhAr`>*FvL>Qdr_&qJ|LZx3)j{?|Q_ z^*#$Dac?ID!=^Or#hsAfCQk~cT|)=Cf}UZ}CpwIE5WGHA?p=QQJjL=|)afX}PF1fk z=20?Mx0AJMiELngkFW}~$H(%hq8M7kwg?~E+S;~Wyh8}-24tmhTarlIQllcodS`XE|N<(_|8;v zIq*p5_vD=Zy zuwfId<9l{>NJOSSKo?rhKsPf3l4~yYp@biej`RV?pEPI9z#7Y)$Kezlpt9B^=Su@C z)UE3VtO*9%nuVla4L)3}`xN3oKGq7=j&)g{$fdkASSSF0+i+;UvP8Jn4Db1oc$lI& zr-dhA*J2NAOWdf4X)ay{aW;Ujp-;aJCsr2#ge;!Rb?xaYfTFz4FVI2_h)gRR)#S#Z z8H?TW1KixUySXJNAI;Ctuc^U*-16PrCb%6${J;cj);yivBWvh@Bjd zsVV!3KAa!Y@D-x^%~0z6QP0pcwkhYkZr>

    Ek)7!D!;eFfu(srOe1A#>t@(zR!KV-H;1ED%!a1{j7@ zM4y|gdUL=wis)f~Ga^*N{JCz=qZMtqX{SUl^xgGv%XHkb;hj>>FuN1{-+~5i78q-eUBL=Ik;{ zc*tTFRurj4+|zafAte-1LRMC!W*h7%!RC<0IwESr)9#neLzV#a3+Qg%6;>@od*;4> zFlVwcYWX^LbAi)c`?`kJpK$O_#<6dH{5yXFPLiULYIa%)j&NB-vuYiYLS*IH?MEXcifr#rDU_nHxCB*GyjGj;FeeeH5 zViau|n1Aa!6~`LAJmLJ(&CSi25wao?udY+kRbZ9CDD-4w+fa8zW-U^kzSxUXIW)y| z@A~7SZa1A9=GDFeeF&6@V)M3kAsgtv2#8p+SjWuqL;V2ghJ(j`4z_I`b_W;Psy$fT z3=SC)o=LIM<-ZWZ|1UM1BA}H7FbkiOtr(|C;LXB}y#TFqlQ0NQU|=q0+doHt3E}32 z_IjuXc92SLjr=eU^&wbbEPWLFWXTX)5e(33H|$(}Ldb}O+(Ux{2mVIqVs)tM& zRX2R#a|QqnGGkQj$p z+P(Ik@DiO%dar6RVL9q|)_wa_nx^ZPLF99cKp|U$N0^Tx_RP)*ykbdlPsArpCgfx~EY*MnkQTA<6mCh8%N5XusLh5MKPRUES5jZpr_p$L|4{D^R< zQU!SQIUKU0p-3xS;w4WziZ+4>-lOVRb%{Jd-fN!ikE_;Z(jM3qXNL8Lwon^i1FSINktnu6J()q+VUN|z8vc@DxUt-V`q4$Ju z^LS#Uv{1kBJ3Qsoe}g`BKz{A(*%O7$zzeePGl0T(&({un_Bk9X4Mut<5~)1=n1X-k z7n?2*lB-mprFrB5|HCGe19JPWpuqg^gwRyI%q#rx?w#LZsXh069(2$6K?apmec>tUHAQu$1z<mw69-SWyjM&=l@U_1xR>Z8FTGP8{n&z3zliv-E?0NA>=KU5=wwQ*4eyAHjeu@Yl~z0U-#*b8I@@`bL(d3PWxVIX6=|JG1X)s8|~fj zz2W(#e^{cGy)w`Cn6ZDy$sRK&4R0$k+;2E0~e{+E18^eovwx&u#%SKB?DNHtyHFx@90( zfjt5dBbBL@fxV+l7G@7`s@;LgqD4Fo!EpdqKI<2NXnD(YP|9zr{J?5h6HZF!q6mqQ zp^Rja_*?fs9s#Ut!`&BJmu&L}wk8dfwoes-hnpNaq3IFdBMj5+sPDux`=WrhL&>+R zM?10WCrSck28COzZC}5t^dDL3c<{1{$(73yEoX+O>748R>P$FDLviqa!p{q2Tdx7w zpKx$VUyULbpz^(F7O>OX;yC`mi_SgAhNmYjIcH(CDdkR5yoScPODq_tlx(R9PnbQr z7%h_dR$hwPU(wPq-8W`a!5_EMRd)Fm*XqL+L(v#KsgXsCkTPB*-lJ0bn8*|2cW=S^ z@Ef|qx6E`w(h7Xux+<2OtAo{lTA&*0M^tg<8WDTXsP=dMbtJYr805Sa;o&%mG@i9L%>hVE@ z0%#JaYIj4`TYob}J$CXBh?J793B~eRz$h_)yVLZlx9E(KNMJMOIJ&@8xznPz!Q?fr z7q~Hst4pwAn>ZyUYZ}znFFCRI&0!GG7)>;rcKYY6+cWgquyZchm7LbeH2P=-VV{a@ zC#J1=gbGD0mYaI?g3Q}7*WU00cUpI(f6Sr2PVCj6vtnW{EcQ?MS8Kydf!%pO%fUu&>c!7PzuKSEQ-=`E^$73w6&W5sc=K+33L(oEiUR$-$ zaojOq$*41?DAWprab*ma=GJzKS~){|1e`&p7gNxdHz1@aiaAz|&h&VNl-Gbj>AyiA zs*fIK10RuHDX@Q7ajRYR&#>!=|7Ywn%LpNC(x%KKnQAPE2~W^I`qJV}cAFx2_5^D( zO)Gz)*0s|NwXXQs@!VOnbkOf+$1Sz0TBB1>lC`E4pTHrEP|2E9lm#9bNMa8$3k6!m zmS&y;%1JG#FgVzR5mO)%3t6LJTWwplFb%3GSQ?}q7B6bFf(Y$M06qe23mJj6H3%^J zML@4mEAlE*E99&=!+5I6gS54!VmeY57Ni}VKrMghv=gNko|(~VK$#&beR}4G;n~i& z(7ojyTmUS#oOhqmEz9=7tG9D#p>?l|Lk=z57-od;}Bri`T~K<+NX_l9>cOWZEd0ZCVN(RZ0u+BAuABp)>T;ngUxDfQkcBm^f4`W-;7X~h-s)A?HPUlFVy2r073&@uu{(Jiq3m7)68+N+n)9R?e0qS z{XLVd*}#~!wM2Ixqu*kktWL%q$8XOA-C0)X#~Zxg&TvV3#Q-_8AQ13{7*hFSzP3E} zzl=i)R#bFc2v7bPE^pY9kYYVXzlYpuSXzId{TvR(B8o?th{k>yw&OyUqo#;>sZ)yl zt|D2EXUzirE+Ch8p*jX?bdAh?S?AJgve>SkGabWrb=Bn)z=1?b!toFm`l+9MBQx&L z%)FQL2yeFQ6%BfOWtB6htm_+8Iw|RPzoN=OLMgxwD9`D}J}gVI zV&aer8RB*_AW4V3)^SXjN ziFhmqug9(d(U3KV#wO=DFS*nncZLMEqqGDg$6oF(lnqKboBg&#YsV}f=>*M*EOu_8 za{n0c=+G7S;l6TNa+KD;u1H7ht7G0WK8t8t`Ehmch@HglT_O|UK#G#$jgGb`B4TB)3IuM^3!K<$Qurp zI4vYfAZ02-iXx27Pt?mSkg1rwKN5|rZ6-McV2Q>QMx@aze2J$>V_;`qfw@^*kdJ0d*BL_ox4J7@~xt{c=_P{lP zL(4J>H;n2?_|?4 zF7-DNuuy{B$)nBSP+@-gQP8#f3rV%`8_(Nd1N!SrfUuU>`7*3&d^c{}4Pn*URqKW< zoAX&5iaB44tB2w6l2%Nu5a7#k*vg556N2T>a16@622kp~f}3UN$KAbl0UQ@gutP7s z1JeYYvGU0NsHR77c(n>(;;uJkz--rlx*OxLyj6PuBLOh~wmxL?(gmL*M3C|0h>9~0 zDM7|83(C zEBRi<0A3HB0FJmdk|^kQkwcE=!vP01tv{E?rbHl%zHlh|bOD(Zyl&}n>rNk9cctu8 zeB35*xYLD(8u5Y>%KoM}--q(->dcRFf>0<>g1wqS{mjF$o{=nvYXV~Og)5E=M9jxH zEXO#kY~4mmi!=2{;wWgH`_3yc<%%aT?0;a&KdV#m_-UR=&WgBv3suj=C}xZPFvhZdSudkGeP>+rXJuVi02xjVsbp_~59J#X>-L7pW#*=|{x=s`H0`9Pr z`(F zW3mJGHe(ey+6zg3bCfVb*P$tX!y;ilu$)CF)Nt(h0_PF%|41xG^9hxvmmBsPYEg(C z77>u>f)z0gj(kZoBAR6EDZX)n!-=FBgA_ZGh$k)%3F(C-txuC`7b{3c=$~cE2<2mk znPNl6BfpAaTajsO0|MeVi9@_%9k-6Y>wEOY){%``5@Czt+9~?_q4tN0nlfk&^dZ~E z%I{cWLct^}G?~bC6585FQs_D!p{jcFW*H>`e=yzAnJFS`foL5%BvulO@g=c1PDv~j zi-dRucyNkG%spyN3>&Lt%~%U<1azEOAXK8`l&l3@d`?@71&t38t)%op0f`h{_bsrE znrAW3);2N1HbOf}?W%1-grlQll&vgS1WH0eRwThL%K?#N%~AaJ6U7&nSW$9DbDTPk zZNuS(xWiOE`l5hJn3qUePK=s@TNRbY=<;2`F&m91oaI#{j&}*Sj^Q*W*C4?m4t$fW zP66RM(PU2+K_Fq=P~>rA)WcjqU2@bEoglKCij*%%)r0S%6m|H<<7Kk_5msPN#X8&{ z8f{d<(>v$K13kyK8#`iSQ_gt<9Mb{)ub+1c5~xq7p*jT;}udd69fBtjx$WP~2#_v^9T+e>_X!+lkJ-_LMK zN=q{ERk<1yVvv-SloqeEVn{DNBe)_ZRSF?1AkYvA$@V-#Mib&oLYfjXB)vm3+zAGU zh%Yb_W-cS58M>ZA5=Y0Y;D!zSpC$r${tx%o0$1bx|3A;=Jm*wsv<@nkBubNM*lf)0 zGo$4eY0VIeST*6)DK>>{N3J!Q>nd~#X`Eb+V{@61A(tq(F$-(jFvHx={@?F&PCDnv z?~LW;b^c#3JI-{1G=K7Pkr(c+RPiY380Q89?3Dg=_@6~k0SdaYQrTzckuj_XVymV<0v8)&r*sFLg%=EN-$uZ+B?xZuRE@3X(Ik6rzRTP zT}@DuyN`R~94ycEDJ!F0Wr@y7D)%xUcNOXIQI#c@(%vMxSC&-fL;jVzC#qCsN$#q| zB(g)}AU;WDiIz>}lejMnWSo?z5y`%(1-&=LX86TMTv{F4FDEB1DUbZ^m+9_QS+Z{3 zx|~SAhdD>z+!X@7qX`A&olGrQ3rzO4;|2Zt@{D_GXof!n!%_eD;hCk_CpY%+9Sso3v3(%#=`Z$xBqS~Mdi zI0FAX-Y%)Qa26ktD5XGZfQ1P>jBFQlzkV(QJ|jgomR>84Tq_kl)upJs>lIz$rD%*u zRFBiR{*o@&yDZuRKl^`>=K%Jf)ph9XPH{cJ~vwX z5`IOx<8bDv^k}c>lvfaoOtht*UR4Ki*j^N@*Ra0nVm8ffex!Pu9sVnmx1b#rr-aFf z<(ga8{KhvQTp8{ZBsxt#j{Za3zUor2LENb<88-kfT+G==rDHMaXhRJ4*Ad%00enQ0 z1ZhN=v^3~T6ka^aLvOPAQ42mAvTXoDL$dk8Kd&2Y-0k|;UZCwoyZtE5;JQrz&6z2U4Vyr z0;|;!NLCg807OqiyqN&Gi;=3o3=Q_ZQT>&SEOsDjG#Y%%=k%Qu#MJv(l*^FQbJO5) zqttT%w$GQgkO7>#24Q{4-3lS+EkV=|o2EkZzh>RKf9X$`8-%2#*Q=6&7p%9gb3LlsiKm?tZHQqhcM|f ze)a3>KR?4GOK752H^e3x^~bHjW#RC`ap-fAOmnR7Wr9D{H!uAal>{XnT{7cIhh9Ia zo%*NVFYOBy4UVV6;g2=qke6O+LvV<=L!D+%jI2NxL&Wpigpd%oUMbVh4fL4B?z7{8 z)^LF$?a*2fz6lX=xNpW?$1f9?AE@7O=yYxIK3cmHwLA6T%}Q!j3(!o1UyuLe;Op4l zhlWlI5kK5>Z|W^vVOIQYlX97Zv42nx1hLQBY`2(9Ub>}|>)nEkB=_=GW zdbJ+nK^m=v3NmFczefb0d4|Lbz#(Y@HCSV(Ek%MVP4m*zNCj#KmsEm7R4Pk`M|hTc z@1;pPwSq%VHfEP7m$!T6`iCA*VEqmG;5!O=cH2949Mbyy`JWhTd0)f^nKO6yq9$`s^Tgm3 zSO_jYI&jgJCY2KI1>=wndGKI3<3B7%JBWzofLn8--_!>Xx!ibUM!YgWgSX-;!(z4; z#DaP+S(UYbLy+9wt=EvgRq}&VOTPR(dyYzcr_e(zzcN-q)Xh!B-9~T_b8HNuF_-D1 z=$uXUr#Sq69YBvAK|?D1BK%~$i*fcTtazz=7SELj!*}bCxKs#9Akex@792 z-2+Fc8G*HNJ|x1|=a*Hf$6Z|u8vLGSIh$tD&&OJpMSR(8=Lyhy0XUq$X#Rk~<(VNd z37;p0WW;RCbkE!tx4y{V*VD+l_+51Qw|prHDg9mCHs_93n0N zh*9d51c%wL5Ohrk*h6|>cOp0>z-|s)E7WURnT%C-R@|y3J-n`$JKqlnVPnFBM6r8H zAwc1u{!>HWni`fhKL^64(5?q&JxmQf)D&P2Hr=uM?7(%icN+(gdKf2mT7743 z8Rfgj=Hm&K!8Yl)A^(T%Y~8U#$1`ulK5<%0kN2_`*ZY_41>n%<9$}gVIWZw4gWik0 z{sW#Y{Rw-PXRP>e?|^xDvD|g}kWu5vTcy377Dq;u+RL4Q_apZ5hG_t!$>COGdcrx# zewS{JL~UGBKPGGBvK>BSeo8)BI&bE+Yl&l94@&frqwB5P_*QU(SI>PTezep;V4|m145je2^um-98W{4veCZ<|;m{y(*{4X*uuZva zOq}9)crqN`!Y*L5I1E4G-0MKj;9isRK3aXc?eSH`s&%W1Pn^5HPkX!P*>f`%tWssE zCzs8CFY@38kP1=W>hvO>6BKIy6e-X6r7O}Wa(sl&-(THV%KGZmx{(YYP7W0UCsV7n zazEhmbUH6Zbr67)U8@{XFs^uVvkLc=h&;Ky&QNYf=8NN`EK7qg49&ddSe~U(8lEQT zkeB`#vw)NGd4zJS3x9|S`IJdQwkel67}~v_hev0Jx_>BQRyn-?3x+?b? z_{RbE0&vKH%&u&Jx83l(Bcc^sp8Kb`ZS7LrU)*U|=?9WPm9xE_lqQ*S#?PU_H1Sht zLZ$dUM&Za9)Bgyv7-Pl^%{@0hnU=(48T{C9MR!Y-6lBbEu9_}}hOBA61id{+1K9!? zG8$;8n&^jPsNv|V-y#OGtDeSkk8UkiGVoBXgICpJ*g6h*2^0ERtiRejT{r*to=^99 z^Sm#Z_`_z$_(5(T#L(H~zgy$tWhIq=1in0drO8~~Ho-lt@AR8bk z4sbb<%R~t0CGVns8Sb&7Y+kksvA;(?;_jA7m6* zfrWu@)r`X@W!IVOhvfnKP3=lUc4=tD6<{ZB_{%vd@^>15eW5tyA^c1xK;fiG+M5&| z`sUsri&FE9OL06UNIW%aV)lV=u2p_I`S!W`|Fx|zl_WyzNw) zn-a1H&w!2BNfEM3INGWB_(@mSre8Z-4imV%;o#s=*TG?MU=7!r`muTGE7;Z7-YYR> zJQ-0Q4&&le>S&eMun8L6`(kkz_QyBl&bBz=bvqQGEn0!JGJpZ4c?7bEu`sw89>FHjxoHKnYN=wUh!jlG{gOHmT5I}MGV=uUf zmE0F(jUZhfGwYk%d4vp9$(jOPZf<%?7epfNf5OWl(QJ%xx&RI`mwGrf zs_PLnTCjvDbG>_)GegdFJpWohD|`q-cig9~P)F-}!lUPc;9y(+{u z`0spJaTxf$PFi2u^0Ik>mP7(yW1~eWJktgPsBw)S@(*wl3|}vTP^nkWJT1OcHDmBs z9B@dIlU%WG5H^-^FtILk>v^zHRmj7@TGvF2)d~^uy=dhH2Y>!zjSrcU zWq7`DRF8&8j6SkBC*zMFotyNl0|uOf7t4n%WfiU}Q8Z#|!7Gbea&`diGC)Suxvo_F z*@X(mnyA{qA+5Nonj*0V<;gQhMk=Yjh&&D6-il*DsAD+NCkN!KfvLtJD`lY>;(?w$ z#yMhg8NF*EVu$htJMwYqNSn{cHh7>}!iufX!cHMLbTod_z4xr~p#Zt>pmMnq2aTf? zz%UmzxR{J^pICUoej5r4hWeaE4hsLrCw<-?pErsVy3U(*C^ccmX(|z9nJkTQ0Q=vc zToEUt>k;9RB^o#;H7zYO&8(5b)U;vrXHk8W20^^-B3Z)HNgD_VHA>p|D=ku~=0lc+ zbKi--e65%aI17?)G(Q~I&(+cLD%H$21z0JGqSjn*_^CDecQ=9)X&T9QK4^ipb z6s>;|7^>XBSDTWe^QELFPG@;cMr~ zgb&SocqVKG*4);KgxSq_q(4IBvJ^U^Uau4-_0L7TxyDL_S9*#%MJ}yc`@!-Qe}6BF zcd%(30{nTX(0l-;Ql_MiV;y2JZ*Em#g%8PaS8<6TFy^;;(R>JE6y5kz!7~J=UhjR;;r*_O7s>?b^W;N-Vpqk)9Q>7JOIVe>fTO&OTY5Y%St2jxIq%FjO#jVI`15RK98qDn-Hj z)hr;Uya3w`9z(G&i|W~`<;ET*ZlW(G7?W$nTv6jd@{~0msX~MkZ9JaBQNIn%aiegN zSe)aTDZj+2)6>P+3 z@hl5?#Q8TdfMCcaBO30<7z$RXa%I6{^zU+D~Qf%wmYVr$; zL(@X<;EBl8;>^feY#FI(gG(%NsM}>=ot1(*#s8GQLU+Y`v0?HL*DekZQ!LR;Lou^Z z)aLl8@2U+IfMhqJ4h@*d4@nD(0yhlCI>0aInGP-z@}-_h}%_!Zmz z$(rV5Frdw#cn8P$9~d+B-X?L#!qCQdYISH#e?HwON+R=>=1BR|BT z4_0-|$A(vE>Riqm^w_!T{Q!K&BvDzyh9{~L4ehQbD9PQ&J#h|}=lYbDCDvk7IS=r* zdlC+5W;rKTgxM!Q7aYa`3lbR*5{XnIFcKNjOc-!ARS)$zd$OqZaNzzokWi2NpZ{vJORJO6>sl7#RwrjNcDRSSu&PyA`$9wcY z-`5%+3KOucPURJ?-6!UK#o>>q(!CQad>0~)tnta#{5Fh~Wyy;%bcHaY{mB#EoE#G# z5z9No6Xdi2k5yIjZ10a$tJ+F`+BTqVTWQt^xd{%BLXkpIpmoZVc+Y-LeCS#Lka9xm z^egFT?n5nI2G-6d_%kFlXhzu4syz<&9x(PiY618s8T4CI&yzhdw1@8o^HOmk6J0V_ zsn1OJR;zqJAjTEIpLjJyU8?r>>Vv=AIv)}ozWv=p!Z-}C{pz{}R}!+^Tan_V&GU}} zYd9oAVu!DHuDxyq;X@vVVnBwMN^YMXj|;P(35Q~4C-p{>zfvRCt369bwcO|(vhX-@ zkg%OhexBqC+3(%Ap9^tbQCbbdj#Dd1Re9-L97pDHdTpb zJStXT2~hDf>Md}n$1Z`OxHWkAqaWi>RrWFmxy$ja!pmEi{vmoO7-%S(X;1Mn|+CLHqIw7cGf*<{6J>HD~5rWO}O!GOEPcVh!H3ui&;-OP+a9{_jP%#+tF z%4c}E(wkzFEyxJ7-z6y;M-w8eaM*-Wi#vUy_w&MG+HfACdP)@CNXPXuibJBT7T>}E zgZFSg2n<HH~gCI z13r5r#UZgu!2ha7FOuJnzSP4C?$Zh9>2FoLx2dM63D9Rk0=AKLAZE0IDwCrPSwPf@ zeOHg)UcbG^4e>NxXU9>w@_6K(W!Qh3?dKnw#D8ltTh&K~PxH<5y<#ojhKF)DoPAY^ z@q4mGkLzi~_UjSXjDlywA;3di09?8f7eNkw3pWrGrekYfrgdtM7slWX@r}0-g=*;L zseu;jr)Xys$c6@vpLXE_aKmR1(m(9A$S~b2t7ve#w^1g>WQEl(4nqkLqHg%LnE^0y zdTf<(|0UJ=^Z~eorNDAeM)Q!fCO|neK$DEG$oAqEC|hiVs8VBUJw|?q^s?6qMM>88 zo*SW5Og>g&TApH~IOL$>69&*1c*37}!Nj;N)a%A^uQKG(e3x4hiOzL@E4AF?%;a`ehVhs!zsACal5GK%p2c zq&_!>Vn8OwZ){vYB=;D+&^U_?&(?rL+2nyqDLOBOH9nM3YnCFAp_yKs82gm41QLSq zUvT&dp8CUx!xQMzDw$f&dB36ar`YPyeXN&rc?Ds}PL?=iS<%8anAz0aWZCQ##jt79 zrsX9hXr08JX}$@HX=0CW9w(_)|DlwlK~d_c<~d?Qae@Jd1q4>N0yK+gsE3c}Hh22; zT_$aMo9SO`-s`k$Zr8kI!iRRNjt&(27ac9FelwctU?E zr%*Q>E5P)}>z8>hY{)hN%)hg$nfb9fsUZ=LdVpw|0mDcHminSu=Gkz_LkOnr09rt$ zzg;|6*5XxYj6VQu!@Rb5Lc++L@-eAw@nKNo9r!ljFwA=8Z$FDm#q<+HwjNZMvhfBy z)X-`>|4>v+jFI80`?8YvsW(QW)QXDPH@81jKhrruSt}{&WmITjTXWnt>IWh?6hkbP4ap2$txG?qQ&Wk?x zLx2k(7EoUsyY`cU8gR%$guPmxQe?G0g@fRAsi_&OvuQYkCHR&Xr)3t70{#iLnAYWT zj94lJy<3alN_2CcNHj%yV9IjMPyku9AYK=&mWidA|8mH9F)Q!|;E;f)=pBQ9=CqOC zEH5qppEwj%?u3H+1)&9bgg=Oc7NE>EqgiS{J77&~0&Nn9L_~CLeX=;s_2kMedmle9 zRDB?41&2I%UjM{#^PoBnXx(zW1nRFDuL=S z*Oueb^BsOheE%8k6b44&7hyz#eLt1}TM%_4I|-FVDakm15F5+UbY^Nf8U>WKrk*;z z8=L)-6Bt89;$y|k3#N8)$e7nL8gjGgBUQiAtOh-9_%yesi+f-t-4q4vdVH#B{wrL# zjENl~f^|qsPvpTl|99I-9k1KelOOEph0#sz`BUMZM0QgIZg|A>6{64fGE$!m1g=vvyreC$+yB1iNOcO@3&+UugHHAW;_=&yL>lsZ?gQvZyf*nE6hoZ6&5^Og`d`%z07vU(TWOhZ}q1HhXN#NG^r`xUUF|YY$bgr9MXhk0}ffvBnHk> zTb$*e1vP=^PF}nKU_T(^?+M-Oyt8fO(Aak;{QPd65&Z}bncA8Z`#5mF`}Mnj|NZy7 zXWMzdT<7Ok-u+5FCY$>A3BM2c$BuK~Fy`KD4>QSjw>McTkf6>f&c|N|R zRhRjfHpIowzz;a0Jne5^p^LeSV?kou{zGx18EC$|bA0E`Z(A&F>aJpli6AgFCgPjt zW8cBc&7;-1bAs>}GTv-seC?E%T=kCK*%I8$`kRowY5Hki#Ad8K77w6L(S!wctdRfSCSA(P(t*#% zGe!6uJDl_*CKL16>rz$O7RQ3i_0O-a*|6TStyzC0UmtXJ{gDkDl#4g4F+cTsP#UeP z@|%m;{lHS3x$+A2i>nqQPH4Cv15SeJqO@5)guJ8En~od5YLX=*1%G|PuzRqpGZ&xlN?}MCH2B`J+3nYCZ~mqliGZ$cLax}FX<=J)#pX?3)XCCe{)Tjeo?e2jyIBT^@cY(jx1~# z2#r?t3*Yopl?mt+PxSkSjpS`yq$z=*3PRc*t2&&5Q5=aEd3m9lo zzDT`Q^kq2ev?4YdD<$thZ$i`Hcrm}s=IixnLbi53-B--FSb-et85R~5RutZ{TVrcD z1aYBrhEN-CxSXTGtS3GB-}50k`;Q@GS%b|W_omU!)2wo7P{9KBNMKtkB_$)h)mtAoQ3nTnHv878<6wmi9 z3iB&sIN?gTi;IM3CWeLi1)F@slB7GX>)IuCS`w4}Ac(A(UV%Aw|At3tTo0ZU*E0+< zxks~ykaO$AjF);}US)w$+QRpwvOHU3+MYQMd3Z#9;^H*oPx_y6NM0gA^kdMF zSP$}hgPT{0vG8_py!>s@z^ojK$nT{^%?bS2n_$!Y@r3E;Mn2;&hfgp<8+%^234 zAgA|0@Fp3|zAg|+sGEOu`Su&%9$!$|lDYB&Tp04CIIN>n;nh11!LzA=ILOW#^Z{?6 zOHff$bvkHioxaJpdFW_uAJY9>g1+QQxLy-O?9sI1*aH5IGS2(VEZ|t{=`MDh;Ob}9 z3(CqV4*7bJm^y*x3VJ7Q6FqM$m#gJ!C6R|)%=Bk-F<3j^%STC6 zFc?3Ncx?${**)O*@wg3jZ9B|5Hb6V#OwBy6!mt=LDfH3lnQH6h^Gf;3-OjszMr++HDv9|5G~aGTBRaJ<{B!tNi=$Zxc%~7-qT5sk16XGel2k+vx zHj@>*zeMHwu2=R^KZWUF6EHe7#g4Jb_PGAu8F(xXnN|4A&iG{8)>NDZ0`S^~uhoPo zOpBti7J89~SM?ytxA}hd{c(vRjtSYT!kU7ZbuDAkWhHKj1)H;%i!;dTD!10qGOQ|OL1D2v2ETNJDksF*nsbG&$U&mobWg9$*igi^4EG&!JQKmd+e_AI*wC%&+@MwBug*bjX{!Ru~iY*hYBgLlT zemG4}=P!q1W^m4D8ab!@?3_OI8kKfm_e^n-rH4o+$@vpM-$RTyS>R6T7peGZ00^GK zWgfWd_;Qc+BN8KTY4oyJS}WK2{%^zyRj?YRhD@z?wn6NP;)h;XbmpwA*2wF3+ zgN)?|05dyc)_D<|nL`@*Qi$w?fd1q_gcbFdGee+4<1aHWki!dIad>cBYF1WOMz(V$ z=@b_)5qrD!IzUR;2CHer&~Q}~vo{*$Zz_M#B{5jmFh<#$BDNfwI|?zB;82-*C(p8> z!t@XP4ElKdkjT8@?-mseSatXA-H)~7Hq-+M{{BRZGVUFJo%CmIdH4d3T4QUfFnJ%} zAXnF*(lOW6G$ipFz97^&`Q_c{CU1JM-F4OYf^f%^saeXGh~wHYU|hjnF~IdWd~Z&Z zqv}Qocnrs{!i&PgT^#5l2_7x?$;-5jXRNzqjm$rtD)*-gJd3hn$=M8$}kFzxUBz}+V$1Za*Ul(2(R`LywZcyaq zdiTe73W0I&kIPI=ZLN)3&=LB0UXSeJ?YG}~b;*(?{r)d*KsT(AsU1N}ZU+fGt(cLK@!=5}dnQh8mvPOm_?2`%!wA?%$wcbT+}tk7SynWo%6x%yX!-iI z(46t1>pj~OCWdYZV0e@;P2kKos27<96aQ6WCMn?wnczYXFMYm1zdq2$hS&fiGnq{w zpAC)`$zoZ7UWr5|(IalGO`t5G%g;~TG1N05A;Hu4;;c}UQqT2ibvyKXUjgC=JIIv} zsbFd)!C}vLp*g&>cx|1b>6!sCm`@{y@8cf>E#qsZpmJhg$02!$yT47nL{j1}`8B)8 zyMuSMoF>zBX6Ll|Hr9V&#-L+wM`J%j>hm~gLhx-$R+i&w1IX!QEti928!9=g>ZLq_>_<7y46UdFg$zthY#3Rf$X{Y+ z;~y9(k;u$sCH`)j*W>dv0TfzY-0}K6F00FQ&PGQ?JDGQaNdad#FkE6<*wt|13CV2I zCKHKl{AKAT3P})=6;5ozkKa7 zst*FckK2cg#wy}gVxYJ7)9K0v68r8|Yp!tnkvL>|V7q!>+}4)Y3sR^?=Luq+mYlHP z`bwiNN)?ejpwh0)h*Nhjs5*$Qu1MGCWfq)J9UbQ?SK}!dNP~xzwLDq~tI3+FUFEL$ zXDmFjQh=`?NPwaTOudf>IW3HGBiJ!7P+jmKBMCEM>w}ss8ue)slE-kI1A;XkpAvcm zG@MVRC1n)QoF$J>{_&`yC)-WP5w7(8KE0^VYAKn{BMbGrzP<>LC@CZlScdY>*t|v{ zDo^QB;5-57`Gpr939@hq1OcB6(Z^Bc5+rrJqn)+tyF6IiR}L8TP-~j)->k#|z1-Gb z-~AH8K8WcOf;T2oAP6Z|^l zhY}Nj&wCUQV;z7QyotAVhQqfB`fHC|$&ZBV+l_q&uOP!L9}iZwK2b+Jsif;DD1A^8 z!#rfyun#37`_Mln{p4$SIZrFaNol%_kg{WJ_qWb-cn}@aC8_y=e`r;!&@}oW(BT=f zT|Q&Ad^1K11AVt{G!!q}^fFD2(Rf7^sFS!kurg56^#hM2fl!d-(MjR-&==|n^+h`F z43yOC@%weN4~cf=fi@1~G>b#LMK1~-SM~PNyq=Pt-+}4}Rcw|-9Rx2Hj!*?0O*Ga&-KG*TNf}_2Szz=)9+p3*gfH-idoPUg;uQh}-w*bH z`s!wwb)M*3#&nL~p_e0ht>FjrJ^vC8si2!!Ytkiv$97sGLIZ`%TQaD;_2?&*U+q>s zD#zbS0RD3QVBf{z0<`ne{Y|cuv?#owK&2|^(V9lwchvqw+T_?IB?qowP~b?Kv_MyD zYHCt!>4C$`!O*orwDP--Y@XZ@Myl0n%7n!a-4*#AAGZPP*jerSzL^#SuV*VLA*d_qua5&oNa?wLC z3Se*>c&@Cl9g;}=p%)Iq&H2gV{1ty`?O852&trLAMm~6zu}^wszJi|7$mJtM8}W@K ze^5I^`A{n>aWt#TKBS|Q$wdAJ4}EtJnM6XJYyN+Np8~#kt)$mk?zJVRYhTE;tHND; zMN~Xc84)-(Lh2Nv-`mLF?p8EO{9-NRobpdBq)zv*#o>z~u-<9u)!TN6adiNjTpS$> z#-;NihX}^9tkmD6i-2Vwt;g!a0lZb9GRyM-*|0!pZHB`8L954D0@#i=2gQsC)%;la zD^<~*As)8N%gr^X$EJ8qbzK^hOCV`u_p-dH~n4tmNr`UOSF$aOM)#k?KiB)O}-ui@u{Cgnj=?T`^e#)7Eaf zNR5d)wm;eNlege}4}c^aiRjE6*RMhzT#yzc|7u-{M~TN1$T73iYs2@ifx{7vH_i)A z-H*s7eg#h=OQLgVkVO8InI-)t80r#n?9LnHkhIJC`nuSt^sv~Z#{fATJK~_7Fr1_t zN&NZ|Cr}=(#3fEd)38kLp)z!h<9J`Z|KYG>GH)Ut7(DCDG$oD$1BQ12mD3XwYu~}l zQXRJnS>mH>;ZTkT1l@dZ=psozaLnG+sIYQ=6-tbACe%?HU&Pua<{; zf8yxMTye7J^IU#;A}Uu!nZ`#gzJ{xG44j~Aq&5!m`G)SxNtF))hY)~FeCD;Rti!be z@NU84+2Ixuvt1F_5j<1y@R`T@7w)B?w>Ci-p^{z{>^*7J=vTuS{+d|x(E@(>9z9s} z^3e5zh}9_kdvM5yl7yjQW(EQS4jt+GkJ8IDWbWL*t6D}ptxJax3!+##-88BN00V2(*qUdWY?VF;F%7_6dF@WTWs0fz6>9PR+vdmc6r$x=&ff-9ErZ{#qv z_s#B|0C)G^vrcs4Rqhv7U3e^V`QTMMd{RuDeb%SuNhQjax}GXvWxwOf2HSY!>;3SL zFktojyhXGg&E%AcC1&0je4A5_%VrgNUmgG6UA{maw|zq5t?%#+5hLj9d>i`bOLJ;0`;dIxRvc3bLX>( zqt1IUTx0y9^H;QAg$If6L=)BR`mr|%`NY{{ zYuzX-0P8t+Ct^;Ntx6{2A`iVYD)YpNn6J)tUsD=@WjP#XCNUip7FH9M;J#+M6Ba1j z>Le=V%4oHkxX8OHM@mjyn-^`nNKiiUnzeDR-SXa69X`;o^X=8E$ITOedUDwp;wq!n z?d@0mMjp8}G1O$hr)vtEx0sI^*vx8sLZz`r36fhArs z<<@v;#2cAuy}&?!o1`8#7r({UIyry(WKbH_#(wAh$E;)+LmdmWGy=mpYfzPWjv_iY4xKg%2er zk7bfh&AAuATE$W%SmqHtQI?J3mNh_W4i9`TEC%5k9^d_zgQ^Y|2zdr`MUjqEg^YEx zpQ@pk`L=QGv=4>dS(W6DdK+1n^%t7SB;D`tn>Ymj@BXm|mo($Kr~P>?y{wS~oV;U* zTIQ<#C=0K<`@yimswSzUW5j7}QH84E?x#s#}Yc@rA%jURV(m66ff@sa-Hc7`~uc4}_BGVNMzSRQ-R+o`RsZQMG)@W#e*ZEX?f5qZ-Q(GKaZNxy}V8 zt3=TDm7YP{ae$&~uk*_UvEkuC-Dkit;;j5PX;Xw_QPA}sOG~+AY^U@i79%GwyVorC z$$CAqvp=!7P!})VX8W{k6Kx#wI_ORemsI7b$UM-Mp(sS<)|R-It!10-V`929!Zu?| zNq2e3qoH2#@i(g%@B~B#2KW{PNhj+GcwNIrEDWZ!7M@_oVHx;%!!N|CY5DcUmmjN9 zomDw(?_kcg$vm__o+IGCc&@ReNNN#r=%b-`(7ajcS?==1g@^*x+(aQm_Q8S~+~xb4 zvWC6Nb*!2T6Z0#R97Ds#jpHRYNjjAYH3!b$gwH&cc)~dd za{((qXkSD85Wb6E-OB7>IPS~B!88bKgGfaCp42(}&Gsi+PPAm=$0aPU`&5w8F&*4o zmJx7W{(pcxB=!nijQ-j+deRF?zT$1O&sU>Gt-+en>sr04IZ|lI3v|AWAly4xMvvFoGrF5 zOU;;c*jFGVLdW?ehr^F5-zt2Uc7Bb_AGY#RU_cEERs+Crd}k_}9@w3A;8*8UdSE?N zuG~nm0_SLc+2Ew{;%W#B0;^llZbE0qBKT}$lRFGv1h8Qwq_;tZ^7-(hA`PjIn+e*L zI(>U+oGRQg{Mk$&(2l86<5a-ydg>vO8Ru4v>6RGplm0SUz`SiOa>N@?9X-?bmmlQT zh^Ij|s<87bgU$|_F6xZ3KD17~*LE69G;>X_e_{d6C;nn8 zUZPx<^#|`v<@ol`+`Llt4Nc&@b8vhD#?dHx!6KY$ge&%IVnNd<^U024u4i+V3V)t& zUGx&QMMqiP@G>lF)tj0ORu&L>vCGOcaB2~(wFJ0m0+62Hs7Lg&b+(1k+BxRX@a@gu zlZSsC2#c)vL{vxI7@}7Yzz&`aFf0v>ogf8W9`RD6>}|U4G~xdR42NJFudo~Q5`xO3h@N-Le%x_1Yv<|M)An!;W4m3im5t_3Z*J&Ly z+kT(J;m_qaWB-pnXpqT4fXMN|gXW)oD-BX=yVzuW@M{%Td;5r2rU?sUBS+N{*BLz0 z)1yknX(G2UVX$Ec%X-)PgjyJk`ofI4%S)V+2yFO8pT*l@9v%VM=}(}PFCY`I*i{`BgX z&!Jj=+vMKfogB=zyf1GYPCKt299B54+QDJSa?hLCIvppDjL5IPb?d^NSpr%u&|x1A z|0QM}XCJ<4bt9~B>_vBr_@RcuvQJc9O<3NJ=8&`tjZQhdTn9g+TI-HE(Yvr*HOl*F z0p)A(gR859uCp7~fUulb7?H=a#?5OjA}J1;W;wp}>-Ssny+}Hnf{$YGnO}dC;CxM&--7?#FuH94d%UpOFqi~6P5YU*ZDRyP6dWr5S^a>=e2mS;Ei~xdWYW! zH1$a)r8TZ)%(>m+ALH!@yf24&GloIso4L4O_&aVZI<<5A37io?M2qNSeD=b5^C@y1 z#r}w~u&ZXDJ2b9j`A?2RA{>s_(2jq$Dk!^hGMEo~VcN7y8U26#*QI?@v)aAB*X(Zp z)9%H0inoup`{(5?uWj%Xn1kZOLJr5#*jM&ID&QY}}?O~NoXvM>W+&15zKou8&Jud_Y<>F6P#4?I4b zn=W=^e8^r8X4pb1y%b)iLW0Af^k?y%@PUJW+=_?X$V#Sf!FQb|-1`M_COgHxYtaz( zetU7WOkn*_3!W^&!}*lNZ8gxR#hSnrTJyY&d`o?A)_I@bICt;O zJ9P=yRkyr1ZkjuF=hWSEcW&IdW8?YrH_X$nrKaA_*xI%Tt6#$vce9GGm6c_kO{7FJ zW_OA$0i~@XHzb{Q6zM~E_#_((Ko%9|B>^`P17>Xzj*e2&3Zw<36J8WCE+|5(YEm^x zRnmw#RZvG%Zo`>`YS6e6oGaDA=hyf0GWLmzvVk=iz5_D`Cg&*(XCmGsm)*q|@8nwM zHcY`7@z?hCU>4@(<>gbz=d1<)=kaudX=GT!@7T|b4<=bkmk>k4uvS<6ps(YQTgXGv zN_lF=Q@6~_;sLP$X$%fN-Zvqj=7#Eu9z3H5Vw}m?^BD*u1>PgO3lie zgxRm-(h9?h221Buv>``9unc(q2jI!%A;Y5)KN=ungc|+C zG7=k^q$E&eBa#G)L^6rQBiKgbFC+ax5Bk4Hphwi+G) zQ;}HSPFmvia^I2UIMTN+o|-V7vX}Hyx(1#3KD?z0VIf ziMwTMq+{!cE~~A+c=1LWk%x!B#Mcc|EK?M7ui{vO!|gam(O}pR;)mGq?bUklcJTA_ zYaA2Lt#%EzoJ$rrScVKjBwEG+i)UHpi7ibR;`8vIz{eihKeafc_-R|}iAfLd%D9dA zmT|+phUAkNce^;NSncYa*Dz14coyxm$oG@VvThe=ZdHF6gQG z_%8Ta`n-k;;|*#wT!w{k$n+l*W9O@$&`)7Ii_}>m+Y#DM@|TDx+Db$ObsiobBAG{^ z#NR{IQB3V&7AP{l)2{JMvRg6xOKjYSYU-JMvWTV~Sz&N+0BK1{0Uq=i5bQ4GcXeG^ zFx~vkz%WrqD{S2@^WNtj@=1Y zi;1A#+P0UIhhJ+@Ip3R$%|*5+&_VXV^jSXjw)}2}KVO_MvGGN;qh#f}44j>4vi?>Ez9*W2g=`Yzwti?XJfWY$vL4mV z;k$W6Kd0G1VcTlyg58D@*@utLjHo>nQE>F=(d@T!W`*8AboAsQmpR!NoU*eIO>e8M zWdigKgocxe@$WDkP1*}MfBEJj;-?50?|a#Y46_% z@tp*qd8wh#BC19(gA;R3 zRyo|U_)mG`=d`nAU9FF*AUynVe%f9D7?U>ipRnMg2SgvFEU^e%Oi$iKuOd9XTgQGSm*s4VxObQyI*W_DkqCQD8m0;ao?d)?Hs5=!a|aHD~$l94&3ZeeUdwguNyGX=jktm6~ad z5E&0Pcgu%_LFwI;=o#=c?oYE-B)*TYiiEobV2-tkV@gUiLSW1Ce4P8NHTI9VxRk~S1MIu@-bz?#wnhX5YO3mjcG_*2FMOWZQ+ zTTKxb&P)gE?0-1q2#7%JbTb(>*aQMHFM1{Z{<3l5Qjf~C;+#JU2{9)6WM4*-|#UT&;Ws<;pJxxAc zz(TN%Oh$pGLmrYd0~;GNPMY%Q{w4SNm(a@DKF>e=n{dbq^cWszqfqyiPZORj$53GT zdTdX5$PnPLl)gt9Buu9zNFWI#o*IWNV_`<_)w3ObOBE`N80Qsw%&6o=nvHus$v1xC zLmX0`L$Y`9Ya9;OZAj2az&VMyyA~_QXwH^R7EnK>1BX)#@5FL?`E3)Y!q|dy_ogh~ zaNjl}0D_$=T$jU7&qp?-G~{{Teu>}^WZ%6IZz(o3KBfE_emtQ_n!alP(!(R_x(RO{ z*xvsI)-+MxHitu2(D4>5CG(P50~$wv?~q&+i=*Gj}4%i z96BM~q|i(}ONrS$UGk9OW?e(VrUYz`V@j5Q{VVd2z1Nn$rXU##_kU9e7PUSr4%DWX zQS|&{)3+O*;Q-aNVlJ^=<@n*r8OfLE2k*8X43kQm9Czi{+`++4m~y1do_Nob4z*MM zBs+4M#y$)xBVGVa@Kvq@SiIrXnKO+g`bPpFYEEVJd>Hla&=kXlya{FB`RgraY_@$< z5uYLsX;m(VF>ziCnn01wFW1gfF^oaFPwsr{nj<_K9p&Vq@c*&z?Qt=t|KHa=b2n3i zbr{W34n<8SL#@F&?TYQgrcGN*5~7lGZK)v%m9o{yq1KQUNi~I>N{q4V&`Clo5w@_@ zGB!mkEE>;s-BUW~x8LRYKCkEX`sw~-=9+u%x$kQ}*ZcY$-ye$XSsI5~9DI&qm`XGY zfBR({cA3pk5Lpe}b&?+7FnO!yBKxTAGMmJ6+D%HN+Q1>BNFPNTIAnNXWc~!DTx}-+ z%@DcYABQyJW=7B5hex{625gh#5ta`AZm%OE9z^6?_N(}6%&qE|<2*J!`)lY=KmD}o z)q$G>@o2|@Yjc?&M-_!wLAVAU_+Ke9@$xc@T1HrnRHbu7b#?X2$FHq6R^Wm4Gr{2g zIgXK;eGHEqii1u@&oNeDyL_xJNUoH5Uxcw{I^{a(Zs8c2OnT|w`a9@s;r*&)wBP|! zw(QlTP9@TrQZf{)H`GafSAzVXgQ6u^66uhFMMZu1c%7&R?aVd zEKPZc#UdpT^Zfii`Ifib=?}mmLg}6UEG&#G9Jao@7lVH~WZ96a<)`8czdLvE;kmKT zhE&T@e%WOc;K>LAM}x2nfgzdSl_NLAJR`9tu9_Fe5`e23JRRC)OO*OW7k+vDe? zbZnXLli$UZ4EoHgL2sK*G?{{(N_tn(B@dt{# znUD=klRl|o596nMAXant=cA5O*yyG$^qYabso!0RkkSVMY`ga>6s}Hbu(-r?q#JN( zxEBt7`X~Uh92l2;S0ViI!+3xL$FW_GdaLK!1SCGK6X?oxy6Iuzr?|V*{J4%*7sB3* zp1R*+GNC#U?T|8A;&bFw(g~ZaKlQNrtuz`P_PopUocz&`tCPZP!d~uIo8@_Wdg0Kt zz%S4{(9gn2k(Tb{=B7siCpz(3m*-u&TzzRh`uLMDJh*0_jBmnc)AURWnw$A@vyLj@ zd*ovI#5%|7Nsv{4J#~cwKU8A9^2uh>GqB;I1ixDB%0GIel@C!;gxIFYGl*&2D~0E% z_8c7k8vpkRMx+Oib2bjXJwE~9*Cnm&GLeSYSt$JN?0F6Jwjg`Ldnp{=vvw7PccVV$ zdP+-uSO(`J(geX)@nA{%Hip9|sUpQCM}WJHR)f+uKo!6o)=s!wrBKga410YsKIK%c|_z#$pG7966rCl-()dmi+|CBx_r%R3rHve;_NN}|J)MI}5F8Q}vQ#$v`I=Hss zBG#er4u89I%C~)8Ki}&|qrehv9{cd5y2niZuygX;bUE!k_~krrbm{YP*@8x-*SE@J z4{|U@qU@P?K2HN_LsLahWayuQao{pU!S5NOojNJH=o7ZGJE?3vd#Grm@ybS+= z*H6*>m#tPTWa{y5)5olQN)zZrLYeyx7`0rWxHbV6d*UtR0_#qO2!gw1!D6$EEpSL^ z?kAyxp->^p`3}CvC^lIIlPYB@qhf#?s6Bt!Fqa?T$C*-*tYiWVz)KmG1#9>Mh0M4P zn;r`XPicB!pf078F1ElpT+P!2Y?R1_fp18CnMM(?cRydGQukzdUvJB{x^3eS?Or2a zeoBPL)_hcPReWP!`MNcCv}qTZ)?hkWkF=lbgr6Vw!Mls*+ynHQ>-!+n=)b+KIfSOy0)mR%n-tq$hTyEArqW)uPB@LkH(P2`U?x zpTE+|^4OW6W5@EXp5$9uS>*(ko!vW(=)>2QNYt{NL{Wj=jvJ5J*uRP<@z8Y$s>z6t z2^1>T*)XgGpIHP`W=jPEquWp@-_uebQpoE^7#yh*rPq&V>dQ0t28{<@}~E&`8&G5A2PT(W*Nren`y9xC*%nQ z+kXWg3>qI;6)F;xDtBu@vEVU7 z>tfc3!&Z6h-^?BRFB&|AXL@bWEiV*vtNMs{hXe6(b#>pJ8x|M7zA*0K`uJfF>l*qG zk1BU}_M`$h_s8pJ#rHPipqvj9!xbU(|Q_k3tWq+sJ&f1g#ns7}3>|w6q(9|S?!+?x1E<(VP@vVP>J0vygc1#>D`+VwH3E~Tj@h?0nd2#y)Yrt z`iC~pVL+)YO(-#bh;hh5Z{V1mr}mcI)PS&+K4f7t4i6-v;55lX2na!FBMgh>se^e& zf56iJ75u{wJX++j?PkD>8mW?R-w!^=iY)XGxLm4|C?CWJ1d*@xqQh?3HO48kq6))r zsg!}jY=HSR;bT9{Oy~2j4}=W<)JC3zL6-7#az@{sOR9|;l%j0zzz z%Lssr4T52J*V^S_@`c~Ir5>u@eTDh>>nnK7e6!QV9kEHa4$(S`7SJiCPHS8YRBzmv zVg*I}&(iiUcR3i{iwqWC_lXRS=#QjRC%JQ`=9bzgY0H&5(_o3V&yj`x4SSqIQS$}{ zMRFQq?@|bIh&$L#CQ%qohhg>j;g;}I43=Wa4-hBV&>Dwck6=rsQmPpFE6l=AU2qRZ z>{Gdm@Sa)J+;)7_`Vd9k!@-;B*>iB-fWu~?nqlzCYMUQ3pc4m$mW{J|xn{gbdm)LJ z*8qI;`~p@rR)|ZdP&p9y^`hd=@bDSKw_)yj|9`+C8`TW(`1>OEzf$|jkq)YNTv=+n z#;5^@mm36w^&xY_D+c{#V)7=~-)YJIRF~9B|KxrC&r-`>ZaBL)A_L{8AS$1o6A_$L z-S`xbean)Q?Oke|UHtJNxoe2ZG}(Bw8wX8Jc4r$z|2>k4XfTLNHWxJMy4H=i80f9Q zN$X6md2+RXFw^I$&D-pO@ULxVeFE^5)b+S0;o$B3Q9EF#FS`A(ISz3gk2dXqa|=K3 zn*rnV@U1D29q{dpfjHKlmeS61Xb><9bsYw<*A@bDaiGhh?T$W#bwA+<)f6FFAD3kN z)C8v{9Hw@_*A4^CJsG}O7X?lLI2VrN0@lC4A?0`S!rBKq9OU#yfKkk;3EEWmPdFTE zLU#+z^mMhzlZn&Q@287HrJ-VRjM_C_sl=*>FS;s9mxf6^_T0L9~jM? zxbM!5giWR1>Quwo6OETR8z$FWtGQyFn2_LLUh2)uJ>nn`;zJ#hTq?vP0q9c3D2WM|}tZmyYw<>C~D2w?u&z9L6J2dbd7U7UuX_Z`pGkR#QDCstZDG@v)ib&}_C2o1rvg+lRz; zI9_g*w;A7XLOAe%35`O})^nGUmZkKUD5NKo8QP~3t=aQr8k~x;?VF?-bWBrxe*L0f z&-spX+-j(>Dmrue^yzGy(}h=0W}iNNc8u$(=Zk(3W8^<`#c(vML1r?=SZH>17mK%- zC;IyP`a1hpInS>5@bGZ%>Y>vLh#V?#rS(%w>#`W8sfMYi(2X0JB64Fj5UcT)Y*k-o zh)E4HAjBJN#TykchoakY_!fyoG^ELhqZJC9#)gW+#RiLw3>@zc-<8%(A96``pRFZ| zkwPF%J`oq_Ezcq9Ir?{fqZBQ!JBnskRz7pCOxVs$1%oru(B9d(W_BVy?Vt%l-a&*` z!IH_B?FvM`!}npo@{;@*{E;;b*4%_nXJFeQ7`R1~9k=&Fr=2jzJU@v6*X0Dui4z!j zhn!JxYlh%^-y%)h;wNZH)%F)nDAZ(Z+f={kO7YnZjvG$rZ|Jk=;+_ovZ9tO0mv_l) z*sWW=I9lYmTQT@!cweO3kku&3w^GFO{q5m9bv5{Xjzf;c`i750jBrdsMjZ)oox4ng7fGv#Rm|2dDudA~S z=yVaz_J)AEfDSTWR%;wmPCQ6Q>D>*f$-4oboFIAOPT#dLO@eio-T(6n7bZmdg@OH9I& z6zv*f&wxW;zY-f0ySr#QZQ4Ys56{ECZWnI80ZlBr<_k#ZgE{fkh#c;vcrbw?TpU zQl%)c;a(J9-EDX9f5RwOj*Ri)|jHYeFk;w0M1upLC^S#qMm&drDeww zeW=(I4(n_I2HRn6$VFU7fWiO>uWrzXG)ph_wfX!p79TjX236d+_892iK^vdS@X8HI zpreWsTw%QUU+x&|x z3o`F%3w2nH&RIY_KXyuQfOQ&(-`JY>g#$qr$~_G6d&41aBhn*U|40TrJP-gcWQf(? zH`*Xz)-TuuPV2!KN4%{gJ3{#RK|=u!<)P)nT@fec_CDhM&09TVnP&Lknkr367q}D3p01c;EzslIv1$EU0-)LUz1M1w z?9;D?XCK?Yo1DG*pt|FW7cV+GUj8I(1RixfQE+1Fg(C_YNskb^tbLj8GZWUfmN@jv zueHVd5GH)}btL0n{vn^wVYgvHDL*GR;{+moxQfcK1|2bD9sFreJR3S89y%OaoB^+t zs3qTq#o^G(^DykoT|aAJm5O+YL#DuC$2sExeqmAVdF+OjUITk0)XKD=@8AU1J39AZ zyuVBlg8i(QX!cEFdq-EHhWhdvv%}!1FX8!q3VTTdWpaRRSgAmm+yVY7m_zg-g^u}i z2V^=O^7}7MmBS?1h~r9vcqSTk@DE!PF^)eES{y!+$HrYSP3)4qzdSj)+$CoJ{@uxV z(Dm97dNNT-B)P)E0X}=#J2*7+`D%Q>Pd@4Nb#~SW$9^3Dux%yf;9Q9b10 znhNl&c}(|F<51^!m?Px{^W=`O7_ah7sJo`%3503=;P;gDAA(BFAO9SRoUR*5F%IX| zLkE4Ch{tz{gB*O1F!)R%5Q(G@>Oeh<=tCWPHA0WgkxjvWS6YqMn#Lw>)78j)pRL2i2TMnntHZ$q9WF^mu{jjYrI}_hc8y6RwM=^qLMA7M zSa{xd6^kWOu~_MDVBjf=VNq@2Fwv!9a2>)%!BTTksapCt4x+K#zK1~qp*K(B15nzM z=RiCkTTO%{Sdpf@2N^RFaz0pSsEXuy&)lhb)z15Bur+p%z)Hpw2~{@G9iP4gh4s8N zZ;^Ou2Dr1`zOs&fjNLP{BI8ShZ*vwV3B1$N1lzM9AOF}2eijFN3xu)ZAezd=Db(4> z$kEqI&wh}D_?E~`YZ>4Rq=D|CQrFP*^ibE(P;nqX&F@+c&(XIh#_!ep5jYtM=fjYq!@#mM0AWz#0Tst$3OIV9&CQKMv45EKHS|ssr14a_JD?UX=qWfNQj3Jj*XuJBdmgspA4b+` zHY*gR!Rf;Rh9Gnk4$qgX)jV}y&6bZ@A2yFuXuHoKOd6~f$yUQQyvikDyG+4Ls;wSe~52lRiaB3p18hqpVJXmp+}l0qXE`L=0}|F zAhkAQ&jz^?SV z@NqJkRD`|9n}~yIVVJSIT59x7K%Jbi%TMH-6g>`0kMsVMBEd099I?prw8cedx%KqR zXD&{y9sDF|!)3=k^Q7f?-q+`9GHk>5m9_K_gXY_=vpx7&zpd67HuCU5lds&z_n*nD zG;95Jp8snXM61d9Te>XX0>rV|j@rT@m!qSjr^B(+!FGA2L)^o==?cF2aQL$nnxpSl|3%r>2v^x8iaPe*MjhCv^X8)AN=%G+n-Q z%NLUjjoNrTp1-%F=w?14BV^7`wBU!bn197of+$^;p#GT)X)HGU-s+oL-SQ$mlMBJ9 ztvH2Es>jS9OoLz0S%|-U>-l&He@Ti=1zB)l?Z`J-u)`lsZ^`?@x0?9}{59>!y*k*L z$EH~5MmX%;I%hG!JrlaUUr&3m(1PMAD^_IfoL%ymKvZVMc}qI=er^)75wRZDH|RVN$DjIc?AB0hf1&w5@BA^ADrkkeQ@3 zC+3FYr-99@NzR(9n-ZUqvC-+-K^Cb0=NHZzbJ=Z`CTWHbM#{pa&;=RH{VZ!mk54)o zlZrfzgk3shb?Dsr$LsI(jZ!$clG*gg%Ie(txq>vEvAHw1_UhGZ=cB((*i<_YRx4X9 zhwE2jt`uF{L=j^|ao8>~2}MP*o=m@JlSiOog(C~zwdH1)zG+bkI{~m$ZgmGI| z-X?>rz+^n{?OiY48?{#6$}aa&J7#}#tZPVH{vjLNd#PcP9rB#FwB(ZHrx9=62Ga2i zCrgn3?xF(hX|VPEXyKLI-Mc+$4(G#gNc_rn9R5GTA@X$KanXHP`yX(Kt<$pP0yf3v zzW=0XBGTTE7W96x@-z?h%=ubJ@T#e_ozsn{m!KOneE?9&p5 zPh80BNb@%CjNONQ`%p?MHlTJDDi7t*L2um#Y$5C6$PGpsY%cq4&lHRLX92b2@c#=A zSx=9wuE+l2f3nLoML#>bQTRcVqLIN=qdOPwA{2RX58`hvHwybc(s`3!>U zNxqVUamZ$Ptu$c(e<%)7I}ZQ#(SmP7HZWhNl1a?SkWgS#gi4i6(D*gYqFfz=oXmeS zbi(m6xmF-k6p*$jn#HA%yGkXM>mprkoPs?uv#sCn+NgT}5m$N;ps;Yde z{5_og@t|scg11)S*u!Pe$9@)=nAZ&bbe?l)C9P$%QmBC?h_9uZu!_Iq(4^AMqB-~n zq^Z#x`rvQGN|{7xhCLP*QLM;7CQ~YOQ0q8_!oT7mSEWKC71=R`9XuTal}s*?JCTm8 zc74b!KL?o~%OH!{!yM_5q)Y$4%upVG>MI-ME>k+HSF-H$JhI2w9%DOn==4dCeq-@Vx2~kHQoC~V#jRVnUQ9Z*$9n3Xty_Cn z3!e$}WjN4cTj3Ijzy?v%eo#L12^{otUIWI@d>0>#GxblLHId<-z7LwYLAz-LKgf4kE~;@ zJHnq1G}dS10KWYDO4332%afTZ2TkmFDXBk=<~_(LW-Ww+^I|G4XTWcyeZcb`d}os| zW+1>-4#{I<341vYJll=`0cIH+&nHNAY(8FWPg4|m3L~M4f1W_@g~ycG;bgEgo$QV6 zC3k)7mw2|mfw-tVe{;#LlZ;c}W~`q{|aC+RVoA4p3R5})_6k)u*rr1vQdP5Nr?G!5RJSf46>fIo6# zs=wA~ayTvfMoGVgaR6-HUDfS(Qij4?^(e%jPOY-;2U7}Admg(%Ar`^Ir`{5Cn>aW{ zvsiiy;R|~K@6P4$fXW85&F__8wLwVrOoUkmf5Df_g~I(W;MY8?G?rx8ZZ#6{uKWRq z^S&qgkd1E43Y+cqA(O(Wo)>(wH~FpKBBc6FjVNE~41%Rcc~5jNlR=NbWmt3*1rD`r zN-T3?@$Y*|3+{`}?z@_}2By%|m=N_it=m{!{=jK58GPxTtzNKXBEG4$3<)mJrF6Sa zPMOrikjQ{RY|q_+q2hGrXneC^H}w^h9+ao^o=ne+E=4ZSH(DmF&EfK(OdStvF9}3N zJ>ZilEY8Q8^|Op5qPat%Q$fpm3Y%iH*20P6;zGF93d0B6*$548tt z3ye}oyyZei_zWAM5EKTDD_ki(Er1Is!ayo6Dy)XM-g&FKBfh-4=oR^*%0QvY| zJclpj3iCAaxB%c0z70O5GOlq#iu4cAhse#b>)%uB7o-U$9yLSthh`!Vx*D?x6_XUw2fNuf^eyxvyQmC4<}7Uz3JE zHv#XBvmUazP|K>B%-sSR4F|sB9x_YHO)yT#%q@z!QXYFHwfc`wwIw=cJ6hoAEQB0m=o=ys@}#weFcLpv4SZ87iWG@QYrwmyzI;o~thTx^ar%rDl=lpZ3k>QeK~?BS$;sfXAedwSbdjsd-cMu4R+Ed2#;kAl?$ zVC8oY??f!r993A3CbUFwlr3BEJAv+(MjLSqg*J^{eROULR=Oke?VVclVEq=|U1wVt zVB4WLtR0>a92z9Fz!PRN-r8-S5l*S&0F<2Bw z;DS=FPLmz43uw-B5F#$#Vd{X4%?gouDZe+sc6=6g_zOkCVBsV9w4LYBh{G3<%@=tq z6nkJ5shWjDH-0Hk5xD{`>eBkt27jJpv(g1Qgd*e$yM*xPC56yD?{zqYAsy5O#)>3r zZ@!f+l+M!fv3uL04RUnh19-5M1;%03B4jb@i7A=hWrAX** zT?i3a6jPzQH!oNu8Ep%qt#5G{!6P9OlKu%76bgaT;Ss#Tj;-o&hLuzhoW6e;Ol#MN zjrOo2uF!O*;Lhqe=tdIJb6~}_Qtu(tHP9ai!6mAn`TJKaV&;4wriKQY%tpq<;J>e4<;d&126?MzLr4hu)iih+R{^sX2>^uhS^yL^kbJZIyrGkV#1S z{>t*%RmnFJ%ga;CtDKVYvehT1-C9}nIUG42@cSD0x+nDhH2lqV>$-V!?hQd*vh z4`-MCiL>#${TFW5pISNloxT7QtLOkyzTUC1wsHos)l*vF5N|H; zL6Kaa`Btwct6v*lN9uvzB6zyb7A_Cr1;73lI;wFT?P0rq-b_ha&|WyN)1(hynv;YN z8(Beo*hHh$0RYcQKXwr89k<;mb}3{CPoh++czkRa z^a!ERC=2ydPWg=Ci1ao`!6@!Mh?~>xE;g4dIg}oy(-Hn!-T&z-4IKSH>|1+WQ%BmK zbIG-$LQ2|#7pM{%L{MU_s8vx%tB4e%cYl2S=qHm>u2_5eWHmpVp-w=<*HP)Kj>bm`QN??1+~n{GI^LcTco%06 z{e7R*{IiRnC=d>Rjoex>5NELdrs{!1l9+#W(6di7zB~&mERJkhaB$~*13YK-Dg0>X zVmA~?-N%WU3uf@U*fzJx5IHPeeNxtXhSdu)et?G$*$v+Se+t0q#G6`Z7CUH}7LMW3 ze_?&tBah7ksdEmmUVZrRXcwG0BZ1}n=;MF^8}k7d9IHfEtP~~^G)2=5@C=w;aBJ>> z!~IsbVHydS_FZ$hU%%CdZ{3*9)>9~g6p}!nDf^G-M3WR-(M175$I?XP4n>5 zdT=KgIvCxH!%jU!n8?W#R(eQ0>?e#p5;(j4d?=1!`ZgIHQao1dn7)Z=p#;m>Vu1dO%M_rOi~zcoZ3XL`l7``m#qy}@Cw^tI=N2LmKv=S z`THjr3V1#Gm)ZY{iPeC>tr83lDW;o?ZJnU0PAPI}wyuZlZ`7$71DnrPVhB@g_iKk0 zCh+r+yh*yZU#~+SQW%Taa^X@kiMJ_*u}EOy0#K3}LGs8>9HPZwFCZC(2rxaF!WNpX z>tW^LKMuR%e*lL|UY1{9#78Us722sf)ONQefsJ@ogCBvZN34=rGm7XUN5zFSW zbq>@teDIb{k(6KUjZQt50goya7Kj%__3dt#m#1207Bt@si1f@0tZr)ci-?#WP@T@{ z9>+30Tr}XYOE`L#?~EUqD&t3k;dn{&_U}nf*b0s#jvDX&{QY2rHIg|=pwENIynqnIRXcGzn zFgXM)5*ZvKvG6F8L$Kxn_o%R!5nuwYr*J6_+Qn5sScf$y+P2tavk#$h=O7me9P=-F z^&z`- z?W!dW_}C)PPb(}um2&n1UccYr5ZITdI2B&mlz@9RJount(vL-jS5Vy7;UE5C9Cqcg z=RHJ#{Or;(dAn#9#?rS};TWb^{F7tzt6N`qq{}=8haY?lubSb5G3853z|G4bv1p7l z9WXEfxeRC!-Jwf^&n6+KgybX`_Fc;05kT~O26BJ-Zchsil`V(~u|5>#(fFemZ8f&i zqR`Zbg>gs=#DPuTesS|L5)rig?RKq8`NUjc;@c2BPQiR05Qv*_Fy|3iv@NA5B{K+c z(5?l}uGBBU6Lyaogui?!wOTA8%uDxaA%`JNJYD zAyzlH|D~WOxm;Ogap7Q}X_KcN@cimQ?6k>)`wUBZ zb)16qOT^jVrlGNJX`kx{(0iX_j>8sb97Jwq-TQjldTkO?R~^0@>ug{j*FFZ9lliyj zjhNMfcGRAYw~?NlU0ET>J==bn-F-N@KM84*sV(z%!1GIfhr_XSFkHW_(RBs5zbu~U{w)PCX z5ru*)qT(~!!zKu)1gIWlYlKDTDr8f02(L4KYph5CAOq~U81A}?HTN^p<0?)b0ctmj zoSy`M*Yge>29p_$i<_J3i<29}D1>bNr||Gm*Ae%i!i{$YzyP+@_VyG#p* zZSjd20NI+=Jm_9%?fLW({MP@kTwm^y$EHrh?d>|1x_%nmjwYtw4-2JArRc~G*q`Aj zcO~pDz}N)1ev3>dQ@w=75ab}8h3}@x#X8||2qm!yhd7`CNx=XRe|Tzxd#Ke) zoldD&JIZ8ArB1HYiO`!!^d7Uz%-uWmV}vI=y(t{Og+>tk2~Dz0*J*;dWbdJD87Rwj;;NZ0Yt%o)$B20$mFL zhI@7{PLb?g<#0sO^TpSs8iT>d)k21xg@f>Ko_f8~>jMa-DQq5_+*un?RH8$vvAA?S6gVf+yxgLstWcY^^wJ*0dyFM5FeCQL! z7NOKop8p9{WB_m*?vE53BpT^0_&VJiFPrS)T4;wPn5aI7^dSwV!}TsgwZV{pB7-F6 z0?jF(^z`)NeF%pye}u2AG-87)>Q^`dDXmFxrlW&UsPfqiL+3$L+sDvgK#=r*KT8_; zNgah^u~=&FU8_?`q!L+)&rm5oP+O&!2$_R`P)CVgrPeG7OVq2RVu{iaIbW)mN>s9> zYPni0QA_My{w)p(kdqT`g~|Q%xdb^sd_Gp1_C@BJx*K8Xi4KDn`XA_zZ<;MzyJ^CRTiMFAr14V)zUbnDh1v{U!$#SE0PE$UWef>HpOaF*=3id z@Vf>2aR7ozN=b+_|c%vnS8t0^y%9O(HCRIA*NE@ybRc zE`bd2Z@9| zajXz^IE6>>m@X*ni3Ewb4$o~jHCToCY)SSYvYL^g(nGR}69ubQa7bVS?JAOOEyY4f zX!hcoI1~i$gd35D7U%cZYRe0eT?Sk}HGNOom$&9-0wDhh9@Wd@Wt!vgPACoZzbd9W z3gZ&$--TCtaoDj6Yv7%_P`$&s4e$VV%$(r+<|?sQkrwm5@c9Z?(2>X98T|ylcUdOR zo(R9GMYd~!0`82{tF3>6W;rXNFPP0iLqO5Qp8-Rf$QCiQL)cQD458Kt4?8y3GF<+< z%2rH_HEO?4Qx+jW8sU^sH{80XfM0rrDR43HO!H*`TiUUrshbOUcK85{m$7@>OfC^1_N&ThcWx{uY zJ2ZR!Z3vHFz||{WU8aEjnct@RGFusNPeAzHYg)MD7-rZI`2!X3hc_YIQIDIe zdvSq9!Y?VK0n|L;X4Uz-zczb>4rnjyu;|^X0tU)z^6GJ$A?Vy z?6p%lUG?trr&S?3}cDlps1uEB%~Ez`lsWN1~)|;S-Y%hVctJX@Xj!SrFj^0u*24I z4s)8NTH|d=|J&!aJ|B~@!EU1WgEi1TP1+gg+l;1N|n%=l_9R` zC6#8CHesKd$z#(z+UIfb2k*bvgh_I2?HOSXd7n%Tg`~Jl7M-Mf^&z|5OkS5stx;Sg zB`(2GKzJ0-lzcIib<>Ajnk7Z>NQM%@Bgu|`^gqyt-a5Os(*E94s~sZ74k_sWZ0Ch$ zNo?Q<*Q`a3ez}MC?Dm01fOBOM=i@yj9v-z^MYH@n7E+X?~@%7vh z)$tMr)G;MQjl-_#K)hj?74vjP5tT$v_j9%qMJM?BmiXr{iQfK^V-7>33C$sMg?E{z ztBEt7ZpJt~k264mP8?En2MdD0%mq_{jzpq3fbB8@m@vaD-XThdBXSyRK?Fh`f$rmR z2!exe$Ap{SJhn+6;sBAt1l z4wuUXbN+lBhRNR{kpx#vbC%z;)o%MS0+v`*E1Fz{}P=f?9=E0nLW=!8D8gTczFYGA;pO(k3Rz zA&GEV_9YS5Azojr)nBmr8v0*k25NF}eAE{8M|Al|}6%1)~gN<>bF*}N5p2HE> z7QmDtWuLFt)gOXm??=vjv`1P59~|iqZ-*XnpJ$Qz5XM9Wz*EnP`Xk;9lJXh(Mu6HwLxCeHsMh91wilv4R?`y ziZD9s!G}0~wAWe+xLr6z8y5=$?^y&Znk8)mE=hIpU|DHYB(v;wz`?ksup5LoJJ&?g zBzp5UCbQfn2`pEJ(g_%jC-YvhES>=6{};#D<*R^Cbp8bza5{-AH1WT%%k`pjH5qLS zj;1Y8KTrej+0PT|6Jsuv>&0tic`gfUx9BTdQYBOJfe!~N zh_Z#cfO6{<0U*M>mdV>tL=c~up4hk(G~7CTq^bSk`9~SFAP+SB4IaOd5peWfw9n3b zgS2O(_u{n+S5SVYkmkLkeQ+iSe!o>k_pr+yVqx0Uxmn-7 zeP?D$y8DN~e#@NG+ZIkQ0l4W-021s9olX~4djK$j)rTb4BgcaubsLLC5Lq;5PGM2z zYii|a$qNhADb{~f5E$HTk&g7-Ft^Yie@58p#L*}&*S(~c+poIEyYavYAmHdv!_Wfaq4dDuSY z;I41|-ys4h{J}?lhWX}(W-9uq(88As!XU$$)zyE%oN;CHHrW4Yv*4A-a`eWl;K0`o zdSRR@VD4&XGLNVg7>8ctTWtNjL&n#%2I2GJg-)I>^RBF*F%GYi z!4OWUszOrznDKdr!HcJ2`kO&`meGf70|7~L{}dpCK;1H?uGgYY#?XSRWjJqx4!(`f zoq&^r+PyxyGq_^_ZVh#F*WyzLGn&{YP-XKNCibYTU~otRx*!`gRFP`MO7QV48@(n` z)joIm3NnwfdURYBsu8L}v^@vf~}EUNtlK5|~vX_twf*5;4v!w5NB*Q+$tK<#FCI3PMZLyHea>HH=@ zqjo(!Uo0DojIa?tkZi(jq6)a%rU^bVDzyFNejhNEmKp~pZ-bnS+z62L7-$qmnWZteKTLOg@JPxnh;BouEBcbI#zMUb}7^Iql#&n!vYnu*yXPh3FLt=e+X&hXxkr*_} zh>wtAqQD9GxlSX|$c|{CCKe_I*(9P-;Yg9xpP~;Vlx(N*V^t;dzFO4E=CQwXY<-70 z*pMc7f=1*-PBB!O^4PVltmzhe4`d8dDbyGe&EUwta{jOf4tbMd zmCO(-l@CJtkOB#nixVXVah$w~Ktzv|ED*|CSpc<(Zq^?CDeM;_Tzu+H78LA9-o=$jO7J`B>^19CDGf zAeik8BsgyqF%ow|Y)b+lC<|QpsH3R23!M4}xy7Oy0Pf3~-fX~-XxY>tO_4l;&D$ix zWADHN8cn%M<+&HOF#2$jv^>tBPj=TTuXO6eMh(s_0tcwwA`uFOssj+F0#NYeqgI(% zs4kxf2Y3I!f9*W>2k=uHM~Ud#EjSs0?X$YNW{Fg)oNiIminB5|o_;I?^vW#&=dojD zP!S>*N*uq0um!D?tM;yUv_vhR12@YTn&^ta{wV-q#o1xrO%d76*`Cc20bxzq=|bmt z^s73Xcs(G*iJ=0LP}=AK@0Eycf9Cz5YSWtMxwmilZJIH|&MmVguzHVYb#--CW3!K2 z%%rNVHx`%WH5Z>fQhz(WzQw|?aeRntbGCQ7r(cu#_>gm}MwB)`b4_6FvZGB!V*Nv) ze=-)Yz%`$&P`nExEF8E2S=Axc=GE0{am21WYIU6F!E%R_dK^%&{fImsTXsUWon&!X z4&AEZD9?O}T>phaF$HbB8Srfvxk|5k58lyt*=1KD^DY<;KZqB}@_oLAGglyQax$D_ zP|HM*jc|M~54K~(z)=s>dg-bi@BoswAg{8mR;qFEaovQg$Y5*VEdcnftsoiRS3ZPu z9AxsQkx*enbBRQE<8_rxZax=I^l%t)MkoxVJzE>vn~wlXRsb;`_-gTH#+C%#syZpl(f9rles{@$#qaSziy7#x{yuYt_RU->h9LGGt)|(~sl0a|-ha%Nu#hvqZc)En(3RXp>rUQ$mC7Vh? z_-ijU-E4u==S=M%mw7pBA!#}+Q!E%1#U_)LJpFUxGufx{CZ;yfJn z_JAjFVgYW>1J999`hGtJG>fC(>WM?-R`MQ0_|3adAe_X<$pm{R2sdAZ@R21Td+RBt z)uv{byDh)Bd{Tvg$EQey#02l+kgRLrYz-g@!LX@4Kt$0@9-CfLw|3@>wXL{-#SIbw zRrAc(?_H_z@5Z+&L3TMas2|j774WTdziKgaYZ}K30O=gna|Gut^NQWE4|NJpI5tAiyO`4EEi|U(}V~ys;^m11cVX`44$R(}1KA8@jZ> zgBCoGFE0Rxmz+uy4r?xjGY{1d-@bk3+Iwr)BH%4w`!#ymzI-J5|7d)^_Ur8j90A)r z#d(+uYA@M81i!WKb-VnZcn6I0VVz z5CkdccnsOCe;MI0EoT5u=B=|fLan=YJ)BIgNx8H#DSX1xrLR9DK%*QzlQ&{o9q~$i zNYmrT&R(1{$teg-Jrxnq^mJ(swL7ytAp71!pj7xjpXW)Mq}!`L_&hUzrU}4g4OST6Uo9ds{;KrdWtCQ z!HE^~vYW;a0;)BD7R29tctXzU%wu-|2#E~?k<+=aK~H^1V`h$tMMrQE2q~7)#MJ0{ zB=4`Q4|~KZY&3{@!E{I0CMJj=7o8O}Ip3FHzh!VpG6oaD5mQJArWnmacU|}X4;biKaRoM=}IX0ll;>#RRsYK5p_gRcy@v3Q}AVxgSS9<6ZA3(>=iG3Nn zD}W|#kw-~A*8omS(b9!0zg%HC+7S>2H+!0_t`mSIF%lZxR<@ImR9e!r{Hs@&9+I)qSUD7qVLJL1hMI zmE1|p>LjQRYGHg$Hy$jH#ySObm7@r>V$6kSI&heT{KGPje#joLzc~KjyYLCz0B;c^ zb3ybf)g%D)DHqO-NdSWT)4}xN^Iw@~BzT~M#L_V}0se-^hOnJ;=dzZR-Bg&e#(atH z;f9;^PLHuqRw6|sbm)TWPzgFPBwugdYK=y5mRobf$ECpYK2mBcQZmuC9%liV5)ATN z00=q}b2Ad;bn$IyicbQ9?(uvA35Vr5blGLb>fr4`nK(IEWC9BOu8pS`hdq{y0U$|q z*v#6>ZaAda2$JspSJ=^sL+45Wvcj2ufgKypW&FxihPh=&7q0WlSs43rS0aczR6c5e1=t_<^% zQ&f4Ac~wA$l53S6aDU3>eJV0O!1`)?hS%)Q2XYs$OIdelMt%MSCR-S+(IhxjR8)Kx z@tkFMZzy>1{0zcEydCuA?e~q!UorveKYv@6~QcC=l4Pah2cqs-M0p?Ea2hPl}>`~PgBP#$Yo>Pm%Sl^wyPm92yaR+yEL0B>K zfGyr+P?uc>KvRcDiIx{~32U5FpO{NDClc83!{T)+M6c#t_FEse) zi{xU1uAw2RBtOFi{}kI(fM2<+-Q{s!r4NB17y!RS&?rT-@@PyrirP@o#c@O z*LgXFzYf>{;S>{Rq@t^D^bDxj51+jD9fYqPK`t7HwuSJ{fJYF1WXa%=0L|;7@Lk2z z%dBn7Y<+Um;{v8UyJ4GoxmM<#3U~{=y}c+Z@n(BCP+v==aLwyq4$Rw`HzEgsn`26l zL0hr$6EzB+2-@TGLILBJrvGgdvY*I;9v?>mDuqaR@7$g z$-H50ZTtW1TMJx`_y7Msm-C#{*=*?IHXJL5liX@Em&~mXQ>tOCQAsIHIi!o0;hc!& zh)AcjnS|<$%$!WgCD+En6m^&qV;qYxxlQ?hzt1^chi%z^uiswl>!ov^^PKZM-{b@+v7}Q;m7O(Wq)0tbOAxfFWHFm&&o9Vo?yu44I4GX%M#|X=>lhVdo3Gv>tFh zT}0Y}Ehvbgj16T$P>Wui8Xs9*3Mz6VQEjF`6{-b3?{<_M{tpg#ub}aC+Cno&$Y+ z2JRDCZ!DaNe0IsP-}s`!99qDlbWem%+E4;YNQX@&Fr+A7H)IHdXs#N}(~0iHAH_2@ znZl7c6k;?f*iI87&cBSqbE>t%1h?+f*W0zcpJC|n%TsTCmAqa|KHm%DQ44JY$66UR zCr2^DuwzdKOe-zjApOnoES1R^RW&%w8|wt`oJq5o_AR_`ClIo+QI!j~s4NcOfbAw9 zHNYWh8<=kYD9BE%Nw*&$A#+ZE!S_{1HCVQpF|HPseTI6z$!Heyt_NZ-&4`H*FmF35JuRZo_xvSMvh z(t{~~cQo^UMHNHR#R#=mBHvgvwLDCT*kE@->N!_iT5wH{B0^l12;)f5W%_MzRIg&6 zB_J9r&6=V-uQ^hoOMm#~rNn@qM+QhfhbUNnN^@^UkdND_5G5vRO0@M@hnSewBQ9HZ z8B|$Kx>YmPh;_MOs6V`82`wZ!QmN^k<=}wLj9IW(kt4T=I13QHqM=^KynN;I6tP&7 zBM!WtWrZl$FdNHv1|&wvrJA%;;UML4h|T-6ipPC>O%uKj;nh(OOTL1r z>PjtiHqo{BvCLKPC@+7~QiQH!`%d+k=)f1?kn~tuW@aR;Kas_-^Cn3@TF@~aO&wIc zwVlUl1!a=g3Zc+Vy_fAM&g{6*t38^!X;j#0uXZ|0F(~a`uY!X1+|WYX{tG@XHL1IY z`;$pktFD?`+E|+gV zv7C0jV)d_l*YqjukhvJ^zV?5lJ+7DP#i5x$zyQq83t*#KuUD8}aRNo7{4#@@Au<(jue>?bCDi-TyfnUJ@Jd=e`ppt7eswYm> zvR#e+6i>@5=k&#Ty{sfA#s;Ac%a^3vDdb}1D-h5e$F9NQNAQ!*Mx%0%*AB-x)NHF> zkdY&{DcJ@E#Hpp~_AADr43-y}N~K57YMrp7g3iKQ=Q6~qjDA|suLo}`jVn$Ok?_pw z)Gvua1&O7d!3nhRkR7SV4oB!vY|SMcJ+mp2qT~Af(KJbOC2ENwds#|RXiqdkPb4gg z9E4D0A2c_HEO5+8?7L#uwJZxe>B@QdfCQP!9T4)g$Me3RK`2I3d%>Ws=$rz1e=MD3 zN0}q{>DHSsxOJ(JJnmqB{>I_Lz@NRgsE(Frc%FLG}(%uFdwdHU?0*f#Z;6g7pIAH=8h=c zOyoHS4qDjBA{+oVAgL1%A1BEhmGc3N7t^PoKSLt9EJ_aExOdG`$2DfVJx?S$ zu5m07K4^D;&-tST<%F)6P}->1!`IHbIXl zE3e6>e(~|Ingx`mm9!=L_IRNEr&@UBZ8#WPxNFtLpX_&CWhoIW2WpP~QMPr;n{sFWdT9P$J3m&3|bq=H%ym#TPRafWU zZ#|%cFNp&ruZ8&QG2<%XfPilHmyL7rKLOQW4#q3;qdzUciuoIG8aeVSfTB8;Q4_~L zgydhrw!yDqcDRj%0vbI6`1N7iYWP}?aL+WMYj>FB9vLs#vBGs|9YI zW-W|#$<1GXLe1E0@}?-ol!j1*Ka@fLI<97S)w5J3s z6ZSmK?PMihzu@%l-97K<73<9gkLlj;l+Eg;Yli%Gyl;N{Rh<@j?Avr8vi4ag=DA_J zbcem_H1Gd*Rd0Qby#Cbce1VoYBCl<}<$z{i5Uz3{xkBL-fpa&P;8adftPzt$VA@=) z;u$_&9S!?X$~W-ct8a#b_6Rbeh3FQ*7eijJh88l^uVH?fR5s{7D;;tB_T5`!S&E|R z)I|WFe_09JBvdmF4TDOhnW~;Q9RtRs2v`A0+xec4pC2%Pr`n(~h!E)-y_}0sx9d0K zp^GglWDbve}`IWu#WJF2w? zd37)P!&$NyML9gFnA;N%3|H{3nJH@W;$awQ$bnWKndh~%+Xuk3OpGc)s1QQ?tO zr;?MC<1T0GLqdXr&e@u1pd~`f`rJ&6kKbX6XNR8|Ne9kPOpLe0qUhH!en<+vkky&E z%^TD+iPcNmOruM0-t2K^Dl)0x+Sr_5e!*0+c|+CZ^`1Wn4i{Kq515Z2|5JltHND4# z=?-RV)~qorRZFabV#hd+8RNLibLYbL1rw$|cvMI0sk5iBD0}hN97E6ZP|djj^4hWF z$_YN7^2O?y(dB~<2W1^*h3qps78D^NKA(0C6X^*KpF5tBU;b008HX=gXtrh{UyQ?C zSVq~^(iMyTnSp25x}AF1)dC(_3#Ca`AtC(RFC!M6L0M@?98;IEaNCFJ2W?(zbI_od zqjN4}v(IsB)h$Ee!!IkNCFWyotLCZ>!yubUJ2iNuE;`nCZWtv>z=?Z z4qFpw+3}2xJnUMEWm9EhvCO&rUVlTqP3s6Inj_neW}n&liXAqSLp~7+$29Pu8HfKY z4t;lYoJRdQKE%s+?z;OHTQ^(kpOU1v<>_M7ZFb6f9!TrO=2k%=;ea9eNp_LfLB9jz z@=fa=H8!8rj}J2o+1dq&Ro6v)RDqc%cFYihlq2^f_7fmsn;)xWg3+g8X!j4 zUND+LS9D@H+l<4P9u50OYYk z%!2%<1$So3WootBLa)&4l9INlO_WJzi!#Y5>@c7M>T(rD&&F=lvsxBdWzh6~SA#rs zQfwdAo+pW)dXiuo`ODZpCRIflI-R*4se3228hrJf#NSx!+02Jnv;v{c(}0JsJ`7l& zM$tlUB8uF5v-70@$BiN$hA6oN4H#R;hoj@?TQxjCov@S7J;3LEtWP(p?lC5iwi17(A+0w7{YEl zmjkQ=sN9%}XcK3&55Nf@!XrcTgpVt_U57*Kudo^A1n^!eMLW~z_G|ceQ2=|-@O58| zh(i0&l);G+O`90au%fX3ek)p2r&iv#iNGULT||1^s4mfw_$VVHrDS2(jwR^!6~x03 z$;XZJT9oj_=gFk#0lL83#pd1I<_@(U5w@k1>4VeB{og5ESk^cUNol+QBhHs%q*Srsc9;tk|Jx2!;o!QZ1~yV zamG^-a>Kp=_!@(4O&NN(VLpXI?9843Z@lsi@Ffu}$K?XN{>gVRJ`Xj=4{O%uHiYj< zl8*8UhYeT*qCxO}jG{QjQ43uVTJ~UnZtkWPkwzSPL`Ffpn_iyvI=p5|GlGmt_{dGK za99h8q5{6ofnByVVSR{mlLf>2@A5{yXE~RyjNDB^F^P|?#Y6$SUMEL;yT=vTqm&Km zi|tvCWO>lEIFx@|1@U&q-gU3^ktz*gIYUov*tqRnCyeZD^3;+;j&6k~jH ze+Mf<`Kn>0sfFd^m9kJ|y+O|B^@LT$-}C8~*fw7~kvG*VGcF9>++%9SuHG6LI(9jH z9HxlA`xPwBz?P%=cv#^h);(AU@0y%$)F;Iud$=(`rPkzELLXwYT!hz>bL_@;hp&B* zu$d3})!;^wN)fT0`a^h#Iih&Hwsojjp-%c4JUAxUJ*muErZJ&3A#y|A*E(BOu{2^@ zI5yO2vw9NV14%a zith)bjQvRr8{Ef*wA$H}B17hqA_%4U3<$ow$yM3sK#JDBy|6HfXw_|n8E2QFJDX8n zv=s6l!ME;eu_nWz67J#4=0azQTApU}R12DM4ROezoG+vD?Bp`3#bkIZ8nGMRUTc{x zm8pVmRCli5-}0ZvVQOD+2$jn9ITv6)-m52J?K!D9S|1qz5Q$Pxp1C~c>yA??YafEc zr*q&vwZ2%I7W+PQ#ZS={93$i!xwI9=A*uVKsHwd=rl8nWO`I5p0$MdFxZlvK1w}R= zVz)OO9v55Vm7=ctkw~*PsYVTB6B79T4Zb%KKZJA2J&u0H#t}@{{{q$F+Dh%~x99M6Uqz;mJDHTV zv21tL8g{gR6?#pvT$(-}TH|l$!=@a)MsE6KEl4*u@>7r^y!w>dLTr(J8elF$t>Nc# zu|}>??*&KHtd|W#I0W{m$))m~Tv%$TgDp{MFFH{eqJTmGkUNWTO9`iRYp;1v+VSk;l_8ou0TaVTQnr_KCv#REo4iD-((q@qruf zREG8qu3E^S_K>;z+lDxi9Ni~&6_4aBt0)paFUv@=&P5X`OsnOeIiqLPTsT*VxA9xy z!+CA^tABk;i9~0nlw*k$!*w_K^}bq`mXjY9H3@G?4|wHds92?b=XdqkjF1r}z+`!v zolV%A&@+`n?cac0sZ{2D51<`IH{+0BdL&y1lb@t##Y1%pDL=@E<+E0YefBdr*|0QY zYF}_cL4e4Ry04uk2jB;Xt%0+aV_QaPUe-c=6crX z51_4%lti>zr|sT)rQbT3LyP{yIBXEd7NRX?{2~UV@GT*zlcB|_znsD5-tN3jV-nj% z@eNPi7hVPQP8+q?Qs81K0S%oOJ z{d=3sWcX8d%Gmz;Sk%f(KjJnTTaJXI$NtWvVYpAiZ%U4#U#PBJlcy%QV?S#xvA*s^RSAcZ)1g>94)-B@A{9w2-u zBEBXvX%H3rYjP2JO&4WQIk`t7dVVot-cZPsiAiFoq$~8F7x>Zlfq@-ku?x|1Kg&BR z=rUe*lry2#B7T_%|Xw6uPLQb)h0d1NMvBV`v+B zv0S6W|BDrhVuif8SW53M!IGQUOQnI)h-0Fa5~c1K-_b>~QW;o^5)*v8-{iTz zWhz6Wh{bo;NXp8B8{P6hZ68v#d_hx~0oj^1O93Yo3fU+93v#!&Vry|o8#8PyN9q!5 zu0k?Z2aSDF$k`K{L`}mXVW!dp*z?Hp3(48J(k3p}F-9-PHY0t1?8oQgJng*{=k8uc zZ10WdjB)JE{aRI(=k34W`aLr2LzUgiIgQl9LS|=jWcLBT-3Mm`v-L8S7||Bf7ESKH zFe}3N@Xw#iv(DL{NJ74!B?K!l4(l#U9QxWkAbxFFe`pYwfPXb)P3f9!9@UJ)dTYZ7 zDV{8d>CWLr7h<=B5eg_y#N6MGsV=Qe8lNyGIArjLGzJQ$03k-LAt}XDLdKw%Nr$ob zo_a~@zc`3f5$Y_YubBkdO=x2_Wa)2L6bdpow|T>Vy3}UxoVXyXQe(*Ry+e-)V2$P<1uGEE?6_5Ql`f4@eP1{fUJ#!i78{ z9!ZA|^?U4#6wnfHRF>s9d<|^O;4RD=9-4f2VfsqDStVl>khR|rchBzc|8M1p>qfyFFo8jGk zmSvi8XrLgrMg!3tvJTuetYk zN1e>DO}J)_V`5QsKav0>@4Hqw*VC;8wajk5@2+NyzyCbFOmHaffKaDVTcijbj78Uv z;)AP5v@?SwyGg&^J|uKzc~KFGVH9#ky2I4hFu%-kRILfaiO2@WTi9@8ilc;;;=@^VVV(gO#N!nLw^GfDGs?zm`PDW;S9^j zcR`C$b4#ZuOy;(LuiUS0omq+m6zj48>q+cJvBXz$Imz^_!Pd?Zl{IgBUKQ0N8?xJE zZ(=5_g<&|Xe{TN*Mt-U@LW^x@-m)bx}&X5g(F_ zHsNGzYb8-7L_a%IXr`ph+y!wICuq*=8TgQ+JN4~6d``eq73Md*#WULUefV&WSs<1Y zCqh$4jjE|y;Nvz)OYzzG_%O_)6oZ7~IynM#j-)7;(~lAAdWbXP@DSPraI@>~_aS^05@O3M?$&@)6d{vXuf?4#+a_0x3}8g&EsJY5YRhQo2I=im^l-VvnYnzGd(CfA%AYKqVWya5hh zOWo&;pOWAZTMBEs&iVzMjxczmShaxVJ@y^=72xpi`$my<3mANF#HR1yO9`>A)4v0= zQp-h4pumKt|GRwHAdb!UfKR5_*x3~R3a1e(^uGx`i$k*`?}UQ`qS8kb6Y7A@h(nKS zH=@pFq-DrX16X45MxVF0m8vbQA6?E84&?JGc=)zNOjFxaFn1sl;oy*4O5`;>j#)h7 z8-`cu$m6(_SLW$ zKgG%GSMn02*b*L;;* zJfi3+ioTD~gVE@O0f$2W-k#vuZ% zM0AB5F6~nj(qmZGrQc*LieYuD+imz0IHZuUUqc*{*iGPUXxa$J|KE{{^Uj#wd=CBok{7B{U^_kRqBi|zFKG}QzMz^fGm zm4Ru+`eN}X)n87Fy_aZS9VquE}wrEAO_&E_Y@uZfRellZgQ-o(Vt)gKIj#A3BZ6PmRgKHnR^ z=2!SoFO$k6?nc4zAC}5gGtC6|m!=v_^ z)!j5;aG@{8FL*C_Tgi=5o70VI%Noa?VB*(q|uK~z~`Bf-ug~Xc-0Xx7cX5k^_Fk{ zTkOMm#5E$_lm9v#rZlMgidzR4UCRO|YatL}Ct(jn4YG~3`~=oT)bxL{d3p?z7xUh_jj?4}sdau^w| zx5rTEYK%N%97;a+ZvUfoS+DzX$Gf19{+JILK4FH9ZEhO43I<)rvZ#RRdRS;_43gA-%{H) z1xfD$Oy>UmehP=qd}FBPiW3Pj^P$6E@P}_&HvSojAG-4mj}VpMOpzv0QQ*DDpMCb( z^)2<{*e^385?U_C)@9y68ig6qGSrB}{ru6Px}H1lY{Z&oAlcS1jWu(khT>kJmyzr> z486Lz4LE_^4+;4 z`6N8nim&^Ey&A-DFA=vP!TFpU*jiO1j{bM+Wftq+qvp!7Wo0(l)@2x)LA}e8Vs#Ss zcc$+#C?Kg-bEUb`4pDq|mF14MKejA#Dl*i4y(|t{6gWN`o0n+7yTK*T!r>z0Em+b& zz)=R`H5rBa{UHvC^Am$f(5arQ@rt+!lwFHMY?_+KD$Tt~cA2F)VQQJA%v>qKjNS1@ zeH`-5fJmg1SjVaid2UjED_1I&#U#7`GW{vouS1`Lb~%hj6!R~)4>_8*Ebs>cMrgw4 zm-#8OG|m2=4~3)*g5Zy4Ooc@>i5btKb1c}BBM~hM^Vrp<$LcjOG2o5=l z)Zx%XDT`7$n&!JONyp{i!iOkxpzd_`L{}3u_plFfJ+!qr+|5HLw}uZ1vPjSy+vlv+ z8MKjjzr>N5B>IH+Z}8jGDJE;y`EJjZE}*@UZZOZZr2)s{ZmpKF*^Be^y0zWmV|#5f7rAR4o$-$ zYXBZG_y`#qF^#t;>i4Vlxe8koias|`wFC%KI=e27`+Y`dWdX^22m0-!pv1ot%d4_#?K`+#2*M>G% zje8---5g6%Y}-%E3DejIA(*L&GP*nuTR7D+G$ zyt(}QB}*1-e!=)_p&z(p?UJ>x%zM_a1aZ-J%cgegHnUs%W09u4XLg%OhOc;~eXwX0fF$i;bLyNn0^1%X-@!E}=~-QZ|L8*fysoZET7{jgn#_Y$r9P zvY0{&Q8}zbBBm%>tIgPKPUWx~-+j+ym)(8sm)%$Ue&60dziB+rGtWHFHUH;-o&FaO zrxf@G%@b=hoowPP{{$a$^8;dilasS0T8N|E+r$)CRv?VSY7tVcUJ>t!sz+)+mgA@q z(^;BofBve2w;zpN^yPRWX8bdJ$dZd0H3^@B&;IxE;Y)RFo}DAC9gsKy=aF)pp`g&O zVMzRp$@sT7)mEh`4J`0-ha)Hqpwsa9A3!l0Cx#4K5AfL>t08dT1eswR23&s3 zNa;2BNgdJUwXNGka6<-WSQ@Eh$Y11~Zr9&ks=Qf|uWvrl$JxZj=SgnmuuC`(u5_T> zRL^4~eLv-ziz6Q_9aCZvR&;#KgJnyXS)9I}x#BlpPHAi(1s^*<&%0j>hb)S~e7NxD zh{wLM`$z7Vlhe>^)Pc9BUpWQuh9KAbC7}pC>AZ)Bl&ATaw2-O;Y(2g7=n&XzLcVm` z3FsJR{V5syX6QdvKO|p0>b_P8{$I_9h#m-&lH8^4z0Q&99PRuFHbh8G#fJl-gxqJ9 zl46hPaZ0B4tFMRYLAMkSbEFdCTnH3nxb%VWHKqkYHj7}DWIFVJl3I0RpRon%wzV`W zs>1T->s=j9+JaGCh9mEs_;-FfIAMcZ?VSk+4<3AHPGrsbBX-T?B?A z`O&GAV`g3}0hNsxaBI2RN@={Sbl^{7HS)^7@p6Jwon__9i0qTl@l%uUB(2MM%xQqPj15hMVvlWb5vQ)p;IkE(nWFstY7hoP&J}ayP;NYewOp3AE)&&T zEq<*zW|m=ix-%jzko_!eEijp|A_7;h5|uB)ziyFo;d|}$^29z)2nI_8-2CF54jIbuvDUZ z7NnnIU<-XDf=rPV=TkNaP222bFI8mkz_o)g9-2~thEsu z3kBj$3OI)U&mCBN`n^cvzmAx|X)_pCpb`m0Zj(tt1s#WjrV#=^QH=sJgJITo#2qYG zjb3_H)O}qDi-HBO)o5nX$-K_Ud_2{d(+P-vI>W&N)Hrqiq+4m~`eB_e+=~|-O*bE4 z>V7_AI?cN{v;Sq5uF{1-HBPA?ggge@K!6STF8@g!`oYD8BjRKs%1q(e&X-v_hL&%b8Rw(RN}Eg_|Lzb3_gkzc0YilG2m2wjFPE;2!gEOQWk^m%_; zkNtmx59yvzC>01r*sbHo&wK*s2xK zXkZQD3XH@0lsjFc_nj$^J!$!5+1pD?j!L_D^MgmPDM3`#c(83!1O`4ac?=3$fG%QB zP4$U=d1(sEe*q4aP+c%PSEW)4WHwRvl=!}LNWk$;*>e<)U8YUnSO?DPB0d6|G}Zwuv1}@N08h!_P*i_8N%EIt4;JJ z?#FH6u4cdS>5-3-+s8)Z_Ittdpj)7uBg+w71Gqs^)EziAB1fJRb35Egx*W{U+(RK| zCTl%VOt1ZhX!aD+ux>jJc|wgQMV}3r)lt)iv&T3j>z&BD=Lc~-ypQ>N^3lu;WZLI? zUzVvl41wY5aOkJJ0IM*EZo+&>q>R6UA(?vqYxr=%%sWvYGNHUScGv|n<+9Y)>4rp< z)9^3FX45m9`>kN-6?>qPN|Hw~lwCP|(U>FF?{^9t6<>xCOlUCGR}}-)pg+@J{=bAn zijxYPtuF4u&P*S>VPvoqw#?tY2w<#swt_vg;d7L509$_+PGlYee5*TrD=6+DipN8k z2sg~zw;A9gln31=76;E=QfZACl}pW$`A1Gt+}B9rSlXxTroq#D`P>@QUEd7)SCeVic(WTvR|=3$b8}E*Hitr$26RLw{;{iKhd`4WzO-?>gnfA+3^(;= z=-==mV*WC}dW)6747s99W=y}8fPC_2?zjxot~M()FpxSm;=>Z{Y* zA@D3ktp4^p_hy;HaD);P%exvKiS|ZZz7F3GwUnQ6wOqN}jYa3dz4eT=-OrHXy#^n$ zYph+qiPFnk+&C-G*ZJ(sD~&BS-^89}3|61j+nzqStB&6s{iB!qOz1pp`oz;E^S&9m zU_W9#@*lqqFtt{{s;_PnK%(I>7fl10r(ImG=9NQp7z&O4YjDV$Rh81*(CnX2nk8J; z{PFPAp{b4sW+7x0Zq=tp34g&?N@{vAjPbT{RsV<>wa^=!0+~!Mmg4ixhZP$24U2TRn(``U9xnHFM8Xy_bl99Jf12Do zT2xbrLq~f5jQo)Z-HSve2Gp!eJ@bud&IhYTTS7}bZ zB*-*hKOk3M8f2Oiq#q#m%AOBB`JxNm1>`}K_iy7BzR=fu;r3c}=&g5OKEz_roJMbN zP9KTZM%43!jdby}nw!>-zf@58f9;o9)Y@7ilXBY5J&x;$$btDCor6XKOw%vU6=-4j z*XR#54~E}7b3~Vwp=`;gi#qIG)TuG1MK^8U9lfhLE~*p?>0DTxQ=dY`AaS zna=B?DAqG+chi-r@MV_qI(R$p3y5|nIZgi*hi~5<+wtQ$y*DR5>eR7g$8VAs&dIfg zL8`o1m-9<7Bz_EO<3<0%hxqxWNJpOAYKEUDXOQdH+PMJg`4g-$F{^2CYj@DU>nHwy z#jAaK~Xe5P0?kU%4<~GMktH?;7=U~kc=ZO5IgtcV< zxwNZ~QD^uv-wUC*-G1nvQ-4C;RdQOSW9Z+Kh#{W!Ffv0 ziHI@%C~2k__p)0XYwa)a;Y-H)Il95Wt>q9hD8aCN!h>45CiTlRuEpvo)5@CDX%u3op*>>M!KHCYrPIXPI0&I`@&ZG- z&W8h1%OrIIz+NkS9b))2%^$uE6sG__7>P8Ze@chTuq4YvvtT%K%LCXJ2#VGE zh~{ybS*rmy<1NE!oTo(MEQV^Umg6=P!h>TXMngEp;X4vVaxcmj|J?4H%`5CpZj&1?cP0KNt|QOHL| zU-h_9TR6i99l|ETxe1S5Bbr_rg`gmWy*{9EqCr>35_a7X#I*O`5a7?My z8>&#Oqi8jx1LJDjfTgtzKLoQttOG(C~w8*irHr&?M+qk4Dd~>*B?#b+hvE@%=FU^fiZ23537mN&jc3C`~o&Sb{O1a2psg`;lN-Ltd6T~ zoIV83_;lUUPl;Hw!Kj3|2r^D(0jtJ12&$q13W;a2AF;nBBr_}a-u0R2|8d>sJWz4#G#W8hXs=<==M~%#)Q^%}` zTQ~k$zj?1LU)@{KY~M=$NG$ejWGps{nFhKkNJ@*9hU4@AQSob zd64-J4qJb9eh1ht5eY@oL^y~ypM^ksX{JDx-wDo{b1CYuJ(pKB80mCd&YpzCr|>V4 zQmB-s41w|Z;PJ3gA~f{NS>FW8~MX2 z?FYLw_&3xw_`57@saoigk~RxrzuePK`_)J@N@kd*e_dH!#66SN|nEU8>~TG zlnCWwKT(Kuq#81#CsmuU=w=J5uGfZx#(|0(Vu7Eb)CzV)AS8>rk!7e15&5mV7x&`1 z+Y5)NopAV49hcJLX|*}+3RZDivx&n z8i!;wVlG&`D1mG@K19rI80u&0CpZ2S^C5%e_th7JWCBzF`|wOt@G?7jV;xEkW)9(e zd3rwFY8I;m?$v-3MjAV#Nj6fYTs#FpJROcgF4(&AMs&2_?9`zUqZNZ@yHcEKn4Ij7|swDCQfp8gb`3T-} z(~mGTEQUZq{8v4m^eMNeJ+Jj8g+mGjbpk6tkyPBc7hH7^qoa2@JH$||@{Af*(XM>R zW0~(j7tz$;0EcM0;)`6lP!Y55R2=q+yXAT3%X%ZvAWjgb+i^U?0f zzIaVbj@9dRz8Csm;SjHs?7np=WQL{@vd>G5c8F>GC5AoH8E7J>;b?XP!VqLLXWk62UCo^t^djhF$L zP<}nA-cEJwPhsOlG0bDz&*{|xb65Gn}lOi+=im8igxSbb0 z35GNxqF5RVFd^~G39x;Dj=(XN?pD}_*>o)qt5STD^doB0F&B>knDZJqWO?F{(8>_J zDnpef(ig^qUxh_Dp58GG`y>nCeK93xaT0xH*ovwVG#%Wq~?T* zw_vD#R@p?v{vrBXvnfrdmjWxT}P56mf_KVZyah`ds>~yUyVb| z3^B>+)4s-NfcQuQy&C%#Prc>}-#0yc_Rr^ll9B$jENa@Ca!1 zZ%*@U%&yBwYxJH1UnFN_cn0MGegbSQoTn`$#hX{-5MOKmvfQ}jq_UGlwe<=dV&u7Q zQ?A=1?KLAT0JTZ^_NH-Ycz-}wOQ*ZY#L}4M{>^dNs;4JKmA{-1`OP)_o8f_Kg{>Om zNu1P8-#lfSEFd|?q)gN@O>Unpoo3HF+aii}(@Co{O!AZ`*P4|2RV9f}O4x`bhlDs~ zOR_YeG1J$^FWIElw@w(_pen7cjZ@i7%aKgWwy!aci4!#>7uaVT>MfMVCS=B%c%|8N zhK-~*w+s{tMIb=p%#>!GKZ+4qKHE3%ow&@(2xmV1Djbq>fzc`Z+{gZD=KU9PP+RJ$ zZyJXYUvbciEBS~mdvC>y_4L0bA2KwT<)Hrn4w0R=KNAL^Ci5g+3~@xyOS|bZ8LGFz z&})#l2*RA;E;Q*6dM^>K`N68=@cjW8cLbulfJNLI_c>rR5DxT)dD~zm+&%*3bHV8$ zbQli1ETQN&>>oxXI*y;fp10tmRpImC-C*eA2s0|+To?@UK@8Q+3d9LUw~f7;H;qG+54_NnW%%C2TY_G!W44bTn04__kbu{@bdF5(!u>X4;;_q9W5yC%0gk9mF zNUYc3z<$KScVXlY&@~l;4?^{p@CRxq(+y)Uf>D8D=NRbF13t`y;h)0NnyC5%U}gyi zMuGKir#$E~1>&vX`+o4{X&CAV)4M?TI{<-D^dUU_82atlT>@jb!+;_PPJp+1z#u*` z0Cyg&4OFNBK9z@-&V04rfud=ONB7F&Kx@aC!oid>{TqeIke8uv`v1mO+Q{Ffb4DK7}zgQ6~?> zQCBGJ33qp&z&K2VeU=1=8~1?KJ_uf|!J!(82ExO!P`zVE2|T(8bBo}a8@$&8dg^HK z644WE6>2rC9Wybxf~8(n?V%aQr?Dm=chOW8seo(wP<0_GHaQe=8H$U;d}?rPQc`jd zKChKiH;sWw8jVedA7T~-buTMRz92yi4~JqDs?6=~Tbj(r2`j(-a2Pt-ociQP{HAh? zDQfTdkYO);tdW`S9ZjSmZ2J6Ln*WY#))aUdoF9^B82g(@ID&MFPLZ98aZ+q$9%Z^( zbF9-0s%?H5pLlQs)p-@*0L>PD@e-fnc}= zo^1DcyWHsw3KfA1%_Z2RxEvi61m`&eVed%)#;{e;n?v)M%DvEGwtwUBa2PkSZGKtD zJ3;4ApaQ4LwlKAuwrBi?`H&Fc%p;hP)i_3sKXx|O=T#S+U(e5sP%1-0oH_Vn{b0f8 zKf%Y>>*^A>!B3<~eReB+(#t>j=n#mvOa1EJ>3Oa+a{VG!Iq7wu@&g8^@{P zA#vsdVe3dYrTr)f_e1T-hnx#uEb24V>PmO?Qhd-pbECUpu1GA*4_ys|u#GBh6+QI* z96y+2K!X+GBEr*Y5O%3JZ za)5~Fua-q1ukzZNi8qLtfJWl`aG*eBC=GoC17@;F{#D>zQ@KjsxE4NqvBLPKaY$UT zHa(%pRkp8RrU${&9A&1g;2})Fo3o&4p)5pYDA}kW!UbgbVU7K@=Cdg%-!dQO6vHN& zi9jw}G6@#ooeN-7j#4PdPYMLT(-4V}`F6x(HI2)cF-STNFD{0y%@AB63hB)~_&79h zp5|LBMs&@HhK}%HD_AcI0zuwf2pgqXx?_j6Vr(Jonhysm;ER4R zXfcw+CB>a2vkhay6&Qz>`7w3rud-QEing7CWY&kq+lQzx?gA^3pPx)#x)bi<%`+gc zK$+=RuwSi^M}OZhf<>1rkj{Z>F2!!ZUqpE&>Gm1A{3}(f-m7V;|i-Yc1ieO7TM z1Yd*+!iY>mq0RRghZO3EaTp@>%a2n)W+ItSF+YSOJ??M#kYQNr<%RktiPCn10uCxk zZr;%Iy~*=v#{PQCTQC4$K%l?!0|KSf2f=!B_(Dz?ymadLvU>d%y=J0 zrp@kl5%w9uN1wsnA5JWXgUjKl6--(H8$W`sM#L|#A3@6F^XJ0hQ3~rFJC7@{U;eNN z=48Qp3t*5AJ!bFTM``4%`WggoK#70wf^R8BTZ$1xmw+ zQP>6X+7XBP<(G(`;+6ykq4vfhXA`)Jq$*kEcd!T>MgIgWH5G^?E#0PutfnE7m+L!J^(Iugz;YwsfJG5N%3)c z7HsVS-|{)zueicK;+T=wKIk1INp?rCl$<@zcy2RFqsM-52_}0>l|u1DTbPZv6~ZP5 zxk@ZL0=6=vuf|uR^y0w|pQGvv1ncgPAYLR>xwnjkccln9zXi{vh9dpk&2X4xK<$M? z(cUPMe@@&!;hcNB=ga64C=%-oJc@CQgeV@m2~Nk|40>&VzyQteV~#~j@biABgV+n^ zfBplE>Ta-P%@1(G9RtXHC(P9g&B7tlIQBf8WPK*;Oyrn`{Thcv3(94*i>Apdmrv1j z!xHJzlNtdm+73Ag@tDv$3OfTBeAWruR3@0b<^$L_mWnmT55 zYUdxL8xuUe;!^QZak^%u!9{)cS+7sMzNY+Ha&h(g;+s+X9xsh<@sD>rx-aU9V`+~W zWzT}Andz>Ne-IUYNwxCfG8i=xU)9MWD+#C=wD@LdLH6f}_sY)4*$C~8Xs5R$V5#8_ z*l-~$;o%NAvjSUF=>%384xWjd!IiPvvg~fz@OkvIywOI+_-Gy~Rlu1z�dOqOc=o z6Jgtonual7z~qzdse?6sdm_H+db7jIy^EDQ<;*=q>CUUOZ-ei<7eXWP-| z-4_%fG|_wF*n8wOsbN8lj+m7Dsi>ArGM&j@tk_6nk=LGF9rMdkr7EZDkZf zMNsc!Y!G1*QWy4{_>fyL`uY>Ru0E~qe&+#xbJHZ(he4TxHEA?@Rk zKlAged02U>t66H9rZ$A52JpQA?`6KBH7)n0>S64R7#3Y_@hCDFV2&E znJl}q-waDp1<9*OxYqOxI!w`&dj*Yl93&X5t>!RX)c-Yag`^H`n-HX)dbY!8j5mT27WmW)SIfM~ak%OF8VXTD1%%i`${V=o?adIjEYz za8*d74$ES@Gp$|^z2=RxsI4FU1&1V))ow9%_`{Des4|g!>Ub%(fwsSlpF$iklJ>Di zbgj7;hKtR1$~=x8%5^lpV<**Z*Js^{pH1T=e>D8{2%76 z1un+yf1mf#%rw@9wpmLOGMTnjRMu^6*}kkz5pvr^wZ#vOP)Q|;B9jotM#y!Hv?!My zW0PBHbIYZ2-Q3Es*r=?Q@jvICDGK{v_G8-f+0|QfIq!SUbDr<*`Qo4fBaoEvD3bce z>_FlO9;M$T3`ZW)nNL6LAM)`}OoL=i@%{5?ZcWLDNqw2V-KO`=kS7%lWhN|=HQz?E zbcuh|R1YCIOwwSoqTmO>AsB870)R? zMfAj6)W+v7C~^`SF@MP8u*at;BUF1FIQu|1_cjO)H`L1?GW^=3ARsy$yr7uC7@862 zjV8k>ZZNb69}-)}lS>)5<3YmIUb77PiM&LulRd;j;6l07>!WF!)#zqX+L4tV^a~^&z7tRv@ z5dY4dVT?$M&ruO30X~|`m0z|@LDT2G)_B7>j6P26@=xP0_z&Rtuw?uMijkCt6?VpB z-;pCHd%p(^Y2z60VwG~_*5Qcv?tJ((Y31C*WcqH&HkR}p?}Ry}#U+*{G2StjF)?N( zOSZgvoCwinw06F9#}gkyey#3{;c8+@CdV-h051DOn}a6bofD zHZ#n-^WlPN_wP^QMsI11-Q*dOQI*#spATB^?Sdovibd^YuR5OiNVeMPI!AQ-=2UXZ zq<}rBgmGo&!@Ar^=Zp$Vj~rn#bLPxVcqu+xTOmXqNg)>z@;`uCl@%b<>?S5H&CL&} z@TxL=YQ{=)^O=Lc`*}h=SK8n>hd&{J#>RpqlVud2n;7 zBEd3(AuVn7AS3^y`O|M&pH7Zh??3X~vf`?$s>0*qv>V?YhbUr$ zgGMM8#t73FzK4H!K${qsf@iOCnTuXDY0sfUWctI&O3Q$*&4CDXI^J&@nMiPGk{t1>dx7_-xQ6G>r(2B2 zp&KfO0ao9`>Zq-g4{PCD55ys@@1;TQM!3NN)MoWjjKf7!(U$c`exQiiy8`j+ZhI%s zhw$;lk#U7x&3>Qp>|=GRWiQ?8Zw4t4)n{1Sw}IV{m(^BW-oiXxSBDfU8aA7 zoy97VYSjw(lXRk0xm~bT3uCVy=Liukn@7>DojupK&YKcqo7UwWcRO>AV{N)p`Vn3>Q$?h)1 zG~S;zsmqgh=R-DQgIp{W3N`Yj&) z3C1DAzM9^On0VAa_)0Sg4$&|lUaN-G&7mTZ?Vc>{ZoF>eplL{kQYG#KLuDJw1{9+$ zhx;R5n>;SlrE-KEg@Yv2yC1BuLr9ex9&D;m%9d%NO=ISfgCQdsQJ)e(a6nvyYu1x@bJVcGQD=9BqskN z#$lElj2U~QBAoOJyoN(&c>i1-{&9Sf00+N?{|S{sr3xR|9{AZVfR{)piBWZM(-yDX z>l`(C$Q7#9>1WrMu*8h7f!z*5r6%Ju05b;NfqNk$sZ^}#0xMpvry1Nov{H*zmk-Ot za*06aV3UxGjE$u_TWLrfPJ<_*60w6S6E6yjaagrMCDarvz6LF6UP6zKCCtW<^BK53 z2%>+7CQ@;NLOpUQ48VWPhQ2C=Myg(#1;Q~nUiN8zeM$=lW4d9>5sS*(>5_`1}kIJa1E6v~iF=*uXyF?-u$9Tq4^t%I&L9`4~o~tw> z(_30>wHXu)GfN^gLep$5$k#zWf6=cH^I3=wf4oR|b zLV8;-dw(n9E5<-YJ7~E|tdW=or$BG~rDNa|ONCIZn2k-tkOCskp{0y<5G{-Fjj*!o z2Sbz!QRtF>U?Rda)KZ&=O}aWc4o)EB&S5HFP9u;k0qRL~Ta(fG}+7Mb#!H?zJG%X>hyqslp#v~GCB zxy6OAe2>$Nw{W`m#i@QYLhli$yvTB^BD1?`C&tXN8>!66nY-ugrR*cFJ;&vE9k$q> zfvA@`W`--k<&E4o?IGg&m+d9nV18K1s)}u3l8=!oISwbsm(Iv`f_?PKxI=Olo$n_a zaCp`1O$VQyLPc)jXRFgs{{M+&F3y$KhzkTSH2=)QOYW7>CL75sEB3+|58zp29oMEiBK|MHwQ z>_M{Y0K2vUbf1iPd2rpIdW{$RuwQ^AS|-Is@UKS9363x&sQ%+y(emjz$&i z?0LYl$Ec!%N$W3cxbK@#B4=Rm{mAAO4%rxKhjbEZKHr!z zW|564BK2h|C~afsq`6&*OG}ERe*F&*IeEYV2Z4tmXw@d<-3FN*Y*_B4m{&b5y(OY) zR$_LguC~_wJ#k1e?+C>@93H8g`-HH#yl|=}`I|YeBc;1Xg)VK|S}4hINA+*owr1=E za;E57-B-}lmHRdxvcyY9F+3xb+wt(mQF%%a7M*lk>Dhd4PWsLcOEZ6Jk?!b?eGnhD zgIp%@<4z9#hETOau2Ngob3qM`L*?pHgg%Q#$a06R4iO9wE&Udubw6NhRr#sFfH>rO zF19$RCBqTvw57@U%n+$X0s2-hmdD4Zog-}@j6)htkjoTm&SAoq$_TL{2X!oQGcXR% zH$ws4w>Z3LI3GjNd=J`~70^6r!V#1GlN>{G_nHxjPVI7YCB3?pdDZqC9EYA~0w~n^Ab#f6KKeiL&^OCb<;@8+ zi>`JtHY5&7os-WU1!Eq?BdI=J1n;5O$#Y!Bb#;+?3Z)k=Gl=2S6w%t?b>vE}ox#rP zdmFUB*P#{&@ftXYevd|OA3646_1Ll7w?7vscU9S+OoaLmNkHgbrgq zl&9M2)jbks2vmz2we@w<#P22BB1{!rZ zv>#@^*PI&_l49Eh1Z6D6Q}zxdPPW`wF6W}Ig#&^kv%@I5VO`H5Eshw#lxWD= z!U59)~7N6Rgf|5R6X?syrnaUr`=aYP|c>8$N2(!(D&H z*=6P>C3C7xq}xd&^yOVU)KG!R*20n19b5e}qQ=!Z>~ZXOeA}PqBUJiYI)09419@m! z)0Q*$uCxBYJJCRoO(>1#+84R?;WSDt-YB}EmWtqz$0?ooT$sm_$Pv4U#Iz7Sc0Lv8 zm2Os28p`MK8<2s+)g1(V$CH0K?@BJhZNhNuqgnNE6LUdo&mVI<@d;0sG+IF69ogucn^6dbMfdpSNVdHSQdNtX`RF-BrYwrH2)xIwGb~2+d{F@B@ckhB*({P6&f6eC$w%= z;biu#Y)z?-4G>#PUg%9YG-_s~^Nl$iwhM=~^Jlq1Y7gusvLyUA`E-&N9`{F|-Sb}G zdOQ!a-2@HGS()@yD7g9giYmCCOJJB@2KPGsHVxo=qsFYK=z6hP)~tP(g>_HOjQ#Uw z;pI_%`euLVv`vFJ#U8f(P^{84TLXPC>RR1|rdNgP(z#jivu;z2@^Sc^?@rMR!7INC z3QN@v+gCuMB|bskZg9d(7MffJU%8WhJ+reNot-Uivkmmv467KOLd@~qJ41WuQijFb z-5FN7#LU?Rk4opLime96VMtzuOAVRc{=C%kAAOXcXQVfyCE#q92uHj_9ZvUypM-cd zH@Kx_&ryqRl)-$Xq}AC^OAf4a=b={FIF_TC3BSVE+tso1iUQa~0>JNK#njLUVNZZX zs1frv-2P(!U@BBa=)7HgMw$=Pxg^#rzc6I}5E=CaFH^BZAv!{I4s3r2|HeTh43(Nd z5}|DdZOQS&R#%K3l|yt93@(uii~UZ=!$fS+n?XOhNUAig)Pgb)$IG=_O*gEkh^}79 zIWlF6mut?L$RkJ2=8W?T6Cg@xmiMpvQ~n(eU-qZ06PEs?k8a7M^d3hs*t@`=r4GX4 zh-+04iJjy<@T*d!6o&?DK~z{~FG1|t>cy>}ojgzDkj^G9>w$wjMx(w7KgA%lOq+6A zCKQTdcEPO1)E{!l!{gI4h)bWvB(weX*;cDnzbP zihVwUd%8AdX0AUkw|fAJZUuq+V1+^+Q>-}e2+kOyN8vjksY0ok97l8xBn8N&XxRq3 zFBXZf`Y6P5wOpMc&LC+!*@V#o#E8vmboJ#bxA&+o|63epYU2~R0&~_AiP=B;sC!3W zeF{1T_V0vWMM9xemb?opv7;3YbHz%LRB4-;&~)x-NNK0U`{c&svByIUgC zSgJk)?L~xo!vq-FzX7qN;67MS` zIDF)(?5TW&NV8~h74%j}G#c3maGQhJ-*@b|sxDTFO7_BX)QEjjoIlL6Jc_NQ4jlgf z@u!FG)f$7=QxG#7R$Np&sH*$Hci0gWr9zTQqfjkPflb60Vi@FpIDf7Jp#vC)YH*4S zRVW0_mup&e)Ru!FrLSuXYXF+#Q2bB*_2fZQjPIr)?RFQnOPe>M z^h0mOt2m^Ps0{jL-|2-zxOAd(Z~^$X#|3RfVsrIclZ<@pLo!55`;U`pQQ%7 z{L$tcytjGdxGrHP;#U~#Ww9>>W+_NyFmf$4y>RezfM80{nDH_vY#|Nn7=W~nA&rpP zq?s*SHf{3w(g)v)`Z@=}!Em^nx&yRce2R~q*0!KMlbQlf4|Q+gQOIV^Vq_fnNf6{9 z#n%v*dIsR9t$00+&;}<+aoh&bUWN|69fuUd&vh=|ur3)-m)?2g)abBdJ@L1*LbD|u zqaq_CT_c@48a`gWeKgXU%pP?mq`34QQ)NF8I(%r?d;$HzY*C(%3&V zbFQ^B(KyH)wSz=>0F%{obyAM`kf{ytIOz!U%6I%N4%yrXxw$__S@lTp8+{O= zpHJTQz*7lV^$+ZY$EE`ZUbHqi4hID`dx2*@&?|6|xb$y#izR$luezYqIlQt^Y~9Ov zZ%3{1A^8w;j`x0r1GzV$}rL$u-Zy-^5-I7aAEz#@-p-J4}+XHRU?S^c56 zV!Y{lL7me%u)5qJ^;*HpieefB42X<9D^WTTXgq5z*8zwFNT{uZ+Gslfvfu zwXViSz~RW{2|0lXNm3(fX$Q@@*)G7&H^9!UTcknt*zblzVrE#5AsT+e-{R1Swv81R zQz$6iA%+ttI;corz#P{)+xVryarn|MpO9O!e@}>1eO2uLi^GL=#-&y%hQUnQ7tmIwdvBxi;gZko(75HkD5NY`pHFAejlXo~1Z|#VSRE`5iG6*oeS?h1x{#9) z=5UBfz`EC)_lDX+vpmL# zNI;3H!pDvXn-vKQI2dMyXTd0g7aFSg@o694XS z$=?3TDV7t9G#(#jKSPv9kH0bphUnXT@SUYK6>~2N#tbwvBo1j_+Q5QsWxJeyEHa`Q zN`MCaV)O2>&1QoFs*xAfa`uF zH&;f|)zNbAxTiu)Br1 z-R=o`L)R-y)0irP@UZr^<1VayhckR6obyfDFg(_-9aiRLa2!S?NF_=M9?}3C%eTFm z3s25po{G@RTmt^r1_n^BSz!LZAJ;%XGx8Vykt%54eUcm8TaPZMUP(YG`gae6yoZpy z94ng%tJ|FYUkZGdPvo%jF3@yk&Zk@o95o^ibw8|I5%E2**7%kGX41ZClP0S_e5JKs z{*bx=jyIHY;e!H*BBhTu(6(AEFWI9dE#EAfd=#Op_6xgL?1`KXfeL^lOC)lC4Bb3@ z(_Is_6Fr3v+xJ3C!5(6leK)-+`-#!~Z1ei${a1DCl@Jqd-u2&eG0`uO@DwfHd5iB z>#*7%^WkP~d~k_SvD6$U1r@IDhzYjGj6wZnFDiLNetiHlp;{ujG!)Kad*S{Id_Gkq z6ODs#SJa4nSRU2vfJN{~Rw)+-^y-_Ve{CC_b1GDkccIUxiAe|;Uy4$ zOjRrqYuXc$CX0MK-6)zQ`uQ0uZ=-_Qaxk%xYZ6q)+%OI)lnsB%6&i8qwJZ>>gIvC8 z!W-M2DAu9*^~a8mk3aT0e)0vmFqe!qW{`MJWFyv>Hzmg3O<99Sm4*0ie24^FAN5|K zQtdpoFnif84ZAU~b+VslH<1{EdqI~u8l}>9Q3^c5>of*#isVwED$fn1NvV05563*8 zJ-f0)0CBAyjzbTXP%H`U1o0T5MNn`|CQ^#i_d=J(tS^^n4+fubT#PabYib*fNShpY z;c+c*DgA-BqFx@Go&`r$YKb(oBm9C7XF61!S4$7 zrC2BzrQgFiWKl4D5?dS-qrR#IsTcU>|M4gc(Qo2I_2jz*Y-cu{6mqGWIAyglizJ@U zqd7k8wJFo^e7H~~Q^{0#$b&3bah&r?cF#cQ#zP5Y zeg|J_#1fJE>Tw{I*g%MuX+#qF&yXcfT03#9d_d-w2g$yzj4A8^mSklW$%>^S)kXmP z`Acx7tAkKnES?6RyxM(XXdIf&9FE2gnLweKve$|yeqY_aX;>ZXb?6l;#B1~dR$xDT z2E5+p*|b=VO=UT?nOY7|X%Q&m;8AUkFz=!KY%eSgBro7p~#ujD{qMMk;hz zkd-A|IjknQBIhDnf1<-8KT_2c-6eb|5vu+NiT@!!oKt3p0wkMxA}7PLllyow1qKhaH|xB+Q#Gn$A_*^ z@XRzrQMx<}#Or|Tad>l#rN?31u^t!a*5VK$VQEF3UG8ph9KOtBzj(6_mSy>RI^S-O zSR>@w_b&i{b_Ml2ThE1Z>q#TU;gEz8-E@S>(l^F-J+v^$s+MG;v27n_?4Ms+Jd$N z>Pg~drgrb%!iS1ve$-1o6fX&h=IYDydPN()zC3x|i~SdfTkv9hu^BI9YWk}5{xiDz zU)(Swy}!5Fso$s9R!~?JJL0OMXLZL>OzExGX@1LoRVd*JRhjiux9BlH0z4tB8MG3H z7G}==2%K88N%0#!%c-!IHqoQT=IlANAe{~6;^pos(5)_BPHOyk+52%2`^A_5JKuI| z^?g$M^r)`GnlU5}@v4MQOpSM1^Egw5Z3K@&)qM(fq!b(vp3dm*j8D?f(|vL&Kkzag zx@~B|-Gbx0b`5nQE)}zQT0wk)Q=hTAJT^8u8$4_<9;rvg%2r!f1)kV{;e~&@Z&vN!zv)G${jpHJ2!UjpOCPwV z@w-MKznGXumt0D8^^|XRrKC6&3|vYxB$evkqDxAAic=xRQk#_DqAV6&an6p+Z1GXE zOTU@1sMWLUP6hE_KXOMD53iEXyuk$pYfg@LXPHLy4{h4EVtp>RY|`@2bVj#zG2X2*QmUU z+{cYhAFYVApUM(5_g2LkjT|<&UG2Cwby$mOvjZQm9=v+5I?SD+l%Do=w(+LHak#H; z?r4!T|9pt!J;&9KS9T7p8SFUt@tI$i*&nvIP5-Z4ys*P3}4F_s=>ky0ERs)UQ3!f3u$Ax5$b@qnllrcgG05 z)O}HUAX|{@xBEp2F}bE=|F{Z1=>7#P`57^baBccBE9r7sTOF zm6#ZxQiTWkB`z^O-if4n-j;CU@$7P!T6BCqJ>o%L$Mop|H-e+&h~%+5q^`xIZ0+h) z!`D+R9Lv@YCeuH57Te@s+=^kSg{RgVwz@&M7Mj$

    EXuqT@q~e%TX7@oQHRJILLJ zE;M$hh>auti!4o?t^cClh9nJJ2Vbywav^WHo)7UYdjHkLyk(OnGkY7Ij70%c=U%OLN;o?$JQR8ydwdPVq)bzKVAG{Ojn*l!ldosdY za;Kh@wYcUJ5*WlHrS}i1#6cUpckSxghR0zlc1+3at1H9_tvDP)mc`Vc?^MP8BOG#S z>;I$PS^%1?+W&bk+hBGrhp(>iT`unO)e&VZRq^|M}ZCAy_a)-=l9%xPY01a zST$^D2iR3}-ae5ak~RQFEdnDuoclpGJo|{UyS{NqqqnD61iL#1d(!Q0i$~ZEkLQ%{=OiN-Cvk zw!3}DBJ5A{Vy{^Vk>K!=rK^x@k|~R7W}aPk8V_QZb&Qu;q;2`B9f!S>iXzI$<$bVS zmQTbujD)rZW%Bl*mNtyT*l?2C)}#{EUus{GOplrmJN7Mc?jP7%3cXif&8?{c_W?qO z6xDt(aStDCaSC-IMZN27urD#x$iOAdz*V4GI~v^`CsF^k@hiRN5ApsL$YgR;sS7rr zEU_Fig;Xk+QjfN>GM^vjaBDApt=!p*p4A(nDGRS1zfe|7bsOoE?v0QvHDVTh<`b~g zm8HwmsK>#F)ZchpH$IeZo6LnQWrIDqL_4@02v(O^@C4DA^aOmCPpU1%R1h2v#3z#A zS-U33oW~HE04tTrWm1xK>z8d@Q4_=CGmG!Y5oSZgXINLuN=HYPga})R*CW~NA7Zo( zAM!N&0?0lK`_E?<5hdk{_WKy}1G#1V_WZ|s)gRKxd+3H=6EYLO8Bf|U7<9fWF*l*A z{GqBG_lu0YE?bw~EICwGbl)*KPz`fh&4XmsXpR)gV-Oq;H%iEf zKbDj2MD{D6owzfn%FVWZQ*AgJ?KYvc;e$Nd`lEpZqKP%2(Wt(wvG=)R(-qQLfzX=l zAZUZ24;5W@k>2AJeDR~-+;_I{uT`K2?F)S_YGj8F&*&3}*g6h^7bnCvjsw_8^seLE z;HQ%(BN8BU+oNtg{P@NGT);O$NF*9}w#?kOE*9OG(C~8_+fDkAvt+F=Bl%eQz3E8) zo=NH*Wc-DkVrm{kyv)vW)pG716)%Sg?j1Q}6?S&<%rmPNk8)Smx!+q9Kwv2F#Sn8v zJZV+{<0@cig<)`3HH~rTOuYdKCCR(Kn*^sxyY29+@TO7n<@fU;i5+cQS%VBe`tpP? za#~9~A9SU@ZT*bt<9EZsWU}DaZ5uwgGpA_@d@Nz9r&re_yQ=80XIh%MFHNhn#R#o> zV}yTctDlkA+iRY*&Y^34`3Lwk#yHOS#voGHW4)I^f!NZf@Z<)Fc*Lqxi0@1IoIy_M z#HHBmw7z=Fl{-JFW-pVm6w|HoL^gXuiu$9_F^+q_WNW^4%G=5^j4-UWJ%{(c_6Ss) zlF2SQ;;?i6@C-utE{(l`n9U9j8#f49Z(b{F{-AL{_*xN~Y;iKR;Uh;j z6ei4qoMdBBw0H`5oW;{=0h>MvkFzlw3J22qFHs3B$Omq+@|B&6fI8}_P1%D^Mf zz(ss!vnnVp7FiBoI|&>+dq2A15YNH5Umxn8`PT!7O}<~az1eH<*WpOhxxpH2eWBNz zOP(G4e5LFO!?3H~hW9(XR-9gwjwkeEd^0gY`FCxUmg!+T;0Ip!&(25IXISLPjCwm2 zonP3x5~0(x+tXr?Kn#m!-5ejq8hq(=eKs0&`%iyNS{04mRGWd_mrbcDc+6LkQn`$u**Bt#}eV&(;B&yRr@-L)k ztP&f)539ov`aVDLWn-1l=7=r4-JW;U0f(f$0zdd$w-J%d>i|R?&7;XB1C9J9M5*)X zc<13=BgFxNLh+=thY#lu$3b~ujZi$?8NR~vxfoVY6sg2!-e1E%B&PB6Z~sXcx?HyX zIR#p9W!;qGn8T(l^$*m=U69|A5TMDvyAyjz)C7Wq(m0|Z6{Poq1S^g;e(2ODJx87dc|13hz2jalzPZ%Q# zRf>f(jzJUNztdovP$^W|{M81+DOU$7k?HY!%V*8b2p}mN)g*Y?rY1c!a6Q08j8I5e z6em(C(|?2CI^UYsd`J_e8ruCkc3K%qd)&~N|J2sRuswpuHqAwQB+WZxqiwA?#1mkXB}?8@;thw!GcY}y^8>VFci+x_!Gs13J;(F-%e z*1Qh$<@m`adjuW2r!=!pfVbs`GlQc7F)mm|bsCq=V)CHMXjI*dcL5HNGe^K}rg0s+Y4QfUB@~T=MVjJ@Ce%OS zkRiYkP&6S%u4F?Y<+dC5?KvTAtB>~aGRvKY*(@P-kAFX99eQkWbYQeG9&wU0Gf~rK z#8!LCvar>iVK|{qlMBVSZ1H;-vV3D^)WBK(ucle8J$dw+)vISz;77d=*#!>PyYs;a z&jTgj2|GSpQ#lSi)=~pTP{(QDZkBu!_pFx_Pap`v#^km1w`NMbZ z>W^jTe3e0QCD2=69Q4Sn&+)SQ8~qR`L^IUZm8G~!spcI;Yq^2i^Vm2}(K(N8$ZF>p zwc{|tBRe-c6AzC{aTq$=Z~CcyVv}i$M@?B}HYle3^vK=9kV2pM+^-Bc8is<+LN831 zb{;9g583#+)#p|v?*5YD)=v;&mze93^Zay#*0;TeqvEg+!!LZcxWwQ3E|S-Ckv2o^YeM@&;NAj(ETIiBT|LAsVlJ{#3JCJR3h8uJ7nn*^@D9D%_}QRN zbd^U=_v1SkRy!ODly#TmIX(8&sqwGrh(iidI^r-j{^}-94t{m1%7n$PD`L2ZW;xVo zHX%iHAlT!;bHe8n2r?W&)<7%98{Py2ucY^vILf3}j0seL-di!|oa2c-#_uO@S)b6m zFy5Vn;`jizBc39MV*i%U^bCh=@C!>7i+9H&lpdsg6^=!kY!BO6fLQ#)lD@C^8vMM& z6W76Z$zyXfLR8>1z89kRA{t?qqNxWBtrV9o#k21X8*;O&GCiuY@&C;Fs;ZpK?93{U z%*^au{M7=pQTKWq<{_I+9LuNN7vP+Lol|o8cqf&WTDYVB)>-zd&XAM2U>``9NkWkL zhX{xMoCpUKBh3jehy;-k%klc;xxGbo^u}P>tn(6Pt2ZesGnh&l`~z{!Ns?G3+Gl%W zmk~at`5V2SAwhR~y!JTc-_uBI*hMl;|0=1~21pIk%zX`?{8Ds@mkrqmWfQ!U8Rmf# z#AP%&92($VOFA%61xzuQo2KzxTzPy?A_2n=kuOhRz~dXrB<54#T6Z|aE6bvkDL;P58*pHCx4{N{Da(@x$P*F%+UTc5a@uoRKd%DLV#it)>6`pS2 zXhBDPx!6o=99Bj@T4Y}u;on-KLOiXfLiYL8*L&eH*v-RRO+VPP z!t`@z$4g5F_ucV@UgH!#Rq=MBU8aZKJG%@xn{#Wx!K!2R^{=_BZ51UTjv~Wj*=rbw z;kCCDbLtP;m6C!8{^xI3U!Pci>^$k$>mG*`>nW2I z^p3-U@jI@P`tqg(Yq7S{V&c1%a;xZQ008(Q7* zgXfRtp*g*BjwKwcUvfp=i+1kUoZAW6Im1l5%$WB6A!dycZcBPS_oi(Kt9{m)+LAZ0 z)u^=8Z@)+Q1Hm})N|wDSa}gM(NuKmlbnTO>83b>YG}ylcaD;4zFWcakn|<~lgiYq$ zL8e{-)S?>#%C)Hw_MrD5XV2wJ!#2QYm;?BpAHnlpYa4gN>NS`TqdKfbS3u$^O4+`R zj8pegPAw)cOuj$MB1`vs%eZ*iJ~i(o($nauFW*lsE#czjd7*XP9?UU0!!?t+ct;74 zfp<9ma1AtW2C)6$a>(2H08RLLO}~Yy_jg&(U!B;WJ#Hr_pW<=xF^RU(tsHpeuNl{W zhYcxYdIknf`u4~3t+_B#(IXu!pSt#{y{Reok&62jXph78OS|kb?yO;+bNqy#pV2@Q zz2cDfIgHyJSR8)mP52Pc(QI4TpAn}T-jE0rIDuO1rmd)?ghq}dUBTyCevpHWO>wag zz$pCL2~ZU~T$C0127vf8j6=00$J6r=G14g6^paC^bMsOA=hj@pKb`(qgg-A1TiR|L zKh!%8Uu(a%kEL062h)F9B6Q??7Wr&O4W+3klnY9n_MJze;5ZMe))op2SM38hhe)Ze z@8sh2;U>R8i_PI$9$h_=CVXOQ=*upN9GP*V`cf zicLm_xNscgNQiSYC3Y|0&j@WVxG#aEl`vnGUZX5tSOo*|!2{vbC}pTnlpF*ZUJx$G z3itL7_m(_d;lnGj#c~5#@#9XpKmN+C{oHLNkA2pQnT@jQeF=`mki#R;7El z3*Fx$Jb2kWl<}qydsB?2PifwW(uY|IA!HE@Rf&Zvn^-#zhepJZe5|DJmsz`V_JwvGq#2eOxLA&y^XQeBJ!ia}l_9tq`vVo^q*GyI14)nW)bCA7>C7Jr=&6?ijO z|73dD+s}wvmQrd8K6~NCnz$NKMqL8l$qcH5uf44-EiF@mKs*ghD;(BbzC8Eb*1xv^ zqV4IK1bsTQk~W?3h3lyKldw&ni^Czj7u*MU$1_v7fm};RyHt(v~n>R_338N(lUKZ5|Rax(GIJ^$ISJKajYlMT~ zogVQaAJs())K*A5$xw%>pqT%FKM7ChPJBoi54|as%S_FmbONy}A6|qH(uEnK^e^CJ zPWRQeHc(lUZngx58sY$WK!?A>)~rO=o2;$-B%v~lLla2YCKjuLzYpRX$xGpPWt=i2 z+6h4E1J%6YvuDq)Fu9NZ?lYf{J4WF5oyp}H+zU8YN9=Or|AbvW)U92nDLQXKLj>aa zWL!#V$Y4=J(L{vi-Vs9WEqH4q=D5P^EgTNL;C*GW&~mt6B-DJ7;BUo87v>@P9x*o; z#U3y%S|u*Fnh(zeBNSHOHo;h^DxS9izC%6f3*b|;UyZ1iN=y|thfnB$8tl3bC5DY8 z4l7NBr9`6C50)$ttK4^D(}|EEZL~K^ zACos=jEr3-g^zfHVazE@(Snbm{w&^yi#*_?I-%-r0_+GV!0|H2@Ghgcx@@O}1P&dO z#kpj~_hs!e*+l5D%>nbF<+jB|I7qQCi^%hNS?@U9a{qKuD#;)EY|S{s%B1F=GKINJ zCNWo-D`XOhg0F5>o?~BNhDH@pqC>S}S&-P=&)x`U+H{PC_c0*yK8UbzO#(fC}b|MSy)n0kiWJ7zl|cjz+!nY*~W4Wa}*Bsmqj zP=Q#giw-7Mlt^&+-g^+SiQ}Nlgb(Y6B#?^6Cb#&6B)#KsV8Ya?oAA}$Y(s=KvX}3y zOG!zdadgYN*mVcar)*hwa=5y7VleDo8kJ5)$noOhxTQtc7lb#Ao{e!h3qM5F4;RU^ z+{6pYo3a{i|AJ@n+iEmAq1F=B3=PE>DVj)BajbmrBv}0tK;#G>sRCxb0FdYg@ava| z>H#0B=h!99#n9K4)ukAWsd^~Xc6JR&R*7|0x1Oav>80p`Lp&=(6OY+?*nRz@0Yf&! zmTbG++d1_GVMI61zece}Lr(#mmF=j>C>TcAlk5x@BY0 zp_06kxwZC9*lj#iR=X~pTWAK^8zJPR!u&bkx^eG~qY>BljHPhAJjx?8Gtu^TeL_{v zF7l{zme=RR=X&h8g3udN{ihqZE;pBkSC=>u{Rj_j+?iXSy&>`2#rU0oHAw!&&dwuo z$3RoW^u#};C;>u-Ew0)z)XFJN+(Z!(A@@i;7t(f2bAks_c4YZc zm=B2*N4;?vK96A^>}FPtLxSWf#L*33hB_s)Nn@S4R4J}-^|rKBs;UF3Gc2n~pVZ>e z=COD*-CTKdvfgpH`Hu_d{%Xp@zoO4BKMy*{OA3WdEL#53h6rUNK_gA0l z@V(8Id9xX$GMmtD8-LO}4)dzAGpn-6s8v~+j=I$rlph2}qwcQE51NE=7#ob3?l^|E z0|2&78M%95x>TOD$00H~sF4Z9nD| zf5EvTM?(SCp-&}{v@$~IjEG&dJOiOywFrd_A^zb7H3%^Pj_VzVTa)rq^N8B#vrjCo zOiyirwjhAsbM_}s0&JOgB>xi*y_beqG?v#|SUWyE8bcf_{ck*6X=fQV1GdXf+c#*B z^4QoxSfPPWp$>WMN5v^z_XoGEJegkehluw8_MCE|!{625kYO_RuQQ3*C*g3|?lSOM z)JZ*ur~< zxjP9NeK3N1;r0(W#MV@*kYAg8YqsL=LoSh38~m-p-la4_dc+ij$u}E!_4Q?zgx2UYF(QqBBXx@o`>tw{?5SceEb*^1{ zQh|s`p^(AJ%3AC4g_t6j6CBbkGx=Pf9~;KTu&hBBw_cky zfG^9fOUr8~YT(1SF^icQv(W~133GMu4^2P#skPKE!pQ$^dmXC5f9FGr&vRwf@qnTE zlU|C=UE}4ZY^ZmO1ZZUW_+Ry_li+sWd^qUE`PT>)q*nT*A?Z$Z4fZ1WF95IK#$P4~ zJ2@z3FtqhbgrE;)7>6XlY_FtrVFY}n${HbgwXZ3*$hRh1AXGaSAyv$%WhG^0W%gyK zpMu_|bKj_m`Hu@X)@_VAGSj_ovHOuDCMFwC8l&#|99T{rRZ9d8kDw@W4VvzJG=n_X z1HAdeRcJX}LEN6Gt(VVzd3Wyh@u5BQ4~d2%xun#>sI_b!dMr3((fHAtmv>&#Yrh`a zp5M|sG3=gKBs3HC=3#J~dq#QX2gu)sXc`;m>+jc2*ppS6imIW&a2$MftEOhxOE5J6 zdwrYh;gy9#_p#aVjPG)YQZs14LYC<|C!~KvwDX2=no_*?i2Kes;qjTLzBs}nVa(j8 zs6ULT%FV4Je3)Y`I)fyVvomjqJz;pxM-FM(h1+v=&XQ&pHg++h-gU>DKU8>OTgU0z-~W)8-oR|VuxC@#zr z?S&ws`&tTiyRwXnLx%!HCq2*~Mm0V>CuCXD?31iheFIugS2m})o=7cmc4eNj9{WW5 zweMS+AS7M6(*FSZK6QMV;?;*I6Ad1;?sEk20xqF6c%53 z9*$EiO(#1n5US!V7p?ij4ADK{L(*p@kP%_Al&_07_b5JGkV_=mulIec>QlY)A^#aD zEmT=53(Mdeyhx`Y=uWXpB`$mpHe5pz@!rL8J}#*@|G+C11e9b_@PHg!QMs%s^ReV@)Wg>o z={;}5{|bhN1&Xs4&&Rfrp`)fkUU9J~Fy$EdTYV>QI6nKe1flxKYFK6rs}Bqp83(53 z!vqXkYp|VVTxgSi7~0akNIgZX@i_XIdw|2!@$s7ek#B##~0a&UFa>Xr>FRoZV103UBy%5M*ywY!mAS zn|uqj#vv0r^Q;f2gDtZ^^rPN!xWy+gl@r1y-O0dk*fJfVG(IL3;cMPaYbq+5-4UX? zV}w=kLWqS$qeXdnrDLOGWu>)aZKJhCd2MZZd1IsXU^{QZf@(Px!_q933JJ|t%6m9! zUPk`1b?nQdYDDhIV;!VD!Xc3|a^(~nJ5i1GJXf(^inU0 z_n|Twl0Xv4S2x8cOw&6KJLj=6AC9*Vo*p5KUFki2e?{{jv$yQY`iD%2RoDLIhsGE6 z3iZ^IZvsMy)%#Y%uk$xR%YbUqP+GmU>C)O=V=wi$qIy_QS#>a4{rXP54yx5|a43l% z%2NC%p2qIVhXTr_w{>~#K#QZEET6(|o2QetpR<4YIeZ_j1v1w0!h<6T4%zBPzx}WI z`Nxs;p#fh|??3j|P$2W?@5T)2P_CgFR zTYKGM6_Q>WJ64RHlx~3-io-Nie8Dd%sd8x?_t-RjWT}zQeZPh%ga-UNdChf)KvZ}A z++}Zy!hSJgYHF=Zts`bKh~eWPmtVLzEXJfp(zVi}eV-IX-Tkw*)UVaAt0|n4)=6j(Jnh?zxFs()=l(D#pASn z>Qm5TFYqdh$Rn3uc1LNVx%DpJ|HcP6)Iw^Jb|^Tzgk*x-cQ3AnK^w`Ty!|ck?3foK z;r0U5Z))uwZ-;%+%TsJ>TluJqjbl45z}-xb^4O$cIxDpl12HuNJ(m0-H)OY8(aTbF z&13)5lF7FAK10NjMEmtO9CjT#;IJlUh?)=G+-#o$AKJR@;4W|L7-#iwKFQJyHdY!O zS}T{p#pWx$_uGLN*SDDfyXVeJ9u2UEJ|1r^M6*Y1ACZP;`e>nQ);@no`Y@z2nXFo- z(_H*N!J)bw&)^*$fKB$}$Dw82mmkl^%bbQhxcy?l;I9j;8Enl=gp%Mf8(yi2xdmr>c|K82g1&11bJ@r$; zdL-;c5B;w8mkF-e!zWn0jzQEiT-S!~N~oo+kQtIHXZ)v;hwf94|LE!HXH<46DHY z_0WVDbQv6uvs4O=&$U6#`gms*nm#oA@H}+-WzIKb5o1$WXq?V}aI>z_g@MMw58b%# zIHdbMJM!`6vGs++u6?5hl&av{5j#)RF)W|OMmQk$@ZJ$$gj_?U27+Dlw5E6QVNFRW zir_FUa@o3b%KGKUdlOwdo;HQU&M7;bWd~+ogPZ3@xO#a<^UWlotYj}vH|YnU-RCE9e0(=iQhrwEH0CS zj&5poIBvT5T_y@XkjKL-b5u1)FG99ArlQ_Ku=k#*W^N|LSAV2K{&42aph!F>t)A5O zh1O5O;!P89>-#y$>lkgcckMX*sH1OG9LvpvA6GO&CoL6jYbB5wmc zhygfCqYn28^@yUay-~D~=1#!2O-7A!#yVI|NVKhCXqZguG7%uKF?sA7vcThS;UE70 z!(rNwu-_<`8#NnX0rEx}5Oc?1HXEYa>t7j((DYm+o$>RQ%83)r=p-y31}ziSa%F_` zYW(;jG!I&sjb@o4PX#VXFJ0F|rnr55e)tge<(iBK@G%nn9d_BOt(!6qSVYj>s2tgc)Ky7A!T zy_Sr~*m~p8Kknw+Lxv1_`|Tlp!WtsQz<4*QTrMwEq6XMyC!<1PRO4Zs<8rk|*19_O zVgLBks-fg*Z!}M3KqAt4ix&cE?H~z%w%r+DWNRBG{ogbzUadcwwF<+q_V5;X#cB&?h%)hIMFnGiK`eMm2ZJcUlIFeYmHv)G9H~>>02qc)LfQQUwOJ`s zwufbnvdgRx39^6b(xvU24-PkV9135Bk6a{X#jq#P35mEV&ERXb+8p55AC{mS*UH~4 zKK5j)Ra;;j8bS4LkX)0s7vMV__I(Ghr7GlF`3+T>WD-%IWVqTRx?X-WD|U3|^VofV zT()4<%Nru({!lB%I26kZ=QjX{%F>dl<$-vV8(pqa(VZF$fyJcS$Gi#Kazfty9$)~f?oYXA|ECQ` zbr2yg4!h6`kL(jC&JB8bZHTf;Wdw(2ak|^f{LF?!=i~cVPA20-f--8(!Ci%g1@Rl> z7L{idBquw^=Q>MBw@$5}=G&wE9CY<(R8t?;okfDf(VlCOXw|5>gWp_>_~Lxj4!C_} z(6Mds`9q?_rg_2bj*oAwhQT5}CDCAgh#b8Lp%~v(UDg2h^gjuQ)V+x@(ER-_03%Lv z98HUk0W51X7GNor|E;$#omEj(KrEHDu9v zd>>oAafmc-YxE>uR+W|M&o$sZg62z!T{i0yr!>GnTwZOLcbl)~FG?Jfee8HUhgQGt z+H&s1hLnNvI1H}cp~BA0L%wT}Zdz>|{&7tF^bz&~?Y9hkw^J12Xo_QKdmKz-5r1*m zj!@H2UQod|%>mBrA}yv3#vvB-)&8V+978d5_20*X{r{53#?NA&(el8!q||#>1`QjW zv!i|c^`sAK)~aqOV>7b(?0j7>a_8o8qkD~VB8Twj+4^QJ$Gz|1W~=K};jn&EkY^Ok z?n}lev-`fhUB*vqE|x2mI*orSQ-AhwH4c{!C4o8_KbYK3hNtt8+&{GaS$^X{azxGk zWxjC1?VWWw+jBr?QeUn>Oyr4_Z`-Zhg5RAZT&`;=7jB%(UOol;ho26YqVsz?`Je$l z6i0xEP`}*x_~a0^Ev{unVm3vDo@FbHX@ zW?cF5IFvbhHllBolobU=r+d9k-KdvHo>k-U>*{;2Fe-T*##rgwd}oss`=?UZnhG&F$p zsZd02)-)$?HrQHC&JDsLpEW&nU~%&n&3C-f5Fq@s_%>Kdq?>}JIjflXf-OagWf*06 zE*L=#9I|{hmwEA1avDjb9ea5kD*Y9?3D%LD(SY}$Y}sp$r5fNLx)nMk$CG=9tTmbP zuMvHyhbyMeMPB~_hj?4JhDgR5cbLF_KeS$}(M8Hyt%mFPqI2O@h1e`p+*E;ii`Nz{ zLW|zgRt%mxci`Dp;Z=z&Ti}B)%g}#^KBOqBrp9j4I3zH#nhUJltYRX&G?`n9rG*%r z3}wsPd~KB^ZGCTIk!^CtNB>G6vZ#vQ%+@%-n!{~LFVezc&45&YU(JkWd73z7n?v4# zeQ_4eD`lFs9=3gKDyL>np!!4dga@Io9gl_TGh9r(KE&hJq&)}Mfd!ytd~_`w(#ZT6 z*%9Igu>q0rd^3EYlPWdxou9)G_);_AqCy_2)BYR-(hlo9a_28helkDl+wv^~pL_b_ zHU5I*O&;w9QHX6!e+u?Jk$0#hB2=MnP=)!QghSFGn5Hpk48$O_93wy|T56EyBgSTY zakPg~B4qjg6b_|{6>2#`0vs-Lv>lqR(1dD*NcdO!knQGX7BZ~FN6xXVmHH$U;wgxH zX2l_PI7Nt1dpMTH?g2$oLU@-YOcjP=Xokf~h-0uvK$<-WEs_{wvVrvz{zr@)Ly8*K zGAmX-i~tAoJk;HZcK6~ix zci#HIAr#fnHaHM653!=0!V)uq=Mp#_DOYOMowvhJ_%2DXMJ|(z-EXO4Ovlf(E9$z+ ze&haIeIB*%8o#UAMb9P$MDWI0SZPzbzi{2zC>-@IBZ7=FaYdUjU&5X z9YlYn4`WXp9R7$}yJ6!+Pm%4;G(nQ?A+ll}efLfP;uB%KMz+p&wX9g{W3aaWvy@d| z^8o;9Q@2T!dZG8o9$lrkYfl|M)(Se|Ad_Rlw&DU6;pZ_)wyCJxa;^tK*8smM7V13mbj2T|d`fo6o9uG?W84q)s7Jo;3846p|W z$^vu)&J3704-0kaU+Kd)3K7~XsqjN0j|o+{z&O$FlgzPhV{Jk*8g!QIp1bj+L~$=j z7Mo@49csu|xTl3?#cCqFLlya&hXP2=v`J1s!wq*nCS7@QQ6d`)z$!pT<=u?ZFs=4c$M6zr>c>+ z=8%0-f^b@gC958XhS?G3Ld~QCSr^Q++>rJ2mV}c2l#XZvocZ8U#VcTWibQs--BI|m z*N_>D;mdw#;(bL>zHlL0C}5{dq46K&tb&hz+SqXitm7-$g%C4%{l@WMz+ESXZbbf& zL5W*!tJ4Tgn~%-1o1p*RYsLL0>ESZg4T4u;T&t+HC8xo{Qvw}7c+_JSgTzmqFb>DN zZi8j%E>|~>)_;KwH`DtRcwCFR+Gi`g$Nfd#<_D}0-p=yeh=N`pW{t^-`5ZaQMg7Bc z>sr_gC2BiFKT0XAI2Qjr`}*|@oAQqD+&jSU*x87@-GwQS-A_y|I({TM<)=H@T|LJn z?9N^re{TJn?0rYZge0vvnBC{#x$l11awwtKk;)A}4NBRxH09E+l-wmx3BL1vc;G`eymb>2MTrnUzi7DZgnuhXx` z;6Q_-g?8R+CLg{>{KI)@A6|aj#sYk7z+NNR#GF`+#3z#SUISvQ; zAa+TBW1Eg2KNndeN9?dI3^7N42t9B#fF02p*h-BUi9Uv-UBL4cyxswlW<&RHUb=UOfR{pN3g2;nFJD@H!lQ3;Oh5{WZKl7tVbP-*kjd%i)7_Fu65! zUJs)OT@P*pyPL!C?c2LU^TY7oahQ|{cV|H-k-!7rF@*$MDE~N&+hq#teG{)NcOjKL z06)^x4H1YFvbE|d#06k4UC7EAgs@%ih|rwOglW_K{7w=mVQ{&J6ijQC9E^W*?vz@2 z)tBLBjznyPSU@w%GtgZtmWsuvVTYDwpKXsBq?U<|Q}4sajlv;CML{>KZ{y$8^VovE z;Ay}fw>l3#!H3U7*?gH)Zg!syDndRMxFXa+*6nq93KI)wH^2y~d973){tJG54&8*W zB9v>*nw=^T&;4^8UN1)I*9gx-p4ty>k|5gy z?skXGdtq=kEdL0ce}=ZL)<66nDu%+%&pyk6Czs*hU&C{MXx|ZTj}&I+CMSoJjJ&Z8 zc)=I%Dx5Dbv^tp%DQ*a*CPg7uRLAAQyP->w%kTfGu2&=QL+wTnA9DT1H8dd}IZQmh z+m2OwS*~a!?g!I1E+G zEb>1VjgZ?h2d2&hv79k-(OhNcD!vU%thFuYg}pq6&rFkm zesD`qF*-k9&@WeXJQ5`hL)slMTq2Xpl$&9z3BUZ^b>A6ek?!T6g9mDae~4%tZ4V#U z?9DFCe+g^qyf5wp_})h?HlFVU%dkw{%JlXUvoXsLqk+I9YWCZ|n$bW9)OE;6n52N1 zeOiM&dKJKWe2t&s%WDR?LcImb3@iQ|hx;56niefNVSl`!s=#Hw&UWP!#{erw5-o%! z%CK$zNBVGqH%aA?tmDW-7QjICf~2$!VQ9|OnZ*VakS)VccxRQU?gR<_9u4vMb%wJQ zhxiH{L7z4CWNphM2}%a-+0n-hdG8JyRxtDHIjDO-l-3je zM)Io#OOa{>4hiR5Ss5R{FZcJ}R8xpgD|N<5%!Hz=;>}a_p+Q#67;!Pf>K59jOai zCGgB4Xa%VBUKTy{$S2f#EEMX0WtTgpu@s*W>UIn)Wn~Zp9fJIC9q)MY4Pi<4@co-a z2Rrt;JWt3lbxd#i08i1vWY<5~WnK}|Rolp8M_v@-wrDOTq^-zDeKJ^-u>+p;={oRJ z7<&o7E4ot#ZEmek`V8I^phoZ<5XrD2L9`)DVUS6ic>WM0Z|G{Qmd?C&5YaTvrgiQ_ za$BkoBdo6)mRk@tQiGjAa~7;b%>Mi2+i@d85lwMOa{4v0+xD2?1(@Dw=IdvqRu@>9 z?A#Ao7r3?JfV~(YipDskk*qSrd7mq(>d}No(rssIK`_Z3ROhi{FV};QjkU(w%KUd% z>s1zu3fdQ2)72mSulz$heiE*31`Bo$LG{Qt%!Mx=+q4tIP&^T#PJ4qS37N*wad)gZ zj9sb%ynq*bim<3S%GG`>4tU`G&NyEFCOmz;GmVP0Z0xT`i&;MICIuf-zqGa1QGDT% zV;hgd)UgKsuc(RRkS|v-9A8$(0VJtaaFmcjoX83ozI6lpLg*xXP164YL<{(-;)r`f znuYiZ#tR%)5X#tpfg!$^z#*geL{NDFM#6Xz^*_fU@?Pbo*X!{zI4x5;ETl+zoi7U# z0un_kfv7eQ7G(_(^!5;!{9wi5v}Vx%`?f0B5zPB(o%_RUr#tDPwHmeS@9OI4gl1~H zQ7Fqysy@|@3Xj7Vt{hV{ZPP#;hI-tLkVvvZo48%3X;M3;SpmTuM~c0qmXA1EVB;__ z7^KAe=1yWSP{{E`K8EHCbNE{!E5MV+)xe?1?Z5YRvqUv86P^j}iCM&fMZ^Nwn;0YGVI|t6f9w~U`!MMvXVhkMr3U=RxetV(azaXhC%!{#%f!P;f za8I~j0dGx)S3O|BkFaqNJh*;qS8Lci4vxgc%z~C@;o}W3Hypk^V#Q(XN_=k|qrka) zaZ?4@Z6k)5CCiHCsyT4AhWCs`D0gDd41&WP-4`&x->BKw0-8tTkmVp)mPg6t(>{e3 zC$sOS9_wfyJn2zV0Lz!RIUz$Nh;0pL4UY(~gXR4@wy`*5k>*UZR~8R_(zN;5EF84Am;zfSi%s?)Ds@zYUHfn+_?4iyt zE;4m(Vu*QH>U62!)#4D-{8Cv-`PKArw*<$iQB!4M!^}mFK1FWlM@46)K2%4$CKf4& zg~`gpq@(&NNBO%IiG4@)`wljfU}*?e#APH>&VM+csb=c1)f}?_z@rjjS=kW+v>QC? z3=4d;O0oL8bv*BD67-a6%<|CPdeB`sbs`a+A6Bv}C62F#bHvUN-X=|8NAp}Ievc2| z8jC~U=6C+=d7F}5#yAq%Yz9YM?kYn;iL8|m2BQpV#P~~|k1ecv16D{gRV+FhD^j8l zF_3d^oJ2Ff?q0u@%$ie0MPo^z&{KISq$s4MytK3=Y(j7;zfl?-SW=!jYE)@@aAta7 zIX+YxSc(sZl?0Xtrk2kS3=0e_!3Tr!SLK2Dt3{b%<)wk?nfOzhT9g@BnqE{I92Qs_ zTvA?+Pb??bNKJn>16qb;re1RzkAbMSc+M$x`R@wc`kHu&a%=Kt_3<^q;cwxFS+3N{ z93EgCB6JoeY2-4q_FXT%c1!;g=aD9SzjMMuo;ySdsyt1GcVYld0{U9UFp3p+tGHAbT^Mi${!5uGSA7!eW*Z0|`S`QD^B#Phze&lq4-$jNab zHpWA*N0=UTy&wWygpDDH5 zpK8s&du)VvurD>zPp4Q0uinep>03)F{e}K!&`HL7IO6THXCUhee|%{e%2m6^yqEX0W4bD8KHTb&~&&+cLez8=Fukr zA7GOrL><6uV&3!70KZ!E*c?0P-v#3G3y@+X*BxBB z&%K0`4@U_QpVY1o5Ydu+uDcyecn&wGw}vk+UVnSDCFT~6LQw2z`1I_Zo9_Trpp>n* zd=ZMiB{-j;J@|0KB`LZB(5d^aLkD22i4;(V?SP)1Psg8uaY2p3AxSc@bABe9?wc9_ zgnyKOI2m5aG-{27uR&iTi_!3^qfstunw~cPL3GrD$W5?#(9{jT7{m$NKuFw%dC=a+AlIl50qA(11II|+ zo?T0nO6y(h6&DP?Q0{;c&|tInogOc%o{3d@U9$rvT9lU$)tR%!PW z0|pFOseG1Ce!>WCaTQHcbyO`JQYavPLSev5NiL@vhqEZO(4lh3ug(Qii2H|CadiCe zOV>DOVz2sK*`B=X+R6`ekEW!*KC9yLTJ37stRfaws3m@0K z`b+a*X;wZMy$k1V{OjA(TbnrVi+KlbYL#-6b{71I!;Nq#yC&D^WI=twl25+ZZp+RS zBjkN-%}Llh2HJ>CX0yiUQ?SQxF%RB9X;LaRMJkX_CUFYO>`EPVv-P&!^~WKe&#pmc zxkfHlPtRp)rtO3j9UbA0he47R#Jo^?YZnWtvIYE@RgPyh1cGvsv5%9$15o;g#1WO)d#fMnSM#1MSUPnOu^2A39KD zW|LYhm1dlR4vnenkt}4@!gU|&-Q;jsi;qn$g-@L&67`^OU@+E)BVU2maT2xmvKRb} zn5mmeh3?-9ka%NnlDA26SHZ=#?(XN`gBUkFqi;Z&r^1+3(gO7A@w|VCJlkJZzLY3g zBq}kfNDlFgER3tk#%Czus5`wbUc9*SVpe7iDeF4lC-j7^vQq93a+MUd054GKcRgF^ zSHidJ***0XveOF0eI~os!J+L5*q73Ww3KvtW4laHz=y;54LBi(eOQv=C)Fr4cst)0 zKvR76p4=b@c68OMU5=iCL&RO^3C(v()y0Ehb!4OTAtj_q?>5aa9LqIv9xR$)1`~Hq zP5vHKg*YxiD`DNCu+lqSV4NJqby(Tq8k-V@P;Z*xaIResc<|H135T{qa{-obb$^9rN=m|WtUl&OCQ5q+0|~Pq8CS%SH1u* zJ@l8A*Dc$y$UUJ85Z$_~U$>AF#K*zni@VU0?{j(uR&h3LIBdwsnQrY}=lM3aRlt#m zoU7)25q)?{s&SJdW@a}mIKKaS*lTclTFBBC@a0tUhU?3~qte;_bMRQ$D4qjFv)X2aba%4zWKyzasm;H!aK(&B+HPkzAU6&d@__;Wnz7uE-MZrdE}SLO8)~6^%$aF zZYAC?uqN(GCK0@3fpyU?M25upRZ2%RqafJVVTEJz?uCBCBReN8M02{238bmNK_4Q% z(Tn#Frwt{K(L84+h9rlkSA5C0ayuZ@Zd@NF((Q42mWl7=)XICjS4vz- zO1dvTljwN15q(k^;fevaZKwTB&p)gkr*JmUf+ch0)*AYXrYa7L$kZma}PBe`nbM}lgHsGh4KI-2?+k_$e<`&1^nsUH57+fIyrN!n``0iOK_^QQEH4Fqu*MJ zZ+r;06{w}5ju@A+4ddoXQR=yb!|`b27D8phOPoNEw!snc@~zV$-5?yYu~%|8R&K1k zQZQs)6VGF_EKjy$`LdWT_du#(wh{)lyDN4f8IH5!kYp+-TdoWTj0|Z|BlS(zTGyk{ z&1R3>UuJH39Eu?&(_uO2M%9W_C?rfTI$E?Qr|7ad^#yVmar`fzFD(tWtk@-}BS98r z4I9Xt zgcg-3?;{RdZHh1uX;QsstQR7+MpUb|+#npXG$%wtkpRQn3{6}gvQ-ILBAwccjaNyL z*!KuPgc)k}4{N?g&CW_*9|}3ezN5p7?$w)}z}w|i$%@Pgf%50lbh-`>Gb2Vnj_bE+ zo2k57$`loO>Fq01Gu27D(lm~(JuN7pusML<5)*u__uq~~JZ*Uuc{w>ZJ$=n^XaT&g zlZ(x|%m)~U3_1+Y4Q8=YyU9zhx$xj&;lW)C(awhgZoYXs8;e~Zn~bg3p{tm7jm06U zm?MEV2DEFTupuw>5AqKY>ZUQM4aR)FX95p*gIcXHMpT_cv+|yohy`{cd>dDdE-+Z9 zt-_E{=90chs=;f1rM14?)pKdeTO)?QRJ>enx?cufa9G2h#O<`#- zej(oYWtj@#lsu3VFm>b_QPcudpofR^o&J6t;<%V&uye;@|M1ZgXi+Q`>mr7D!BhOG zli~DQtxOu)T?NWstL+zgTT(wLO&c)Ld8 zkfw=!x_AR$@&B>!EpRcW?f=&^&ohUH-HkNXp=2>k+lq47#jfMUlC)WcBq}M?D4I$_ zMKldbUW4|4P|d2fDiYY>CwG1V^a$54c?`Kl%yYIg3`h7nC-~U~AYv=Gh zGjl)p{e8aI>3d23Ka7`O%3}*85&LlU*Y)_zSTPkFGDFA~pUT9q?;D#b=s9L5L&*Qb zaXDyOqr>+%5c9-BYPhS3U;gQ8{9=15uRo-@9L<4?rFzFd#fKq=hD>b0{;4nHkR|?M z_EJ6Dh%Hk z`4R^Hd|i)E*R=i$n7^iv`#)uuwZGu*_m{mJhF^zMk3~Hc`(@2sfH;s==NRzumI1iM zcLb|^Ji-JbcA0);67H@8YHKbdZkl=ednA-#pFs6(-~KgHo@dEIZ~6k?{L-QJ+BSD0*9C=hTIr?lINDs~!M zLCEi|#l9xZI3!Rb1Sb-UfOmaGCuQgWZ4?4$>`ulwsj}QTuPOy%09$?J!cx@ltH&rL@9eG{wY38 zS2i>dTl!lZvcNiR7b*z|1- zr9K+TKCf%jn9Gj>f|psZ5@|6c>=U-EnogR;ScTTjM+u8%UlHyLJ8X zP0#CawQ=YqF3xs`LDs@ z1{{{HR_e65xPdHpG5%5=I1P*%O zru=fLPV3fy23~K&G8+}ja&txRjxEL^119Mi3x0a|u=TiTio+KTl{jP~34X&G>h&Rx z&;4-XA8!5b!_lK#Z-}mw7~}WnXe3|emsGOO@<_?vweDiuqTIn3;c7R?$%-xAo{)2w zYP`W~%j}z2+l)ic2(EBXpWca}oxj-P=X+UJ0Me{m7TfRbH_&ZT1xB<#TySz1f35)Z zE7bR5BUeN!a0Li?CZkly3w8yKGF##bSgh{hLvEM(u6q|--|N=ZKUu2kLsf)=)*05{mEbCjC4nW&>UB#Sz|vS9e}%401~W|7#@WSufL zcGSI5SE^2knHO_voJn0gQfW<0(2daO@~^65$>A2%DlWg%QXgCU*^*QTR^10RxB04QUR7g z(3pG$u!vb6|-A(*DKz|-Q=s>9Qv=*=ps|gZsNGtcKNyO zyMu2hhDWQ`{u8H?i7gz8y^^Bs?JdLb06@?ofMssN<$Ea)72=lsOnjir$TuH=tJUP> zTUh@%+diXs1fq=khaiM9i#glaw$&|P3IaTRsB^h>q_tJ3flB(CO{PGcKKEglF11~j zD5gvh0Eub&6nl5~^2jQ^(mctUrHMJ(`N~A3sjVWF3RjMCWQ#kMbch6QVI|`kSh+_C zAtwNCKe4|~90_6U;W*EIFXU}l$FVz>xdiYrUuRr{C!OK`|=h3{d%Unl?2AHTCD_62s<<2!RM*Jj2{ zvvC7kiynI}SsXboey(%4-yfGup3@4^l6K*WMj?(L@ZM+mV=}LEAZJb1C0!8N3~XtF z&S+AbW{U*TJPpoxj{!|16CuZ=ac9p zJnxC`Pj@B2Ne4NbeN*Q)3IdXfu885w$;+_U&tZ#fKA6TVpkN-#c%; zwdl#N>OMo_`gS@uwR<7ZnxC<6wEWFQ`#N`?JcFh*m=y56{N8yUy-k0_MmsEYt^!|6Yq1BeNuJ=TH1^I z%#O%)gN)e)bFVSfCff7W1RL622m$E}X)iVu`nGYW9e|MEQ8?rNHfye9rzm>Xp%?Op zoL?kGg1tx#W;QICXc!V%n{_82Gvkb208dy(b;n*i-hJi!_09q;Y$+VX5nO%x!mJ(xkV>S2HXtW=vqhfd!NHEjpY- zB68vDIK{XV0!Z1pe*M;q6M)ho0bJ@{fB(eN?gu(l#TN{AwE38~o{c&O2G(y)^xyiF zZ{Xy_92DV-dW95VN2)I@!-8}y@O3QTBLQ=lkJXM+)d~)IS9CZ%SugmCWw7etj9N`)F@`2~U&P2<}8$AvEnOb=Q<0U}H-) z(>hPbC@*rQDF$0sA8_u4ybUMmNUq6V1)>RlB7vjYkMCKP3r%#i{fQ-Du5#_3;A32` zPQet1Q~;vH!N6U4gDNrKubjX)as@m%MWgsyawA!MD$Dm01;7L$osJWBH?R3{KLWMTWu+Rm<~HGJufQreE4rio>BJ|dIh>~nO5BL zxe$lUN5urVjO1CT=kVr3JN8T;J%bAuL&wYIRA_JMNca0rG1xjuCJYV~fN)G4T$|aG z+Tu$xFf(0d8pp8J%VrOMR^-bvj+M*jADUfM&IRPUYs~g)_Es=N&-Y4>_o$lb$`l@SwaQ{E&O@6BFyF!j4~OIe zP|O#rPraDO4j3MNC_?P}7}^9$H=clPmjHGxhFiBFqKtBWa1vaNg5oDUcjja;@(?-r zDY$YRRf9jyO3g8gyqx)CFqzLD{H#P}&?Jn<&KajaJ27nTbR9_D1v`$;dUTCpT2}jg zjl+K#!oka6w#sTlk`|i!DqFyZB$yQVsaSE#d*G_OQBo2SUYa*V#$lW|JVIZ_>-or>RRl?uI$+qd|bOkfYr%vQ*B*|)?i_`t%W+O5gZJ>K~esIsZBnSoKnMjBF5O~CV*$>QX0T|N1l%)xfs05nIfxQt5> z*$H5=El8H7mGrJT{{f`Wt!a}Nbo(AWJzJB$Jb8UTT+p09>^aV~J2)Qf2It;-M55$D zI|1B}8A!-;#F^$|vInU-&ixx1pDq_OX@i+F7uiF0k$7kk4p6AohE%71IE3JEDIU}q z6iUsbum<_YeRBy>AMtof5MH-R+hkB)D#>)XdJ6tT|mXa~ShreX(7Y zqd*{L)e6F1;TqsNF5NRf{#nssKVan*cA?c}8PK!1EVS6$E&~>ZmKBG3kI8M$A9AAZ zO2V!a$^~3B3AWfmYeHV2$tHV2bB3%CdiqfS@)ty+u)3>|R0OleD6n!Qjxd+$WMeceg%=hF11f0IE3O3o(w01)UT zh?v*$GQSfhP}UD|CF1Iheq@QnFqleT5I;=J{f4@3?6EZmE|;!~gQrnA2%I;Nb2AZF zNlZlG2&JtMHd-| z363o2?14420uifn2J?$EocW)&Su5(%d-)n;`A`VzZ=~X^{k^nuJYE%sQ+H)69(|6T zH6VcUA2XM#Q|0G(+`yA+Q*&e8sld%oc1;uW+*RPNH9@hA#N zcm`?L;bJ9>8N?bpY~RG%IfS zl0+`PYxoHXMY0)$BGaV=hjW45!^?5!omj|F9Oj?q+rVo-VBq9ezP^bb0k$?7QPH|! zE1AILG`6!=$!vChhP@^*Oxz^wEz>B%M!|2?l8|IweGcMUEBGqBNCDQNo z9c*)jHg}+E2)NybjN?!>9DL7%Z6Fu@&qhAvXX{x&WnINrU_Eu_AoLP_`#=TxXAQjC z+j=BG`8oP7F&0&`pvMnuKUT|eFu8mm;#EiT?u1ce8x>`hO*hvfW|>>NO9iDLob0r; zZt$?c6;=+oR<1Nyn+-s;Pu{&z`(a5xnF|al4{#MjFb#i*Rr6GN65? zv93ofqKz`@a?zCFw2yBo?oYc_^R#VkaE8+AY0>$bpgkcBCsM8dc*%&L+qaJBNz1|< zNEffzA%IyGI>Lwl*3p2Io98c`du+v{o$jLsEq(y7W9yBuCkmZhZ%=R-3NQpe@y*fd zWT~U6-sUwQ8tw8>oNTQz$knT`FF11gAJH;eVI4Q+6fT?K3i3hW6{oM>?$wj8FVD`v zmBD5TgI5INRT8G;;@jpn2C2uEOjK|Ae}+R6&T132>MAR>hfPf<4|`QdgVby7Q;))o z@O-VbtKGH23>zvai*8EPwY<xKE zPJ%=s)27PJ;zCsh;$x(S&j>J++AC!_jGaMYkm}|3W_Bie@ zD!3q-LMzkCva{EFOAOka)Es-seF5haVxm>ZWZH1gI(oK%jaorqmUvaD{6jvp*gQI( znYeM?n#1%>v<-6yjqA+7@9p+ixfoq{{4vVjq^1c!NW7O_>9#b=ZbSwe`9FmtraW%7xr zznZuC-x)dTQG9E`uNhl^;h+2zqI!#eQmUKFKjH)B3I4IO$2X068{%XJ+wiF=(}VK-%`Xt$4m1@ zbgA9sRJ8H5>5AQvur?2j`hC8hQaoqg(9wODX&akLo%(he`mnZ_Jv8UB{{V+1=3q$B z!HSG&GYQL?jj1X2qGDL)6|RQ^dHE2`%xu8-vM;`Y4PjyUos&&p#D)e;PdZMHIK*Iq zRU&zvnK>eEK%-qI*E%ET9c*xDKqqTcY{-lW!{K$s-kDSbz9^@f1%@d!PGDKF4v|=m=!?4+P?GV&0DUdv!!2C z^ZvZPOWsWa?(dAlmvpe=rXsxHrF#6ig8-$I{ZHTfrjTvc+b}Oyjv2N|v?OQ|A2I?n zu}EYlrtKWsGBvn5?V93kRefJTs~E3>Lz&fW3?qQKVAkeMM1sR#)3WCgIK^N|Q~^1H z4Omb`a7g|}T$^gN%M^#n*$>Itnf1O2rsxnxfPAm6EQsKi&}L3G1D<8YG4$OLHpzVV zG8vAyO$c_V4mRiutbdb`?mMNl^He|x`TNdjpsw!Qpp-~ue4u;q5ttI zz?SeKEZiXh&lR*{2Fb^ig7i8I2mEJXQuT}3+`ojw@--Mn4CtKb6Rmi()uu)F-jfEcvu+g%M^#c_KWy8)2$BChXx##RxyQt=MyQxVL)<)1AWn#*yTnX zrdW!|??vKbt9ty#-Coye5`L*6>*l`NAuBU8xGpg`3HYw5UT-Aw3xgdt(KA@szr5T4}0Ygg}{O1L% zz$j0qW~oK~VT!2;dIs{~&%OjcA#3O^pE9%&bFXHXW#xBy^h^u){}mlF9dKZ}8IMDU zw5r&8io^bw$`kpXt4;YGnsGQqGl~CPnsuXpcqe4n4F1XI6o);7pBlYQn^Lf3jE&>Ucjpf1w^Aa12LFMKlZAc)O7o z0uvUv-`;$G>--@r;IptC2#D84>lI|dSV_vBiSM^%pqnYp9@DP!Mm;v4J?1MMggnKx z5=Xdz5Tm$-I1ChW5(}55lhZg^ER?Hb(Si7ETii9=E81n}>Qck3(3(-H?!MnrHcKeW z84Z)$p-zPXs)~}VGuc!#rG~rO<;#(8!r^mws@dtxWs#Kl(me<=9p@mE;S7?UR zqi+9ObISotQ$sre1bBa>XBbvYI}6ED@|Q`1J^?2b8+)u?=dq20@sm7%#xg>YiMSCF zSLST_X#2ouvUVK9V-LLW=u2NC_G)(^GXaWzH-IjEw)JG6#}AN*m(OLBRNtzz79RjxmuS9(*+AgEZ9(#P0fdZ@i{-Qw#$-sGt&2GOF+y^4;T^MgE*Y7svWnf z?}(q~Z5X%VDo{C7a&2wv(Y51kz}T<%2RI~DKqz#q2wdkfkbhQK5&_xCTX|FIG{ch- zy~6bn97dJ?>Ye6%Y?(In?1FWJcXsYpeN8qI@v}C5HB#jU#Bo;k$*u?bBX*E4oNVlq zqBsoJwm(wiH0xnVlGW+Dor;n1%AIA!8_xMA?Rd{X-P9cOSu^zZ}{rFpVB1v0!7AoR3=! z*fdtq@~cU&#^l_8#-WJsJtbV|I2WL#C}r6p2-qei5W&yforKIQ@?lllorE=ZBOj-Z zsrqRcRS!&>rD6CZNbvPS^{QrKx;VPzV$ zE@?`oa;IIAX-#SJwjPQZgb$}!FAlw3*6Fw=qzQ+7x~d$9RXL!Ab%sacC-{jy;kd=< za9D3_L3S@YXnPYgEOv80_ts_n+)CiG#{!Zk&Dg+To?kT=Jp(Dg*MpQoTO1BGHUvm{+dz?=FjYoa72*DLH3z*k3jcL+Mntuj=++dzOw@IMewJ&x4+Z@~I!!Qa?Fd?}AD zeux)zsj}3lk8uQfsoRZ}9)?s^a6inV95t&3boDClpDWJ4Z;MM)@rz)aaxJYd7m#gS zfs35OQ)M2{5F=@QnIAr;!2V7ahAL%V;xPJ7VMyY(l8S{ier`Iz(U^sLq`;;SN6JL< zhdCYNF}d?q3~Ok>_cQ$8zy@OLH>If_@-}~4`B<6{%tT8oH*6rMjLyG+eV?;$u%;Cc zwB)Ej3=0dR`9nMJOcHV9B00rjO<7EXg9FZs4uPgSg&`iD5_5@txKlfC$&$k~YeS8S_(|u+hGwl2E9%CYTOU6 z^Vr5A>4JSSv^u4#2etzN6yxR~xm>5FY2bWvxLei2sb@6X^#DmK+@i(yQl%o&;QTqk zAwUoON4`?6)9zf2ve}s5v&P%oyJqh}Xkoh^Z;aWOHP=8xaJw>M#v#4^>C<|cG3?(o z)41Nwbvd&!Aa>cz3o=dA2N+hLiPOw>8i6%#r>YD8#6POQ$aFodjQPXX*FM62`(5G| zK09_KYj4JznAw0>?L3#_I5Tr`on1vt0|8_!1;wG=)A|NNstdw>1uW+{cF@EtTtW@U z@Hl*_1oVQNCxDN>N|-xf=Ugj*r>%bVBrw%GG<1@tDswa)c)iVC{MJrxh?Hgj1IN91 zMSpMIS1sOANez+qJ@7j+u`#$ZC`V_|(QS+)$J;8MT-In^98;)&=#q-9fFV^F!f(@PR153xMz+&6uX5MD0+IWE$?t!> z=in_)zVD@`d*l=Tkcehx!=ok}-v#E@|4fAs6CGZiA5z`OxZlBLgRRo`50on8oMU zd%G!2)4^uzz+aU#?Ooqcs?ZtaHWr9usP5})-&CzmYIukn;B}m09F7n1bdFr7l1{@V zqbxM!Hi0bL+ z)x-!b!y)^xAT-)#@GYp3!c!M0pS?T)Do6hQ$6W&hg&>_+a1A_XyQwenARC|IZ!C~( z*h%d&8QX}(<;Pg7ME>zjg2NH8Hz~;Kwl3^Vr_O#?ROU zlUYT$14PKw?}mbr52>fYHP+~8-!^CDcm^)?TU#`9Wa^f|S&2K<@frZlB;2pbQD};X z;+zzQ&HoYy+h}#+dl8qv*1mEcg&RX^s9Wm$pS3YY)z!w;)gS?!W3$mgXpS({{mPOeqRRTE|q zs3(hMTA56)_3-6bxUK_;m4j@c6BsBCNx&kYIej`Av4Ax?#q>5hdBc{xD*_2^pk+2B>OrMu07t{1U$D1 z0j{6tSYuep2wuo{yY{FQL-v_IKG5@-_if9=UALYn>GVJBdkK6@SNs2Smsv7Jkz{Il zF-V4)rXopgrD}VuO~_j!h%FIPi9sY1Axsn%p&~I6p_N1>rV>hM6REAXY9p3l#uA1i zhKyY-75$%cC#y#F_tx9@^KYFYGxyA$d(S=Rd!F_CJY6#SR!Pa|_3IZt&M2WD;-73K z??S;=G9uC*D~)f$WY;N`RXQ0OBDxqr#gCg)|ZyVKs@rER<}!lRu0Ux?Vpd zC}?Zt7wHl~7pvS|JyT4py!>bXWVIetAblOjE?ag9(>bu&qaK#L7zryCbKvx$rN_m< z$T)Z5q@qQ@F7g8T^i(mi56v!tiGj_U>Fn$xFY^*H3s)?`gX={0?Zf^V91`U$sWKok z!1XZg`>jn<;S{C$DTcV0RiblD6&k%m-L}My8ZyfUZhh}%a(Q>SS)++IE9FY1QZ7@< zR5JQOB{R!aN};K&?`CB$43;zxgGtASjPfVno6}XY1hY)5(HNq&8n<)fw>x%wvUAtN zOBq3rr`+jwZsL}c^9+Z`vo16P^iq*K8{5P^AOnlokW^4VOd+CNHjz6>jo!Bl^7SAo z!yyrfR54fuxz`apOJZdIPR^9pBnGE>k%2vQUGphr=`{|m@~|S6K{qeQagy>QVaXYe zmIq6yQ|pUue&?dc|8pDyFx5YwXtSlweK53{GbSJ;Z&zN|7&3}-(OGexN6($xCm;8} zyJk&8Go-aA_Cs}WSO36QcHKsc=$0BlntIr?;^t++K`2Tkwn*Qfjlj(LbWa*Q9MQKB zU|kwrvLopnmpn?Ix9jAwE(0dQiA}_cB+6juH1Z|Ko*#_((PG3OjnMw{14Zu*EtFoC ziapL~-y8Cos*?95)OuCq^+fzXIeu8ZE`ZWN7?#!n5wVoUNF;*ICg#fUNz6A!%u0#G ziomn#SfjndNL~tiwK^&(N?~tOL@A8+o@(QT{~U+@`3eQO40%P7|Mn((jVe7?85J#4 znmrBjgiKl*QpPl6_{@qE$yXYs!mQM4j7CMix6z08I|IxuyJYNd3LL~^6?DVJsZFAA^RY6-vfL? z7IZfTM#pI65xWpI|6bMfKE&=r;bL)#bO{a&ba7eLyMOIL z7MDPmK#RrB#j*g2|8_z-emOTd z(Jk9sV=E7H2REpOLq}Xv{19W0-^tdKa#qt`#)7aKv_+@z(s!n>2{ zXKa=*YVN3nIOUo2cM=0rAw`$i!I|A28QCi-$SVV=$jt!h8~DvM_z-a%@YB8DUI#48 zj$Btt_E1y-c27|3Q{&?P|xy1e$5xo^FxeNxIBK zT+52_zqSuwfI|t#mcAWK%a{y;S!AJIF?DI1dKW*UT zPy78k{_d&A^R}Ekb*gDz-jsJ_)0IWk&2@ihO+vCn9?nd~qtinTxiM?P7snIOO~yK> z-Sp|XXS2|-_J-@%v7%o0&%V=&bL^81&L3Y{)ZX%_M`HgaW3B#j=Df&e7XvMhul?nU zh2_2WAX?78pM_^_C@PaS<7T_Vs}P$#C)lXTwd_WNXtIN6o+fHq?A;iCU0b}6to-?Y z1e_eOHQHpIzwNVaOwq^?*Tehrie0z$5<2TSP7P7)OoQ%$G)zNe4zUqecir(3VrytL zfr#cO_~zQ>^YJmTiM5}Thp~`b3oo{rfgPraL6r|ZiKF^X$JL0}=f+~UGNtV8)LXC@ zkEpwqF=^~mtb8`#p_KnXHdL(aiPYhkPM5eNk+lAakv$HrL`2AGT40?s)3=^|h9hl;Z3^hq{`*}vb)&(3tNfGM zXSb%;!5g3K{CWYt8BMD%;uql2F->yZ z42|2+JTU7v3z~f5|1KCgA>__#{AyGzm50L0P6!P~eD(n2wfj&gz&jUJ#;wjU>T9Bi~iBNn42iJPz zCjxb10Q3Ac#H+pE2*=t6=z6^sLL9w&tb*AC>a-82gLwI)FI|mSWqObhn%%?LP92#ZG=E zNc*m#PpMlE#PBRkF&@NclmXUMeIzTKyw@r^h6|n%WIi_OyGb2rd0%-u2q8f>g^>j` zaKQ8Kg6CIMD)7F1`UVC@2JQ?cbHRuukg(fAjxRd{G->BteG)=v z;N)DhEG9M>8wT*)s5;LaZB@$j)cLRT%M}M~AnwamDfKb^a5?Gjg9}R2%}R|^vn+vc z^PEJafffmO<|aVCIqF6>OwYJO6m8Ra@M0oso;wd)(i%1#EzbP4IOWs&Lg$s4#kowk zGyRyRVutADB{2M7p=y~bH*C`g0f)0YD$`X;w^O(ebTgilhvxJLH=R^6dCc~sM$jig zcDt!EgIOM}Ye#T+re2@1YnN#DEOQDZpnHaK_Kp;VS?E~CbEvhbgB5kRW0k#LfJ3W+ zQ9`Xjj`v?xhC@iJ8&C!tibJ+?H91})cCS3VaNW83+8Q1V*q?6JYC?&9y@7|D_?5Rx zZr0|yyyn|fEUdH{P7Kw{<+h#4r0|fB14buk%<1yrtU+Uci$fX}W^Kd=cTb+}Y?=dn zlAn0zoV~w_7?yd_qpl(H@Jqv9g1u$LZ48=X)yv-GPsg_%xQQa*sXQFMI$CcwD{nn$ zE#Pou>vWY&liQN$vB>H;bVQ>qo{KRkRhp6QG*lk;d~hp4X3jO~RuUX`8NRGxGC;5W zw!ygh8(irZR#JxoF|v=(z#YFliu~|cM0?zn*n7EMMv`oyP0cvu8F5nf<8!C7J;gjY zSKtsvab><6#Uam9vM$Gosqkc^VrvyR5Kr%LyJ|S3<<8H}xaFGlxgBtjo*2iUZ;A^| zFyz>Ofq_-$>YvSnt&J-}l`>mZd+b5=@+MrkNUyi`T!ll#HE3CD8EMS)^=cSp27c05 zP+cih3MA#4^)jq+Cwe)ib;j@uUDo*d9quG_r}QiKiykox+)vu@9K~V1c2{!r%Fs*C zT7&;OqL*7l<;qoV-{Bq5y;;pqIf&{*M-Ruy66A&*KLmg#46<=tgiJGc^q_X5eDG4x zTeDlir0q{5EJ54qI5AmU0}% zOV<$`0>?xcjE_CFqlo!NqpC_r@e3G+X$K7Mx}nawFljwN z6m~peFwH`poK1Ywrn+8Mz&QL;t2w;_yR)E5B7Vx7cQx|(L_9hw3GesZ#dB=^nbULY zY+1T}$?7XUAsM^z!Ne2B)9Y3)8}9n`paV_tk!yTzv*3Dez0w@&;Qa7s)`nA{G$mlT z-BxQ=F|pE5DoInf=8-ygsFRK4sdPj}RA=e{F0yP9)+VA`YO` zu7dxZwovs^#X>+wQjKFsr3F2pBvSJ63f6~0;@!SW27)8Ne>#ZyF86TdGaMQKoLz(G zXZ?WBzFi~*nI|kEzsedw{yej_$C(_xvII&F(9)-7C5KK2ZQlJPXwP8J{Cc{= zE2g}mzD@9=UxECS?x$rpCH`Mk@t1eP)FbZeBllYeD%}tu2(__aC^DqhXi)x;le=#> zqEQ;0SXp66?ZYZoo*0^J@)gWJ&RSeW708l*S#zJg2r^mLeRn(I(C&4;Ud2Ix6c(9G z_6qwy~fXyqp8N*6>nk*!kqe_es)|Mu=h{q!o9dY1?UBFeBXcxJ| zE>Sn0FTN84Qn0a4@`)ltkOaQ@TwX7UxCk;bLR(-(({3;Im}D|3>`hT7Pb2S*z2<(_ zq~Gzg*%SP`4+wvNzc}Tex)J`?o@X;g+Ye0q^n<|GpGBO-9;xHBAz>Tz3tKT}ZB$gW z!C){NwT1}Tf68N>ZdUaN2smmSm}Vu8dv+TB_FD?#8w-BQtq~U+G>H7vkNV3tqDISf zj|RUHJX9@)_M7y+z^S}I;oE3xi9KjjuSmJ5SkEYvJMpYJYQy+?+IvQ6fk}HEw<%v) z#=T5ViCADIQemId0Gd1dD(=PcG+!+uM!bX*Kqv%wXaRXsQg8$pEM+) z^6=KXZ-}bO(S!ITt>V~borlA~3QrXdP0YHM9VGqU&nEA&Z8Hqd%EEe4=colplx|dt zU>bPZmr{>L@FKfK@)Wq?5ZGTo~ zNU5TBUUK2^R)66}25jne46*;d*{g8?jURH;KEU~JAKZ2niACG~M!`f_bbPERxYzz( z#U0v?Dc<+7ufU!plS?F|SWGJt$p#ehBGEsX=TI$HlC zdkG4d(oD)Nck3IunU#D(IXV;Y*pyO()?kPxFV|i54Eyi+7!~%EUuI10Jg7=Og{61jYJ~m8LK7wS{~A|l!u@z$j%H;EgtSqmbSmJvCQ*TRI;PLOXd2eqF|58 z>X-1on#bG2k^|6vt5daly{xQ*(aFzfV#PA52`zg-Je4Hte2d&U4(cPm>kA(vj?~|? zoC4^FSW1fgN-~=Cxdu;#R%>sJii%R344No~NzYZ0u6%@Nl+kEZtJMmv zS)*4Q4QgT@MrkxMjg}aRdKkX9?usEJzgtK1dR6O2rsMvGuR)E7 z6N|fJc62?$!A`_mWh`xi!%kKl`Yi*lg26 z68EHNaC1{^tY>+%HxAnikoFeFgQ$Dg`T(wd%Fea_&B|1nGvmKMdkRc}7oE`h$7b&} z(uEa+19=h=Om(w*sLARw0opIxZ#ijp#~U@Vc4XV9LuOxH;#61{nb`givn5j34Zv#x zXXRsCC0Ad>YgQb(76_`NJfAYNCF%@jl{qH+CtOHQo{b+X4H|jQ`EZnde|;3}xd{c? zjK_#?_a^#vp3`xPdy>La;pt(01_ln0gQ({!8UEpZ3c!^SE|$PgQ)yV1Oi`ormcU5M zm|S?NJPajb$w-^lUQ~5&Ys$J63Vqo<1VVRNqd5FmZuKjT-vfNW+IA(N?zppw0aD^g zpO|I%TaTeCi-#|Zq+3nQrFEBmGL}0yiF}^)-TA3??}#WHCZK!6SST@t_zHESKZYq< zj_BLB@6Ua+ecbgjm0q9eeipCy{ke7Dsj)eXd#u9VXPq`m5pBaM?G>Rcv|7)=F2vzr zO^( zaNOwP`U^eO8Rs_a4{r7-(sIUuoJvL?F)=W>hs(!F!p!_Z914^8x5XjDrV+>Q%@1ZE zUZCXwd{{eN+2YYX#H}yAPS*;nEc}4D0xb*#SM+~WK7&;rl^|)+U;{u^Z98WhIrNyz z^Nr@h`uk9FaB94keq-7S94oGQKQKhN+>C}_w~b$+7CL6S(Z{;JSxasXV zy@~!eF`Sr>UVuL|d9MfJ9?>7eA!W-mw3LAV+sZ?332q!0b3op$9j*lt&1>&~@{1j>}Y3fDQo+T|>EA$$A+^{NlzFG)K%s2Yxw>YE=Ld6Yeufc?-Q!|<< zmVA7&;od_G_d@%Cg(U`lW*YQ4sXBYfIq0lVsI@E!mc+m;hl;a?uj$os`@E4-n6|;> z*(Vg>6xIsau)f3SvhTHB+^SsDy>Y>jt#R>b%fe|62Nl27@T)lx85DHKda@JISD8Ij7+MNZO*=xxRuclSNiVt1_c#`84F-cYI$CXC zAp)FC_G%g#HWY#7sMP`I9DEcN&D4r7`r@}Z6f>-tBRZImJp;GakwH$MyLP%cj+m+9 z7p@LmmV;v>2srQ8Dd_O=Ml8khsk$E7b(hXrBm{-1IoHwz``qB**h7bBUd{{pIf=N( zy@>}NyR67$ZzPtnL8Y~isu-QotkSFW8l^^0i&=pL*ifG;j8dk$08NYfyc5;(xG;UwxyX46W7q5)Z)oWDI zS1}RXnLnnNiBjey@VC{=AdbXYZVI_F!4Y>5`@Rn@o|>-L7$PiK1Mlm392UVmU1Fl$ zj$hu2^e*qcr2F(ON^sV-gv1-1bvMh&Nr~2``%w>z2K^R?EH7plvgg5b2~4j?@Dv2i zk3CMI&cO>7hUegR4jc>s{Ylp#Fy;WoA+LPslyH3QQXAs#Tdld|P$^l!~BzJO8RUWWn$N_a`K1HJN7-i3@fYH-{+AN`q2|{o#-0 z^@yGz8;eU@^moExYBj!1Z+sY&Zr0>}N#r3zaTuaf%4BY}a8Rq4T&E5CLx*xx@JM;@ zcjZ0(AaHXT&g4}?d}>%!gFK|MHD1Dj!;;yOdJl`AY%2orTh$ZFU1Xssl@-cEXk?t= zlZVE~Cg&`iv*uf$+}(M*e%+S_mHu>DxSYtUQ)9*g9Qk$Hftz5w1b)c`hYd=KZw?)G z2Jf}71XJ>=i;K&}!NGPj{uM}CGfSW@xXz47yTNrV!7jRHfdrtn+o3mHTMwr1A-RzF zcN`mDAGD`H^S^|?Y`fI^Vs2aNdOQi5J)4(*6o%ER!VuBYV65|oNT z82EA?EHzAwU9F#6-~PMGLtfMsdxzyHor)WT_XY{);ev;qViKGhuf$m|yUzCnhwaPJ z6<6N-WqFSySiUOjtBS*LH5{tJPq9up&-?quipKi+<$LhF1Tqg*YPX`{6I|iI)IJ)P z%gh*kqDnNc{Ap@TM6%pm;$`?snVc0HGKaN1o&igVv9>`S0O#?(wDP=SWkhl1p(rFq zKB4kUk5AAKMy-{L=N;Y=En&)VNK7^kxU$eRNF)M%gN&tpJ1?@oX=Iiq5?KPQ%`tow z<`kOw^d7eMAoBeYcGQL@WX?lO0r5-ZAxm7NSPZYzg1qX42mNhv2-l@@f@bfk+L4`u`8CZFJ-C0az6y7q+om|yfxq+S2W!2Y_xF3kD@B2U>X zt($dBWmt|~8brq8^)%7t%UIHX8?S)xp=kj5GMXV5a9DNuBv5{LV$BYFx6AImeY1JU z_9y2!F9lH5!Hvh~bsT_SsA(#1csQ=!Hbs|3{AKo~{xXrY{;^R$v7V-+sH+8kR~!O2 zY4Rrg;hXD-L#31pLUFYEn`em4UwWNajzdAP2+`s)rB}s2j5zKAhCYyUiMSYfMA3Gk zxo|Nr7So00`0CuNN*waw`dRxG?ayAT^h;Y}Wa+S-7o57IPfmFGsMdg@l%Q#Y3;C=I z3ShubL&nu|6&ddiSAffy3XOe0`Cd8i*zfv$S$DxD~RS5bHPydHFrPFdKYWmtaq~+VpEV4 zN4VZ%yK(DgHK1YaQYVm{!;Ytt=PYRF3Y~~vzA59jbPZ`Znut6D)&n$GaX{j>vmfCbYG|^G;_#9yOc}*%<`Cxy{#c5%W*pKj#<9GJ z*q*GI``_S@Y%`vD)iC_4aM%y*zKI#qD~Z+-B$Gg9{K`gZ)9?UDoAyhDl~_qYPt=pu#F-Nfhd zgzd(rZf3nX=MH`e%05sszk7~BugRTF1me_C@Vl4nW>(3JZ@f=%SPJJFBm;!CR|^hs zx2T`ycZ+e0Ftx_3ZY)cT&7bOL<}C7lAHSM=?CL=?z?>50t|A^XewoNKe~ijmvtA|+ zISU6iY=}J_X0GvPe*q4yDMjZ0PaHOd#1^AJ?7ENV{!l)p=p9}EGMPz)=LjnmmJ^rD zl4)(0#!fjhZNyCAeYdSwZz)fQaKHN~#QvIlqL0rBS3P$ig&ZH~GK%PBOByT+rGARj z=3eOrxhCg{6^C)SFqh!aHV+HIH59y8CnuPd2JOEt!Wk@vE=`Hjk~ns#;h@eLc>f=_!5?HUy&!Hww6b)&#coGr zMF$PVr~Ozl%eT7p=RT3t=iA0&FFW*C?9TYvu*^L2wiE z=U#tD@0K7lH?ivF%x_kh-DJ73iJN?&I0vGCaLiF@Vg|NrMsVoIhRtf*bjtmGyTqf?F~ z=L7R+{S;y!9t8(?GG>;oqM6AJ4m8mIRvyl+QnHkVE0yKnnaT=`A4Cb7fu%*m;96dF z+~oJS6q&toGuQ8Nj(yLoRo+yF_nmzLmZHub#*UeOhS-Nc%-`#$_S-$5%EJ=?+n?q2 zF?tUrUI>3W7Y_B`IK@ZN`~zGHmx#YyF=GJHICDQ*)Ctk%&~Xc}6X_a*9W}WIbI!Re zl(pGdr1nhny;3TTaezg0Den~`;`YY{C1(=xO{^3aOz~uA4HOgIz}M`jP}7$!U!dYm zWjCI2`>)0!58|SszfPmE+4u&7p6748I(bOuDCPZ?LM>TKV8krnszAu!ulzLPr9$%$ z$8E@@va}q9HX8OQx>|$39I>$)4&~+FoyNMpxuLl+30|C-a(1b~$V`%_CxqsNDmVY~ z`=cbnGFt&pa$ujs+_p5PQnH`ehdtbhp%>H%lQIeRA8e$xe%nDswjS3HKR-Gj&k>|g z%>lmE$GGyVXE)BJ!8PKifHXS*%K3-;^w+bt>*eXAEm&s}>1~M%?&xzulfS_h&P~4R zH!JyI)A3zK?(3eg(~nk~*8M?vu1%fAtc2rzn+%{OGgxOhQ#E^D9f#$9frJOw&15r+ ziKp_xslOVBM4^PenNOK2PHS7&E~=`oUo8&7|7{%L($}&Qb%!{276#VF>wVwqK`U+l zv^=bcABrpKhqTbVXwd%whbQp1G$X{@+k5za0}+Q*K1k}tU&)y6J$(Z^=p{HLE_TaQ z=x*ZXO^WD6JN!-&99l^ClAy~NYrEQ7GZjuGpeq3dnD>5zGlsYkU9JN zxsK^7-n%gQmzB8c02Onm=i?W{<|HEi>`>Kou8KpUxY3G3vL}9^yN~FU50?JrdYOk? z7p3G(`;I+5YZX<~HLntfz<0whnyXaiYa8(_Fe0z_QCIAiW8AU;m%K6@GHjK2gM|3Y zU;N*2xU{UhGnK4Fl`ZZ6`6DO6L?Why<$MFMsa95OZsMP+-F# zJ;R?CW7c?gUc`u)m=*Z{c()ROsH(R8-nn-+2n>-)!4+xv1__rj%YEZ$h67?~ND2y~ zWPlQ)D5fl0!nh7K6fQ9)41}~exC~<|C=jV2;wPY(Xl|IfrIZWPf6kp@A9MPk|6p;s zv)r>h=Xsa&yy#OYawJw1GnV%k&pw9a7hP+BYX0M5&}QHr}B7+K5iqD=o4rB_DZw2G5C8 zmZCpHSRP===_RF>h$R@wCYixZ92&hMGVgqHbV~6Vb8v|J=l#)&U-PhQRr*pA+aVsT z+>Ww4IZhEwKz~G8h_a-Ap>CLj&!TmArQzaA`DlbnUdV?x-~!TU*z&jJW*n0GOnh?* z3_fjqjydPc?QzHeKl~Y|8r^#?4vTy~0oapDB%+Jl5)SihW>vmo5w?2ufr*==*e!3H zxA@S3rRmeZbgNyP-{a^;9k?#&hCcC2IW(f^iRM|lozzxqn-gWLJXgv<-7c}MHb;y9 zg{OG~RHdus@~D+ssn&Z}Z_I~g;gAq!uW#**e{l`K%-Wz|FO3yv*}jD|voXfL{i2^V zJWIS~r>2#A+Y*Nn=$5B}59yZ2089sQNHT$>M2KP~-sae4E{_3cu|$SPCes-scqr+j zsqAF`klu$T?9$e0)3&3}@e|}jQHofuj(T_k9eQ!R>GYl^izXcDw;#;q@TlwL(n%N zhF6FbBKTDvJ5T3BJBmXRGGdD6@hHrR=HzWChR@>|+yjQyfHHgvihMMQoy>=fdOPZ} zZ_w&*(67~GmF+a>^WHV(8_*jslEFebx*8g4gPUJJ&z6QMRHY(!ZB*8$P2f;(E)Izh zTXzK}6aTOohtSF{U&nU&Tz>B!$#(ezJ-@U$Jj!bQlFUsmg=f}GG&n*t)A#lW$_s~8 zul5IO{+SW7~nxXZ_9?Y*kK&m?a4p7AbUQ*gSGospUZPZpUrkVQ&8fL zhJbK28vV&wWR0T-Nh(nOuc6DIOhN-nC@9SVW_C}Hr%%5@my%c-HF zl!aC(2}uB5U8YDbBcjbq+Xh)c&ZRn7Qbd;}g2k{v7^e^$K!jd)lza>sgxO?Bg39nV^e2PNj_%hZ2)_$8w-uM4;$h=NHV^4f8hS7 z09$YK#BNz27h&tlai)bFO6Lz@!7lSK*<{bM4Lev0^J0aZd3YNK^OZ`@6ZD}ltTF>u zp#~_9j**B&4|iAxf@m`EhV6JP7S|m{DSU`fL;Uu!7nQcld!a!vsx1yz)w9KVY&Y%z z&4~4ez1zbKuaofG8^%0@z`sKgLA9gK7`bUIOi`fbl2JEjR zy$DcG)s8*oP^|;eju{pbdDNBYx8O=!9LD$}^yr6?1wFBQa1&@+ za0uyN`y*i++P@2WTptt;(DgRlFM-(VFo)?g!H{iZ{yx{ygu~$dOJ<{K)juvIV5lX^h6F&o{qXTlV~AAiLVq6@7kRclqnYj{1kKaY)hv>=6>fMveyLP`8~9LSVe#jVG1k>>Fs>VCl)3eG42iRJ^G?cKkP*7C77>Y3xfjI&E!j z)qO?xI3j^EmK8OO9qqWkOZT1VKdmT+HarOLC}2}$267}@pPHw-#-$@Ad%k&#rokOnG8XX21(UfO3@j#3mViIhnsa-N=fZbDQSN3XH@W-b`D(BvCBfJ0WS$zgaL8rv*vrD-$cWe8JB)#{&i zV;&Ik4D_-F>7&sw4s@_J;*g=axF@7k2X8eZJ?9Yg8D8O&LGx(JtfYBV4eKccZN*5= zd@~%VHu+YX?7hZ@ADy({lwE#@O*^s;M>Aqlj_jPer8eSH_x<~QUO6@>jL*Yc+BMs5 zws+r%pHBOnI&h$TaW?*Dm(7UExw({hmZ`(tV8r0vuFR+22E>EdN>}IeRw}pjH53%M)E>gm@TYamdoM z)QJD_nGDusw8tR>CEve}1GBAd_x)Sl>pQ@QMiSujDWd}hX6CU;J9VWQ&+vd8ne~Rw z!Xd@sVOKuDL6u%urbtsM9!DAICP$?zbjB8t$)L?snb|I3b!rihNwV|EVLnZ@oxwJG z8&iB}d!pr`i(X91QPdN6?P+irweiZ5T<`vVX}Jvmw;%h~FRS<6`egSOxl%1BA-Z2^ z{hn)gGw&Aexsii6#bXx}U7U9?C+;NQ|GvyAcq_8Dhk#kI9!0?Bb!#TqWsi)WngQZ! z;OzA{URzf^0!^WyK?eh;e!V_6(dHEli1Q?m&9RO3j;l%x7ZMyUMBTE)7U9}wUtk;( z-Pdc9I!UG6-9wXg;PtQ;W5aErjh~;5q9Qk0p(qT-w8UW|(;W&!lli19j4^>j`Xh}8 z(%7}0M4CB`I#{nqXjoTo^r6kv9~v0D#EEJ4u(Pfs^o3og;Sl#HF_gAyUVQA^35B_X ziHgAI2U`x`ong!(U)L$vGV$o8j{$pSa5X=vEe?6D_oPW2mMlm(mSAiL3eM<=GbM@G zbvOOup7bq66VF^stg7yD7V2+I-V<;)U{Abv4x7|wIFYmG?aA~@4;>PG`))2|m(M@o zYhnd3@gL79DIZik{Y~_l^PUl}V*l_gM9$c-WBa#gSP7ooY8=_D^*FI@#}~b#03xIS z_V1(bzutfjd9pYpR>L@LtX=jf7AutvPZ7(96E!<-D#cODMxzx;r?v+@d{`a4@xCH! z(I3}95iV}A!IqP3u#FFU?RX8~{K|GX#FIG+MWeTQZHLga`4sH~1)-1TzVSNpxC-UBo}3LBF}`bH*P1~q?cr*i@^!sOLVD1-ZfG6a zf?>FM-L1Xp*XI9m(0&~ab^78IyLMAAkrgT$^$L)4$>rPv=G`Y1V^Vsc^qIfHcbAQ)F>k;Zv^l-I( zhG)o>Ww6xsZR8P+P}#M?7Yh}tiR)!D7caC9yHi%W(uSYb+5>mv5))nIfWV#a`VgS+ zY4m3X_>N(Qqb2^0saa}Xx{ES-rIG#_C<;}J6i!V`QM3u&W zDZR=MB)FyclK4pARnX(D_j>KcasHU07tf3+T~rFx-QlDNI!^rvxk;qqfeqFO;b==Y z4ZSQC$+d;i=n@p`pwVxx3o@)NIb=D2zFldEO4zuXL*DQl+w@!KB`2q;{4U1K zkaa^}s_U}EA~y|62Pj4Q&032@b)_XbMV~Z#qe*ImfeH!aQuDlB61_d!76zH4w=v<& zfYRZ#COTS!dfb@kb~ChW>!~d)4vEgJY-ykSOYX0_uuql|m#NWXv|6c`Fa2m2$s2Dq zu_iniSQDl_E}MCC8~Sy5WX(v-hyPhsR+yGvXoKhE{Ff7xSC3CiR;AgfaupXJ&dKdJ zccWLb3fud%v`tuUQC0?EMOE{SF zZ1m|EhR5(o{(@%y84fYHr2#y?0nhjs=jH(aJp(o=Ki?XcfN5_8~>! z42S8DP&De~X7dB8$&rY%v`Y}dVFOxMZDCOtyYd5s2IiTGLPM^ECb<$YSzu_6&cPH8 zMHSx@ogup5S}jn9hlk%_uv76A=`lra&1S=q3@#JdHyz;=q4@4-c35Qh6nKm1TBvHffhOQZ` zFpmPy_oBC79(v1qh2{QTz~L}v@FBJ%3omRUI4BjNn&dua+PbP@+gs7s*O^sqoi`RL~1LNOXYGMo@$*f<~v(F z<8rNJCYy`r3Ru$=hjy%3v45M-&S}%8?ccfIXWI3hJ9qA%=Hs)|$H%ApqhAMN8_EX( zsY_sS_%T{7(uGHPcVlrl(@O8I6vhU?q zIOJB?|1;ee{$%6|hd^~g zkrZyeIZc<|2bpeY$C6s5_udVU&_z6PzaSrnO3CdDNWTaBhm1LKiZMY-6ip15&EU0t zN6UZ_^@Wn1iRR(ZV)D(2+N)I$YvSkUFJJTBmNl6WpdIEslIoXx%x?%?-hDH#CwheKG6ky;8sPq5?k)6P zFq|}_c+}W4#&C+qu(itVKGaTcQw6OGVCOs!hY>UKD>r9!mkm zJGgweHQ+rNKX6*`dhtnc9dwXs7aBRyd|a7+>gQF4b^5Xaw8(c2X5 z&jMbHQZ1Ns^f~@v`rBQ$C~CJ)FZy{3PvFE1zY9Zh-kj7oGwAfeh*u=w$t&46PY}23 zfysw2`}&c*m$y4|7|;P3M7VRw%!7te&Kx$%qkJSdKLYZ!l{(#6FkPVRZl)_$LglOHu@mvIKUd|*`wJQ_T! z_9&8=O3wN4%fr{n{e%~m_PQOhbhKPV$stPM}~8j^@h?T zjnBx~Y{A;Z;Ng8XkWRdFA-%<7-J5{P=w1X@Ams7|GA>spl*t6#3?aVe(cBEKfXfui z{~m|L@b8IOTeWhbS(menO z$(f`3GDv=CPRKB;U!0*cTT2|yDN~gtrK0Rc>fnn(8RSbjkyb z`Vu1$7%KkOs@!u9{T%SW3Wq|6!sJh%hr@nDJ&0?0A+S&kE@f${90-c_XiZ*zM&J1C+4VAp4`8+`JNJ!js34bF|1%t;z{?%OVM8;T6lqbigb12G^T3 zc^M7~pA{#kB_}5rR)i{Y`zew`FQ!!}(vlTvio#??Xn>pR3-}O63KrjcVd~_A$Y)4v z9MZ5GC3fr57wt9eLHVM;CZT(8Uk+ydcgoW-2>do-hm$jUE0~wZZf=nuT3IIjD>%eF zB^1Y1Rk>94b*ZYVtcp!^v5Tv6ajA-{igih>a_OrhK~-lrJR@PNFW}bWyD=9ojT!Uq zg&t#06+Q=t4!>$VqBZDLN&2ft_AM+unhm>Le?Xdbdh|H%-h#Z{m5&!ha{Fd#^f(fA zRQm?5IZ_^wJ;Lh+IP4>Hnp>f&DE)G{DywLHFays@A#)c%VT3v**(NE~fdTm_iwBWF zoga~4159z45fF3SlZObNtYqMPExYh`i;HuJhp}=DTQ5Uk=z(^e$FDWJ^psOg8oo^HE^ z++MFSlr2*sqkZkSFUK$NK2(6=l-XfxI0=Pd6$?wgeOlRx(JgJpe}v1wmx=^mJQG7qn3 z%!~L?MvG!y`bI@n+1b*33KIk$oMr+o^W=-;T*65Dli|}milMpwB{VPw-3G&9;u90) zd;tXz9Z3DH!qMmlt@M6dJSs;ZSykd&CDZ z3KgGQb`64TKP4(mO@9|Lb6n2j_Z}G1H$56fN9(QSzBEtzs(>ST@&X)Q&R}aTXfOx> ziStUaiC(rG5dVoS7ZE>`j*?stV>qXzctkLT9ey4UgHJFqKa@lC>8#R zevfUjukcW5hUC9#A^AEkm(L{=FA$JqN-ItF^ZC%T&>>e*R`~cvJKF;N$R6AX98+-$ z2b4oGO#qz6uiZwH3-c^f_u33C^O5oBGMb`sq=?`AShkaxi zOc-`-PK_pVUxv8iL`p!^ziibZy9F6irNcCq>R=Y6abV1+cz8|unbZ5pNc7LZyr;-R z?_tCt{-xK?Z;32{=HReue|JY~N2~3j?Tv_C zG)CH4FsT&ffYayr)wOI9G~PoYYh5Vt8gOC2kzn{IIAn~=!E`PTo9adlAuCkjj#kSn z8sPk`8}Ksl1+XN7qA~s-jWd39VE~RL1Dr6YjI=kE zAHqlwSIBj8ovT)oxloc=S>=)_WR|6?ZPhxFPI7OdOPxzqt(4;X^_6PnQn|X?Z)R1v zMXXEZz!%wNAt6GHL_&Hrhi=ZjF-F}dZIDlMfJ0to*ctSFJFOT#5na z`qE~tPAZK$>zE2H^A05EKt0uH`Urqx+Bbr;`$+S3kg=l-G#~xbJRZkvyG#rMjpHQF zzr=^Y`VF8Y>LjSxvUJUHTlh;r@nCmwd*qN2l+^TLRnsT_tSKTW<#dEDLvdt~JY?LU zD5={G6W#~rCWa%vWQEk8!^!Fk{CO z9DXP?E(RV0pS8wSX=%BIWo5T9Nw7r`6!2K9Nt5Cx!zF894It%UL`F9HvZI_c=mDA1GSw;PRXWHc z3$v)bWCbr6q+xFZM-8k6y8f8eG<0Bxgf7Gnjd0)m*Md;mWOX3LxV~>#*heSBATo>- ziMPkf1IER6a|%`h1l(DQopD3^{dSXN+6){TwbksehV90$SiojzNChL_20Z>sAt%@# z8wkFk(Hw@WbM~hwF2mtD69>6PjLmI@NA|g1&P$c5ik0c$VLl%dMhzG$g*7P8? zczeYN`4JtMSvVxGroZ?5Re-cf!T+9J#+D{$R@dC=wPk-s!Z`me*3J|R+19>Zn*rTu z91Y?hjUI1!O*HB*CXr?baOlf`Dx#)qXtFOhbnRcqLBS8?NgmPY^N(KY9drA)EA{#O zVniJCX#I>IQ@qQR!|LJYky~>g>Gjc?KNHXp=3C3@pb}XSyJLZ;fbb3O&+s1D!I6D2F;i>|2L=tzkL{JR1?Y!!zqtR1 zbnL_3`s#C1zCb3R%)%i_zUT&ZTSYCIonSQoG12bl7iOGvT^~czGt7B0a~Pc7%K6KB ztkr18{&BJ3&q~VZ;>h0jVnQ-!#Io7Jo9)!ex7w5pZXWq?Z+uA2OyK*%xH5d><{vS6 z?Z{F+lGW$Tt-u*vN}L1_n9o*@ayX6`WSe3=6|1Ny>+aRAx-i~dC%8eKDN zj<8#V@qhzEllmSN`dHJ(SX6Wft-1ffMssjTqG0?h%@5L2^9>_9abLfF^AMOnW9~|F*hKH{ z$2)p<_=S>LY$u*n#X{hH++z+k-hB~oPzHEk*hL)7+He1ld20cr^8Ekb_q^}9XAr9)?|kmg#f6kSlMY+7my)sdv8ql>gIB3aU93Z;Hhxz&$I zH=+OYyyp<1PS}3`Z>8hBx95GH&-49$Ki|u%6LVeIc5OLq;UjSQgdp>PPj($6qY>?- zC2oUH+lz1V3G}{D&^BbLm_DSRm;sorp11MC!~aY^MZVe$#bqODB#6ea!Ca;@H8&Mm zzv2ab@sCg~;uTOFHC?J?R+f?Tc|_j5J}elPJP|^8 z8jSeH00!ViNt;2MfjO67Rfj%p(rgUNz&TusgvaqehR5M^_+$^h>eRI25I`Tl^r|s! zjwxknL_QmSB(0}7q+1cFC=O{`6IWo;W(|G!X;beK=2!F~$(yhlJc2(GxFA`U4i^a~ zqm{3^#i!UptS)fKaBq<` z(%v?MPVL|C&|=N6{CbK*4zTghx3J56x}1x(I42?9u9uZ_e0v%QPx!4*w;A;KhY>1E=BP-zzv?Z)?KOS+ z3>~+G;!%q6Wj=670U|kv=JYNO+sADb6#tGelp@P+IEWm+#-$xTjKjfGSA};jdELDr z>%%Avu_~T*y4EUl#Qe*qg7EB+0|@}DzsfgPiAalb+_8T`96qUhF25E9#itpkKM3Bh zpT|aZN6lSjq~RG6;j;Ipv?eqdf8+S3{Bmpj&}qTfA-l@~J{en@l;*2@b&=Fe`S5`3 z%n&OM!)0J#@W4=;_+QQ(-+cMG$+*VliSpNFbA2FFyRt;IUq5+p1^@VuG1fWV2_(F< z%LlCoqCK~(ROIblc&s`n4@RY?4)^UD4mpf4^x}Wh6G{&e&q1NOFA9wQBPOq#zPkTv z(f=NY+GnM*0QO6Hgetr9_{WFC3r099t0w)&)Fj+~zMNF}M*`##tXbG_Oea+OIUl?O z@blIjQp4qMeEnjO5}6cJ7>$O9;ZMpDtJY5+$aCY`tlKFqVSUHM1{70GNT~BoMQ}*G z0!dEcwNEF_RvEU<0sE9%KKZEOZ%cekI3R}*#dSN>d0;%KJmmeRtA+YE3lmAr4T*5m zY=WF&9cc^|>{XOEd_-_4{rLGNA?bSWj_PRDhob!>EK&dA=y6NV2D>ns3xyl}BCW@jzNhvO&gs@}DM zoK;aynw+e@T@Jh>&vpKgHdZ%U2Zbd9OXoOznq;3jwA{9{-mLw;q_0TRu%#`I0KLIU#FP8X2irMAIpJT_6XEm;O zgErm8A*@CLkJ{Q~{P&k`^()k1fIW6NwsYjJ(h*5k=ZEI;h|6U844`Q4?&VM{0;+b@ zYWus(f%>_>uM<9&7ct^q+|J_cTCX)QA01J`YH6?W+4Fquu#vjK5qD6bD+X3{ScTN_ zLTG08=;LvU;E+huf^GUvc$UAZ%3T)~^(#q6dRkmPzOKO<_OmJc30!{jviFI z%kx@C^dYgrB=HT5fHa~LqD6csHo_nYUc%aHil#fQu~Za?9Lf%w&`cX|x`lRAl-l{7 z%9~=dRC1s{Al8S5!FqJ22<*NqdU5&GbfCX{H0k$Anv=?M zXYUV_CrJ&_SBEAKT|Bn#;to4mNxgYM^-#@zlYbu;ii%de@#*dA90Yp#b;q}l7q6GU zR&jHnO@Z#n%dG5B~V zJ+l#;OGfj5*H3C>ziA90ahqoJ`7xAd@kSuNjAK(WCE-&VC7InC$F@+D=dMUx<=UF; zn?@6I%V(36F{%!G0}fTtsb0fj6WT9qsaD1cn9~b=lh}puaU>N+13ob1vu~nUpOn*k z<5JWg9N&smMAY0BhdaJ5tsZ%D7`OhUOzo+_TFL z2mj69(0nDvVSqLI@fd2FI7eX|l0YU+3qt+qV@WewaX5Zc6Jfw?kWT0Qs#h&@oO?n@Y z-jw)m*=0P2(kh|}SCYsw`Z$p8A{_fh#mXjENu7F2c$Z?=muhzo8{3{r>@8NpBSt*T zwB$&H5&_nSBz`1CqsvYe&Ycz;7wbBHi^WPQ_EtYSii+xt`8Gm{LiIL4R0BwAxsa74 zOUy~nnKjq#N|LV{=97G#+H$VSm*VT}VT%$^cCQPRnp z@BD~1^#q3?_|uPc2nc7rb19#}yG`LfDW1@es1({&Ddp_auiu!onNe8 zd)!qK9CG*!$1&A>#_&xiHt5S9^V{g=ifK%+nPNE(&z~J3Kkx4T z>#Inac>hnEi^79PItC9`Q3Qur-|_qgSj{Rn^h%K9aLc9UH6;^@y$nMmB_!uPrzAKy z%=3bhvy!TkcI6q%V2byz;Seh!HWtp7pR}yk(yKkg;iP!{{u)CD1H<7ld`jVdJ>f6a zY3at%3B8-x>lO~_7Srjxc!w=T)O#;y8@tA#6uSYDEW5*BWy``wSSKGQEi3{CrUhW$ z!X|sRx^$;lT&wen{(TwY7dZsqW4H+E0kbz|?wahEiYYNDgO02(1#( zysk&Xyz*POeOr8^pIeF1MBq_u9nfewQIet=@<|!>l0M~QZ1JFrqS}_GHFNY4x8)m3 z)*mpe^$tNGi)XOyZWJwRCVIJ)uU&!_(w_N;(MJE|w#IIiO}{4Q#13z^po*sXZ_y{^ zIjL+?zy5y_zQS;6kwcV2Z0vUyJ>>Y+O zouQBA^r_2-7s>VliDP4yV*Loy=nVNB6|FxN!dB4hUyf!I8w|~K*d|1u&>S9*3(P?D zqa7pU$+8|;_&gZDBLmCk8J9QjqSy{mS|DrFYj+Q90A4pgw6FDM*(7yKc%0xxzNsCS zE7pBloU*cmyL+6wgL~}TEp_Uqs7>x!S&4Fk29lx2E+2IxAsCA7MphVy)V$RrJ{$Jw zGA&?hx*u!y?b~N)pP@aHcTH>d4xXOWT^V@??JtVy>Zrd8Kzk5y(wmC%yCwHsEQd!D zc^3I>)MHD_e9NXHqnPb8@7=ri`{Mq;mtD%s_s_R9it&rQDi?F3n0%ucW9S5jSd(hi zTyVdT8s|P29m;aQ`f^EQQ+HJtTVSa*U1Qo9hs8ZBR>NNaREOd%U)`9lXQpItzz2@q zp4X(9822XicRQ|EpLaAT_0!bU?9+D={_!>|-Dk08-=UKh5B**!-^6ZDQ~LXZEbB;W zkQKGdjLm0OFMr`NVGBCc8gxURm#3+u>vdO>mC?*}72nPes+5>JQSCek$xh9TkW<|g zlhX@L4q};m`Se2e9+wZEHaU3W=+P6GhYWf?=+F0lyfx|8kDpIzl?8n#O}TaJo#Voe zP7DQ=KWz323be4OABVOU2bnblwMaul=9-&kSZ*4AKkKpymWAIfTlj;C8nmy@WrQ~x zOMG85H5<+iTcXnKiB(BU&*|Iwidpw;!GC1SWOy{gNgrd}@-}>iW)$xmUGjWezoGqa zi{-9RR6ui(im`8x#Mq{1?VkRvna|E#VTdeg2LkgxgZ~L<$5w1B(((4t@zB!I5o^iC zL&r|X!^76|%OjmQ(~|Yo@=qG*Bi@^zz&|zc)utK8*w2sFee5x%vow%k8nl%21?uvzr2z=r;j$bv=hkbcePf>GPNI&ZD|5U0uqO4yL06O4`vN z^FSx$DDBT=wl#}_2k1Q;XxpetF&&**3Y0(LQ#8ew8D|nb0p&sxHRyU~RHTPn%bvR- z3KZ##j(yw3HkG0(d2s}pF^=>Cg^`vo68EE}=U+;E;jj)rs=OVBHg(`(l62`|I`+M6n?bi>|K!E)B4W@O&x8EGl80--=C;qVl!b71$OWC&@D z(H+E=AacNI6$(I|h1Buv&RJ7zYHDa|_(sVb-?R9SBdY4U5lN7+CK=jJ=is4cIsdLW zSA0~`Q6JJ&=6XE=mx~wS4w_=Xl?Vl03fIPl&vkt6BMa@c&M+jKC%o1eow4p&{E(!0 zUWZeP|F)J7##}^?&*;2%Enk1p(Q_HB>4$d0&=i`LfCF<3!9szs<)>L%#Ojg#C9rb-o};D z-Xa|>Cv_~l9Mq}+~rCDw~)&Zf6TA`>^acHgxeupyBJY)9F~VYw!gEulwwdAVA6 zP6fJ^W)QB{wHOrYADZ!VEgu}!^GqI;lDh~tOqdjp=h;K2&Ft8@*~-Nm|FLyTSUEx{ zADRswof~^VCT@0OvB<+ig#X}Mc;Knmk=;rpCQ~maAH{i1Z_;mXheHZHe%>|{o%+qN z6)+sQ`K$bsVtoPFv~xua<82@^@UZ_=mS1)~XQH{~wDj+eIOJe=XV)XNUa|4nw{bC@ zC(bhfK@9oGUpH{$yu0nrlsp)9Lh?W-Qczb<%+No;vGexU@^y{m=Oq;-#T4alu*@q; zatbCy}og!Bux% z?tz^rjt|^fXLxkyu@l?l9%Dns=M(n94p7^*x97_E#+iO-Q}}K{OLCn&#x`7}W6P)b zirHm~7KjM^FiGNz7&&^wzec)b_B&};2t@$69(J!+QLR}Z%A<+kS2CdU-cwC8$y1b0gU@dy)+7h;~Ey5nZGL`YubpChK!5=lRdbDcGDk4<@=w5NV^qJ!ebh%~l zeQ$wT+hZa3DTptBpQL3ct3;JtXIsw9|IBmzG70v6QIlgcB3LK8)W4St@A0c>^+R!l z^vWXTd8*0iJiEdGHd46cbSZR86KU6gs65iI|hckslG7&RTZx__AZ3 zX%T4&#=Z%$InfCb#;SUrSkE_PEh}ha!0{6r(E^FAD2MK}oRFkFxtuVl_ouUWSYJRGUG#gDpMv2Gq#-d)vA%1P|epnyM=Lu|rEIk$<>Z{pz@=9fPBPtTN zp;UhEui2-MW}nGp`;+(~*`DR^qC%#Vu~IM)xer0d`~JcMT45Z@luvv`953$bD6csX zl{qkbNjtT^Wd4!R7&tNpV7U%fPe-b;vTagGA9kD)wnOao9W4YU=^3UCA^zp1Fm0mQ zU*;9pJY)rc_)3>~khR@sn6B}KcT-YQ_VicX&6S(woEM_XPJAIPSQt#Sb zz^KjL>m1^b4Sx2(G3piG5QDDiHPqErjK?z3Z{uU`Ivt3D?QX!tgtTQz%&MwYoB{Rs>%b(`pZOKrt z4S|tS?QX>X8s^l4T5{!pg4qvvviG5YN@^SxY6qpdl}FC8m9S%K1neOWE#A3=5#H|%b(9BBICf&^v1^98 z<~NTXJ$hhtsuIA4OgE@BQ32QS4BXFV7>={Wf7k8wfh2S-wG~>m`^S#oM`TaRp0iac zXZIB3w$q0b&+gk-b#G4!Ic4UAcs|yLYvfi)Ij;Wr?Z;z_hg6$vP}w zIs+|(yN9IKtE|_qee}qB<=STJ=HIoL8{-;;Y|^vq0djaylq(S&v&?D0gAY_lf5KhaLO`bc$Ks{0G-p`E0n7_-nt_KN=rS6;IJ9}6k+)oTSO_E6hR26K{?1P zv>7_>@&>(i7zEi`5H`0UeP@lgOA`x;;*-tx3(1FlsSyBUzE{%n+b)5E1G*|f!||0| zw-=VFMlceUW}yIUDUs%i-}WbX$U@Oti}F3hVrF4m9C9T(9-dKl2C`_i+6@Zq%Qs)V zw>9N?_2T05_r`9!_wd5?+Qx&WRP76^AkLez* znmJtHC>F)mu;ej=owWfiA78Yh#{=|-U z8?zsU_5@`bVs#c+jFp>VC^|*1%R~DGa^%Z;KG}{~mb&6Cz{C51Y`n6IpG^oHZD?9+ zT5K3JVch3uMZ65_caljxI@NWP2UdRRn;pZ4jdV1`IMlgaYu6I8WB3sBO9?QJGoqt4 zsxZiKc_U|;ISZQ|dwZpYy52YC-q85s*n}6~`>Ed8qd#G3D{`f^mRh6z-FHE18OJDt4kw9}?C8;{sg3 zMkwG5xk7;rrXUO3CPb9c*X;ii39HRLh_=L(8tpIl@G#I3X<-&cGE@e9mWbk)=3|!3 zp;=A-by*?^NmT)#&y_&h>X~Z1=jdZy;NrLEbye&_6S$p87vI^q&K~2N3diqoQlK2Gjc8Aohz06c(Ogo;mb0cNizQWV_K3Q`(bEhH6)#%rhLV%Wqs!a;wu^v zx&x4(3Sbl^xnD#YtkA5YdHFw(Yqn;kDc1~kIx@=L(Q@71`@_v$OZ=~1sPi(-u5ENJ zMeE#KaA;k>>TDvb>O&q^&7;74Tz1Lbz;pqbZVD^c$xv2?cvkW2$C{}ON4fzz6D)t@(hb&d(z-LPz=i>fKaeEv(pm*_a7oLB7wokQ$!;~WvqW-p{bE$E0Q8g|Od5;}pr){b+55_yJ ztzstr*w!h)`RMGPb15J1ySPskOsd3CXzD~X19@&2!z4x{9g`x}x@i=hF9d_q@ku;c z5em(tgaX;%60<_oXU$r3Sk;d!pI|`}i&e)_A%>?zgO>W{8|l}b9B?$Zpu%rh3GkkH z&~lh36LUY0bX`G_IY3jC4aOm1wRJ0o+b(1E;qZw2Y6C0QR0NqNCrvY}zu91x@2PE3 zcBpLYMXwUHF}4MV=-U20*Y@n&gXLaI%Km-(&IW(qj;Gw2xo76Sd)Ph1XUx2E7vs>` zJ*fVsS!rX?x`7SVW(`4$TscehY#5JZJrT{FGly0+>g{|=`^g2{LCQMiIcf$5XTES} z(^CHg4w>Kz9mTd2%TpMhZzThtt+KO6Xz`2srC(vfXYi^%Jj8$@`YR~tee|ILl%o*9 z8eJbfI`mc9!vQb)xE#Cpux#5_cfVka+4ALABIn(a(4*=qNcf>_5-<yIfWuQVj3Az|se$nd%S9l#BF=|5EYa^^T*XXU6KZ_w`kQv(8Y`Vwuu&b(7t9 zNQnazmRqpGYPaP6A{JD~PfgZko0#`$sNPK<%YNsy;VAq60j2a4Y`kw);T3^KD^D@n zt=^UrOHpBap3;sl&%%7!)p-c{*jHo!wGY@Y*Eo0;SD#dK4n6M=lI!(?gx;QI2 z#!kjKTxFG~6m!{{;P9M|s}ZP#8Z8shR$Zs_@!Ysf(+L?!^^S@Q>RsU}G2E}wl@hC`VImCkGUVS62#u<^zhn!ZWO$WX&!Xy52hV<}ot z=hHGJlvq9c=JCr?G!Gc(1KHK5mFyNd?n$|LG3DT5)Oac-<>J2gE<`(}_h;YB-f}0~ z4KzVJ;skosY>gI@J7W7pOWFQ4zaAsI)I$C-H(R5 z$)A$@{b+Y|j6QqgbrW`uNgfc!eLTR9p%xZW|11vi=BGwoQGcQydgV#z*-b$4{sA23 z{p3OJTAuOyuQ>|h5KrPxY?r-#(B^2>N>!y#P@%XZ4&71IlEljN8|Xabpp)d_N!c=( zCFnyS>ET2-Qg@8{s1ZQplpZkJBLQ~Q06csL;Or$8rGn__)yNp;{^qv(K6trOrL%ISy%oBRQkI z>FQjUxRUr|X+}lcBX%`a9Pr%*pcYXMycQ3Maf?n9k3vJw5En^1tsD$C<)rzhrA7E= zRivdQjERU|a#zV`%ptS|)13QBq3ruzQA(eH5a!>@5@Mh*+tAcBu(r0g*cbA$+vQW1 z6C5&NnU2XA#$iy~V+ZdNefTX2CzajZxc52XQRvwh(RhE^KqBFn$JIc45&T>7ejHG} z&r>jo{aOp(RrQ-SIbx0?b{P}MyNee;hV$p#jL_(a$}wRHR;WPK5r^hgVbQ^rs;Xbl zZimB_Xhumz-esZ&(2b21XN(RPVjlkKa(tEl_Rn-!95Pk&(ml16R7_+zbXYcFwHmk` zzHQ40y4Wg&Xj=}!pvuV&V@@?2^SPv$kq4EL{kQJhx2+8Ohb`&J%H1@0 z`|PgX`mieH-c%KTmy2Z|?<@On#4LB8WAjcrP%WKdJVMYS(T_Zkp_}2l*x$e3ge5Pg z$*8Eva*>ODdA#uTe1}^(9FmQDF z3#3oH)O7qJ?>>bj!=Ni6L8gI07_`|pb~E4<{w;AXHSMOA>_E-dQWQBgnM1aSQZ;@B z^)vq(4mmU)#dz)Qe~klLv3v@qw3L>Z2Y+mFb3wC|w1XoO^wG%9aER_3 zdnTsef7Bj_QuM^u%sUkCZ>$d^H{xdx#1|xfU<{0R(}$`ueK>QeUP7g@?`*j~yjt0? zP;_S89|_Q9KM;nCts5}q#$w>)P>jgenWFeI#L$e}yh?_dUd=7oxQ}dvRb%31e3{|p zgxE7cKiE;ts;vd-`8DhiHTBHDTc8K3M?GY`8grd+XWu!Es9&(&5=w}pm^V?;`-2ks2zxRoW@=vqmVwNfX5@t{>>IQA2c<_I8m?YN3>k0BM#%x znk5OUdK2-H_IBAK{RcG*HnV!;2*%+qbK5xp>o;iS0OU&pI6}nlu?Auyt+{d|Hr+zfJIex@9&v8GhDngNi~*v8JI9~ zh)bzpLa;M_et=3&ND2-x!e@*?%m4wIq7X2cT#DkRLR^QNfEl+mldB+*AP4~=f(T3~ zFrx4Y{P#Hr6cr2q&-e8_wtK=ew{zM1-D|CPt#<)B`9KOFWp^e9($=O#9;?Wk@P~S; zj`S%p6RQ|6IPH47JMu>-3$lv`ZJ*7~~mrXli8 zol@kXMI3oh1XU(*xC8ESx34j%cl0!S{~n$pseB-yQAk3OulKhVb#+;PoYi~t_d4DF z9LuoRi@k#buZrJo2f*)I<==)wT;YKBALD51^%lJLnmWYcgQBA)Uy^dCmkS^aiZU~yJ|U4NZN?cw~f-_#>7 z4VipZ8FZnmOiZ_$ay>Rj*u6TjpBy`2UC`1<5Vdm&4NB<=Q!24AgN<#d)^l0?!J+DdcZ9c zFmIDiI5RQGui!{9HubpPu9tyrFEP4u z*hgy+_sgvwBgikOiHmd!m^zxwq9Uedkyz4XecRQrL{Y&N#nVpmHGdlp$-n=T{NcFX z-p_q#IMQj@U*@1@=xtuDQnY=r2pzm9afoStk=-9t^{XQwaERiW?J&DnM^aRPCRIlw zVTucEqgj4eQvfA$&HhXP)O$NJQ5<$-6JWfbWjP!p?UPpMfG_m8bUUV%I~O@_KmOBj zNR%v{cAP+tZV-TjFQ^01-b8AaC={%rI@8-mv)VJ|v>w4zl~d_7Ld`@`YTtdd%fV&2 zoRGeJQc#mYJkN(Zt}VU}okOGa>4&mGjDY(Ju0N!<)(XI8_#Z>H62n$4*YZIvEuZc8 z{A2haXnE%K{K#g{KN_}$FR-`DT=!+FSZ!U8Mdi0@I_Wd+6%i+`M=;z`O(gn5B?Mo_ z+Gl>Y+Y$Ihj7HabWORnt+sd?W52m)sjXi+?NFsYU9KMbMnzO%=r;y{n@0aZi^-tne z4ZL3WEV{2tc@0dH)uvq8tG?n2x3i#~~UmFfJj%&CN|FbJG@yv_%cJ zW%%Y|OhrvJDq12s`0z$AVDW_lu7JHbA$c1sR6s5 za#65NYubZP87l4h_iq~0)a&ODqw$iY`yrkMHo=v=s{>ngZw%MHA(3gyeFNntjXko5 zaVLqxK(w7cgO>Xm_ZbruP|MX z<@<~sumkC?`GaGIB`uQmOAm~hG$alBn*S4U2q+8zHyPKHD1xVxfZ1^PD|84p=X3Z% zp^zuwbp5-JKL@By~u4%LdTSgIl2{f zInsGS{6iz0aB06~RDd++m3$M)hlJm^+Lnm?d>%ZaQB+8v?T3_IKtaG35`#|%HS6)B zU0qI&w67vdx8HsbuE!>_al1HWQI_Nq2PY(lKz+7ue;qCC3G@3V+fO*n(^(c zIu8Ec@!yXR1=saf&71eqyoJYe%AN&>mnOzi9=xwPHj7^-HKcf^t`co`WL}V8F5|L! zd_GUW5em7a-Ugd$GQbp(YsM*i%!d}54hK8*}PC(oMB1?SFZ+dOF@0f=#^EhD-9d|7zZsAB%N-VdH{Yd!@x8S(c6Aj53aqVu5U}V+^|H;(9qDNRc<<2?yFT!^rPT0J%yNH z<#F)$c6DZon7v;2ZOavjeWoWZwHK>_U*9Wu>vvFo4$NR`kK%Bu zy-x+cg(vrJmGK3>Lp&jehk;0KK;!cwe!FhWV+XY|hlxv%93piN)%$fwdw600`8CU? zV3?OT?s3I#`pju5z}^pRfTwOzX5z52;lZ_kLBB&WK!vnlud1(48XKc49j-c$$53QE zk4uLlpMSsnV6C`A=FjApqY!L4TF0wm6XO90o=txk|Mi`!MJ>r>-UcCTJJicPn^+hz zzN0z5SYQ8KV)!;X6;n_!ue9;=qbm8?*htK%;P^rW%YsSEsE`YuhzjoMn~PsYDDLRY zo0yn@$8Bz{gZP1EQ4(b)PPgCDd|^>f#ib(XDuWR%aK#TWu^!qMPJ$PYOOF2p^be!S zpw5oNDc_B7n2h5v7#xCE%=tXTv{bKhggjovt68rpnk_5g|5*OG_m?Ut2W7M1Pz}Ct;=tGNO%{nm3|uC|sO=xa7D7o6+m@{a!otYZ&*Tob z>9Tq4`2%7Abms$XO>BkB%@KZCT8k(a!SkX68QPHQD71x)Q-PdOsmj|yY*_5BNN=G~> zJzCT?5{mv!uc^P^klv9lYY1Hr9l{||JA}~RH%iy%k^JFOefXUl(ZNAc*m&>JzR}_n zQ{Maz^gUE_^&;XwdCect^tq0*<2EtY>R9hzwm-h?T_mmMh7G>1_J8X4&-L&zPlCh z951eOc;z#D9ZmJpocGw^L>wQZv=*41ut;{XdU}cz1H1CG`t`DMRxxkAZ5h)ity>gn zF@3MZxZuzHW>t^$odW1;3oSa~iaoHf9=cT@z&<`BS@aXQ;xgqSh@8065{59h%cilN zUA+x35F5Yi=e=?({Di?#1J2I&R+AU6fBC_`*IhsLF+Q$e|7g%nblQz4Br-)jTyBmZ zvDq9Ji!n`{ZL`SGRAZGAk+6MjULOg}cBv2Gyx(5cPKEy!_T~CncMd-6Y z-*}ZM%(wV&FF`Mo!T~i`Q5ArD+W$-4*WZ9cWCqO?D0p8qmBpt~o&kqDtAc4?f7Gkq zRM309jx~#4wuYqzz3Q5!@a@NNctxqyyc~LY<-xZ44S~b!5zYCn5q%!{dj!50@cOde zc}cIbM%s&8ijX}NU_i|eYrJdq1&e|`RgVoq9l!9|b8c*JW=6|__REt)ds9ZpaxTW{ zVR2a03wi~gFRaXZI|Z7GpoIbMm#>_LqP=^u4_?uEZ1_|F#t6w3MbZuRf-8IJ|UV*IMX> zc6r;%u^!FcA^=$DhY*jkIp2xw9gf570j7GBy3HP3=TOB0SS#X}`qxweiYA|G50`cy z4s}b>%#BRd*pa>~O?HCmLGG*MpSCVsu&Vb0WWM#GU&uP-mmQ(=5L|i~=8i&?*P<&yYIOIuTiRr6n|p5kB1*MjhJ21m1ECARKyK+I&{r z%>kb9Kk`AXR;}{2XG>aJ4ZoTnazh9X9m(YI`Fy_=)goe~sF(5iEH3NFRbezdWjL9b zSe&R|Tzq7QSGIWi#wGDVhk{moKM`ly*Qb}AT~Pt&iFS1y>W+T!Xd4KrCd~7PhMsPo zKM@<)!T;Nvcv;59_~)Aim-8Ft&4BS-;}C$FMH~#6o!C$b0QM|?`PHg2@ReSps%r20 zJFnAb;VEfRZ?1?e+O`uK6F7X(pVEKsN6Vqa{^6L|m?V|K;GkdDY;!3(xLs5BEpx^8 z{S>?}0IZE$lA@~78Fh~hT>4nZvk5?_(bdZmKz+(BqL?mpn|_A&!L+r#j#mrq8kQF2 zGH+2aTx(@M-*N8KVf~32h9TFiXhHG*aShOka6)gkoyEL;065eS-)i}_7)#}V;NPYg zsw1)fHRu1>2f)E2M39$Xv0%Zf1*=xA+Eiyq1l>tucdJLmIRT~GqH#Bmu=<2Jn{ttC^t5uJ z6QH5!fZmpjB^J<>%oaiV+8}@eH*w7t=wX*7ZDK>jWZOeGhN^ zV9;LQEc>NiWNX!@8n_-AUoX6! z7!=uqzjyriak%-FWSYqv9r%JNJd4g@XB6_6rNePvQPR}_n}sifrgL!<6L;QD01x#x zQJyuSOSLzLHrMP54XwGF!K_rxq)}-~-hRlU@%%Dr2(2-j5Nkg6dB{ZGD`P^0L(9tW z7L)Z!$^ew9Q=h6o#K0l4%}rO+_9B_bF32Dgy=FhT%^inJt|t?rmf{j63TrG;O8 zR$gwvw;3B;zAO-ak}OD|G`CvTN$aHjmK&Rd5t{7MereX7>>F8U@=bai%6&@83#Lz& zTs&A}qGn_4AWt+cPCT(9T%$c#KQVD~S2wPD1bZNiC%&ho>b0G&S<` zNUCh-jKfP?R^T(Ztu@^Y{d?$19HNtz8J*pazr^c$$i{d=%g1O2GH(i0292fwC<=;b zd(){5a6ASV%_~`%HX_Ref-g$BQ;&{|4j5014{6}41H`@8aHj3QQ7k_(krQc4qYJql z4!6L3?$hC}$zln)e43d@GPW%~EofIw$BL$_+q6Tk9$XW27k-N8u{Y;VyVqsfL$;gM zrZX^IMg{%opKEr2LfUQ0HT>;nm9Tll*B#yL?hyy4YclZ+mGy!TB)HnGqyBctPZqTa zH6k~ebwqsp$&u6EzgUQi(f$S!Un-whe}8q?u`uJV?$s8JUB~Xz6qX2;}rQZD9 z+e4e{i+-;}purFv=A6eye|Gwhc?!Ai$qX|dU$Djm;ShTihq#6uH&nDKodX6o!#*?I zo6sm!^p`@#0|By)n4ks}^7&%V)UOc=d1ef{J72h8<@am>es`n_;OS;0!sN<#{1< zcFQgJJGkY}1axlBB(y{3xXcy<2TvY{QM$vIGFMige@QoH_!Y6^p)mliwouu@q5JqW zAQGI{-Fl<$Ujv^RUW)zj#*KbsM-dIE29>$qzP(VE73+Xa~86Nl~fhu zO>hhHs*dB8-=Hnx4@+zO9=UVlEkycY{+?GM-qGwIIkMs?!eLkN*GJ@UB9hfz_5ptJ zO>Jw3$Udn73sZ3QqfpLAiFTPzw1TzD+Rr-!fz`2baaAE9chCrk zs3icW$1+>;u-lmfAiL_&4SKsZeKk!WZyENQW;gYXUlz-u@o zwqSv1crnn($H>rkyOz(Oui;nMLN?Krf8K{{4nSxDuJP|h+uF&4IOpoo_w)Smc2aHD zcLd;S8@wOE;jue-^<)CRv20x{Ip2xnX=$LYz2m#t} z>D%nMq<~qytAppV?lNW1I}TB#i~J-qWuDUa1%LT%#|M6y2KXF~fKNy}*<3c4&!#;T z2~6u?$!R9-A^OE;s7HO`ah@=23<1ZT$7bVsT^t6hKV_v!I|rhbdmEse=wA zDP<9q$^`*^Apubhb6=&5sDOZ|fcJO`xIL6yfOy~Vp7^9?dI$&pQ#cgy!5YiQ`=tIb z15L|=4Ggsm_u)LH1Mwb1TAkT~?3_)z

    c5C&V!PGau=2|_7-(LH5U8Z@*ZjQaZ3r0~jzdRSHFwXSus?;-R5C}>Z7&j8$=qa)<5rGV=xF3V=5%zuynRewFn432I?&!O zH|kQPTPT7W$#%jX?vvR!RiFc}DICV%>K1LEH~*!3_cm>MY5vCf@6Wq7|1;Ny=6p3j zT=(^!fGrcPUMZ8MBqu50abQ>YiP+o?1|PLq^_<9HP^|dV3h= zg}@Nik%Ptn1g%72(>^tHf)Ua$Z`6#~_Acp{le7TP%tgP}cqbiTSD4Sc4))g}ryw##Gr<#u1x{w} znVRkt#8kzKG>l3CqMbJ{9HYpYp!cohq@50zcLCa;0+DE3na$!Yp_my2%8kaxm~+Qr zO5ng)VBl><#Z*Pmtn)pw>Yw-jJ9=h(n4v5@_)SzFZaDQqssgH_qWa?EezxOlmoQ(m zluCcHqn2^(qdApMifsgO?zByXM^111%bz=eHRYA-TMd1# z;C^|jGTuzkM#Haca4U7kPF)o4QP_^pUCUw=`%P{Pr&Vfj??Q?}oNWDq;eUq}m zy=F=SE9oG5(nk3s2?7<^Lo)+>pjDaRvRD)GN^vWo{n?#ibS{U_;?5ib4&H#J;7l>g z4-5=^CVMCzlDEhQN6i(Jl0ow>J8?mQxB!nk3nXH(%^>NQyIG(k??t(mk&lV5zKbv7 zDce^?y~YMB$huw)&Qmt;M?A$WiH5J3gN1f$lZ8^mh?U)PZ9fa%*%}dZ#{Gj8rCnZM zdw67cx@{IEwIz*Mi}LA2w1k2m!p~?sr|(MlO!usbuSrKoHA^i#MpqBqLQ#aTfe3(u zN&g_fJSUSe6NktM#YdDzL`2luj*1_Xd*C?C_ixb9h%EBVe&-<$x8O?E#ZH0j#YCCZ zy7AlgQ-cbi(G6f!URh;H2j1dWh@To5jbGyX*u5iXPMnYuR^0K@tQ*3x>75U?K4cQ7dqcHicH3XZK4-eC_q%LOkALYNId#qoKmEN zSXg9FvXT-{AP_1h^Z96Q_BTK0ei@JJXcQXHjE2o+tr8xwhnQ7jtZr6S8 zK*WpI2!~JaOez# zF9pN}+zFV?THx8vS$x?@KtNp7o%cA@R3(sJP>r0i?(qBlFV=31jTw%yB<-Q2Z9XUR zHl^A#cnW?Op7765Kp4(vg3tV6-Nd&6FQ+Y;`Qe!K^EW+cR zogw*m1n) z8{!~hX8sT#L3x+K`Gd=X064b`7_x8~8*V)Y{TQjsF&BMY6NZn)A-Q0%x1T9*}BaDj22hgT~bDtgmU$r z^(Q<)ZYT}NZO(V8v_u2IQ7y8;Fiw!T3@lYQs*8!au`pNq<__KC{r#VbTk>P3JK=}{ z;mW0%xTeyY;$+-D);@4Eb2c0je(#A<)Z!x#Y!6dP#&M{#me4_KjgRlQB(;+S4rM7{ z)(uN5aw;|j@s`^1O8cc1cLFLZJ~JNB{HCHm=U)%=UmsM|Nh?A}kKuJ@FW4Xv}6SF11X1YF^$6sxINr@SsYPLA#s@6!>$~vXS3Nt z03>dJ#&SRT^2cEU^Q&jwd3-*{`M!YPBR~g!CIcKkpG&(vx@ano&6-af)Xw0`#JHLF zHdg`Urv~>{D+AYHJUvSRz5aypB-*PgV4hdpn<0fZXEds;1Jn_lOOrLJ23s? z8E}YUq6@@q>`^C`PP~`#R^x`B0kVh3k-Kj^mlL%?o=he5Ht2UJV4SCC^sWZahRvQ0 zyJ|Lf9P6FBER{+sRHzsY9U>ErVg(c+(G-Yi6V&)1LZB&jMRV?|s*wo&x4^1emC*CJ zQaP%~VDyGp-Xr$#w5KLoN(E;u*|ktV_eielY`c%uL-^&#rm`uwFfn(xp^{<-qVN(j z?l{ug8Jsi2FWYj#nh96;N_P&2Uv!8sWbXu`z|NgT7CboZNT!ZuMr5GVn-t-UNP|(%KVd9h~+9Q}l^9z^O72ehIz&NegIc zn4Jed;_f7kGH#>2^5DehE73{Pis9YoABPx4VgIRO_Uo=f0jrYsmpu-T@DvJWTZr6~ zv@TbJ#38GixWx-Jkc4nZ!ICovTo1AlxGLd>r`1!Y)`K!try(>oQwu~u5YLr^&H3mh z!^V{#d7!aZSs&gB$&0Ezy6bz~SXC8th8>@H)M1@YXA*uHxbLej+-*O{=_I%}}dV>z9ooG44U8 zN6PqozR+>Uf!thum)!?)uOuO}$mMjY8K`7fFF9sBcq_v?b>vpK<1&21K1{!YhP)F-G*B;>%}d{;UkHopXJ8 zmS@Hq{vY|?9*E`n|Np)Z&w*A;#)@i`!`M@b4qu&>Yu1csTA54o`U9anX ze7)OA;HK{Bo*|x;R>NZyXqolB?6ILavJA}nL2i0v*GuXuJ6;tpCDzMMLpS|?Vdu`5 z*?9~J1Etk)d+8<4PxGdUzhBt&ftRRC0HsmQ!LuGcX?pl@NZS*$M`qOvd3l&&1d(IT zVNdql?_W&Z|MQRgf5$%Td3R8rR_dFSRN7dxeb}URmu>17&e&_`=-X&qJ$dj?F*?oX zN3N|hx;=8LuCwzKv*5DcSp(I;tIh(}dT~&J7#Q2G^ikm;q_7VU7|ljmYG6APby{W- zFa~!18I3Pe{Tf>f^ZJ8*C}3@!jJziAbt(IH{Hudi=ol3kKphbyU~>NDTwuUmz^ev<{|5KzxQ;tp!+M>z`WRCIqKr=nyJ z=G@K7dKC`sg|v^z%1X)VWuiF??&&TiL#{VE0rTQqvO+6&B_)v(>z?5brE$>#S~cbb>H3V65FV?Ew@Ou{W z#TtGm_Hwc?7Jqz(AH??b1#CL*Or!dNUZ#0IuNIjG=I!z+w9t3%!n$VX9V3+$IJ79y zy|!XbCW#;J@^o|3FVuH(b#j$?8lnv#Ao6g3N-zE)3sj%DG&G~1H+9;)l`P}*WPL?M zhkf3G=Xtt@Pm;2i<>eh6EL)?!?! zQ*P6q`NTQJ+)nf3PBVE=p9WthY<^F4CC;c@o*AFPIOO=)6O{-byX$y$fgooUvJCf) zy$uq-rtD_5v^e2&k~tOLXB-m~YJ1Lm$fOp%sXx-OwX%GA@X$Tg<1o-;Zr}0~%lD-*o3%Jx4<4 zNB7GbOJ0+qXqutB)9EZc4Ce}$zqYLj@67sK4WFz35upnUaVvN41S}|9EwOdT^aC8? zH%`5{w?_Z;ftI(a8|5aQs$KN+z2Em;IpEEoX8m056o0wgj@XBHNli$Ixo$eiq}F18 z86Oyj;x|7K#nK{5L<_MpWw5{$3aH*jB_p!c_ww=b(f88v(zkZfv30U7zwWE-qoX)% zZLPgZtXJmX#Uy#XJN2g3EvAxJ4J1!{)md3}eM?hyj6g{$V8Q>n+5IGdG%nK@kJn7N*DJpg@Pb#FArTAmmoLem>mwpKbS$y*TZdO&YoT3OHCEuy&^K1y3!#ne zHEk?Ym37A!zSxtJhYssy8a*uq9{LlJUcJI_>k6N_W)UqnSI)EO$S+^HExvJV5^002|9J?6S0)3y2xPu+^3bdn}fnDC;RBWR?E=aY%u~l`w#hb^{nr zhW;Yj$1xL;aO;bI&U9R&&E#L+n(mb=a;Q^eKFc0^v+DA49@6apK-_tyKzEH zLsC)of|sG@>DZo76x$wqrpQyXB)dfWvd8G}dlC&<*qKJz(K%dRkJZ0FeCW`j^J`v> z_*c1QcJqh7J7DOy8bX4@4D3s2R=LvM0 zaNnxmviraj0eN_FW1`fZ0>`*GcW^s02g^|x&C&7v=6V^2y;qj_@~80|4R;5JEQC>q zT9tvOl|Y*)>(0)=)-9H$6e+X(@Ap&S@_cJ7{>`zyH2>}5Hh81^E3NgJm01!>!d-hOz$Xq0tIiOmxV%MM5rI66i~D{h@yldjTi9}8mf6~+u_4w*Ie3r z^Xj${d&cHGnsenPKPAS&kHaA&aE#K$NIF2<60eu4PNX+kR||exiHf++W^Zvk&vG(3VewD=aT}2 zB$q-Fe}wr94tu{VD`db0qi>fI1aJjZ6X!W$Eg@z*Hesik;IMPG7xr!XgP#H&#FCq# z3y^lV@Qwa*R~(xZeloNu=_H?BN{b?Cc?xurEJT6_CVXD++0M(d5OtZ ?oxmw|r# z*nUP_t{K4dVBBqxHeQdoi^n%E7S37n*dwLFfQ#Gw-1NmA56*X#Z;koBchVLASCr1j zZP=8H8%`DH7aIUnK&!v>og^A^Fn`L4Q^!*{`_Q;pceyDYy3S+4ZMtH~-~*ra*oVOA zB@}IMgp|~0+oNBBXr3TmVFTr7b!vCdl|aXdn;TRZh!a49sqLfn*+3O7pS#?fcT_kW z`WJvklfHaGQ;JB111T}$NxDYHQjLUx1@+A0Cs+|dOgF!T@<);Vi%_d$RZr^94ZjdI6*mae! zlj^H|=#H;0b?7a2Obf&Z*4t9!tz5jKl4(!y!YTd7-Y;{j-vV@YGC-P8e1>Ia~ zs_*#}teERWVvzaR8W`X+m(|+pfc5#GOgO3S_FPe)@?O@gX2;+!CMNa5;Tvm_@hl%8 z`@#G46brq2@F>naB7pQ5;#jJGcQpINO7DiLTxi$el-m<7*YJ9IXb&2E8A)T`C&Zq+ z;d>{B$V09Yu~!M!gC2jGtInn=Z1AxZMZHcQsz||luMXT!19<$Kna6Pv%yoy9sY+hv zAf%TKC`%U!d*oq#6u@yAoYldmBNeKgg$$ z$bpfcdX8U?fvC?oBqUO)5jdIU=nXzC2G<21OOA*bYKIis#~eOGaCnGhrSAUp(`s~3 z0Ci{skHd3sPAF3XY)c9j%RgEm2zdl1lONavYq1osgV=e;@slIPh7HfTyx!)(89eK( z;dabjEEqcp)uxl@+nm8TWI$-oc)ho4z<@)$YWhAQjl)+DT|NA3qL=?_RBrFl%N)!| z_>U8n>}$4El@zS5iQJ!f0|W<8nP&*>%@lySJ`J<5mz4gs3Mf34%q)z zXZe>7!#;%D901KkRyaN#C=GQA0;G5gtDs$Y0xb^jI`k>F&6!MI9;TykZ0u>M&~ui| zvKB>+owdUC6{{y+wtVEUxX43M5K;oP>rY33)!SXGmgnfYyP+nuz_481UaFFuvC>nD zKhlh<6w~%UUXq9HJPs3SL5>MNa@>V|R?O~_oTw<1Oj;>fiSjN=GPY}UXyDZh#a=_Ffn4feOerh+03hI3tbsyC69gi6o!D;y3y)5EsvGY%haj$3mo z46bVetX$;%V3r?=2xO3pcy8mu4dDoOx3vH z%4>-d+3gBRRLP??=Is*I9Fs^PQN21*8&iQ5k=%@;jEK)ccQ08#!J*mYjwn63y6}zs z!`?V-3d38t94^NIsO787THwxdIeM1tQBSn1$}vomgC{#DEW}e8o#gd0`nLYd_d*z$ z>O(;u_F0y_BH-b_t@zZb!(&hGnYUx?*m*k+OBq&)BxSo?Q?Zi3N+=M~V%ka|77E0) zL4vBPL{&9YqAJOh1O-V{{rt3vJOn92+tp&oCK)k(o(fmH=Gxk}%T{84F` z_)87vdhuZUbl~T0^UckX%k&z2VYjvsGNuB=$($Il7X75tdhN^&;i z`z{Y@$WYeHmB|bYLVSJF(hSt)Id#dZ%IXFt20>DZL|HD&^|tmUIIM||-*O{YrWfVu zldEnZH!!fZ*Edl&NYm5PNwg(w;OHL^`ljB`&p_7m?kuTmV1@lkFPl$F&@R#RRO)ja z8?X-cNRDv)QXW0%2!P{)a{C*R!0?1FAoB3@R5ekGI~H9j;(`Y?XgRsb7@^|;K^w92 z=mT&-EQF&}FHBMVKEF4=xhOIAOk!L@No+}6^O%VmgbqNqK6f!sRp#h8EKlrbS&>jA za5mFmUCP4p7P!#@3|ZFcqi>!-Auq;~`mUGx`jiPyOqBpG=bl6)t-DS8%+}vYC6*<+ z-&gyP5QU5%lBjwoT2qnC^*TGq@jFCH7zW0gH&Y?1YrE)7d-70I#-Ov3!Ldu)Tg-=m0rtjUW+3r~D^ooJ?4;+eM(cMw2cfGIi z#w)vVNGl8#!jx0Ea1_-;V6i9uvQX{Do;^P$x{HDM%UGJ3qwxG`oA=Zw)xU4o(Kznp z=}jEac0k*uN8MSUy-?{1vZ;+K{f8nv#)} zhoA7QUf=V2h6=7CS2r4UiiFJ3p&#C}Q`C*FdMg}`>%k$3Cw&~${BP8W`x%v}ah_{* z;jop%;fa;XTgd4x7>8ewMwSAHES0vOPg-VWb#?~gYp1(XG}YhaAvQpcXlu78EA`zz zBqR@_9050Ih6GmW-V;OOHmva^bnYDb_jZ~wA~B zt0v?<+t~mUFb>_1U)i#+HGB%zKuadNb{pq^Cl6VuKZiGx&5`b^LrR4_BhBiJa_hTZ zR>>C$iN3d1$U|~iqHk|22tDKfFE|YTf5jogi0|__48$O`#De*Y#jKF-XEDk|x70UYZu$>0gaVXL!VLKc{jlZ2rro#D(v6l| z!gp?o3+avlQxnk0r1fYZ#^H2;t!PJcfd372l7{uay8_$3XY8pR&42d}LaJcH$p=6O zjOKVWM0hHBia5_nIPylFqFR|7j8%MC1%4^cnB$p`5O?32A=99gApql*vF6pLcc!(K z#OFR(YFc^w5*jb)fjN(V1?Z?=TcR6>D|j5v3gM$cqcUrMk8wT2LHgNFZ%cmap4XphTgw{6&u%py4C%6JJt?jc8r>B8Z;YI#FiLqR^0 z!NL9dSUD4K(d=&qq$&McA>{OT zJFF5iPs{FbZu`}Q(j6h$+F+Jfc=R-sw1?c7JY(pX6b_i;WWJivd6_fiQmSEJ2uJGi z^L-c6!rtDU$+~GX3{^S}7)oIqM=T7reQXZSH&YamC46^t(0f-aGD^amLSuh$ORAXi z@#3Vht0JmgkKJl3JoaTns>Qvf4!L-=c-3WSQgXnS=WcpC*BPfj`oW+!cmJbwhifRb z%9>wx7TmuFTH?6OSE2Z zsdq6~nakmj=8B?T#Noba91c$)JvfN%!#?0pC``dtsx`}av25c#A@XwJ0(xwaXxoS9i6p9(rGAk9lEB8e2-p)4_BM4{uc7qsSO=4VEAobNsH~3 zI4nipWWmbS3O~c>CK!V5Hyrn0g&!oK2rorQ40OpuXj=hLR1H2)=pMb?ws`iR2}=i~ zCpAUrQGL;|q0Vi;-77O~dv}$m?W)y9mG!7HUC!Zf5&EruDO%#Fjy`NJyNVjxWN1%W z`z=%>?ZP1odr@Z~k%w8G;S&w|9S#{1g%e__0p;iaS$Wvp`CzeuL5?<>@d6GJGP9YU zvzcopgn*teSu#6Y_5~caPLj!#HjX78bE3--5?*S-+RWx+O0XTYslWv!@hdXgJSk#!lJ$-LX zbnQ*`_VmkBCC1XZ@uM47#Mw!%)!N)PF|Vp2ICN;(i*Ch)o}Ffwb1_5OthY?=Vz4ev zrOH2F*`N29$umX0j{-S?7>@Gjeuu+eHG0A~M>mq*0*CPFgr-vC%8C13Fb+2mtL+2| z958VDzy+(&=BWdw51KhMK?^b$<>|w?!GafYIIDAqTYB=>NEcxJPN!}h3ZbCU!ourS zV!g}nz$U%YO)E+?7du_+E_&gYqWB;=d$Lt>Y+%8?5hmzn2Z|ewwAQ1%GGw>=0Q~l@ zZ2tSOv6wR|6&;DI#2jzGZWeY3d<51Y+PV~;p|uf90n&n@vSx{j?*Mv+cIk}!W#LM%NsZz}boeMrIW|KM9MY{Y+Ff1wu+ zr~THVvgRv`zf;iI=UHJ3;&HY>N&Sm8D1LY0(>s0$`fldppD*R2<110(Gi0+IUDHOs z&zHe(t5sLO4|_LoXjU9z1^=+{Vw{$TcHQx+ko7Te1RblW1lasQ`BFAO?v9pj>LwT` z0=P)s&tB_3BkYc22freYef|}3>=UnzWAptT;Dn(iY5}17ojjx!aXBF&xBinjq#)qx zuGQbAGFXZcc?d8Sor_6KvYYm-=;7v@W@p-Jk5rJ}PX1et$L@_o7V1ogum1j;ABki4 z!lBdHN)6%Si>7}wGKL+aYYpPL)`j|QUL*Vz)*3eB4hLr5AK<)ySQMJO62;y@p;J&^ z339o35zc=eHUAh~JNy?M;+q9!zpPjuAeysmMSci8K*tOpt2ZwRU3`8!K;gg4`60tp zRWsY0GJ~{R)W5tXiIR{bD20N{|5O}XA@Y6iA)=ZuIQ&Io^aFWlt*y{=sz+_#cyZtD z!z(7l%n}L-qgh`Y>ZSRvUV( z-t2kEK{uE!{!H@8RmyB607Jbf4_W-ww3QGKDsUGO9_)CHj7uY)xVcrDHWyVbHFK0^ z`1@~7P;;mVv60op*XV`#rl*B?M_5Fk@rG_32LB^C#CGaKMCM^h7x}AS;n3ngYI2iY zc~n&D&;O*qe5g4<)kK~nG08DW^K>A*FDxI>eDh-6nahE)E^Z-+p(xf_YvoEc2jj>d zc}U{e5QX3MtF+9QwkSEFc5KU-?RTt#25lV|s|BTUU1%FAG_XEA`dTID5d<>mF{EE{*hQnkuO0^{* zG`_~hLCvN;RR_yM?58xMcON;3MJvW(H}k+z5lssyxPE0yb814Fq(>f37*8j+#n+@e zIK(@2%%PX`!XcPESoh0r>FerS3shB2B$+vWix>OJ!~8OHj|5?jsT!r46P2l|9u?%L z8Wp7KC&wnLNhUsIxDgc;gs+fD{8V$pf+P~$mS;+Gb8<4n45GuivU)KmOp)@tGO6cJ@+yh^1Bx zL-$g`VMU&=D)H$D`4lR?U9&_xu03|##DaeehgIm1Z=-+_GC~nUn`EaE98wsELxal! zWI#O}gg_TgIf5-+ikBe_~>doTH+M=vwAk#2D|1xc^*r;=2X zGn)OY922x1lcmWSj+JrRZvIkfhBV`dN^){)Rcdphwm)tpC;P{iH78d|lan)^rDi1K zBO{~TK9w}8VzZ_8o{o);+wD`U5|lyAzJSBoDM~6|bMV`jEjh(CJVGKsXAna7WS`() z^Sm!0bW8}*X#lAuYs`ZypKSJ236d<%^pgY`X1ANQJgPP;8(rfp2~rIzh)gE_a)AHC zE#oUI%@fMXt1Hc_pFB~1pjVJ1&kXX*DNA{gatW_y9rc4acK4e4IEw#4fBEN{UYqy< z_EWH>7=Y!W9zg9zr#Jv}k`usgEJQ3iTF^V6a_F9~QrA;aRMMvtdg6!dTqE!TnfdF` zuV)}vm&tR~j9m@zp;sVxG?6LeMy9mfKwViTk235qO2ZAAs)?Pex2yp-QtP5*_)ynL zRaeK~@|@^KR?I#aF~dxtL_4VUb_fU##|xBdXQ0l`PV~oi)eT(Zra=VQPDkhu*;iQU zp7QKr{fN_|kR&Hn*U4A(MBfCn)J~1&dwkkvF>Db(yoa~mkCe-HA+R4r-`iymA zu;sUBR%%t0J$z>7tz~$5Wwcgx+se@;77h_Y@bc+dBaZ#rFL6kVaAy`%Be9NTnSTt2 zD(Eg4FFZAG&xli}E^UQOj6;T)+4s(lG<`BjJLAU9rs7mP-vmEeqe8Fz?5~y9J@Lc& zGw~P&W(!9w+>13bY&h4Wmzjq?I%grX>2*(+hdNusvsz=)>PgrR{ZyAYfMjLSQRo~eZ|ajE<75;Vn1zP9nd~C$fmREL=fs!DvaAomJsm{oEBsX+&9d|hI9w&R zO8$~0HPH7Zk_}u#x4Z(xGZC8L_aXkZK^^1pDL_0)6@d=^-}UQFpLS#<#Htio*r?Um zgu28g#3fWEMjR1dyJ>s2F*+M+cAxqE*kK9S4!)hZ4!_*e9Ee*~Xl=fX6hlFkZ!ar~ zVyIWKMEik#NdEZ@)dNs{fLK=?o5mgn%`n(}#~+e`;EQ>=NU{jq`y`I7iO~K%hyR1n zLZ~D86c)y!3&VC?9cF%f(vWe(@=spfmS_m^7e`(@e*9Dg{0I4CKj0m#muCVP?r(j+ z_*?YUm4zi2dh&X#M}Wo2kSg8Ryo&EHgpy(zI7HThEIR|y7^Ap%N$3}yZ`_DaU=N|X zT$|9G(fg6t?QsL4$w~6N9MSo5z)>^0at!frNEegVOV~~$$XOq zARLi?RTpp!J?YzpUGfn7ZrGK?<{!ndBEAS0TYEguMZoFh`H+q7ZgjL;aRu$^%Ig)Q z&P_Wvg|EAXOs|D+X|)Vbr~r$N#~&ZRnO6aKQ5%+rfAaa1t>~w?fb4%|HPLXe7Y<>H z$KV+cm%T22nDiV4c6J6@j+U8XKy{)qg^5E^D@Nk^>yJ@*AO@gmNEtzB*3*K^_8c-N zCCPUoEEhKgr0*p>g3i_`lm&bWitnT zK7G&{z4Er$;n+_}T!m3NMjOL>ytFjB9O5;zCrM|F$hrFCXRcon#3tk3Xm~`L+w9Wb z+mQ*vqX9JwQjctV(7NZekVGp3h9zR8p029AB!QaSK-GmRn@`i{hPNV9&NA+#1c=6N zJS`HjRR5|^c^_539S&(BYo)9e1XhI%C2)03GpNzZRj75!w#*LuDf6KWZH`Ry4ts`n z^vW+|4T#LF7AXZI%Rq#RyrJ2IVU(!k%6% zrc;#Y*Vqqk2M9;B({igpCCAhoQ&=TzMm8nF-{#dEBk2)1HhJ2(`|Hv=m<|I5gWQGCXdr@Ld#gH3I&=Ay@t`u`P& zAkyFcztmfMAXMr5f6kmUGibX=w2`7htY|6QeY<#5TUfeFR1({Y#2~e~S4<+dQMPg^ z4WYJ1t4TK`sm&Bx5@p*YtxZ?bMZfnwvF8;q-S++FI>dt*Hn#)Dj%-t8h=#S1bQ|Nf&$A>KBIM9G@)S!D`%N4B%C{ zygrJ)hFT0C5gjbChesa>(nR`oMo0w9w{+qV3fY|d2ey5frU~+V-EjR!?CVJNg0I?l}%DRJMc+?3Y(50o;fdtyu+pCRoSTg?(U#%L^`? zeX5}@l>vulzSG&N1Tlu>M}$NCX2sr7K=oMr-XT72OXV_iSsXGw#~}r^qXGPt^q)iH z(pMmz;shPko8T}R?T4LLe8qw8Vw-&ghxu}QDDi6zLxm6vYX~G!Od!0fmtR@&7z&fj zLA}`ckaKOSG)+lYkcV=>;~};iEDp_qcicoZJUqz%1NPA8ZGP-oySoYTL2f!tp|au4 z!O4~DhU+N5lbN{WC!HJ;vpLG%>6Auu>CV&sCNBMa`kg!#<0LwLcU4u&>1gk_o3qwv zR35iUJiG)jCdWZ@81~C;qe28PtY21G>r{Qusu;Yj%iW_=t!}y|Ce=UQhyL95YLF67 zUIac3TkoW*KCF;e%ih~2$b(%OvJoTX>V?1wI)x|RKD?Bm2%KrlW>1g;L@gM7Dq)55 zpp)e8BNBY&F)EK5lB}ThJbV){XMc6=r%O9-2L8w6mpM2{fTF#ZNl{ym%5=GW66Nx7 zWXHk(GbHNWigAde-k$F-Gf>?`cBIuJ)y_Be2gv;KBq+3%OK}~`Fi-g!O3`MiRG@YW z`{lZJ9J0Fv0q2!n6mw~g5SERt z116vR8YADrP39I`?cV*0VO)m4=ofR*{IE~p`2DJ><#)chXgzLLTB`prquQcgtIJ*b zhecJ``N?Y2%wDfFv3t07}xi(qOV%2x>8jN!me+wPx3c8-&~VGh9nPvr>}F&MlYAZtrj{ z<#tY9hTFElDW=dFk7E5JxcBtn3Lg0DTmXvtXmCiA*tc%XWeg%7r$?6{+gKEzEHYNJ zgz+>G^JR*|P(9Au(BPO#460gWWL147{-EVYT^`jY#lvGmbPh5!`DC=gjF-q3BHD2n z*5}LKy^pU)>(2J-Gi>rF1>um^l2sj(xKjY88cA+R+QAg5lfpJN!NS003A$QmY~GI2WVTQ#`b}A%G1A$d7AR@3rB~azKWTY zfbNBBqjisEpX~B)P)6o=wSNj}Fun5f&6@?Np=>mo^*keY%g&5rMxgW4m;m~0*o<%r zyH1*7nJUA^hl<>kDVP?|LHD%{L-4w4MMK1oTaOC_QbCPIfqDO|MzKI(7&o>h2Y%_N zz<|a%3`V;u9?i#27A%u6*TCqZy$UMEO)H!ldfkTYx<3wF+8kQf`q=ky$WT@43=c$i zt&A#WWA0Wx*c#fjQXc^hDN^MF#(PK7ZFL#{+^izx%S&d+J}5qYAc?)`zwDm>ojpv! zq}A9kbKrSb{qcIHG(_eBpTo4fFgt7yXJ8X{^a%d*BN)r|Hu-3tTBg7>v+RjCQKe)W z`mnQL=h`LaFTdHmz@*iiR$ zx6Ss$)3;R#8lpCpm5M`C%lw~PIa^qrRJPAJRlL*Q^Yq1=E>)r##Y5hD>pmA5*1+SS zGS!6imI}LOU5zn=0M_~dtn=F`W*uT%&xk!-Z+Xc27E6NmCK{d~ybI}=#y6S?v^DlH3%bHX?@VkNsAb~b@xwYZGDwd+DD zW{nqSg}T$$+=EbOSKyuOhv$s`NN|WrJnhACzV;2{sz~?99y(kP5R255#1_vg$l_xT z8Dkt)a?}|hy%|T&dpVejc3d01t^~~a^Gbc1(mt2i>&+^;j z*D4t)@U-*uYn{_N$V4~p#F9HkX6eO0`^}Ne3A<9+I4@3J5Ll2IygF?7W}T_;(xG(O z)HUNXS-(8gQXyjsrrdt$hhH*{*dm)J?&YPAo4j3I+%lS0C8fBzBsab7AFEmp%N%j1 z)I%`o*o1b`6o;c4n>e~!rjLQ;dVlW1V2DVM&mq;{n0R2n%n&b2)EKOCmu6FhEJ)iP zo?;G0D#@x;zCF!@b9z;^N|S!ZvBJk<53!|oy)`l7{*Q*cBcVs-;+0)p3cpWYi< z%YlbazxUE~DcZJrks|ZYOs74MjptUVJnp4EFc0ebSz{xnf%~A=}*J`i$ox6Hc5DX$&W(wONnoVC0$?{C4l)CSk`7*EW83 zSg)M{QAL`n_(fyghkAFMlmnvHH%d=gS<5&**#`FDwaJY(z8si^S}|SS8sVA2)@)5l z7Vc%gDYjV6%I;r0j(MgjwD-PiW01FH=hY&P%?XFV>@voZ!{YGsLx-#vu_uhlQVC%V z#Lsaxs?}WX++7gV#e>&V(t1<)D#SbTHXJAq8B~&Ilz;ww zZg$=T%^d-1UDZfQX#1I5(u{KR@p0STC`cg2YR>o6sa_q%z3Ooo}>cNBIlUF?C#y%vS!3` zqzB=pEDi@2-Bd={9HJ@Sx~x<6Gx0r6QjD#BtCD_?W9W++T)MgSv8DnXI%UY*y@0_( zvHQ!emM){@`zaa@a-BnHnn9DvbcC)sox#9f)c6qNzM(8!1k77mL2Q;fe)8w&d%1*~ z#(tUL&~pA170taem26)27>5j8|5HS?xofAA{~Rwh zcgbU$E0%QTv2i=C_ui;up3<^2Iy&AyI$G6d6SHhzha8P&6k3PT|8=RUg@b ziK`R9kg)y-tbqGuEl>r=F9M6h=?bn{Eze)QdbP2CY?<|gNlzw?Pj{;Kcj_xa^X$IG z)_7#h3mhF$|dUlxx7ib+O-Y7rsUbl~D@#jI!Z?DJx2yjUAX{b0hYJaaE?(lntLx#cDTwcV6Lqa^{x2|17 z+Yc-CB!Sq$Q+TjMGG$UyR;-YNJ;J#29vgbQ_;$Pz`ItTI#3AJ{~l@UED1H=63i__VtgICUR-&$hJJTq&V6`{*%h4YX?B%`uDeCHj0e10^TOfO`)MA zQ=UJxf{LIDEv+DTlQjl4`TgV1Fa2gfIvnbQ&=1n37>5&-t`LpHQ^eL7Gh}fy@JbHx zpO0XZdu`i1^5*+P<eZJXQ*V1vpe#eCsdE*)_}%xDO3`v7Lj9EVir}OS>(mrqm0Ir%x9U{uGLuI$ zfBpRB%<0;y5!F7*wM}IXZ;h=TQ!^Uvo&106{mCcmzY8o@&B#dg$?yp;J-h=jCNIMf zzy8@Aa5l=RZUXhZdh9f@J+x}S+r`kJ%@-g?nWi2n!`e>}dL|x;eScgU@ykr=;cGTP zay_hMCgX9eU1C}A%_?)sy!RP2d%M^R&vcA z@DvwQxy{9%ampTl9GYrtW^3+T3m`xqU8IcAK6KPMP{?mmynVf#^lbum%B^$IX!~KJ zZEU4QK{*5mRg{;9KllZ_a>PP4OpW1LZwff{rX^S7e&*s}%IKkbN7=|l&7AhjcQ-a! z?*2s=FREYkv~w_3@%L9Ty(!By6skz2Iax84{Ue{g8lMuY?(Z+H({oTQSZiG8pLtR6 zi&o|6=eO_ORm~C`*VPr(`Ky**+X1V|eoA5My+t=G?%Jx$N>nQYjIj?Gj@B!5;Bd#; z?|eoRf!<7sWQ=GOHfuZ5#$vZmMR>e(W~&fb#_L2B#vCvmi*fja7oISNZ%r#G zDDb&llFAL$-a_`cC8(a@5Feh{bhFF+a##w@U>qKfV8FFh({_*}zM2%{@FUt^COEVo zi+#b`4i^6pIK<94BUc3NR)w(~*V_89rboAT6m(RHn?3Z0x|gWeqTZ5WzTkjy*bO}B z6BWNM15kU#c0B~W__fcl$;U$mKR?*JPoFO*$5w!QX;w_N+mKQiM1cAGO@{0*({u=$ zdG!;tRh@zbW1T75AxA98DS}|z2>By)-#e+VNAIa6(jx!*KeM@#vndn@0-SpgOm)J4W3%+Va zU+(;Dd&{cC0&NwbqP1gJf&ws)(R$1FVb7dKTfTb0(M}j`)CenZkhw=`7hYUfweX+M zEkR4S%{_6j!*Ud`bHi4J&UYqL#1bX`J3p- zO3DTrH!O}u7V@2U;la^ z&6MjL2DQEs>548$K3NGKdvNUNL9_vnfK$3-UDZ^bx7~M+fs;Cd0T=X_8G!G)s^xb^ z=jwJoV(3ECl3=G;(5QiJg{G?;tPcv~^y_rI2&gSIQ*VPfAf2eu>LF5Ixf#PF3u?TADdCWk=esDM;2v=*c#zxo1JSkZja>GlAfG8;Wy3m z`Q(STc@y@xMg~4i5b39h1R==4xqBRPPU9&|fR(!}($i{X{m{{qF{QDIr3Er=Sxl)c zx+cj5RDvwQOJ7LuMH%ph)xqL_507W2xx1@qB3)R1#h7HkvDexJ3IbINY~55ogu|&q zm~;q|Qh;N{S32A8pd}q|S6)#OXb86hfoT@Km4Sxi#3~;6YTT=bX{O5?Nz$@(d1k~k z2wDY>vG7ZLa7=HsXTG0g>ve>NhvO&)n)GE=?4jisLRgs=ot#x(r&A1EI zZneoSwJEj9jkS#_wT+F*Zf;THb|ndiraViPeX5nMHH-#b)l6t|QC3z?(olf%@zaif zIp;aef4T8J93m7lx220)21aBMh|!C2xUeDYq)NH2IDYIci1_&I;ieZ7xrCsnZHwO= z6xR7R%#Tg?_MrK7IsQBn=?L`o%MI5cEn^ZNGV% zbX{|Y?Ubw6mmJW%TC$eWuT_}6zzyo7fb$G_g9iGtP4{(MnpW?=vION|92T*K7+eQ0#dQ=y*bZ}KL+a2Oeo2Fwgw^U+g}Ux3OcaL8Zbi|yg+1NFe`FB={M z8!Pkt@kj=hq(bm>2)Y0o>!|Ubf}5eAelbvj1}RVewZ(w=Q_ME76V+XChLeScw)mHk&D+NK^oFR% zvG(@%>Gd4SQkr;T)_HqIVfvFjWc)D>rCV5@lE1aXyD^whnoL}C{Lu&;A0E+bywoI2 zt5;L%rO9sEe?&MWP5F~PeTMbwS?hD914w-*_h!$UJXSKMPmfN%-}h7Yb=a_(Vbibs-6+A~u}wmeyRLs862tO+oqBAJMcj>*jDo@k!eC0e06S90vxi8s zq}<4mC|$}puH62Qz;txo?WNmFTp()@=0Y|BUYQG;e>;ImMp0F6F$2c;Xsg2W3znZ) zW++Vuu3Y!Ufr_B^x&U%VbE*`5tJr}Luv8@9St|B;@+!0etnTI8w7o5!e#@I&LE&W; z+Rjc$xTq~L`2CE|r3^E!E>;v4PT-iJ-xvB^aTC|;>((C|^s(7Pd1on3kJX21Nm(&b z$ExhylAPNU_^;8no}If`*kOea>{ExW`#q&nQ^&9GuPDMeAt?5>SUbn4 z!|akaAgVL$f&|ZsiW>KGf^>9vB`9B+dEwP{zlRP~(Z}bPiT0dgdf3QPelx^o5eBdJ0&+HtJ>crtp{FJ5WXzv$z(bKTtMHj!E zb@s`|+}_IFj|~F`OPW0jcK#R+!ROz_os7vVgI_N2`HbTUj#hyAiI_Kc;-M+dfuU5U z+!P!z&(0d2FXT3RoZse_|A;DDa4I`0F}F-HJv!Z{BHTUPK0UqWVw<1gDM-DaH=n(O zzP0QE5FGkZY&!OR9E#Jem~NF%L631CO$iQZQfcQq;l|m}6EaZfTU^(gNBU2*^~rdG z26Wm(hUpjjq-yl02`h>T4%fz^-#4JK9yDY85#o^fR~PXwR|J|%v)V;GAXdyk^c1Xm zqFzjvcI+=}ZYRfS8l^Ge_*H;Pz~(vI!`1I4v?>TlQalbg+rCyKEv*h#X5A~D*{Q-6W1=!$5nB47ok;6-x|O_%NM)q99k>=+eZrOD$xQ^vz=Brd*kdi!2b(Steu@? zK5Is!J=(6|Tn!5ot*3swYg5Cl$(CsPL zRC*wE=z_x~4|5XB1cv^GnVBkDvI=YuEdy06Y@*{$`Sf4*b*v*`;emTn%u@hdS(!q; z?RR&0AYI%RP;}xD_71gbDV7R^nVE)}TEi7rA9a2Yhs=j%p2~Ed{zL;2DU9Ge3f_9_J+s5h)yXwXi<o9dW*~_;;*WR`S3Ir-SDw)BJ%Kj+iKaIm1<1ll37!Urx*RVKTyZ&V_ba3WwMPPbd zpF;fd*oeeccFGxUDJdx}GcwT$(DU%P`+VE%>Tdid#-RW$uSJ)X#DeHILV?gw`Li)E zR)DY@9PXb9_xe}I#x`1~rk37@%}08QLx!r)%8He_Y4}56X`-wkDND-X)yk4&Eh|C4 zKqiwVl?o|Fr6oqDA%yx;Oib-!*XqS)6}Tmp`huQYfy_?k=8Ua7_Ng>O^SXq&jJoZo zTQ6wcMMGTyL|OLH=^ppoe1l4pYTWJPZS>Qk!-eiO<>J6%cTrGantockO?bIai$%S1 zb#_XSeN!?!b&5QWE0^TN*(=iUW(|HV?)MKkBm*w&w^%Z>2RDCU@Go1xDM4x{lWw-?gS7q!m0Ff|K)NQ?aQ~DyB2HUUKefeE0z~$#xmvBxOnN_3XIp>};bQ**mTtu+7iSvo$h`%Z&@M z&CAV>Yy3NO;^j)m_M0omp^uAo^>K|mXz!Ho=b)__LlF9GFhXYY(fpGSQSXrm^|C&= zee9x9FHm;DyBXHi$qn61B<_^>oLOE9MtJXJ)h%C0g<>@Yu23j673$Uv=)fVxgW}=Ju)EsDI>g1iem=Ma{9A=3{y#AdU||C+DYU$D}RuO&~E8x!^_KGRjQ^tU2IQ! zbmH*YOJL@+@+TA~(S?9L|053Zn57+Gvyy@}Xx^to*|vVyx0ApAcGs9shpxx(rsF^J z52FizheO~)^aR7%Xg$VZPL>Ow12KL-nuwHIu3d9?L+Ix}5IQv-{h`|nZ4E%^a^Sqa zDQaph*YGp(I0kOr2zN1w=4IW6@bP-Uoq1s&(ZeA>15p?efFY09oqU z#snQ%=uP%t@lRTj``Z6F4i#?q{d5DG-)pxwYYwp!-H0c75)l~v8^5f%rwG14X#Hn> z@W^PXV;oA4pFMpe?zdGPa>0&HQ#7B?=g^)AW!GdZ4RpR8YRIKDVj0Cl9s59CaQG!q zhtc*vX1(!+)nNIR75^g+DR{8wm>mO!$SfvM-oncp3Oy|j+Eu7(g@+rcYN?*QHD}}B zUW5m=lOT$X4|8Nh@bd~y%h5Ltn)^aK8KKkPA#`vk`c7pSnzb6C-%O8c)~n^XFGWPZ zWvbkbJ*0Sy@bX4*f9(YPnWH80?fq~yxk?~+YZtyu4T;o=rk$>Om7z<<-gqE(Px*oT z*6KfwLmKq$qg8Eui{z#X<4|or+OOB6bBot@9A@ts0pA?cP%)IQnup4bF;9VrS7{*B z#@y#m8^9EYOOwpnO@u-L!ViK|3mdU<8B#RK`x6ewd;-kgV|KwRhPTHeQ}Fm-afprn zL_|*3LA9<1<+uf6=v{Oueau$J7#KK^9}P#N|KU2GDY$>cFXzOTVOSQTQd9l#oKQ66 zEw+W&9yWi6)(bbF-xeS=QuItmtfZ#D9F61vC}nl$muYzH0f)d*hv9v`@gb5(=17o| zbdQAS93~9V?sO*H0CjaQ3Qh|xBuBiQBxg(n{z~UD4*%;srR`*{d(A?Ue&qvi)ltdO zUAuSvbK5<0=o$8R0|pE@B6)XcoJXd9eVXt*3N*&?VbN>ZbubQ?+D#skVM&k$HX5KP z9u1x?BrGHw5*@6A_d9CB_NKSo9zLAy5U#JZatFrY@`uI@1fbS1wDI3PrQEt>cFNEz z-Vi*j|^vd?mx@Pnljb|SHn;1RMY z{a@LYnl`W%RS~;XJz{po`g@|8@!}zg8i+Qr@o^Ly_^LcIF*Q6=6+1*zJ?q|``c$nK<5$2tn zw4`BDa$?@mvkYL#@h0ebQtv2dNx|1iN#y5k+q{mZlf}?`QUp3a8_6Azr(khA9FvO2 zhq+JrdfeAuklz8Rl`S6~xL^8uIX{$nl$Sn?pB5Ih6V}(lBBEiXzTmmm?a$^F-hSnP zFVLf@nEN(cb>h9r$D=~yS7`pVsA6q&!FDDvc5SK0cy#Gs$A8u}F%&YnJtY0CY}5B} z#RX({JhCk4THx=snW^?obMSLGnM>NXwYR%cRRzNZQ^WlXe4Hg=D5z+Bi?(zyf2CZ- z&&VmNTSS;h0mjU(|Rh5H4bh zaQ4WLW*($`?sJ%iq@^;m)Su-swvHBV)|=yxlp`D?O-Yc_;wD8cGi?jaX)DgEfSsiH zY6K1sJ6K}KRx*7Yw_io{i;N^2wOY_JK$!@(u1`tXbF3*zR>Z*QbmsBeOK)d%nb(E; z$|Gx+ZdnM}3S#acnrKBn@hA{vR(dc{?`0iUq)Vre}gj=YKL zKz0b+3$es`5ymjvnetA#4^Z89W%b{z%Py0S;Kip9%!96`ErPFt#*^yEp|2^beb2Z> zh3$~x<04=whwRlQoLh_&`Q&oqL}*+p1W$EKt~jjaT120dZ`(=?UmqPu1A`+lsiGGZ zQ5Pa^`Cm@sSjeOseBQf!kA@aFHc;@P`P@nxKiFZfDRN{m8dG)S{l;-mo^INmo(tRu z!+EpQHoSaCG?=Xi^1D|858oSBo`oJJiBF7o3h*p`3N*h|AkA_3;+I1Eqk<&G@&PxJ zQCO4lhI(bvEM-2~5n3B?w`>y;tf`WIFZe_Ar|MzSwvgGPjUF+m+;(vOC;#V;MuPC* za+OlTFXZGu*Nzx`k@dMfvEaW`N(r>G%7v!QC8YG2_~ikr1g=QF=(CWQYo=CwT*7xB zvitIw)~xt}dLlf|Oe9noUKtC0qjI=$M99UgbYg2}aO!;0h^^x;%RREzOOGDj`6@gr zDvB(8{hm+3ZE28q)?)K(&(N`IFmdHLyNxkMnqf_J?FqeTG(FW=e@W{BIXRj8YS>1+>>6g1v!Py3ow{9QLxEs z)6>nUS$J>GtG^}8Z?sTZDaGZxahuoTcMt4hZL+@|DRJs%cYYf@-0$hFL0SsUZP!Fk zOgeGG0Gl9t?WFX$F@EPKA6(7mZu8A+H9sQMZh(&7)}xR?_ST!sVwLJ}U#^Y!9O`rS z*milS4$8^RUfTO(man@vwBI)J(FA8n2%fc7Cva<$UD*+3n@PZ`4U&?c zU4N>zX1T}wc1Z#TFW!T_%mg!L=PQEd@YH8-M6Q_61e4(&An~=!cO4! ze19gu9={p$W6xdUQQ~Dr1#5S0*wK1~gJz4a4o@(4NRzC%oE09Q?c~laA1KPbc~^GR z%LSz;H0@eLxq^KqtT(KAopq~lvY2|Off!!A-DRnCOSzZfiw&tJ8hikN=VQ5QG^}cM z@M>>yv=~VaGa}MU#3r*o!cX+X6c)&_z4`fqK1*&+Asl>EqGfM_r%Qf3OVcg)udH^! zf4zy_5CYl^W;jv!QPWCEKDDdFwxhP*N^0Z+byeWm5C`*vgQ>Hvs7s(KJyL1t+S;IY z9Tnc&-z_k*rpY#1>p0|lof<74U4Q{zqT-h&NLOY~1Ir4s^op3>p@XS8OBn zvDfYu2<|u}Z?U6*bRVsh#!DPHWkZ54&Aodze2&T}(6&2;SFZ;#to(Q+WtUoB<<15b ziifE-zTu7)QP^Qj``|}M&&vzu1%H{c?M$xJ%9KY+uu8UV>E?n*t$`?HOR|9R%ZLMDQU zPb16sfBrPtw+%L{rhOHfbD zNF*=a_o_V^hKo@ciDP``mu-#~ODNw;_y=0KeL^orcK(WciEqDW=F->DffAvf5ebjw z!^1AjT^kVoV)gYv6{bjd$$JraQD|9-#4yXZb>MWUwK%d;b6S5wtjO8XFRE!19o9mz z!ub_6+Vs^WJ8J#9Zbzd$8;m;*OFVLdSGgrExY~1g^&>&BK?3IDo>M}&gH5V?H%36f`D1$j`iHqkQ zSt4zj%lNG}6%RapEQ>>zrg88U8RZxvX0O}xIb3Lbtx3I5#hqU6A{bJkQzrt0yP|`7 ziU%qI2%IR~8B4wN6DqWH$3(?iz>BW1s&3)E@f;IjgF4#*32;Hs4L*6eP~eDdU9t%D z!>w2-j-}xm39dJAg(_oYV@lt7on;97Rv=K|<~`~0d$q^#9$^E1eG#xUHQ&kC#Ombq zfV-(UgSqfgk)Y~f*h|pDb2@*qL-P*(p;u|`%-iXRL_4nI*T!?8U`F1re36G7D*9wY zspBTe^VLGG$a<3CBj%-EwQYBX!R+OokCU$@8*g~{VU;x|DmQ1JGu3yCXRC9iGbec< zTYH^&KW^%>QC*ebvK)=V0-103BA)kHrN&{*NCA^`(d8sjTP3(Xc)<>99f#xz7paPV z0`dJf*3Gr=@{qRp zcQpj;Egq3=EIAPiP;T0^P2a9xRW-5ath6X}ydspkNtIBYpLx5XK$j^{%1qMoMNEVO zw|B?6Td+Lfd2S7>lA>UPz3BsXlBVp}pEp&N=GX6!Y0%y_Ai0=vhinyXD1Su(QBP2| zhxC*_ZrD=Q1?$@=8ZPBe1-snmBEV`%T$0^id;uAQS<+jFEy?dsqWFlcn+;%8LBS1e z77IWZYQxsSHRv~nWZrm(0k4~uWHK_%O@T%a%Pq)eDybq(Qj&pH>Q;~)1dPFiEq6SY z!?cNVinfRUhzPAKwurcX4}s{p&KG z%Pmf}lR&+k%^ugyKzicFvrCMcLlnuv`ub0qLmrnyU1t4k9rtc#Yf<@f zZq}|_do49Y{f+0tWBFb?s=Rdm?+OG4(2zFTeI;F7A}QUJ=A-*Zb;m+&H5;2Ti4pSL z=8plqHQp!m8-pVwblUyVUCIs(-Bp{uUp_kA-DqJj!t~4rrA*LS<+KG#USjABmdVX2 z5?qsB8`bGN?$^>E=8(LsO+B_)>2&wzNH#-fm3df*TgARC32wAi=8AV{EUB`>T70z; z?l;0|wI%?0OLDAB>fMJN&yKSq&s;>JvaBSyc{2yWV6fPyHYq{{*Q3ynWEm2Tj;;t1 zvt&FD>&KpLI*;|Hmcl-mMYddUj6l5TC8J1*HaXbc`x=h2s@!{#c_TDKqMGqXx$Z%m zrNj4W*I;4>esvS0wHyz>gtKlbZEjriEn%|R%1;un>Xrlpw%u=%`59F8$&ReKG}+Cj zC+aqzCDN>!qxx_o`Oe4CgYJpx$Mi4W2^0Cc1Rd4#O|w`JEpQPMEGxDPrpxGZcwSiP zYWkKCo%|TWC(jf1M_v#7rXhUr*aj@nBByosqDY( zeO|p*8s!!F)0H|==6z^Nu)Z{{zZR;_hs(;FEZu_4YgyPtANV!v;0xdbwkH#18Ymc} zbU8tg=4LE2=DUis_m2jplOq?rG)5$lrd8Zgc1`joya$m{VV$$2o0}vGBd6(e12#8F zNy$`=`ODTsW~v4A2B3>pa_qT9V}=+}oQf&rq?vF)-I?#U#>5h?NZN;Xl+RG*pkNr2 zd>)54;1Iy9#UqCPKJmoumYNlCI+0RnUhlhj{#cIwcTZYX4cGKT^7d(yFY#RA_!Cuu z(H8# zch9j`rawd!qmos7hX4i*>tPsn^4b?B6(kp0=35$#Iv^FDiCNio`{GfwR%EZkRVCk< zp17BM>)q}*8PPa%IRxg_^ncw9J=JWR#G0By*qOYj=djb+x9dBL(^XcQoU05iU~RI~ zLEGO~UJY?jPmLorO-mub)_hPT_96}HQAFr?2Xu+#6m1a|7bmh%We#E&-0N6~C`tUO zYOu6reTrZs3oPTw!$ejKo|@B{S}Q3~1ajaz@SAW-st`XbQ@$;3o)<>=>W$>!JY!4+*OsOm8Q?Emf>JZHyQVS#EYN ze3Ort(pY7;*)3{`n9I_<2M`03(m~h=~ zZU`1LA6W9%C5TKqZt*qhgMh&KM>k zzB8x{4hz0tQd4)`4ii_^QdCF@8kB1dXN_g5T70BXfXI;_vMo%O-MW)4APz${ID}}K z^eKb`_uNhGfCUccL2bzL@|v*nrK#Aak)kMl09l4vj9&@JLUM_M@hhcBZP%(A^DvG+ zUno@pJd2+w)fxg;--a;?)E!qSj$&mowfkl)qO2rj|MU4Baab~-0Z(|FFVdchxw?yq z=7ma;GOdr1UpOR9t98|YT7tq-$G%_wa=)8$tJ1R9rdgCKwCs&y|MG;$x|=B1DftRo zrF5|d!vkG^Rf!PHA;5x_);MgiqqXunLOmPZdC0x;GK5-%mDVy2uZ{Eyitgxi9V6?Y;#-KjB z#`wM??4|V+`7yW<`WO|%pp>pAC1nQ35VKC4hyxP;SRFm~JmQ3DTmW6Pwe8-?{&E1F zRAH(~MBZQb_}=G*NZ1nXt8KCv40fjb_z zVAVIs%cS;b?__;OKacIs)YUs*Oz7jpi8mg6sPR>0&*bUl-Xc!42!fRxx)`eSG3-E* zZZkFfK@cxOg`6%js(&PXvm!)yz&GUGw9^M+U0usBrAd<=8_Zgz*5(RM?-2(yS;t=+ zU4PIxS6~|@->sYtWZHUZp~y%erDBK19b8w8R*>MbA5Z=9Ek9^C#zDRKBCot8AwhLT zEIbFwqV7w$!vHsD>oNmuFu0s9)oo5%Q-oZ%UO1K%r?DpMQqd z1l!j;Oc*SnB=!{>g*Q_)y83-`U;c(`dkS%+Ky2}Iv=^9RphGWsXe0_m%s7m+R%~^O z=8w~}0LxLSUVML;#DF-k$IUxQxCAN#oO?`3h5FrYh+%KuHWuE&iiX8Vq+lmBGdFiy zq|zmXw1s1Hb2N;$Lkm4bJ+K+vdn1Y!p}K?rqTb|N z=IJy1R<><$wvNDyulNW-L#)oSc61*RZ{n zx-qheGRX-vBm_NU&xOU~FYHo%UY|D(`GI3t43ABd$L{8n(!4TGuo=s9EIhE!I5A3^kT!I$s9PWhzMu#%K|` zQ1-eT%96k?U1}f>XdyXRshM^+YS!B9IoUb1s@f0+_v)M*F%*`;wip8>U6)S^muM8$ zUuN9L?#QssDag;k`gV|xAs+@5xm_jhGEpBKczKqWQT)KGb?8Y#*0kfZkPRMupuR_P zJ4xGCCT8fD0guZNUetYpqiTHLjhi0T+M-IbUujDv^})Np&S{l}=OT&FEa8!1PJN z?s;#4TuFBmarE0Q1$hao`wDJza+5S_>gUuQ0_>{~^S44Q*0}KWM+WZJJ}3tkz_Lq5 z!mwE6%c`TyZ-5yBvCY7*tuK^5ACs<*MtaBjZ|L$s!yFCBHR?}zyk1xttBCzz!qm-O z%1@$3ct7WJuw>r-0eO0+9A@{D#jY-v$KbR^``lNg;d!9%@id=UsT@k_E`#2d1hZHKF2Q5;CN` za(=SV#&!rx3Y^QC)T~LOaRA1pl-f3@QX?Yzy}td%sFOH)Zq{(I)g(9)xDC9IXFt<= zR&}+INK(xc(K4$E$35HGmC;NEUU*PtY@ao^vk#uDsD!3gG@x`hvE`G(r2f&d<7kcW zsUY+#2T~POVLg`Eq^*+a<+O~i48)tNFL&}Z%oJpe1io;(^x{5q0|9MUnjCy;@>0`) zPK1@|DGgr0jGIH5LEn#1&C~@j%^{BK76s5_0>CP=DnH|chQ6917R=r>SVH;FSEJv! zZxb{2OAf9nG&!jsqF!zh3lPqzd?sM%X8v$Pjg4bG)Wm+qNlipAEx`z*Oh-~_f;C(V z9F*#>Y_dfUp5e<eAM zK{9~ihDfM*(9TjTf@1f3Y*CODW(=KQ6KYOjyeVaAw}js~YOYeT=!Psa7 zb|Ypb4+$Y7(OE!nC%0#9H+v#dlv!m?vdDFG8=_RHP>Z1gN0YGRc{j!FoAr7}TEJjp zyv8hHZSbd&PVTMZTGv%Pyxo{^$e#(w-=B>QVtYHZrbez{t#lD)=27zhNaFrQfc)Pa z^Tz;Ud({MyH$xOi0KhhENw=_lT*t@w?n)z{Smwe#(*(d|9R=oVMsf_QfPDr4m72A_ z;uf(zKUFZk=o?LXUpNZ*Xrb58hJa%AQwPO1K;n=N2B66drwaK^SRS3es9RnKlrAG4 zo;_Lk<;_I|r)Buy0wCE%cX zF9D5RRPm{zR6^A#>W#vnYqjvJPD}+s8SO@@Vz|&nVT(s9Kc+AfiozIVxKZ*s5jzQ{ z?4DY6`iKf zB(26oxP>%|T>nPzX9 zkuF&E7@)FU;P5a1SxxR)kH;W}|LdI)`Og-tv`?L}w?bI3~+{@@sZJ$q{XDiFA zFA;cKhIYBQ<0nsaZd)@zZI+L2eQY+hn7(LF=44U(#9ivbX)|%rEO2rxvFai4%(+eG zhXg+=Q?eS|~=3 z_PK1e&2uh^fqvLj@zHHa*h$3~kOW9Q-h85@%2i$y^<^Rh-PK!s-=}8eBJdQc51xi{ zZ+fdlxRws5xCAn%13eIMf%Hxr{iz97$elDgQ0%j8=M>E*qOrfx+31x^`6E_fWbMaN zjSbqbW~xKZ|FyMP(9;DX5O%ZV=XO++P&M-?cyO6Bi(Z(^`dPNarMhRaab;G*nGudl zJ)>9jy)1jxd_U5x8XLHEG@6HFqgyT|W$9I@I-XIHK6nu$XnklF^nHw<@0CI%dhjj^ zn(vn@YY_){bz+xOeD)aeLjF7URBKij?NjEh(|3$W6243=(D@_BKfAVl8JYxSx|7^Oj{d~OSC3ggqz7>ic0XgN>N$yte@-3=R z#n`I5rO{`mOLojE(TrLaR|KND%My9*Q?=OMYteSzPFDG+rT-8x{3<2+hv37HaRN3Q z7*vw81qX2sf4CRA>EFO~_@WENRY|eCtyxYmRK#XZ#p`aggrYUvCaC_1?IL{pxFQ|g zPMF{0(Zmw>I`efzS39--bCb_}koSd#0+ly`k&Ug5931V9^{t-nYz!=r!E68)z|##M zA5g@`+R@nB(E$KrXNUAE0ae^=je!dKrp7=eV+R{2dqd+V8pki1khQgqqk|@ho%87< zq?_yamHUq?2g~m(>(f;mC}M8|Iqln3^QoJin;pOf25Cd)wucA=fLMPq0hJx?oeUlS z=!8gO1uEG%>N^?(Sb(y|M&|l|8_o;@{hC2Q;7>#TP~f*E%1#E3PrA#PTfYN}8ap^@ zg8#5cUE?i)gA>5X4hC2|Sz2oUVd5`afWkKRM#lEP6lBqcSZwI1$p+$PW(BaZu`xre zX5(UIhKyqagPA$GwEr&o$>jf9@*n>CUzN|3^LEJ zsDlWA%wub8?`Ur902wMP!U|>ufH**Z2>JUGJM`L@Sm?cClQDZ|JBS@SW zTbnwX0l;jWU;%;OUl6m^(zlupO9NHjyA{dedP4y$c+2%lMQ?|`Ii#1irm44hhx~ww z1B@^tnL#Urt&RE&T$Ai_W>&O314x7_acnCkn7FefWwyJZSG2#oJ!;mvJV5tpY`(Ww z)4o@+u6J6nNxO;m@x0%0cfQait6g-gnQN#iS<)=1ZPp$OE0j=;5IoM)Fj7h|X0CR# zoH_EwEg4}9GyFDvWX~Z!roYg0Xw&7BbVM(c!{2({U{<*wxr6h1r@LeA!Tj?62-WPf zn6a5-IfY?(jza8E7=@Y@yXM#(`&NteW+4mhS|H44Q!K#1V!!+ zY0lWs8%Vuo#S9vZgRVAbOa97nN&5=3YIs{kd5a1YF9v-WN)1wphE|j}nZiwM&TGRX^UrGlP9bKyd-dC+IA)BZvmhgbOm!uq{*2xU&63=&+uYB1`=*r?|%0 z1YWbLAYgoEYcd{dFpS}Ait7$b$3W{nmzNnJoIN# z3Y`XHiP?L{Wqe-yB++E+t&T%{8Lea5xR|r`s6Ns+Ec*A-bur0(!pT9OxX3Ib#CGN2 z<`qN;J>{`K@qYxe@EhSP?=Y5lps7xDo9-ZVIs3Yx^3phq?Ltd!mCPv9ol5gd+#wjf zruk{ccAnHLZz`62UGl>-J)9RQJ|Cu!(S_N#4DWf=M#QI-J18L}JD4)b0@Ry_9m2;) z`vYC}BcSJIri(1|=huBBddlONI-ffYOy4Y{1}J%T=&F>c)+uFI*&=fMv?Ze9NPhf& ze4ka}&FaA4h|Tl!phsKd!?%tm?<=vsjZ^vi<r)>T}d&B8+qrJ3tMolFu_C!OL1xU-kL*DIZ)PW7Sx&)9F<-Ex51IaU0Qt& z{zZ;P5(ZAUK`*9Y4fHrDXF1777mKV4>gTy2Z(UoeC9mbA^T>G{2HEwI^4ae`^7Xsv z+a7zh38iixU*7f2ogOy_S&P)EqN?Ag1%x+1?*j^`gK6J;|d(k3@uFls0v%v;oAO^4cMB2aVI>GFADWnII4)QghS1l zGrOuZH1VVpOPxlC`~sImJ!BUeDfA?o<~PL~&e1W*6!jQpb#c+dQrS|%8@n`Ac8XG> z6OPx%w*70zsfqo!QMeoz$Kf~MPb1&n>c_&Tn#BtWaWt+mZ+~T0vZ0npK=)p$C$0bP zs$@BWVxel^@@ih%3TR+|I}*BA?L1LN{wP$(71JtwsIe!>*%{3nTVoQl*%lM1D$&?d zE`@m+iMCScBoDC1v|%@e)ynM%ZeU^bS59 z6-KC@=Oo`*1@m!0Wjos+EZ^5b-wKljNqcyNAgXA7AeNN@;L70vNBc&%QHUe0OWPD}7UK+FH z!}N|o#iHRVJ{ns&Mtn2oJ`fL?oP`lX?s+8oQ4IAos^DjBw)`S8@Q1`cq!)f zNdVr~QE%Ae)FNb}@g7^i5a9^LghftH=FtqjIuwUd4?Zc2++cb|W!do{^MaFdroKxE zq3BziDB-#@Ou5x{d#)iN~IR7xuFM!x|q>&H^dLvJM1vgHD3vUyO|vp zai+Amy6B)C>FkDmKlkD||J#)d}{vO~I%}7Gb1NEAcDzfV< zW}(0Qxn#eu+8^heY%%?WFnDkIj*`T8KZ(B z@70DA^l>Gj5C|l7!f2vWzd~2xlNh8NqlN;MnK9gO?LM;K^9H0Yqm2RZ*Kr;`z-|zf zQo=qsM8g|V2=P!?4R`o`SFXO64ndr#N~soFB9n^6E7}619wxXhqk7vgoPFCafgfa; z8Ndf-fAeA2BIBMVI*TMRDzs^ZrS8yxE?K?pstdsy(G-5;_-e1HB5a0|(|<*XoZ~yX zDnl1huX?I%T~cGku_}Yk*M|332>gAF7C`BHal5Uod(y+uco+852VOcmTR!R87x!+| z>vkGdAMmhw1(0-QIVM;8D{L6hBGnT}TF_NF`KMEYPmUYS7j^Q>LJkdj7?)URIV=^7 zGQo4YnxQ0GBPYrmwA?rAn=M46Jiaz3gRN*U1?VM<0rtAG=`oCG0hdMV&%fb!e~ux( zf2h3jv)O>vJu_fXy~!rwqj(WQj+=QKblcLtSyfmrN2Ovow z!?R-tSIc{3QEB@^OxH19MmY5{&moEfby2Jr6S)C2jM>YrXY;Bq)#aB16qPnczIZo$ z&x0Q~D?8FwHA0B8`sjDeA_En)b?pNtRdJ-3*!TwXaeU7u69zWuoW3;W8jzK=s+i#e)gv$W zX`c^mzrfNIjW%txO2)(Fqy*eKyLYNp%3cn0v>7Fm@(|76PFzKV~#y=6!= zgS<11$olmiQxcJNX)LRf%R+YITzcTEPnLB`x?{zWijA^SjmnVYO@Mmb+j59*F!&xf z67$N^^SrtV6o+mRm8MezpJ-I?l|2P=DD&Vx<-LLzWY9tb97kP(vcD;(zZ%mT|1Ppy z^IeI_+_g%ib@a!9h2*S*M9J<$d`;`-iw3= zoyR*r*=hnb(67C7c7p#q}+v z+Ba)V0;#?HWXi3HdipE2Q^;LK(p0q0w%TG8IR}WXNy&yyAF9M)P(neq4*j9w`&UY6 zx-S4?-A*w$ZAC6%jMmr~(AE6$lyYgyigfZCrd<2+Y|I8EFM$R5iZ_InHYaj=o zp^C4lG;sf{NRrQ`PaNC6)B#EVX|L+4;}7s>q8~4V%gHRsl+-=IUAaQlBJjpSDVPQk zBn(m8_MA~}bJF!{XL_W~w)6ix^UwC#Q(GStwdmAp5p5T!oKHQ2-w`s}+z@G{@7 zzo$neIYvLCS>P?lZA~!-x2dNrpv>@o&@~(LJyBt>#RU0iBr_YAk3bCjVme&4wI|~# zvA3G?UQ_(qE616y<_tw|BD|d$hc%G^OdTSf#O&2^KI(pMOHrt+d-%oc##9Xpr>VK= zDeFfemPv@If`pj0-@8CWoG%U2pyTs0&PL*vR-&@>2MWK?Gk@S^jdXhj!V`8OPTx?6 zPe(V)aBCAM#ceF5FRqp5PSE!3)ZlTV;zesmrM8qZeqUekRuc2@UL)k;2Su7nNk3uD zX@ZQr9xt|^ozMX#Ks{+$Q;8aLP!Ki)s`zla!@Jxkp(zp@ne^asyVz9JN#{zdvn+f! zP<)r>OSHUq^u-;=^tl5(4?(LV>Xd+UA%=Ze_z*gz+Qo8IW)H!neD&L%SyCbZ2*??}R;Yhin zp^JUF#3ECv?KildZ1697JdnrBaa4kk*L6DG*{3r+u0I~X-DixQQ=2(R2Pt)xKkLEU zJ>KgqB``nC3gBdSF>z(Wgb3f zbE+MajrLV*&OW0$q0vCxUy9^{w-yI1`7Lsg5j?3ZM6NguLB|T

    q zzl^ag4*T!96!hbH$D5@`mR2`;3GB2zXB*+BGz}XV)e0c~^0HSjU0O=nN&L0-tifA3 znIPauCvW}xwhKXw>6b8K{X$-Ga^4hNZ|ygy5(P;v&o>28`Z%_X-}M0}HfhLuFVka9$#cFldn?bAaQJS>Pjv`4GmlO}RN;(v;^?lk3NqBOoj z=OjJ2a{qI+MLAtVy(C9PIaKj-yif9bFM21ETI(HbC0q(3%k^&LHxVXS>DUu*X*Fjd zWtZaOp+L`Np{}M)Ja(0|OrWQzZvFYgilq^9ht0r=xKYV^61fr;8)eQ;tH2FX*IqN< zp^?|)%ZTY`-k>6#DA6fI#dv;!HR87Om^kl`!yoGNTVpAXQ`KQ}Uk_G!f#^p>^G(Gdw3QKv^DS>>I=KNFYBC&bdZc(fbC8g3bPg(hk+=r~M>-dFfe(zQA z_t9KjC00bXxr$m51l>1{ziUhr)Bc4@VdLz3y-MvTOrNr2wlgLDPLwLpO<}q=0 zui$Nt)~3!M_B=LhasK<5-wO(37UnZ$-4ET9KWKUH;J7yFX?Aph8!sr)*x`*>>Pe&HtX}tT%wuQ8MS#-3&^9byO3LQ=t|IY{_h~sY% z;-3(cx~$Bfpb8r+i2Vt4|1XGzPtX+t8oe`i1Q-~bnp^YJp0sq(0?dv0 zY1PAFtacjvaoOfAOIJblZD&B1jGmcu|S|k z7Iq+rg^7iQhmDH|%mVn`C~2m>k@!9razAtQ{zyrubtH2oPy#Z*J>oZetC2np5Av#>tVNf&xMZ z{Ar4n?O&5yJ23w;i`me|3h1hD3uI+x0saSOS=s+NyOq_yj4(3%i`~}A-tw2?MutFR zOJl1i;1?o+^gtot`X`6y>LIaxypKVK-qh0E0Q% zm{^TjxS7C)kUIlbeGVorLnC7j5C@k53mf=P?I7bNtsNZotqqO;8qdLHtPeKe1T*Qg zavLy#x%9!1p&Uj`U{(Vz5Q`Cu2?)&nYrK&mkBN=Fl|E!$^=)k}%?)HSelGS;=W(RI`}wKTUj z)|C-{&FtW8$_IRkUrY1fy8Zg}r*eG2zia*n=iee9GUSgw$Tte|T?YR3W&Um1ld=Do z+Al}{Uy}Vx>^}U=szn2z)!j7zcSW;mO60!%1HnFQU{Jdve18)I&l2T~u!0P6_Q&$J%2rkvijRKl- zUD0FX0hL&wsPxH32KRZLZ`tcuc7=jJaylZKhD1j??NGX^Wzhjf{n{-ZsN64=rbyI7sc)Uz zd@=NJzAJu;SyWM_&U>z^8kVhbh$}hZYVAeNaNqCnRpi9>y$)_*^Xuq-w##p)L?=H7 zd0K7DV;23iY$v~&k-5fu1lAut%qsSYbM6It(bgB3LsBYdf-I+@Z5g0tz%-@Lc3nugyhgoDq*42DZW zB?=-B0U_yFz#bqge1a9B#)p*h2w^3?XM7&=67bs6a=vywU2pyxG3f?jwpzi0BGwuE z2O>tYP#L9vgGrFzM~v9Z3xs6)kDU{2XnJaJCI|H0OkIrKulx8CzzH}*ERt4K86LgF zMssf${p(cnU@G6g!9uhoh|hWjpnN;*slhY!N|E&=F>EERy^QKta;MiONvT|bH?Yh7 zJ7SDu(je3ab~k8DsWbbojuC?^>(kA$tlV;27xU%Fw8&a!_nEjDF`6uqcU-Zhfni&S zg)pHOdP;kV%W9Hgg|I!Vtf&WY+1M{cr%ehOExRLaKm7#5AqV)+Jlku_6k5KVgk!fM zUbVJ0dP~D+RVkJ_fR`z&mFU+*=ZxIN+)bXDikPqwC?n5vFbOGo=V+txVBly=OR_~- z%4CCLg;@%IG{1#8A3WDtGg5zHhqy9kBov*5-H|8H!_Bg1nZm3T0D3pX8tuK#b=?|< zu#+6Z3|ssG#~zV9~z>r%Nfh_0rJ7-;bVuPJrlkU3YwNd`g7_oD&a| z!Et+i`hNa=rD*q_jga-N5idtmg1{!xQlqyy=kqIm^;k-=0@6cb1*K?mlX2Rr!0TkD zIOtiCXS4YOq;pLoR|AP_K6mRaGeGyE-f|M2gMkhEFZy3%j)L8nWMmU9E8Y2K#0k|% zj|Eo4Ddb+-6nx+mQ>62sMu?2-q7a#MWsx?S@~!j^TI6^KCkan$B7OY0wo4bF5f|-( zeG-yyxL33QTGg0lSnO)#n;z7d|+iT#FOKjyDxNpai6p@%bsR> zDdc52mN9<;`>{8N|7`GVISOyyW^7#HhpESA-zhi6#Y7LDz=B}-DYs;mjKNoY_D?e1 zAN})UM?b|PpV}V;#}aRYdNFn|GrSy3gli~S`JFCyCDglc3i)f?z25)>#eYna=@3>? zr)Y6hilJTlQzZ|4Hbo$^Gjn+k6p#2o(}Pcbv+|AA4MQIR-2ifqIOgS+@S;-aS985e z`8yVb$JC>nfwb}fMgl6Nhgrav;fajANB+;2D;a3d({ARq`i||F$N99$7OSjAPruv} z!|qNQqx0Ucrmuz9dJRe*V=2YtX2*On!#lcoNhEgG05#$%X-?Vf%yypkz=d`yFvG1m zy7RgrbxT_0=lVFRftt$^wEtad2i_4rC9U|43FG@zmDy>x9x|s))z7G(sRsSY-wnJ) zHng96{j;&J;Y;ezQ2n{^NAUBW0#|8q$S$X@qp<1p;;a}~SGmMRYl&*Pk3zE&Dr)!FZ zlQE}>O!ddB+;v%AV{;xP`)N`=9|>Mam1dqq=&>7~{z0d+VZk-UW;nVkp%?tBN|~p@ zREjfyK9*Uag$6-Z#?Ft(k$u)5&kUYY^dtYYd@C1#BDTH=>=@r0YVPiFt*))R>qv-W z#uZ(!uzi>OGhVx5PJMCPEj}bHRIGS>I>Gi}`5lvjdogp^8s)|!Uv>;Th+n$#{Bi#s zdz-S-2s*;iXJi$xo3Eb?_DPj2cJCGo0-vo{%i^x$ki3f6_|ItsQmv#Y#KFXx_7 z-y<>$6q%>b;lF!Q`!}VM|6s-cV8wr8#jHGRtp9zi_}_5<`S|~DvEu*C|L?G3PBsvj z!w6)=q|af@`h@U8uwG79BPJ7eHX|-W2#{>R#r8kLin$CpSoPUB^_kc?Kwu`Y5hp8? zzJURViQAYH%*F-M2XTTq|G|oX!M*=r#sAxI^FR2&KOXqU1OIs79}oQFfqy*kzkn4# z;f24!!9O1sAt>UnU2A{G5T7u|{{(~n-q-|`Fg7Q$WGIfQEem{n!gF2+m8FifU_w`dl2X@??>i0CFA5@H9cjr2C;7zJ- z7@ySy^h`Nz{t%;$&kL+g=$+m=|5VpEvwiW##5pK2zy9;=&LyX~nyE{0Qb9xi-0sy2 zC>R)sws606dWHbc{!0aNR!lgE26hx!@F2ff>?aMnlqSBjQ5me;`^SkW;}|-CPpJ`} z6nI9%-aQFXA{Wo-wJL|B@y1^&{MQ=L{tp!%7okvLf606SB?xt)68GxMNN;g3-EK6`?XP!tI-rzA~${@#;xx4GAG zMfvdl5$f#aA5?)Se!*Y-|7X-K$jr2VpZRZ^B;Eaus+0jvH2r(Wzo;1Ze?a|r2LDa) zzj4R^8#U`+P0|Vd%eiR}_UH1qA0DBE9-*$Wi{x^of&bF;2=yZ=$7ea~2K^Cg;FFZ& zq(;`?J0799-D&R!AE7=zLfwU_6v$Gi{A-u)j}JMIP`}6L{igE({~BU*Jv1SBEPwy( z5o$|GxiD_%5h}e6>tX8=YTW1Hwcy|V``@xV%;)Hj$)19UIr6Wdh|8c#w7J{ZTl+I? zd`1QSm1_F;p!%P$W!gnrcB8btNN|YZ7@_xploKYzE5GcFzQOg`Qi9mvMf9-c^JD@w zk2&Mmb^k=ivG^ZGLWmi7PsAEXY(IsG#?8+Klh2+#>`pz{N<$Wp>^4jO zy6X?QL*qWjUV^)D9lOAPM*QQe|G%RIfySEIN~fN>thtG4wTD97$T9xY*u6=wwX=)t z=Z3f9Wlj-?C-PI!1z&U-KC0hqKB#p*LWK_A)l!mlQ&$;k3q_(<%y;!@ek21FRIRmo zU!={E9xVBbgbNMn*&%=#YlKTK2<`2Eu$}IC-o8w|!aXKVeCBQL5*9zyQ|68PnR0XT z5sJ`-uwS0`MC={OhWEwiow-N{7CY9ein@sEorU0Uxct%YOr8gQ93Pc348*AIM212Z2yNAE0IFqfyO?}3Ow zOwtr-8|Cb3Cb%0EF zp8Ef=_uWxVEnlNiRJSt5&}pK1QOnXdo926ee3$mI2$ zP2Xx)9Z6S3sdHpYqS&UJfp9k4smk5KZef_<%V?9g^4K9tt;C6!?`pK=X7V$_l5Nca z1VLz(+IV^Wz(h}0W!jY1UP;N|(EGlcjsk3#rAYD071Yt}!bMhD7$px?zYft4m)+Yt z=Ur+doh`Z5LoM)aJGpLX0}G(jR0;qyc{sq&KsWVdT1yCD{dGJgaD(Iz{kViNP`Cj) z#lFZ2tB9`8J-#)d8IbnppePzN2Qrwg>>DYrtSq~5uVhu>#BzBCAV?e4VgRtGaPwTc z@r=HVww&E`CtdD7^ycZ(AO%=rsHD=|y#c3>X|IB|UbHg7zTe2GupO5t%JUeX7!?hJqlLE~Cl+QwaVHsRl<`k(8K;xmed4bY-!;=N1K0hhhM zozykf6}A_fp70qmBl?@(PwC2kEuFIFJcLpt^}F`e@1ZVf_aRo;s?y7DdD`!`c9`qo z%1^{t)!FFM4HBx1<_4MaF^V^dE)@{RUzvdUD4 z*X{Jxz}3cG#xH#y&{n1E=`KWD$XaLGKlpaZUDc$9<6aN>%0#)!3;|xHGLzd`0~emWFu&FRIXgt|3=-Hc;VAS(OvU{*LvGRfSj6i3C|1q5^V&E z$k_>1o@-GUbAURZy@Ibk$}SIrcJYJVOPJ?pkm5i-{pfjXf>cAgbZ4Nl6XmDOySuDl zanih6{yqpeH+UW>s^0a+k4Z1jHDpydOz5Ac7y}h79RV+7>D~75ZM1jOn5o!34e^HS zqt-Xyqi$OL8C&)GUfN|fg>)9BeNfFxRkCDwR}E6%!HOosdZLHCU6qcHqv#+uZ#r{7MpG+0h$)N{5|l)iIZn|#~Ie*QVdopWa6k=)!FPelvE z^bI4xPmEAlb-%R=j~vWSO^0j1mdWIh1GVd8G>` z``rFCpUo$ax5tk5zWC-KkaShslHdMlCb#j7gcBA3` zG>SGNKxBE|MJ?Y4rGeBHLoMOd@#p6?S!F_@N}G|wP-np8H%N)u1M3VSS&~%*pRG9cwD~^D%(V0Y{)Y;b-w!`d)z*#1Vd_l6OW*Z zW=$fQ4Ysa~p6EprMTe9w!>+Cl zxC^1uKyTjH#+i0=9)1ITLb{5@z=YF%z=>k%!Mjg(sL2)91i)1P8@ra8x?eA?ZxZyL z;cx;ATN*15{XM*6h*+6e7dDuY!9Vv-9s|p?CAPdfR>MR}m;aK! zUF!K8m=?JAdGhqYKFFqYH^P~Fua zm}ta{a@#PN73{cJ34JHQj+yyA&V6Zvin*R&6jl(Iw{hYNP}QnWnWX>Wj}n` z4`f>4k(d5P;i8a+)b%b$W7EbTz##bHAN`s$IAsg62v=)5{C^jllb!u z9ucfQU?L+xC&Y6jAF;omVw9c*9^fPcwERs&MB(%ywe1MkI1Ulc-aRdXs<+h9-~Y(xERi=|;6}zj$ZF8WRj}x}fB(&Wf z(ZN1e)2!PEy#;JdPPVA)`WM_TOn>XOXntH!`n-=l=;-CwTpKkp?dH>`y3(Fd+Y7k4 zv3uh#+4W7OFwmg7(NN~uJm6-c-#v*=3ksOwE)rpaL(I?bgG$-G^DHDp3#b29VwDusgtX7+Z>3bAydkhqVs5GDW4Nz`Nf|meZEO1^? z(`1|c0qFzRwG6@BM924w1tq;I&ZW?(x#}e<$$Hr_Sw!I}4adMpSy@=7Z%Id&$M{k^ zk)wLqp=dj8qav61H#m-J{!DUU(60SHh^Tb>J@P)*`}G|XeW+z~G`KUk6d`jeNS;{T zNA#r9!06jlJ-Axl*y(ZdGNq5`7g^c2gP?G`UL5M@!b1K5GPn(|sdUkhCRts7F(>V& zPB&U=pa=!K@8frxaMSH*>Ud-ygKluM+7ElAJnT< zP5lcyruWxXTpVq~cyoI6F{XF2VX-!!K1?LC&=3^XQkaBKpO((DRfoJWcQ|ddb@oNP ze#|3r?1O!fcM^FFK@Nf7tJMit|7JjN8IO;(VXwP-KGR#v_c}{Uz7jdqq?Ly`Gzk)q zq`_%))5V+a2_`NXfkt!E9WLj~mpRt40)yL+h<;xuF$ z`vIN#HwOjsoxp$Ns66>TR#xECq}0(d1FaKG@kWGULREy;_od2@bK)nXPNDIhp<7UY z%Ls|OWzH3WF>#l4;BNeTv0?Xw<%jzqTOgFC#JR3z^f2((wYYxYMkZjZd>qN&grJ=!-(yKG>> zwZAzZ>e-!+*gunKLfIG{;l2XbMm1G{{e`p_J z*auar{l&A$YHHk8^1EcNvbS24$~cPkAA%MtmEy!r^I3reXmt{YZ{1|aaia~G|H8fW1bQ~ zjsfC}z<}Os)x1zLBk+O*UU+VkjBwmPsrQIY+x_hiU>C&gJ?9^`L=xKWlx;uuBIsK% z;yt2^(1ER^YU3#b1MH(f*!6EQi)J?r+X`0CAGT?jMb#ORJsKoeI={D3`4CO$@i zHIlTGG5wn23!P$faD$SIXEqSt6gOT)5axK}kQLD=7*iNM^VFR1TRiAZ#->wUg7zxw zGSfr*?W0rKrR)+*H0G-1?az+qOx*t9DmfYv#%Eh%`EBJ(r~PB#ZxAy0?T;;-IHvF( z$Ae~IxfWH<6pMQ_sLLo0xedNa&INX3D+aaH3f?F%`t1eD`f)dH0AnIfqNFaY{IktL zE!EPp#le6PO_c-FYs#~_85Oqas2uCN-ssw^II3q9a&@M zOr>Bk_#u&@vmOQPwlP*5iNtEiK8Pm=5FlV9^|rYG)2r>05fW)7g&X{%c{5-L&zd?a zCe~+JWaGx#(YJava)XyCrgl!J&rl^L@R;HmshB0bdr9u`{{WInGLo!q2Z+D z`b0~d0(pJ;ua~zitn*vnM!b|r)CVj1YjqGj=|Cp_?h;fE9M0cHOhSn)zqKZG1Be^D z>}&uFuWokHF6D3I_=mRN;f)13c|6A_hith2WQ4$PY&$rz0b=~a@8G0<)LPBT`uu^M zdjv1%+8Tz%i~Zi{ZulEIk<15Ni&PRz`EA%g^zc3G5v}a@aO0Oa2&nxMo{+yNE}?pg zE$ufTTfaojz-01wEy|z?dXW!E=;5_qs0u=U?zoM1WV`;k-YXEM zEP7lSc$EPh@unc(eP}PkdmnTzbjQ9osQn_}`(ZBk@Puu{gq^hkcl`SWKAJJe983p& zD{4;us3vv7KIoe6KIqNJJ}7}tn_nC_^40c+c}eZpi>Sa38e$bcMtfi2)oG z1q3PIg&e9&l0}*c|2g|G*v~uY?O-K4w_wT=C$Tt@KUr)_twjZ;L-gQDH!(mDZ~02L zn~lIPvobHjp1>Gi7~DM_i?Yciu>g9iae}BK@Y@VX?aj!fVS-4h_=yz~JF>Vq)L?}w zzx|B;xmuxEvZLc$yP8QtCCpNs;HTvE^&(?w9cb~aS8T#>;N(aUy6T!w#j#`b9sQ%6 zXrFgx$w&rcUHhid{5Rg0v5?b3S1Y1TBZVT%KNj*}nRcwVwA?Gx@ZfF0)L3ITd|{~) z^czOMuUWIA#@30=H1qg?wynx|Z~+br(-ST4%a71Nh!MiYfG8#9U=z;P4r39u0V^t~ zWgJ?;=-W9kQJ)t+d2L|V#&~`OaPas65wnDs>p!JH!sKR%8`ORe*W)XC708Bpsz1PNqZ!-;!L(pP5t1Zhw)<<%Yqo zXf#_a;ZG>Z3^(Go?F9G3J4q~WcGOf`J4lP&vUXNw;peP0sohD^3&uEk4%z(=U%>1~@o!Pox%|21o5lH20+kVuUQc_DD+EyqU!5GbW2%+IZMAP%%bi!0D^#Q^X9}6gTX~ zF?|xDVY_H53#?GqWpi;K)D@t9M_Gv@g6@#Kz;V z&_0jl?5%Qw5l*lB%V6z@N-w+xoXfbD-sEBBkWt%=!^Gn;VbwPq3D$=X}?3rgr; zU3{P08p@YE)yUIP@MRM+(#E6uGVaQm-jmo7f-W%cK z5}!)mXW9-Op{!t*O5Ji+8ytVL75Bnw-J*EMqncZ2bgaeXB!^Up>W6?`M4G0Y=migv5rvi8R&O90|e+jmA2RVIyn^B27LmOrHKU?^D$7;#|WA zuh9>c5ATD_%Jc|DHz9$u=ky71{*!DM$~5gB+8Hc3j*jxTCsuyEZE9B>V9))KitBzR z_>eXnE19LI3Zl?jhE5to>e$Oc%3SbNO0RK?E1y_zd%bOhpvvVQM!jtOi6Vxq6diQnz7SDKwgwnM#=U#lZB2=)_A* zF>Zl$X2y3LI&moLPIS`sSF%=6{>OQ~loAauw?5qD5uHGeTzpS%9reCeLnvg3G<@5o zI(HOQ$WR$`&;Hnz`dx(dC1d;^%CAp&k(zC^;95y5F7=hJt!$PBjC7~$UhSS{m1oMk z@CTKQ#FdN*`POmPzV8k|cm*fT!+z1Vzsunn)0q(ux_t*L!OT4RW?#2f~FmNH{ z>!}jLsP2@^M$G}Yk=HoCT(ns^=)3?=`N+Pa@d)zzI_7XU_3lD$DU7ElN1oIyJ?xxv z2>GEB|Jh8t!_JUH$cZ5aSG2|tJ4YTs-jw4gyPIA8I~q#Q-uyp8qd(>A(g$-5Iep5V zgr$q7@-94IBDnPc!|n!RN(jL(iP5Qsk^he0l`SaDo{VVpRoe%JS?`0s;DJLqB2yxj zKY2%Y=V)#pU}^GzzgL3Szaa;7qRJVfxrnh(Y-_P!p6dCNpT6Du+|=6Cu?tzdVP`78 ze>&oqnsr;HOT~#?3rmH8aW3zG+F}A!F znWYm??8ny^)@Mr#CMxkCb&C)agQ(vT;XXuU&-3j)7M>ler0Oz5RsXnP*;Q*(=V=V? z=5X&;0xb5JNC!B6Djk)Gby%ud9DOdtQyfvAeWehp)3n4C7;t9Q(D-+lKNoirAyBL) zU@n_8R+&@KYgNhIYjyNWrv)^NvLpLc4b4E)(-HO2F}>oKsE{4M(9uL3>d40Mm} zs`?pngiWh&swEBBr5|6x6lt{y6yvkA^GijF1v~kmE`k*zmoDKZlp9(zulq>nrewny zaJPnd&66=y4n0a&p?#z30qe_H=jI=qT~<*UM)qLCj2Tl770%rp2iC*J^grX98I2gaS6 zs~Gth6b)E8dwLc8KtP7u4AFqu2R+i39>kCp+QHwA8lfZMwOg>SkjJa;v^&J+iCN||1wOrr8S8TrG90X z{yxRA#jc}jrty)3i!yKPJP8%ec@lvXk6}R^C;LIceaM=?EZx1J^&qm`)xsUNIkvs` zbo`pSns&K&Z+g)1$s89pUmB#@=0Xfevmry}5}JV={6Rh3k10Qyn!@AqtjCRSsacQ) zD;q(Z3Lv$_`_8~p7jD)d?f!KHvdj&AZTU&YK*T$O+N#C9RL{Q9RdbTEu_o6Kpg)&? zC%K%fMhT@bn7}6`cxio2)-gn9jTvBnKaL!o^ts>m;A1irY7>M49C5 zMu*B;*kOiU9lVyC&#Q9Sc5um_=_)_ytISJQ*a4!4f0plqvUT0W2^+-u@(sU_TPUcX zi3(I_JA*FoE7n11jQ}l9S$2ATqr8DCo1h#zdh1VlV=>x@eG~ZC zE;rCV@c(LL!#&__h+@nQlZMnk>^RMdl; z0hiB$-y0TB$Vu9puYo(kI~NlFnu~`fdGm#TPF#BxI?iR-u!zn>k@dKB_Y`pkXIj5( z|Hs)oNz>ar^8YmIsyOX4LA5<9#O4u^h=__>MrJ+8JBPS#`oZE(BKX1x<0WKhW+3TVWm5o|C`^f)wi4@=YcN|Blg#U&4I>tKg z>)-8JY#FEvGAa0AKHks8)Nn}x)Uh4Y{rLs3K=L1ZLSLsDn--iSRjdAq2WAY9?U#=j z?1PMnb-{02jr*oL24k|0J-ZzS;&@4&W-5K=p9Unh;hm`R^qALb=Wg8drgfDL-w>&I z)bo$TyVfgTrBU=xBOOC>FY^5hW8yh78zDdW`g6aeDP3RvSE$PWx1dhcV&^>)U0BcT zgFsoxVQ~9C$RhLlHCt_}uzdHfz0AKuD1*ICi)?EpG<))D-#%YmzR2gt%(kQ4wa4GJ zw_}C!B?<75OM%la{c*w?5M(hz{X^@4>4Il^#}Q)n4RAQG0UoIGBc*pQ)x26Vvq$$> z~;FSD>cPt0hyNWe6zYsm3CMNMY?c)&+PmMcAF6g;sF^wvo;Bh<8 z$YAbgxN|mE*On$jON!6FddZQT|H)u^qt3Snel|1fX2s0#*SD@jAIad8H+~7h>B*2o6r*C!c8$``hu|*y^5g4E#D%-NJJ~#rTrlQgkwDTlEX_ zno6T+7FDUbwK)ManodwQ~lHm^1WhO9XG zG2rzJOY@m~C8b#chgyNosMnW3qjW zik$wzb&&{nKGzZrY_ZSH`-GVkTF}wuic~-SBdCml1C?oR{#1;cYYe7i(1uQ(4^Aps zWS=ZSHdY`Tt$9bsC6-MgJiTEipqRUUqaY>_^O38oE}}?0W4ttKX3uyVNGIYLGfZcvr%a77 zcpF;><2><(HlCy0?R-}Gw#ZbCFCF1Uf`zwk-qsW#R(pp&DP?DjH8P2mvX_j${XtGI zqiJK+cF~n^^8x%3GZ)%crv8|QbR7TN8%IF5nL(d}dXY_37t|r5B-$gv->;Gb75u;# zlJ0JfW&4Y}qOz3SFhn!(gH1u9MXto9nSc(j3EY=ChBrpw2jXF)u+Jr+u@44v4m(v1 zxpEuNZ|QCW$<6|+3t+b1wBEdstvg<7&tv(or?)r2o_1*s2}H6Avy0nfwY+6_M>o$z z8;!~y!BT;wnkacrwd&wltXbQRVScpg#V8jMLfN^3lHGXj$UA*@r(LWr8vYq$vx!Vi9oemA(2_pb!`JS; z8c@(;dNi6gKjT`s`WJ74&dS{wjTitcus0^WH#%H&nOBBSe@O;Wf|Ymg(cxNB8ZO=! zO(|A8K(1Q*Y4J}z>|c64 zu&yjbIl^44p}{^q)a;&OVtzUK5hX=?YDz`n^O^!jUMcBYakxx`50FjF2yfXm2+Cqu z;cFG09)SA6(8(;xVnBL<(;h32yjnDPr=;bTrh8d}(A!gRnU!3yHY~q*$gP?GN+AUD zlH&_Dbr7p=W3uE*O?$b{AYr?DUhBz5tQ}6=CW(h@PCCH#ct^U(=OiPMV>y)pM^9<< zoM%g%6C57i($^l&GqOhWQEw{wid4>FQkUE8Il|V59H~D?;C*LMED^dvHdJt4J^|6U z6E6M=CbqskLU}fHCh+)IVec8+%_4ji=1?w9B_YObfYnfXu9`bjTb&5ky-=D~=_myY zsLPEuUnYFhG9Spo@TcN4k=TF*ZJq2?Dktd@NZNYfKrhFK<+QL)VtInPy>%mR$*2vH zgQaHAXQF)kHkD?=PIbQy!DPsZ&8m4*UeUpD!Dp17CT(24u5~^HlHx{|LXN8f^eb1z zs$A-CRTWR_=W|J)Pr^^$g40TMNe2tbAWcR`$L1b7tS+^P2z5QUF%)i|xjcMlyf4iQ zN2|D45to${Y&jq9IHs-DcJ-pWX|0cnDy+uOiDEQ;j-=m)gDx?2rHlkPP&t*lrrCH@ z=k$XOXL15Kf|gK7->qA7d;Rw4hV0xNZ_ONMSu0A|qQ{%SEL#o|SAa6RJI#Z}mO`FW zqmX3*SgeDA9{t+Mx65=yrGw~*SkUIf{!PI!I1|0~rHU_XILZcDJ?h#^iXlp_JdJ-D zX&fP8K&~L=m(}*)H7MskBELoCYLj0)EkbSz%=;2I5pzu($t;i2DjhNx^!GszzI8ff z8o0|qJ>0>KnTdc!X=}G9huWkznA5X%d{Ms-qS(_*U;*wnupAf&kAnX4gnbnd2AyAQ zim-A{g&R+DExAWQ_;=j~6FX_Q<2^eMd}Xl#nw`stCh#^_*y{unA^_;Q;6AARx$$q$ zLrTZW*?xQX6ZFgv=0~xlDhA|8Qh@fFGM_*vN#^9o3Si z8TjL|H42?RgOA|b6G`iL3E$~H@D*;UWq}FoYb~UTx?XwXmA%CFKst5q!I^qc?4yl-hF)*D`aQOPvYQP&daX=pdHm!8?JFpHCWD z#GZbpSS@x*k1Wq7{op(uQg+@4Y)W|W4UXk262zANnvSj9f<8maKU?82Ue6}cGzlPH ztF=^EdM|vh2CM&H5kA{|5XLl^zR;0UGCd>S|C~qQO6~^Mnih6uX{7hf8+kLA{Pm9cko0gf zl#4Kxa2ILO%n!>cUZxsj)uI0~c-G+Q<1dC)4@Q29$uEHkb|407x)n`6(KL*v%O4DN zXrrh9a%3RejmvNnsXMgJ6lyzWh4l^a5X?oS%TuAq=hvWxLgwhbBM-s39pc$W#AS+u30QCQio zh)1<5tqM#vXhbFth%Eaf)|n%JJr+1rke#(es);mfS)qu3l@ ziX~2}_~+#4$o}!siEx{8`u-m6>e@?B2iKDr3G%)#BM~&y%DI?r?N#TRmQ7#s40In9 zHS_E7n-(g|@Db+t{e@MieV|HlRdsHB*JCLCtgVoK@|V8(oh|bz_d(*_+Nq&}NQt^+ zPgrU3YjKyek!z&4WaHxOpZn<7j^c9+Q4ju~cJu)qj89ZmEMTIxbZw_xv`(H|*P;b- z#wMF=JLVK*Ztk`2;s|Y^s5K%FJoOyGcQODlQmhc)AO|+erZbNYqIV6oh}gVdj9AM) zhzSrYFUElv+>AF-#4yux4QHxGzy6vW=D0MoDH9aal_?flJ!tc}J*{4)3^yDRY@F}S zxL1KoR^81!5B2r!u{brSLM-ed+T_%{cbO4ysp+c*!g8)Vd>a2p3GG9#7tnV9J}LgY z53KjgH>Q0=4OAs^o9%-d4%C_!jbV-?E1(@-9VEg5%eZAHVO12-A0EE><|W*y4g8&b ztyqTpF5na!o(H^T*B_a11kQKw19+Nkj}Gv(w=BTCWS+A~Q#Fn_n|A07A|ioLn3K_}!K_SZeeu@dlSO+G+H%4ni+k zk#=Z7G9d}`ETJqpx%R|MN{ZX*W*fGl(XP#HNSl|vlY~5Rs@ty67#32>r}C~WtXACp zcK58bfl;)MNy(Kt3!({dqu80PPtxhj(tt?)Ws#D)8()ok7+G5d=CMMpSoL{W)UMpJ zCAU5$^|yz_?0#HVQ}e~41)>&?Guflz?XYs?Kw)-0+Wb@Z;!1u|U*){7RAo}05@cq> zGd?_|Ju_3L@9&^$73Ncy~6>6hD{Sgu`#mc2LiHySP zmSwd%yF6^_+?B9!5Y#7XNl!#sLGktusGHB#F`K!`>V~$6+={{Sj^u}yMJLX(ReZuO zVT4TVk;(%Fz%M?qxKbq1mEBR$G|apYN`-4x-CADZ zAE4g|jMIg(sLqbTMBCyZ0s79gO7Wtvhgpozr|ZK6<#KF{zjmnE2=te3Xq8v;POmHJ zLUYYoLt~@QY}&6U1Q_{zXYK*r15OF@sqgd?Z zpRzoDbhzO?g zMS0FUqTp=6rd^iFCKE5LAiXQ47%;*tnvP_f2FCYC71S!uh54XfkxycbZ;ZR#Uf^#s z6a4gk(Ru3w!FTyq*)U60=&ZW%C&w7l*;a^tz+Rs;M3l;0%Wib(#oZ2 z=h=8@+Zg0T^_p(^l<4w0)Cp;hsiNNE*^D_?O+_@#05)(Vf;?=Fb`JZ7>1ycYzjFzM_6xW(gyL>ne1L1iFV!e%`QiU zSoycHvc;=qI`m~CjP_AFUm$^V63ZL}em94p@vQ&OME~!tdS0ONX~!-NIMimydUT0x zfN32;MC_?*fBSr{g1XgwFWa1aDVLnn2>s!H9zm@Y|8=yV`wrBCenSibL5xRI>DFVq zORxHG#d@~O`A355J)%Ud3gT%SWqr|eE*quf$JQ*F8MM7a0$3V`r zku_=HJ@he2677a1zuJ4l z-c6*WTLpct7)WBr%#)1KJL+GI+qSM&$GmYKfVuQ<4R=EzF?VSDitAM;-}3l0povYZ z1$%LQHbE)ncvD?XksOJOb{i{|ocMs)P4r{4ap-7(yo`%lQ;t8k>5#3KkrBm+!?F|{ z#s+jIeI8%(w_biSs1{=gJ~l|Eu?`8aJ_FVHi8HnY%3TofmElJhw4{M$e2N`C!jdk;Z@}LhiM%LSMthR#$h#UWP?$ zS5cbuewjJ~YZqxuUg8DELe2O3)>fXJK+9|LMMa>LW<|Hgxq+tTu+Q%v1UbbhZ0k!Y ztnGtB$pzZifOK|>slK{<>MhACtG4Hi_s@2loSWW=d|Ke%rIC3GcimIp-o;m;hp6~c> z1)cTnsd%G1yy79m6r%gY(gC7GQAB)p(SL08hJ6P?wdY8-MWh7Ur^to#®|NSdhh zfe`mVO)--hcYTQ9RPelbb>%_{#>Gpma_rpqnjCmcD;^u5ou*Pm)N!3K&)i5!duE<# za;_AuiJ*|g7pywd$)Aosb|y%1nUY{AS5mqfZqIqEju@_Q+f<%Y-weO0ElbAu2!UgW z0+}1dF`YG>#^wW)gWY{E-V5h;$~0pai4#dg;7bHuk*A+fx3QwNnAEdqoQEV*^6HK) zJI~#03SJ6{T-$ZhUfbhYRuY z7}=Dhek-mP+6yYy_qU97i6o|Em+62kJuC7OL@Ej?jqAm=XRQnSnwp(?8pP~9Y&QO2 zoe!AsGPKLxO4C~h`{{#mWb=o`XaS)@b)Jf?ck%DowRti4dy|Az;owDBXy zcYG8b=Tn`K>q|E)8TX{#*pwGfEYRX6uH;%KViefR!+gC?ZEOT7`vcLQT@SqHQhs4S z6LbM~T66_juA1FHJtu^;MIs9*(ghr<>AleI9aK@vrv`J8(<54j*|4q2a(vNQj+mzD zQsdUFr!&Glj9D>tXUab>QO}-?fBJ~rg;z-cE?&ak84tvznixuSi0evFwUz|k^W&_1 zl3hCRQo3SS=3DpmC~0|rj1Vj%$FiZQ$7~YB4`Q%BpNbq+d;}b}|3UQR-yh9wUC-?F z7rr%t(hkgRIx%gauIL%!(A-8pI>&xH1sb!Q{lqeO%N;m)@me>29>SW;0z2{t`8mMq z#{aOoO|E`;8w)C>Cn>O*;9-f=*# zQHQ-!?s=B(fIIyDCSPl6$=M-0rvM7roMnisBfXLm0`|mpWFKS=6k&XTY?WLJNZ9H? z?HoHlP+@_Qa12-)@9GsB!>WGGNm6}yt+S~)c_IKNkgEf?rM3(b4WT8yH~od1adBQ1 zaKx6_0F@WKLvXWtGddaBu_kQz0?{?K$?s%-T?i!?cb$cLf}h8Pp_sN!_yUxEa;k6d z6ZJdo@4FY;e$9{i4K4NYh>fuN|M~5JFaQ71C1Yf$LPSflQg}5Q`Uh-yG3q4y-IM&? zufwqD&c+sx%#4}pLJ+Qj`1&(C~+8SRk^u3XTUVw1H6S zxyReQ!HKsFWTK&%-aL#XJc_K4lZ$iN^Pkv8BaXK^0`^+yjV{t4n6NoO0wUSLv4GdP zGU>k+6!N*M3fmB(Bwwv4o@!+uP2x-nam}xY1pQx-#8gCEeIo@?Je5Sq0xJCaP_|0LsLbA27r~GM8kA+|=e?XtR)S z$n?k?GN_DQcQT50jiQG66|)oD%5tRy5IT*B4!iEqw4)ph36Fgapm=qPLxBoc8%3p`)j9Z}nzQ+P>=@`l8)8&E81F|9uO zo#tcj!`_^PHY=4X79bx(Oc@auoh{^C%4GDp(cKP9&@4_sRB~L>awTXj=;>f7|ID;s zF}q=Q2R9;d3A2QqFEc$AcON&=dy*A~d;l-jniW}ru{^=(*n`Tu?~A(Kpe0WmItO;#4wulEp4An`zm%+CJvn zcw<|+bOMekrDnInb=M385T#+V+}9_tGvR+B{TN<`CM^IC_S`iHOd4 zpa|GDb<5OOqUO!AgXkb`q^iCRB?Wsi5R@q{;W|R?mc-7))}2#Y%HO4j*6TIN?<^QP zai`lhh_l4q2l&JnbBx?TDLmXgU~DkJMWXOBcVlN=JGC>bobDALW-AY)BkQBecPMud z0!dv!J!+wcWO1o~sv*3j!bdY4e*YPt4>>S4rsKtzG#3?I|I~zkI}g9Jk&G}!lx}e# zlA^xtBMp`(?^3Vq7_a5U5Z=pgEt!|UtD=jSXr55RUKiIkvo|M$eJ5kd#(qr$Jwhmp z8ELGSjc0dc?H#1`8FpMHhW))3vx`4T1vsx&n8w>F zIph?y=8BXI**Tn+t+k(dw|d`TZ3KRD0G73&sU0{purNHxcE55QeXfk6M31^U+}bWP z`c3rFrDCSc;_!rrLlQn+s?y6;-1FhXo-HWyOwg_+YCIAToC(W{fclFiEgGfQWH0@bL<<-Ck1a0C~M>nxxmhD}pa8j?h)t`@-uG zciOIm^f8&zYUout%+Y}7S^f&0aGz6W{Kw4Yy>6_riL3Kzhb^EgnZSX-sE{{MCP4h` zxdN$ZVg-VFkD73!1CCJ5`=7K`K6ifZD(|{7K-4$QX1X6__g33oOs(xpM+HW&Nn=vh zxvVP0utI*dJZVQrTXvTV$SQ?^ms0mZ?#2anK-!0$JZeWsvbuvy!6lLZEp6tnQ6eLe zLI1_jZ&VzrGx!*)FEQktI5l%^_WG81b7~+h3Xf|;`xxfE| zhJ_|Sk_Vq?)s4ZrqMJ9xeWmV97hU@;VJfXnJYjrTYW39VJI$STN^ixzY<>7|tD07#=s|_lptq(=}E`EpoJdjH6VO+yqV0`K8b zTfF8ksS>(nXM`$NV2xX>Y-?ot{P^*t;}&$X4(b{E8X#q-WHzNpjwS4+gX(LRJAYiH z4DMQt)=97UNwEHLrT&F_VcBy4(Nt~N>x3{J}tWN>gEg1c#~ z^mwfP&S<^%{q|dui%yz7`qvSCP@V3+RnQ$ZBYwWyr!sQ#j(|iyS-4HQKz2O;Cef!ad$Nq8WmOh8?W0{9T8%L~NvO4Xg{^Y1 zwy<4S6N4Lr*P^Y3!}pg^d8yjUE{%!uPTedE!PESY@z3Z!$ zyoWmQmwwFjBhPLv3nJYG^YiO$rSB)RC}w~Dlz>mZNj9YF5IaDD=pcfPykw!dOl%Q^k&1bK&wm+k2 zsL>)_iKP-=^af2KlrKMgAO*8MwOi+25@7L82oLHP#>(sK5uE6XzS>xdo~B@&=Ei;^ zO~fp9%X3{WGPstdCqie#l)MkR&dI?4Rx0B^zwdbMUxqv;J>hUVa~1fEQs_QNGq5y* zTi?P(y^fM}0w|4@WV^9`uSR{wFIf$fm9QIlP#)V~PmT=V2k8SbI$e_Zk$zqZ@-$Gh zBcoIF%Uyq%(EgAnOpI3P>BPXAJs(cfnA(ZTChFzMv7vdUS=rBmPEtzz9%74^n;J@) zBTF;XH6mpqg-WFp4fD!uz?_Nhb1J46Crm4OimJ0cULCc<*1TZ1gfyypDF>-6ic72Y z(>Hz{xZ}YK&2*G>u7uUzc#O;a->vKTuZ^33Yam^(h7hlitBrS7-}h$za%)09Y#Prq z{FN5#+AQsoZ)XGUL}Y&Yt*+G2+yx&uv7I|Lzy*#ly6-S9V<`#V^9}&AV%USM*u<}_ zSb;lu@6AEF?4N&#P)VA}@@VV809RbC-`{BaTavcqjO>=k#EYSK3U z7jy3!)zsFu3!^AlD2f|Ust97CiS(`;5tSw)gpL?#1_BrY2!@CVC{;yz6OkTz2?QY` zO6WZSl0YcZ0s$!j2_&AipIzVYdCq&r_}+2GIDh9z)|&I4Yt40+>$+};W|FSeR*g{U zY<1b14!#^^<>U|u&0o48-&q>J*$su{+umjsZL0Y}(-(=fkqLvOlowL&<4*)4Z!f-u za}1I)!3F|HZ5A878$RB02+48!R8MDm)=^ujEx~ran2*6CTdk!Z)M;^_XtNyHGF9;A zO17)NqQ9$)V-Z+H(w(HR71j}O)UhmdsJJ-FcEq!-sta;(Xr)G76WMoOpGr6(lauK1 z+M@ERmugjeAh@C24lZ7xrKo!}}X7tG-Zdswumi3yi&4>k-veSG7} z;^WjE2m9g6kMuK>)4B{I&a2aPJBjCq7AuzbtO$m`qIm6&41YSX$zUiq9>l14L0-)g)Li#1#BneTbc`(hdv?|vJ{ zm$qxvDg);>7lGD0I%3Yg&=C$6UUDMF>G(}G5x!yCXk<3;f;xwWPi8|_@%XZ=HTQPJ zm^2~CdBoa&PFZx)%8#^y7mACHx2z%WLffmw1B)sUua`czS5^Sb}m|ahr$M#CNfU6MG|rJ4MKzj~tBak>l|$5-oL> zt|I~;SLA$SujQkuD=H0o9tgsiGk}^C{piVIB>d%;6ERb+5J%^VUJF7rs9J2LWhcU8 zv^<~M3S02bG0*B&UN@CLYmDn{*9fk=ujC_*OJE6_`G^vdkVb8Nn?2!lyPIicc_gv1 zInlXdEOkz6r9_fuNlE!SysaukdR=W^r@^VrT2;`Ms0y`a> zSBb07^_z%~9*U+|psH}SD20aE6|M)%_w+Dh7kEd*ruOPsge3OMLAkWvYI&l8jR4+M z=bB1r%_#8czadF>sIzoT9$8O^EOr%lT|{f06Z-gm3J^l{zoeGJ?RjfBK>w?TcV}5w zp=!M@^1pD;pZ{pQjILt<(oc%U@El!_Fo@`4KM5&I)`URpRWnOtrKFx{-+jo!9skK@ zzy7EsZ(Qrxo82WaTK#G%3+n#Nl7ec`;Q!`FOj}O6ysd{X=VHBTeZc$LDxL1l1&#rS z7!^a(7-?SF#uZ%%9c7SL%X`w=YPD~*076plqiX7!k=a-APmv0eOZ+-ok|z$*oBN!= zM<>2}c|7J8%a!c8inT4RT=sI%#T_k0i$x07aE_V##Y=F{+IKLTLKD(pj!U-N8u7tG zKfsyhNrGw}0%g%*pqvUVI>fUX8!%65^!v${b!WpWQ!gn_(2CKv6y&l!6Er&J1nvmF z;zPvsPuYD4@X_WkjVBN%J55YAbQ^tRA4zo`Y%Zt!2V)PQ7?p#lBDzNT7+Ah&Vzs9| zpvY}HT`<3%-l!S-Hb^c%X?mtBEc%mFI^sjys{>1&`ap`HMY_cFIZMTOEa5TZYjT_; zg2UMyd}>sO;5eeS;%;sIIP>O@OeL+tZx56>x^LM(x*)l;ed6P{LyU7oj9AWqFKoqY zwTkG)rJ}K^U4f}`1AqKA!tl!Hk02XF?F^v}v}H@FD^SJ07wKK(CG8=jUQ)jBe&Xch zES%FS>8iTypRwdFO0%+5=dzywmmEb1DrJwVRHFBOQ6W>}iL6c#gT&v1J%5%dTOTtas1q~H5=29<~B{6vB z%dnc7$jp(L_eQmJPPq6EcBo0HNY2+qEWf3ZDIcHRq%gbJTs*XcuxB(kn7as$l0leG zxw34NIG%B8y-HqL=yY5kDh{TjSEWZ|HME^fCvCPOZqjvQyzqS4B|4hB?9)3#NzJ11Nu>Nl9hT0%7aWtQBN zxbl#51g*7Ln^Ve&xO8C$t_h)Sn_&By!k66Qk4lDgE5D@)-+oX2NJeE)t|z~?OScph zXpOEB)=!i0Mjwfox5?T^rxm@e?@gD1O^oX*Tul7!#;r!8z!$Nr)CI3yJNZp(xP~)O zeamPUshqZFI3={#ue794>ZJmnPS&J0nGNdHwrq2U1q@!E=)gGH_BB!g-`_z3T~#nD zbV!19_4IC_Rs59}g*Gh||Mb=3SV}HgPyNOG-6vwHv0+imx1uRmrB6AN*#hR`r7+?x z4u%5-ktW07xT55AIBrPQ&RTNtMT!^rxgf$r1>C$BBXd#gRdYn7N?RMBFliw(@Zy9X zeoy<+Tvb<`#sMynwaIC%6z|=;r|6IZ)$|Ln(%N`;R8YLU>^-fpQM_TmrnD%>s z{x{PgQDA0DXdTiVwOqRDv1LDXKzx>c-D;W9NgaPP6tV7G>Z%@zrocnPQR4IKr4Kem zxDsI5P30d6_FI@k%FO+gLpPa64{kacp|fj7Nm?%ADlYOU3SeQJ7DD@G3sxAK#>;eZg z&xh#Ocje)CZxhi?q-zPAIQBbf2eZ!-`U8fCUVAi?NKQpmb#%YSN8!+vG1q`4>V<$&;DlcC_9`B5QC|Lt!7R%xkA^$l%s zrFGkq!R(`J)`=Zta*F~n(~QYa+2ulRzPCTuN&_8R z+Z7#75~P_Hu%cF(fG(@KBwjP+00ZPfT5j_@NLkc=Go>s3us$+=bmc=u{9WpOq=E0t znUh&+$j?a0^}r871r{e5GBIW+zpOO^_YhC`Dt}^XtK>x>GmdI>m}yZ|?T7azVZbL- zNz^p~i04AYo2Ut+O#u-|1 zV;qY4_ePbO(%7Etre=xX=9fKSe?PG8TrA~#cKcq|1)pr*T6=xma=;yH!(zCi-uwD5 zYcXcR*eSi&JKA@2%!-~F7Wl?0Y_Yoo8Bk2$3SmU()n&odd8K3eTKsH8a>39SS)aiYw=sXZ|<44LlI0hd0amPcTnz zonXEG=QZi3`R6u4C$kh^&IAD0)H@gTAB_8tnP&nszbai4v)`}nT&VM)ERe9$3q}S? zv5JPrFc0f#yHTGcWmWuHsR^>ER_$!Bv9TM2 z&M{okRhvQ^IwXJ@|aJW(m)O3H$A6-;(t^d#TxJF2r6Q~ z>jN-WVWWL}L7eiI`K5l@NEq3S5gQJ{FcT=Xy33?^7d*=Ay5{35S!=9YM zY3le0shSP33l}OXnk(SOp2nykFL<^=9}Gfi*{aFRx>xE9C_oled@-g&294?vqTURX5I0}2N+;{)E$Cs;l|_I+_55xtlTkIQ}iwA;%>j^+BGO@XIs_B#H zz*+3E!uw3?_5xv^1Q+MgZ3;ZjU3UuR=^m@Geb{mtz{!f6au%M)bPHw1P@5oBaEX@l zF4f&{7w&N^c}oh<#EveCqYgAP02oR`gUMGMlqP0Ru(mgL7aHLUInt~~(B9oRsOMHN zfIcV5_nu_$$(uVIN$hz=&>H%7qEi?nZOV`8g%~``sC#haB6(Uy8GY*0jf5ILbKj71 zY=M1H`2L*at&HeLB7{`5*siEszW+-FZ?tzx+#*t;!_W&T;|yRc2XMU|J&SSqJZ^1WThyOZssUA zT2gbF!D^kY83;ev6IhX4!~V5L&fVh&ABv}Opa)J=x*%JhG)$Wov11GUo*17>cPoUm zC++s?%B+Iz(4u`L$mrTV#024(-%FvL+0#W6i&-7j)^|UJV>DnlB4w0z1Dt9mMIqw}D#~Z0Ewu=t>Mervgiixgr^8AN8_K*1LO(L>pnC=!m ziw!1Naix4AlD`tSZtS28!ZcRxl6p;SZdGY&I6d;>9z+%@`gUkFM5Bs;9_A!IxEsK+ z(^iE1MY^QybwakIgScz%{-kfhB9qf|$JUKi!PhVNiB-B;mXVwm)SQo7stU_KSgM<< z;b@$^RpfS^+VD8@+AK3OCDTxN`NQxwrtPVintq8p;8F6seemmEeo}IIBlgUYfi=oi zmzMW-MQglX&7v`G0*LE#{}tC;!sT;PgU3VT?Yc$q8RX#nvDmJZR zGSpl#HD}|hlLRuggEprvC?u;}fT`nR!7i%0yr56boj&6RDT4M(p*2l)!(P6KSU^on zWyV+Prr41tBuKurwzZho`!-r*g{{LSt|p=zaawkt1bS#~;9P0I9+0~Q`@vUIEmg1z z3S#Kd{;9eS4<4!ggOgG>zFTqLUBt;OgU?happkj?P|}G@4Ymxm+XdQw#E?Fh-25o7 zs_}lIUD<=(Tc>{T7ix02w+COWeCs@Rvut{#p|6(2E;Mg7=jDk!+ab;?WWIl?qcWLZ zoin2tzia6qNhb2(*lT;&Myj$w)G_s>siBBYPk(10-sV<2Hb{VRZT#$m8H8mot_^&$ z+n-{PsFRew8dz~R(5JF&jG1c7G|R0na=AxJaIhMRaa{7KY$O_`*Ie<5<&AQ}eK-8B z_s|#bL#@j2{1^r4uIH{**{IJZzdC$7fWY$trxVxvL9@cBZSAx&Er9$hAm0oN&*;aj~E?S~*O#J#j;U~A6K<2Fo?t0=Uku~#?Xk%WpnX|BM=AE8u z0irPc%Gh-WImT@sldN1VAI|eXPU0!_XMNRVlJBKfr&n#%fsB*xFW2~AN>0AISUOxd9(z&T`(;*&yF#M~*q+ptE-W%n*h*3v5+0Xav%DNqK9|8|njEJ%9vkgo>}nbQ znL%zRGg@u0SMIh*>>}g0&J&t{ZT{3Jyd>b#z=~d@?rL;aA8(X}m2ospY=bJ;DBi0w zr!qkrna-JN0+>Ua>9FNy+@;p6Iem8PMP0o932Lv4&b5iDm^MVw&N=xeibt>m<|48( z7mEF8S(ZCcRkj4DU#wiaY)!PYA{X&EL&!|}SML!gsmKMEp4*x^Q=yf{;$9;JIT5dm z6N`6k&NjdB8LxjN0b10v_Q8ZZmsMfbn`TF+1}6!8YX09X(3_r$PRx|#ZAN{#OOB`;j%3?ixT{anKr%z*B~OgMBRG^4vj z$v4}m>=g20e#z0WLn=`jVzz@W4zOM{fy??^UL89vw9h9wtPSrZQ$B?s zRt!R@g8U7}#KQ5B!6h7xa%96T3AZ_>Lsus?tkA|mO&C&|Jh-%4ih@5#b<3BS8Q*ES z)f_r(lNl?I+ZB_BkD&s`m((5QlIp!eIVRk0zal?o;r(=NACIg7*{O$>O^z#>u0)cR z4k%h=ApyMXI;ty{#-T=#6?Dcbs1ny?pawsdVObIrv2o=-y1_0o7#?|$ExOQk;JS%v zTXEDFguTliN8A<MiD6li;! zH_N{u2S(QXp-T?Z33gsxz?V2H?_Pay`ic6-?%_j>pKNAS(F@~N$*i)r27-@~|I5>~ zOv@vh93gU}NEZZLH9z7Tc|=`ka4ntBCGPk+ z*fp)YK#suHnjzKFLrp!1CdE3OG~*kqNPoI)Ki;T2e+D$7se`KP+_(@7L?c-~fe}k$ zvv*>|>U^_@)=@Zr#Zr7sl3?_WGY1HEHOP!2Ju1b#;|u(hJPurvQ$NfIegV@DL)$dG zSD(D)@Z^|wNa-@R6u~Pf+MxHaj5rQ)Pf|Y#dm*$$d{E+6>i3$;o_u@Lu9@PqRrAz^ z5@?f(1y#|i&yWL#MZs&RqPSOMxYMs_rHYDUIuy|Qa*1LKohv4$xN3>RNcvqLxOeQO z_cN!ib=GjBdTL5)P4t?a7oG1)FxSgNoykUR9q${n-^vJnv-Jx0c+(;WISr8bm}1Tj zH;(|~KeC&Qh!Y>rcl=~4#@Ur{E^&tx-2UtxdrFUPz1aUdx<*>`%qmE;6%e=itrCa- zM6Sz3cp9ZTcauk?3DfBxz6~E z6;#;~_xR$D9%!$vyX$Bp=XZFZC0`?weSP*2w8-<*tgU9UxpQSp46X=YXRMrk$H)-6 zzrC(ICo(oO(-l(5A!oXK{p@T+a;T~e+=WJWGX=B)pY?YxKHf=ECC6pherLCT*bTp< zQ#BG~kp5}geRbx8>_RdH=GmA>JJvSZxgHIH*`W;w2RoOEM{|9awfzFw>la6Q1cJpY zb6l-%PCW@2Jf4YYK0nr5_}X<%q^SwrZQjg9&bD;2^hbWxycJwVgz z>l+uwE+A>#kpCFwVz?z{w9XpyHu0%lEhE_~IX+ZXzN5zAyXfnw<%!;~Yg_fojm68= z){PI@eXJgQe2OhLelwbMj6IifVp4s=|0x@r^$@Ot|3|n@Q}nN7a`Z-Oy2BP%@a0|= zSqS+gBp)5A<*r!T*gTn@pRVZ3a0FkzEQ?l|{utqaK&!O$z-Cbmt6Zni8_sqGd2{&K z*qDhV0_Y`2K36K2maS+J-|7d}@D)gBm@>vg4rG zFcHSoU!c5x3?o^yHI!D(Cu@vHxm|5b!Voyy%T@G$)s|$$sRF6C!8b8L# z{<42_vzy`T^rAOi#rkG-h)w0nxi?GV4fCs4zL*a~reB$+3F?fY9kNXgY(Sc?+}+#D zhPM1U)h4=Rc!=A2l|=BDb3N@Hi>aw9pb!f?s21~_gL6IUvF^|fdATz6c<)wB9V4DGKRRmwpJx>+0qj4Q#yhnBX*TX9_hM_8wv9dJ0S3* zm&*Kt$`rg8?W*Ci{^by3Xm%PleqepgQ8qU}cywN;Jj5=`DB7{7S7BT#_FXq?|IO4P z*oGQ|c}cq&eaDRG&xhl?Z*%-?>9B8kM1I7UcE}fC175pCQO1~7F}LI}-A~eF>)M>) z$w|!xciGc+C$`xPUR}dYm(m}G3`O_qH(hpGn6_Kc{k(5q);q)ln4a-t6k)TuGSUH; z@2t{bCTtVpYP24sJZd`Ty-5a+{al~}BO1~gvsiA416GKfVh$f51!07~VZr8?!Yo2a;b5ub)ytO}Im_l%TeJFtXB{LB${ zugX&7qT`5Wn@USv&{M;D@w3IO_(T`3wg3~A;V$kLyh}qoWLZvCBgqOl!M`W5{43PS z{-6A0$a?{edCQx^7VI`jlRdR*Pw1X2a&^Y~1~j4$!L!ij4;;&tjv#X*tA68x0cjp2VP4BOSe7%c2W-{RM0?H_w}tH zviPv@CGaL~PI+&*SO-Te2i;!)u!kkuS!mQf<&6`;hO35i0Css*SLl7vMCH?=ZWEe# zlXyDQ>En-w|NMgvuJoz@J>?WdwHk}jlF;?Wn@#VL86@3_?;SrHGjm}l2=o|^toz>X%!NG)6?kJT%~y2s zL4Pdy8@&mP860ua3Lea{J<1ZI6?g65Y|j4= zJKpxDl|z>~Ud9dt8$;}IHKyS|*?gEYwP`_PB<#NT{wvW$Fuem$wuV0Li9O`K z;Er?NqLEMCw_Snq{5K(xE8Vc;_Jkvp zcvC9(!5tn+|KTZN{p>Hbb0Kt^_`38U0fYWxkSR z?ZG3#Jn6w@xU(bevty5_uEk=V|L5QjZYD(7+pyEPd34; zD=l61H7ZHA?1$LcYbye6u{Hq=>#K<*k5h1UhGla*#MOS)q%3sp#wz^?>)@z7w+AeS zO)BBTL7~zzD#^>tEAb-~OuXvBSudH0o1TQbfoE)B8x*KzgNlpd)`ZZ7Gks=b^&F66 zG~TpgMNFoHRvvi3)nDu^T%+OKKwop)H#N`wopQyr44lf&S?0?#@uVN_TME=sF0U0h z25e3A+E*rJO>4C77nVQg6I&GuBIqVSjVf(ivPzs>?xo2?L>O+vF8-PoxOy*L!W%38 zyDpa5mOB!xP^vLJX|&ZkWZ8ejZmY3vQ1{@8{LMYGXXpBQI%2e-a8BSprt%lvE;;@O zXt2;aPUVZ}*Vgg+D~FK^W!Ya1AuOl7J+atveeQZ5>h*yw)nRYdr#Ch~ksa8~#BP>T zt<_gb(L@<~%96GHAz>M|rY~O(9e7lwSn1WnD9Mh!j`iI2`TAY1uB+)X@3DXz>sMf7 z`0}r?@9Por(sHP7mti}LmlcZ5>1rx@D_hw)x(4z;*}8Hpz2>f#A1SXL4j?$bAs$?T zPsIh6mZ~@G$7y_OXuPZ9ARbLKllGKzWl(`ix zG|wl8kO(J@cYBKCMb7sBWRq{F%`i)s#Y)1nNTPA`VK<{~;QqI_7ImqsU=iJK6+u`t zDsQl&tO6W)LnFS-5NM91(wIIsc2w~Am~KRHxQ&fm_nEXu&^)~3^juO;VnYoLtgXKv zkapQsDy|vu;-9|H1<|n`w;vTf=lWfZclPjMB=2Zh{IA=!F|cthTd7Y{pVoznv9%aK ztC?V15=2dt*IG+F&M_xwf#XWC?n1 zi6eJG+_hid$e}Lq#IfUgxbMoL=&>EA<)C1wiz(P%#3YV5NYMCnQhrD1M*DEeF8P$UG0M;gTlgRK}h0_s(*lBPe@jG*tOp`otAbzON}|Dr@MT~_O6dp=?DTf9@z-Z51M z`&Q%0oxmOG0sZYXv4_>aO3b`2Jk%9)GWAD(=lXcI>xpVFf0JR=wu$eyI%*;BJ1KQY zAHyj5-uJSfPKkT9%OA{kimA?Ro{lP(?3$Io}GInkmnu+e)0If-k->##2 zxb9{V$0QoF^sm)N)ZART`Lw=D6Sv%*5D}D2(#5%v4pQ7%i91+VdT=)`mlppf8MLU8 zm1L@+v2!vf<&@!OgMc0$s^2`cxa_~kA3Kq*e(4GaA4|e=ueon}t58ED>>D@J43{&e4%6`Mg>ig=T8@V3z#Q5WrZvO`}dc*lGvVO-U zCnt|wo+QN+s3rNsOP4ErQ?JAuiC>(S=hX_2X{{^Z^+alpsQ6`r7CJq;v2r^+l{*4a zUwfT^OOJ^IV*7-c*oYUJT8E|H9Qp6Lyhg%+MrtR}c}U}5orf6Mz0xp%jF+(E zeqHZ(I56%I@=v}0l1j(Fvk-SH0L6dd-xU9;%OIb>$h&`_f%)xucg=sYi2*7wr5EeP zw{lrJ08d{92BM|v)dYnL13~+B9sj$dLK+hvQe#72%!0ASa6^1F^g1Git6He!LPO2v zPS4ub&1`qw^t#Z3gW3G(^g+>tSKb8|yFu114%2m&Ez2j^7_okj0^m^NN7P>iLGCI$f@D8|*#adlXaE4FB zO|AyNU@9o)OfFD{l{`Mt#XSW5mLrt(h?GH#OO6PP36yuM?fowlx^HKkR4 z5r)a4LP_CUIWLG?6_EmWmt_5?$0=r{zPUm_a&v*Dj+W9n)$TSp=Mh>y;Z8qJqb%6O zHLWCI4p*O;Yk0LSmzVb!>uoNzbc48O^Gz*Ny&;)h9lw5AP-ykCt3vfaPoFy>Hee?- za+Q7DZl9)y^qu|?Ar|tX9(q?;)LZgQ%xOiGiUYiMm~_QMZesH2(?FQ3_OH0kB~hcJ zyb9dO87KxyE`L|()2brnrBxDtF#GsjCa|jP@Bq~c|5q`a-y%6 z83jX^eV5A%dF#H}+Rr3HY^eKJ$BF#|+Y+VXvtyd>Lyg7ot9Xyn)U!DgFQDpRZZil; zRkKSK3MqIhrh8C@X;!qkhw7B=(KwsZhw&7k{$tjp4jXH_NL~ z&J|exMtu?L7v&XF{gWt2NU4%UufLVccD-`lGg|fC%)vwMWT1QLiK*##!9O&(ml~2b zdy{snSKIszlGM%+FBW%Al?JDXgK}ZEv;(cjy{Sysk4l(%koV|HZMjN?b`p^Qa)zYt z`x*iEd@N_oTN3yKgg$M*>nW%u@wFv(96So~m53FMe-M&4;6df7?5m|?Iz{7UW7)eL z>YsU* zh3!@J^4^aGzG2^$n1lDeSLivp<<-{qxfX9z`=Oc9oS24w>K7-_tY^^nZi*J%b;){; zfID@tFP5OS<1|q`PB(ntyadgUm>Gks-kHBk2xB;XU}@Z#z)pqON`aW-eRrL#7;)Dv z33rzps~`R{;#QPkaiOwK%Lislu(*ac_1_!0QXS-NsBV?M(pfD(Waj}vaX|^HH{AJE zaxsI~asY(>|KW)Gj~M=kdaS#-EP(39K>0EM1ns}f2G|n7sdEC!w9*XuJMaj;!21u9 zv4bD-HK02JNI;KMvHd{U{<{RpiE`d`F}JPU7j&OFXM8;O3ai zsdQ}8)oL3prLIg6avZNPN^^R5akA^(7eR=43TtgId-h-#0!D36NBkNAat0e{k@gjk z=LH%U*~fBD7vwF5ovQaN5vaDj%ZgEc%7r%ZUnnheqw3vh?``X%XF1B$cuKJ$K09n) zv0~L&IwampuF-Q1E@T` z$JT%{fnx!C9vEZ+tA13;4EU((5=%TCN$v}11etY6y|#VK64LRi!6Tr>bE-s2ZpwF& zLG6|StT8fpRc=sbhYppEU@rV)o{z$VX**sB%#K!;?y)=rq>B?q?;NF;-&) zc3Mu&QP>7)>l3ZF-5r5a@AEv=zao_VJ~2e{2a^BzuRqz6ohG;Ngw9dolDmuNJ{0&G z8^=>N^?f&C@dyIKglf6pk#VsHS2R@QB~4r!!9jk7<~1tFBldo3!9ZZJ8uvV~Cs`1q1;z zOn!WQa|(`c6iEe3;5Ll|{NtqgR`;+;3xIEqZ6V);iC(HMs4gCEQ##Vd2Kfrk&#$jY zTJ|N$2RuK}TvquOek3)1^Rf>q#2BKQjF6m5Uf+{5Gkr64z97J{vthWdkb1S0s?|h$ zI%skVA0XW%QMiIj>*8?izJ<9_b@tq zMz8von!K1oySB?gnF*o(j!INsiiP!f|7u8CSnhMknB!7kuQxs_+u5Zdr0kTIbX^1P zObVY-d)8jw-j!WH1FsomI8rd@<|Bkf5&a3Yz|rygSwB7D0yhT>Vc&*wm=33YWP!a; z9zCIiOqKs~?}2KJ{j0^(D+t;#GuMS=;GAL|E}z{(3KrjV^@~~VTIu-O!!PV>#KB+> zyAiDaN#ZN<<(jRB#D9F}|9em7Uw^>?0Q=vh{!IZslc#J*Inb68;3)s533WWa`?$i} z9l6M#Z0CWp$#2aPLH8T~QXMV=phJIBnaigB0lm~qc`tq*`nL;XYw4p$Gu#UX3Lg*W z27#OYk9AW1ch|_z1stqfdeZ>0wisvjcgiIdSYn>|8US3ugA4u!P8nAHi=~A8mv~?F z2=<$7-;bOf+d547CKvWcx*qk`*Coojz<)X6^#65|dH?4Vj_cpX|Luf}%KQ-WW@3PK zoMrMG?#cX>uU=Zfo(pzotplJah0%+ReMXkV@$0Pf3#!Ol1q@(6nm>F`@+TMT-g9GgvD3jVcz%hPjHk2NT0!5}8Kud;+IPDI$;NA&f z)n;s#>2|J*jdcIGNw4Bl3k_;-l=z|ERJ|<4>w30e| z7`6D4zV}SjCR0m=?U~MJzi_t_`q9c$G1MCz9%kUo3luKLAmGl)#n+z}2s5BzgGH(}d={-b%>Ve$eI9B+> zm{n(3<%FzDX%&VnBJMa|hEH1<9jTHb4QAdfs$CY#Y4~t=)$2=g&^v+av^GmEMzeva zPzGADth4@3+lX$T)x2t@lt0fSWX-qD?%>NoSx!WV@D(nUO3R8wDVRMZY5Lw7uxLR4 z_R?OFh@pw$DEYHLkJ#D37zNnL(+%B^<)ymFtKRZbZ^B|D=}7)Mv@n0-(KeF4hYxvZ zbk{Nf;r7YP3pNJxgi~BMx!v#r0>ZX~lv!o>bm1j4(~dgKk|3|;+`H{d5p{%KYDTd7 z)q#ug@x+n~KiS+6w!4a7cSVtI3Iak+f?g8`pbYI2Zqc(T+4sG!)>onj__bBFB_HO* z(hKq*=!aHex!Fj0g}c zd-1673+iM`pzHjx2Qoa2fuWN25~0B@2X_zH4R+U`Z12U(-X!vhk&62w$Mzc0(LwsD z5bo1;+l(aipq~cN;&;_s^E}?{TET^#L~w1Ys{5{iDUZ^$f3c2{Op#s*cyj7njB9hW z94z+!XCskMoQ?4x!a#&>Tg3}HSK2HxUA$fHUmK>y1(FSG0V|O%4+&oQoq z%U1`|XUKVtsgER)ms0jRBMv>UM`^V=f-r1S`pv;Sk9m@Zz=6W zNvuaqmxKbi3cVT19w0!+&KgGIus@{Bya0CWAN^eZFC60k1OF5Opg>-2{L4Wl|Irw9M*K0eqxp}j>?`Ej3*Ba#%9JnFwVCEsOL|W8XwM$I#_W6hn5ZIpfPiOXvQB(6UY?rq-1jdnU>UiL#yFy&1t2 z>jJBlOgevai1Ce0zI%~Kh~Qpn=miTMeZg+E{cHQundpljHq%94106%@>^Ee+Tynku zH4Z(ajawqVv^`A0Glzl3f+?+hwS2*^To79vC--E_=(($8&}ecPfvBBdfLr9%~r^GoMMNc!QXkduVfGb1Xo{kYY$!wjs^;^>c5-a>ow z(!=~vJ6kvWY0lKW0nOa6!kWSrx=qJFLy&?RnbKG*RP=h2XWBZ4(Q*XZ*UK!Yl*sc` zr6YA z-}+lBdq?oqqUiCy#m(x%2_rirDpZk3F~bi`Og>or+~{-*20@mj$=47rl;J9$tAtsP zT?&zL0x(CBaCN$K1}eHWqqAg_=wGF#1rN`~NXt8!bktE#5{_1GG4KuV1d^4t)_FT`Zz3xV`OS_!nB?pF59K6XkEzs4Rb%)a@ z4H`ccqGKmUv!kx2K>Z0(T@6HbSH79}2R>bm;n~mjGCHdjH*|YkESqz=A+zOj=N3hE zqe_uiz97fO4SLGDedbmwQT6K90a`F8a-)rdlHK!ZeFIa;6k?$9YGsrY%*KxR=_XMq zkPqnjEvf?IYWzqa*NiH~syiqs3q*b4h>S>LJmvZ3UTZgWDQ*6U;?li)T<6T+@o{8E z%x3R;iz^(8_7RUzQd|x>n{+LWgRur47qmt< zDfi_1xKVWA5eM*+@OYRv0Lwm$DqZb>_RG)@J=KrN)ZTxq)PuNtRL?gX@NAnDDyp|n zZDV%{R%V2>g>D^<8ccVBU93wvY6WKPbg*$-Ep7@_zLcPdfgWu7HCast5Xq^AdmVgt zIPmNmYC*$j8AM!RhG*CJHT`6pNFKG>joGCzm$Xn0P=m~i7zMN#s>^iyuD?2Z5cVlW z_s}o!jJQmfQNie-Fj5NH9>m?)UX@*=tkWweHhSg`BM|8M;N+O$WcE!o{*Rdr{xw&( zY5LyvXyO{g4n#!J~;G$Or)_d4Y$| zRzgN7uy8SW5gAspB@i;ByZxJ7j4Lm_P8QEyoU~AKKDB-$Uk_q;$oD{y(dfbU+)dCcZJN9bi5~B%m6GhLE0HIFq z5N=M)J-PEqG60eLJ45a8cZS-AsYAkq|K=wEZD(@+3iUGon~?7Q|GObwAHACOm+-_t z@>W?($o1=Pd!XM-<-aLUcw*G{LgW7wn8^Nz@&rSTb#Cns-irk_F7vc$_J@G)f4c=A z0`nxlUT??nNTg+=SZ^jafXEICM0PyK9|XReRu}qn5Qvq3)7p8HP`tgWp&X&E^0j>4 zVc&zr`jBgn#m=j$BZ_|)&O;{d@!bi3Y-`e^ZB{+CR~|J3pmYvCwtQfoZs)L&)}+?- zQMvXj5#X~ldWc<&1i@qroyRP^3#8PoN9t?z@3Gw9KFe@gL&U%B&3v8kYDD>gHFt1> zocl|>C!A~?lVRJdbTAoA?jqSpG}ejd)-UkKWd{_+akN+$GQ1!+&j`PJ`7G9Db6ChT z@Lq73-MM73{f2OL`OiJ=Ay9uOQ&mJ>?OpN-mymp7R~&O{cVDu{ip_|hZrS$qSi(!F z1*D8IX7@;_>!N^0ME>YcHuLmJ?#B)eV?XX5s`W5JC$-b(FxoL>V|!TQYskZ#cY@Y4+-wUfJ9#hDU#8z^|I&~=IQ<*M zROlBBC0-COdSMoM4=xi_26Z%5+InD-Xp$c};8aKw=`$R9;YWk!4|OIlL-T#t%$BL) zo3^XBno_2d6nnif)Yi#n&cRanp!S{D)2{|U9z4GkB2A9NMu%M2@hmw!X7v;yQ(RfA zn=Wa{snMhOJ^NXwI0*AX=UNbdMh20p=jqzE0<&##ag|_A^SV12dFQPnyaE-955{tu zpSia8rkDXm*;%wM`Iq`!K4OWD^8Wr9xzqGIn1jsWJkxk45_=S;N-GQa7}eq0Y+pFm zAC$`&Pxbl$u2Ch9!MKgfhhVBBxtt}EA5$DGyz>aU`5%R4VriV_+P4zw)B)7G<}vtz zvrKGM>D0x=k#8=IoF`7DoN}rzE!_fvdFC6s_s!x35$9)#rK=^(i{0{>30HBAVqJ#) zwZq38YF@gHh1u%fw`!Ib;1FA_0^{ScAciqSYKOMp{99mNf7~=y*wCBZ`+RTaFl|wN z*mVZ+ra4(ZIywuNE=J5~sUCv83&8uavEERYezH+)3x;1XGZV{y2m@Ibv!Xb^*X6Gz z*Uzyx(XSr8btk>Qyl-zF8RQrqf}Gdd9jKg+x|kVqD-L<9{PLWmLZi@}GQl&aC9hkP z=D$@hGZ7A>gzfaMZ|DcMp`LC>Bz%Ik@8unhk1DK3BOEB%MjnXzAC_PtqhgqThS7&w zQds`4x`ud^aL4|xqM{zKY<>Y7E{YZESCcAc@Q`u0`({cCIdFG~!M_8%XRE8Xa8b37 zNb~^i1}Aqq^t2A)$kFu0$fmqFJ;MObuSy|h;1NeHkIs(b?%Ot&JutPXaq(Ow8xLp} zU+qE5Q_qauopHN^{CB5LztPS>Hpz%xd_UB;Xxt0cU0TWS26>lJqxHAFWR)7?gu*6s zoeWySD|88ICkCQ|H1os&reAbbX?^1+^`pfH40(tZLhf@9(E9=>D& zG|z%zn-qS<>TP5)7p>PU1;RxI1=25|5lIe4*>1(_N3-T*%M_k+iXD5dTQk-F zmI38<_ER*e4)=(7j}6nC|7p$^}%BYP$TpZiLSLQW+#5pp-I) z=@MzPvmc!dIGQ2G?C12UK^y_tNWB5ud4EhvCVqXFznlj1WrzmSkDN+=;|@-HSlCqY z2?yo&fUxJ*eON$Q039l~$np(eBc_Gs-wZ9)YqztE^`Gpo{r6?@&t5S3pN<;*WJ_nd zvhMz|jYC!W0Q}$vnD~k-ewn{oU3h`fa5qWv>9h zpB+!w@g)$yEIF-b3I3}u>!0FNxcnDXf!`D*=ew-p{tV-L7b)w1e8=&*e|^V)Yt8y3 zr@nX+NsRvixNiqNEPT7zVH3+%$k{R)~x6J=&m}oYp1Gns&<`S zyQnwUk=U=2{;#ee|EJa1za1o&2#l^Vz*ek41Tvoz^84s8Q(#<-hJOO$0I7zi{&fH; zRh!s%_!}6o4h<|iqfXTZJ}U)xqGCmLq2fwitk`xsAr9%dkjw`aGGXR!95d&`_+O*7 zDbe*R;_#6bvz-wTZ#)_P!OgI4#3tm|D6hWI{+Uvp{JPK%7Ir1^{iFKgoJ!fQ$(=7447Or<|FY96Ac zM(!^4N8lDO^irmh0$vR^p{F~|Hm>`%()4bPm-V$fvvUlpRy0|yc4n#%XdW-?EQJVB z)>YQL134fX@$1nc?Rz;-mrsG!?tR*cZ8KnVI+NEQ8D?s_j z>;Y{y`U?IHSZkMl`)8)eX+qOIYH;ASw)s#W9$SCVYfA%S4@_-iK`r_P;YsZ;N7Fqe zb{2@+m8!5XFMZRJzW$A)U}1qht>JQHeO)+GziKb9qIfp@xC1c+e3!HboHu#HPHE%* z%#>}{Ax z*3gTd<*!SIYrDlY?@WS5yISA#)Us5TPJt$Un;C>AOyH-Vt4@X?J3;z?C0mM@rE`-N z>2jd8)FkNr1FD(*82gtmR~=4YYCfs8xIYu}#BzCe?o_*TDVb-4r8Vm`>uDJ*=G}Xb zJg*9&lI~Bp0b}hk@%=S3!PLL7{z0HwM2stAcwio;-N(V&RLj)7lB3fK4XA6#idAYH z;29<08l!MJN{XD&D#(+F>0g(bN)E9KbFwTMq0ph#MJH>_`@U?|2lN8@XhG$J4SkFkxjC5Ji@-akT?Wr*(-GoI#wg(>B;zOA~Mv=nq|c zJ}zr_@D0Mhvm*X_X#RM9jMe)%nU>;0-^N+98+zn6^OSX!#s-eIOL(-ONS=XK-t2-4 zd8@J}OxUR81umDE2$Yl`$Io9r3OQvWQ^fP1dA^X>pFHtzQ5#O38h*{VvtqfT<1fi` zQ{yB_w6k7M2PcK8%F;Zmzwolt2|3tX2xH93$F% zV{3Hy!!Pua(%RegQU`1TjL3m8s?$QY~KhNan(AW@X5!XZy!pFobdjbXBLi^%SI=9TsX@ z;E@uq$vthJ_-p?Un4X1=e=WZ8K!yLqX2I<1*h+1 zcpM!TEN>e+fFlfX_o|D~O6Gb+rCsav^QP4muw+|F#+-(n--ow)XxfpBS$=LgU30m$ zq07H0!eSiU@Zx@(IlcZOS0Cn<*tEU+PD)JF<7_${s-?uKy#bhM`dfa0N2*a6_(41~z}9p2#% z{3KWE1o)~5?1wx0b*wkRO6FhjWgW(BkkY`z9t8M~|KZ*N1TxSf>alMP0pdMCsDX8I z;iT4Yalq5~2?;q5C2I!Bq)6g$$oq;QTw1V_>b?;^7w^cgFOPV}5|*D(j=-(sZ6uEh zt0@+b2OGybNV%o7>lkTtkqyjT4gnDlgQ(|ep`U0U4591XQRCA@sB^TK4kt%>G`N*_ z$$KZ1eBF6HUHR@*d&H5ZYANMTtGbDvd<8HJ=7#d1=_=B!nzSW-GSj_#1~RGL--54> zz_(<)64xhyjeu3aF#YAuvDACrwb15R#rut4oYqVFvGjGF*33cgdRBJ_JFP=4Dh3qk zAJsgzmK2pgKP+8XzeI*I)u zuf3{XsyDf51qs6<_wwzi`xyY-$X~btM~mNV)2&iX7f;vJbr0^Gkn+8O;)JABG^d&$ zL!UAvX&d4JCd3$)i_2ZjU5A^U#JyezhqyKELlK%Lb4kHe|299dV-j+nsw}-oxG;KR?%T8P)$=&`J(DE;3|#5c+4?VS`&7^YUQgHiN3dd zwW_wac8F0|ze0uuxyHy3vckWxf&Z0f5A_0MpI(}Qg0Vq z#^_9^{MPd{Ok(@K7G!}&NTL4uY$a7feI7cx${AzF@1E4*0HHk@kz>Fo6#BTdh(A=# zJVqI`=cU_RQZ2_f-Vck_(CTSe9MKz#?O#pu_cfS_m?;p-BCoMJg@Es^WX+W9)-PX@ zf5+Rhdb>VGwM=3ByLQ*-)05O==ZTX@Q|0i>VZZtZ!zyLL)3oTTZyb$9i@HucmfF4` zSogb5o_J-wJ6d#o!CRv0^v<bwF>}1c?gASRkH04GdQd!hr6($JP&tWy?@PhEL9zN2 zAnI>b$w<0fg;vwz_o*?jsS&m+M#G9dtG<#npC+>I930{9n4zCtZahGL9WVn%DuMZK z_+F=Nsx7bef+}zXQMDW2j1o?WYcb1BAOtKuvDyg!UdrR7T~m@SFW`tGW1g=!)hv0w zIr&kRBr)5(V2hedTY&xQ5gggzU){vRv_bTT)mXRP>X%Bu0f3~3V=dEia4&FJ?%jKW z+OqK6qpIFTCk6A8mc(RfHd~=8xw`Q&{WZbEH%9_+#4ovJyW4-MT5o6rslT2d3khTDEs_U=UG zcsnlfR8Zp5vQx^QNXM{*;U{T(AdE6&8d6u+(=w4Wj|7V7D*(Qwg*@u=v^ z1hT(};d5K)&yL58Evsn4Y=Dgz6dKMS(6O=NMRB6Oz#q4^csc7v&p~HJDmZO+t&2no zcbD*N50bC@TQ^c_yn|Jqyvny7T^_yFTKUfMa-DAZ*Hz9J8;A-;<+#2L+dxvapvwN` zU_ZFz=h0QPDb?8b0%_kk2e7d|7vbM2%8lw7wSf(c>|GmfYNxL5g?e$|$H`DATm_oucuOJ#?VOzJn&0_yu;d_5M6j-<%UN_~JdWj+JpYM&6Nw;k1q#u|6- z)EdD{E0mOCbUKm(6Zasu-*yxhLk%J_+GXD(->5}O>q}4*r+{S|Ke>5bV|7Tp1^cvs zZ9D(1Sp&w?zh9S5X>5IHMnEV&w&bgOBTZK>-?@Q&RBt_SR-T^le=vOiuLt=51R;mZ z!pA!$vTBitAN5M+43vYdt;}lD8ffXiZH`C%Qdx$9ww5YC-%AY}7Q$*9-`#k%*hT7N zeOxaT8|FvBN08aMp{^uuXQ4jjuchnCKLk;6$CItn4b@qvT*KP9OTA6LG=GGJdLH33 zarYy!-GG7j)vm)S#u;dJ1hORq|Eiy#xwx`U8WXP@2!SD2u%9J6pP?bm-IWOL=q+V0ML>ZK?+2RT$<1exGKyzIS7Fx14vzLP zLn~Cu#^~u)ZXOzT8dO497%XOE?Fh4WbfDqnV+ZakfmPgWVc^GxrZBJ)%)!RV9tuO1 zah#Vuu(q~wbkOGH<3weF+gzxBU9gzFjqO7lS8Wsq9swR2er`@(0Eaz*n1++%qOP)| zy%W^&;wFHR2dre{Xy^!|VF$~@jLi-IsLsa8d5%F;^cTYygnn}T0N`2~EM=o&0~Wt4 z&&wvjCTHjXAU1_5I9V}?ih`A$j2uxy$eCMPT!?edH~68Ay)n%GoJn?FKsugU0&{f)%UA(ii|K+Tfkpsos;KbNaPXlT z2WSQrZW^9nNlqFb-t)VXD15(&YA6~#1%v=9%2){ijd4!%f{rcB-q9T902IlKaq#m3 zg%_xR93MZBJI5vpTz_d8)pkGSlr*<=gxP~7EdhbVVNe@mK$kFUQ%5tDFx;Y|KYNqe z_y-MH8#+G1`epTvLCWB}^+T9`Aaa4BWaCw1S_T1nfzS3M8JaSy-A$6nQ*YHwtwK$Y z$lgYuB#F|XU2n6kurWg?ga*~8IDLgVxM*b*H3@$H>eRcXN`0^0-S3Xvhx2l;UYss0 zADVHd3Z*rlct;yweK;9La{CdHc(B5-q$bBiaJ1_!nYRT*+p}iDF&M+!3sp<{uf}v& zVag(4XQzT;cNQo^KhDa~Qs>%dQ>>M&M=X_xc{#0VT3aF39%02rYWSY^qpWzOYn8i$ z2X`b^kUHeo>-vKu((}*afnCogqUcF&FJFdPKjY?oOP~3QiN|OlbWKVk*mKP<@{7)E zQ{4D;Ul#8O5vD~Q;^a)8z?qgtk`gBj(vN+acDovkaRrV)94I!?GCVIUG%H%^K>`y+ zwRE?}4IDnYXGBw#ri6KPbhbw2Tf2>xkNC&yDDtd@8$v?MH3B1KAMie8mWt)ZmAl(Q zmWcE0Xqm(a2g<7;Yu3OB4!K40GSu$Kj{7iIx5+SN*t}}ZIbYsmurSsLYdC6A^yMs7 zP~<&BlGgCakWvPYmd>dvb}F(fO`v2Q&m$UZNt$ z*&=()8Ig7w9E!{PfGBQ~g7_16I43W!&d`P)`-)fqIhRj}idL$0H03uNarUooI7n~v zk2Kxy!ljt@#0Y!(=A*C(oHsTU#rJWGq)ES3XI>W~HZu zXoKAgMA9WBPW-<2V;csMr8}W$F}J!>&=!d5^NGg8??ep0O(XeXNU#TWYQi{XV7h#U ztBFSOh_h@8F}r|#)m@7tM#l-g!PG$LZD9J$zthC&)7zNlh3n*M^iDFX!e~r{#l(Wo zZJWJiA{7fs@lp&QGhVqZasA11nq;+K(q!f#x8xRP^A^Unh8-GfEc1*tzWzA!mBdi& zR9+2Qu^CkZN@8A!Y(nqS@Fg~g%%ja{^upcma$K%tdEw#zxO=F>64!4PTaRl+V<=)AV`e+| zyVz%vKJ>^sw4oKElX8K=IAcXq_xRQPq$~tZ0_Tl~0XL45aVT~L{N4twd{e=?vSp0z zDSwM>A!(TjiKs0ozV07lqEK;@Y2bx}ukV_JZwW8St@jz_ccZ15>W|zI!=f*nj@7=- zRru$8KbWwwsZX`#=q4sbFP~SJ!&ZG8ZDvd!p6AU0WyMQ&9d*L5Z4StLmorbDGtor;2jIIcLLlf0#^CY?T(9v8=T@);)N6afCU|-oj4vF<9$r2+Iq6 zdr~91cKM!w`qaDjofd_Lxp`vkKbYB*M=+n_dsb*~m|~2(cH1+e-8t;BYE60-tmHaZ z$d}XI7c0zJE-4g|*IX(RB_M37leIq?ukU8LoiW<8(wuc2sTg9ZR^eh)anlWJIdSka zy?c7QoI}$7$n5PVp#~HGd9$`lxTHQ>!Fo4ybBx@l$6q$iTcpQ(mOq3aC^%(zfAW( z%B-K9UUB)pYz@6Q0+TuQIAH$e4KTX%w5L$LD1I^*0>(VnJj)noUn4_8;!1 z90}oCC|b-UX^hbrZ~7{rm60qzO~kl z;IK;SCg)j@{39Q%r9mvT@v<3C*>-DXy2>B2-y_hQRPty25C*vSTbB!6_3S7TC?Y?p zJ*AyZkxzF)jo8@bCLf8y@v~H|sqz?MQn^gz$Po^AG z_k&rm0wWg3IT8w_dL%DD!MnBY`{3R+5j%yP@0z%?73l%(Ll}nq@gm$o1V{DPBX`ha zQqa9uECoYIxV>i3Z>6UvagRI}`$3_lvCqeNLus>$AzUP;aFihCtwWzi2Cs6X4Bpr& z&9^6Dl5V9(RvBrPILg73<=IEk>bjjNuaz3kjARuIR~D~{z7Yg?2&Y=1oL6#@!z! zTiE27^3Vs`4l)4{nu14B_8d|e2U?Yid{c#U-R5>cAH(&ybr z6?nZb*5tYG`y|~e-}Jq+cDrT%>qg|B|Fx?`Aa4A*sreZFZ{Bqeg(}CCh$`tqga+Ac zu_Pcf0Yew>GN~a+&_iHM`#R1GA&nK($Fh@gULr25k z6BA*GD=5sWnE#v+XBJo4=Fwqh_db7^;bn6kTq3e?>KDqzeA z5xpwxD(GruYXu+!jySTkb`W$Gp)~~N%P>J8jk*n{r8x(2v=E_vfGVKTkbg`gW@8Vd z;bmiIg|f5r(f|`mZa#JaBNI**8cudj4lp|pn3J8Aon4TNUyz%f=I2E#dX+}l-q=J? zNnG+LH6SNKYv$-^D+mU=xVW&naIx9gn}Rt61O&kBoM28)Rse$4!Ohyy(3RENfewY@ z0*5%v0cvk<>u7FcO@qQ|Xk_E$C_+mMl+*kY#me?Ka%%^+bFtW_n<%R+&BMw7eR(_~4jF*#_--w-y`xkdW zeHm*9M?-5U?00=$ewZP*5g#|JA%}nwD>uI(H&B(=n3bEuh@X?)nB9bvTj0FDF;vjR z#@@;hXjem9TT64OA*#8-fWFR)fqy~3yfAix!t8%_PQc2bkux{4H?()7;Q-qByu;84 z1DG7m9W7y~?(?$)J+O4V$X)a&OLJ7O5wtY4HWi_DWi^JG7&=)x(uzt5>O3^GwsV5% zs3!`|e2=H<1E2xUeNhs=baB~AN`j$4P zHu|Vm)Hkwpg6Uh^=sOykTABj`r`$scHV0=@VKA!yTAKgl?L7C3IbrahoL@lx(er^S z7x#cC3V4>mzaQqGlA(nC-*lZT`hOGcbF%+1@Q()m(ZD|%_(uc(Xy6|WT>Z;D0M^4r z7+p}4f8hEr%j6f!q(9e0e@zyF#Z#1BqV(s|DgS?KsBj7ZHo!lI3NW$zW4iYLcSD7X zm7O23RaDsd1pyO3-*6!s2Cga8uJ<&ak0YKd3XRr z!f`s{db0n5jUp^V4@hY!UXu(f%--QtO6YDoUBl8W2lKS z7dw=n{r?_Ag$FQH!2e9<|FgO9&kOt?4g8~le>Cuq2L92&KN|QyF`56v>E+ji3Yg5J z=I(!+%%i+0{)@lIPfri9G|b%8%#ntJhl}U8o5{Ho2l%JYgt(Z>PmhW78UIfg4Y0bo zG2lJH$HxtRXzu9n7-lbKV`Xb&jq;D-2g?8+IQF(SC~pfIz)9w}vjqn)mjL%)?iOc* zXKNt5^A8UoW8ee~5Zc)Y=o#qRl`FW|xHqp|yGeHA`VBG~axyY<8d`GHMax8celf8y zp)MBA^GoRO7aA@uE&(0^IRODV#SMZR6buw3Boqvs40Pml44guoY%DBnoPV$JFS)ai zAc8BG&M+=xpwWWR0jFjHw6jlW5P-i+Xy+HeD(b=jp`l}5x{P%N`zj9FzZRl_&@p}$ zUI(FJqG6z8qF=(geB~0xH7=l#00Z+jA;+Z$PYj7@?R+^e--t*nc}PcpQ>np-i`(9> zTMUaKQh8%?6Y9W2oL=gGM?6Z!SfVk*k(Z=Lbqcms_N=MbX?k0dF*@^kdCaH2nVq*4 z&EIEt)l8fNVzVk+`seoeq|{AaUc_ZrwGPbh6M)ds0d6tRdBVDUiRYY!+Z=?L00(xo zM3*>yBW|ELXi)0j;G#F0wD*e?Q@#mx;NE1ojA8)m4o_nbz(fX#v4kqGBW&t73;&q| z#=lrN8wOp&IHySff`C@+YmgGW^_2l)I$HEpXa{NV4!PpXUaiO9S=JYM&p^5pyAo)Z zAK_)6%(QKoj>7A}hhJiTbOIr(+}mXs9y>wi@2LBWPM&mqT8820mQK7UsZ2wtVe>(}>kZOnAjZ6TroPp+f^?#zVM4-s`KLpSKL1_g_ zPcG0nzz)vQL;`5^4?E*7c+lTYy+9Kh`RL6Gyu~W+lu?Z&bgluyFnK$6?9&PC!+NB{Q{!EF;W!^igYqLS4-T< z5`Z7jE0jJmM+mZ=&xO*;&ct>ZS0e(zSK#>NoGN4hO0rekOKb0(4j59bbRZ9r{UE1)ytzbOz|2^qwvv=B>}`?FIu4;QT8G5U%38 zAZ!c}t^f!-c>X5*tLOrgi;1iHg(jSBP#o+Ar2`_sw`&0eDE9P!r#_(=1$24=2XGo- zgKF$$0?tQo*5IAjCQvQjd!9h4%IFTD(ayuk3LLZAcG0=Tz7{8mV((1ot(0oA%7-R6HOB+KQT z6Af&jo9&Z@vE2(fN1TN!L0&Loh|&sB zC-s~gRGsRdB?g)2bp|f#06kE|;p8Wa^FZgM@<+PQpGyt2?oXfsOn_tf@B4`PdLW`B z(1bofXK=?qTnC7pKkGje4vT;wKqTJ%&T}OJl6anhE;LuYbB@W=3I9BQNROCreT;$v zyoWWeGTrmc1qB@snOEv{)8h7f$%|77^W@n<{KLL*iL{WTDA8z*v z-}}|;=W|?DQ7zXAXh;PAMRWBc|Dh%BzV#KQMyl9^68z4H6rLM>0`a7u&GD&__N(g_ev5yt~f>f@uQ9kK~d$|9)4=c<~QZ zcXRJ%UTg_Qq4@=Q5EAzJo%*E5=#y7Pw<8BdUMi%URNVEeJ>vU~mf?T7vbVK>18$Sdi zjM=kPnf*d&ZZQs}D#;7Sgs0;`w_oeY7rMACh%i9a+Quab) zzd9UB)xVn<)eD#;WF#*3{r&DK08Dm%2QtcLckB$L@|a*7kvVee0{?ykGqxZ$cd%8Y zp^#9!d4gaN!IjIkEll(Uhx7oYS5l zq`T^0?zYz+Q&KMsA)5 zgpYq6#l^sO8XB+`Y|to&T1L(R#(z%vZY zVka!rGA1V%R?g>XwJ%V@)gXD8uRpcAt7TuZV&IUtu}CA%FSVgtJZJ|T^9x8{fP3Gq z-oV2RMmD&xLCqca#_I+31w%jo`T083t~>kh&t_j9LiNZCtP!3zyA1>+$ZvS13tG$sOFCW*y)K82B!;}?M zQtM_AZ1G8HDW-OHZ2Dy2z3&*QgrjS?_fBdnnBY+5&TvQl;R=Z0ARkKt`%?F4Sd(~k z?^5fI_~D~%JCQ>y)kR9}!>Bp@83_|S=9Wq2E5aVyr=O|XeY3y5Ibg`!k!#!71cCFa z?QqS2_&A+tcmdro;1t3n?aDR6{_En0(&1rOL#4+=;d!u$ClS8hbY+^dI9feZV}+wQ z;;|=Bup5sbH(Bw-t+LT`yz8P%7H-sGu&E^y7xiEQD^l_iLlR973z0Jjlc_UMTJcE> z5U>E}AeH@zUdAyW$tf;;uIf1QH0c#G0I{uo`h_`gYbGuS`K|d3B&L5D^7nd=raY_p zzPZP(jOy?305hqHHQ7BA@DUj>-Llzd07@$umh{Uw;9UbqD7+dx6pvW2I0JDYMq`-6 z8n!;gr0#|TA06+_PHPFPfPno|XOpM?FgTTOGAGr8Fl-OK}0Jy`}f=?)ZUdPN*h4KoR2+RZ8Jz|VU2icd_NASU~l_tSnFuN74?@f z6oufoek}M-`w(~~M`r%VfwpAgsO}kAQ}`r(y!i(8DzjO~1UFSm7e`)*NXYb$f<{b@ z+t3b}(+jbM()V5E2~*yW0OhpvbuYee9|9ddPuP7P^J+FgPkW7uph2a?e&XfnZiMht z?%R)j`RzB7FvZTFl>S8JZmj2zCkFL+jkwAj=3dwL1qNmIU%3ETI6zSmE;=w=hx`ht(d`A7zX zRymhq_P=2v*4jaDdGTgAehoHxt>?pTIjw6{)6aahB;FssUrn!N`%)@n$$NS5q^=(~ z0b*`f-Z7mvMs)?Du@zD+5yCnAL&{h4Ai2>$0g`{4#KobHekgbGm-w_m4EN>|uhNiE>} zZjtk$WYrJbfKER_)vV%uKW{6>wcDSr#A`ysi$->?Y+kM6v|%w*I`|~6I+5g5r%ZLy zGAx#)_e0v@jrHlmv|`ZrWv!7QPivbQ=1?l;E)EM=dEdN`w1sr9KV{4s>xXy+)+^+? zm5nlt@+RjE6N8tltuHgaF30@>%N&Kue(r=W)q+yy7Xi>=wuU zfJ@}$N%)P{Q1L{wQ^Sgi@HJVjM?H&SywLvXFHjj&Hw!I(Y-Q8qARUw4({SrM#%BX+ zzqIzS{uxmr-uW#FhJ(4*iiS^CTo`@@n9aiZKFWkqIi1EM6`>2ci862Lql1>d6|iCk zt_i5xv4`M~&sPuM$7C+eykUBalW>X18m`t8wQ*Z9YjB{8H^B$%#|`(YZK)qBHBRy{ z5VyFG;^=iq2R?(NnHRJRI%sO;cFiHopqo=MTy1IT{r-D(S^v=oq7$|t*8}?^(aJ&I z_tL^)*V7~!xMd0pEw{8lF(N@(RHSx<_?aL2B@~+%r;aLM@sqKYj&bZfMTfSY^*Bl^ z!=$OoIKvdT_QIu;JDiO11GONg1y#MnyyD_l!q%Sft5hTNpNhz*M||5my0-MQhQ4Ka^}? zgN?9Y0dRFzD8@^lnkUkhyVW}^4AMg5UNcYOHNqa#Vo3K=e(I-soBmDOki}DTkI%Sd zk*?^3O5)6`H`zaIU=}G0Er&GraEN(vycy+TTvy62G@k2Q*Echx7UwdZ}%2&rjDd;4>z2K{xbHr%YA`3+r{yhxu(E z6DVHfO+9hCc5ge^iP%R=@>!tYho*ZkrnQ#zGvZ|xKY=x$&+BU~S?NCNY5=X;9lXEf zn#U#xyhV3WR?O00Q(DizR+JdR%zF_Ts%IeLiK7^Uyi=hg9{o)q@-rlau&g;aYqTAm* zu7!RoM?NlKu_@61u>%~!NE}OfS5|LDljW0-Me~k#T>MIb^YA9-=R^OiGX>T$;T%UE zR6pd1(9&h%VoX;_4QzIX$%U==#ZM=K90RiAr#TwIl|@0)w}x0g83BXoa6D3#d;N+O`m}&M4&CdM?`jy`lwU{sfrphLF}obE4ux>JWKa`3CH=xJm(%oE zZG!4It<98|mH@pdlgbOS=;ij6=C+yevYUg6iaWpFp57H6-bx6Gy~XEG&^dcg(Q;!` zzq@!p-fp9NB48X`0GF>lT06;0tnG(qoxj={l2|*F7BnDS;`MTe1%Pwts34-HnE6 zD__$tgJKXfw(|aFw}J9*-U!Pz9v^H_0%SD%)+{rf!IWZr04*I|u6y*NpJPkoxov|o zX@Dkvgc1pT{ZeYwcU_6qH!Ayz^W0a|);~OM+?T^MkWsm;>!!&=rtpJINBRdkcPi%n z4Wk4hjJ$m(g#4OWRx3}Y-(02S%i^V7d}&Ij-p1S5QXW$D_XH>vd%gRyF`}lTp&yw`=O4Vgl5#U{ytO=Z>A8Ao%L|OoAo{TD z2`qLAgp9_+acMiiM1B|k1hLEft&}rC%q`Ltr~Am9kvMn07qD0oZzL0Vk<0WlUaTFo z^A!Xf5pJ?r49{N~dt)1u8L-KO+kM+8#o+YSYNdz8&NnR&$+7K?Ky8uBaZmh}snc*% zKeW}MNtDL%3!B{eVzztji6z7$W%A>t!jrrXX6OF?hU>KWcT2{gn*C$4?PMRt zWC-P44(>jq#g3NBS<_rerc6h>?vY2DWES!!YHlBY%2!>Z=5S~6j*uU`nk8-`qH4!j z#*e3W9ZdxH>z!Kb{VH>gtf|Q>mC$ut$Jmb{bjLBuDI6cI3WsUXa=5U)hq8c(GkHrM zcts8`Uc>D}HQWpb`S@)aE%VMmmF5Z2FH~U9uC}b-q7#pfd~Lg)MRS0~pD%BQj)fyw z&@R_34syKXbnN@EtVmmZJI3lDI(l#knCuDtC{li$>+A2E-c*|hVPm=mst0jR)nMM$ z-Bs#}W|XYj|EkE2lB&~qL1?Q^XM_qL?=GuX8#oXAcU%-341tgv9r#;80S;{p4~d0}2%h=yQqB01ncbv{d1b<2Qd`JdYbO+D z@Ms=<)NyQaGvI-ZR|^oFx1)TIP)lIOnpR1G6XT(v)7>A>H}#4=`LD`?({|y*P6D4m zbGK+HTj&%Ks=0Yd>Cp+IIci${UbI-v4CdccW*c@91*D|Jn$Kj#E`^!nVhUN{SG6nE z#(ogV3?+$of*d|t?A-~4xJemk?8j$h&$V&;(4Ds1a+IqL$J3xO7*YzX|0qhyoF1vV zSIiaacBRmtT@Chp?PjSAS-ftm1oFAG@R8NYE9#{&y(o%#v;7Cm)&*HMJ7`o{V;|uR zQtflq6!*;p^W-b$;X066W=P(|QFz@IIk3pl7OlgRG^xGk@w);8 zpB++o1`p6d8(Wf#yfsCy2TE#Rj-g8lwLQmk7-joxUNUv%dy*D(+i81Nwr{VpBIt%$ zd<`W>Oc`bU>(#(W-p<78q06su3&#jV0cs z@r3ZCZ>;@GUO~|KJ~wU=W+Wu?xgJbS6ShVE*v!n1_8Jj$T(3MW54NY%K{k4eA>H@o z8a-8;Rs(3Fv>BG((wK|8PsB%h&juYn;iVc#UjKwOk^FXcH``3*^}HXy69Jgl&p<|P;eChoVLt7{0jcR^RxZmv zAw~_^q4|0zufQMN{dnJlL@lVYu5Occe=K?9wOmpfY|g87`Cz!0I+^a^QJIe>l?~5k zl(?J7xWOr~rq&0Euzg=M`~{LS(FSbiTmVi3c!j!^+R1gadXldgb9iS9Snb^&oRB2D z#Be<`M+o)8KVOe*7JQWA^0@d?T7M))`E{HMSw|wR*wM^V$h;`Yt9l{I)3z3Uwd|WA zm5t7|erPT;l0g&pA|tgA`fo6|7FF|<^E$DEBFo_Ok|=21)3&j(hk4AqdS036!g6nw zhIDN6%VWJmp1qSHG;m=CXKC6zVH4=%CXP2}J>iioUM6P9d2wY^#3K^{W~LoBIMRyU%#LetfKz!6WF93m=8WSE%7?OJ z<5@rM5f&(IKY5hci&MhH1afRV`+7TM+1StKB{$!CR0oME%CgjIv4| zgTQLSVatOU|2OhDTzS*|!zp{^=thd(YU%Bzt?Cg5 zCB+UD{l2&k&jMrcq}!g*-gyPN9!Sh>Z_)9&)ue_0O4KUV({Cguy4nT2V)xgPvkrUA zIIl8&JS6ib2IHBy-+iQIP{ZPR%KXf$;Dvuih&NG6w_!z*r7wN_{%vH3EpQN-m<%m^ zp!q3R7XM>&dKpe(qLE&l1uL4R@ddm2{Gy;77yGf^*Zo*EE!c!;vkECGTT}gmU7M?c zeT6Kc@zz6lA%1wT(~fSI-Sc{|Bq(j;g)Z#bdJ`?Z?q;39-s~)tf1sXb4;?~_{kiP+ z>bMJ)c&OT%iy|p&1ln}RWgt;`nIkN$m3Nt~lqjL|rUg;>wQ=%D7c}3K+L|c`#D4WWQy>XcgdvKt- z$>w9Kv#;B}uG_J*xS5w!>XFn|vBaV)2~@D1)lKMYfQKW;;U{j{IJ)ob9D1xZjS|3{ zv?5)A6+?52x|yy-TwYqESDl%7K;w(8|KLF(TXK*+3!z9lw(4a+A!o{R?P&EqPuq!3 zS{YTh*8$hlsx6&4=Qy6K$Bc$frG*i^_5Q=i=^dY5JYlLy=hJ(w+JVaB zuvwp|DMP8YX&`chBc-+=SoqH|c%i0P@Xx#zhaE5+C)@xg&;M!BUnE-*8KQoo4PR1? z(FQ)4N#-M25xe)=n5h&C;qqn25WpIWfiK^95{vT{N2=0ww7YeNna{)XVc4C!Gh~^> z79`8qG<^^Ja9+|y?5LGg`w&WZ$>_{0canyoWek4tpKgr0@zkG6G5V0Ci`};@i#=fN zc8@kiz!0QCW7pk#jM-!{r1tV*>R6!i(Few>2sGeBTH##^XT^wJYF>WHANaQ2i>@8b zt=BviGJWTstbf@d)4T6Ww0*Y|Smv1HJ}82Mb7wRLlufz^eRyV-=Iq-DF)at5Ic8^1 zWG-L@y|OXqp!K*E6OynOj8!oq&0VE;#M1vg=&Rb048}c7kk@g{2dBG%+Lz@52=lhx zU24l4gxMUHnOo>AoI1@gYv@}IDv~rwgFU{`mvjl?XWSKWdBasu5?s=p_ACNJR~e6^ zg~7d4()8KF7Tg9{LzkYY16Kjj<}+=^%R3J~w1!yQ9$rd}84Go~XI(0$HGcmf$E*IsTDE+Q4CuPCRM2aT`vVKyUo$>Iy^C$lwseiX>s)p_ zXH{?OE<<{)k<}-8k)LDdzwLaW{w8&tv1O071BB?k1-Z9W6LCA3rgf35`pGFj)%csJ zZSA4zsr|}Wuj@Z#Hg9V+^nRPG=i0_+?B#4YrUPP7!D%P$5Gkg+BZuk^F%YOLxj&AExvZ-nt@l|Fhi(4SB z!y9~v^~*6GDqgrHmvGl>y_@Q;(G$2tR7@jViXF~TfKUpLx|lGq-cGU;5%tFtEG>HXb)a?=eoLX%wqpQT__ukv>6*0_Esf@ zC%02ccL(uIujZ$n*YgQxu18es)R(MBUCMiYbZzTBGoSo?a1U?ErHrAUBaCMO2Z!Pc z!Or_`vl-syWbFl8+`dTh5XnSo>2%EN3Yh^SdU3#((!@j=c-17N0fUeHUO#V;|9y)G z^r@NJhxO6}2D5tFe3xSr_Nr2kq!lWFa9cb&Q@2Q22h8jsveVd@pMmYb2@&2FgPjuJ zFY^m@xRANJ=9W?Jn$}D)#Io~B8BN@jB78uovsx8Uah!~YHBZK*aT4oA`2r*kY&lxx(6oxA*~}hFRy@XR61Vv*1BAspV6>(pwz$}shGm%;>^=@ z*0v_K&Pn`K&Vf+Chc}o_&5{W0Xugd>vTmvtXMH812y)SA+F6dA-n88CjKtE7xjQOZ zOTYMbufBb}mHn2P#f#bz!IN1WrbF&wP7#q^A1#e$_ob`-{K5ojzj0bno`hazH_nZ{~%#Zivk3VOxX+%7+nYa-_)A6Y2#r-pi_C_&_0^%1Oub^jTjzeb{i=y{B=#-_^jHwT+0pB6--;R; zd1ak}TuVOoPOwL)nmk%X{d)_ov985l=Tzyo;RV-@md3p7g&$*5&k~e6@+GUlE%cUV z3%==QN)-3J9?thQEQV9)^!tgo%uBs|qHLK+JoL!vWw%KgGwmx07vj32%M{(^ewYn} z9``0HN+}<-Tjb*&JuLg`YMtUOy%7s>W?Pb$FSo>lm#4IrD6pFMUB5q*bKt|RP?zAY z&96!9rJpkwJXhV&OOJ>BJX=qUg`V9{iiRF+vRca6z;BEJMN9=k346qh-K-7S<4XF$D0sM_^j!P)aiYd@2Q2(S8Lve2{u@#4&rd_MO_KMhO6{t8=s~~e+HP{ zlt<Qsj*Ou;!^n@(| zQFG|wfKz2UtLUpv@AvRFHMlCU4C{Ue@KpGnBdig6A4ng0EeJ3MmA}u!WvY(WfFnxp zUOAlTu|n1&mV(e_se1pI!W04?LBCdfVK>7dyJf^5hJoXDE3*2RC)1F-^8$m4P31k) zHT4_!e%%xWLr-u>Km ziXYeXLDaUuzyQKA8&y$A9w=xAVG;(_v3(8piXPFmq#dZp67#A>yi_>SWpgkq;3mXe zm=c}hQ9!5hd!RDUB)$=mr=Pz{ceQ%E_gKRGAs$`gk;dK?i^MBbpl41L74)SaRqjhz zobtx)f#MI?#2D#TiIZsQqtKkH5nqSqTD>KjWBH#x2%X70oNgu>wQRc~o}E6RY)}y( zE0=u_E4o8T>e;6qaUY@DgX*u}Dq?XoSU@2WIq@k-SsODQeDR8j%1e-hopdZA63-&p zj2qacWTZBtZ!ACRG47^Ap3cHs5L?Bz?VpNi!nd?(>2EPVUxKLA=M6|O=Pm$ov4}t#2XJDydM7v-V1@ z`E^!{y0T)}5Oi8y`E6z-=2MtdB4LWgtwe%}W=HAC+c5_*qb`ERSGt>PJcF`MuCC@5 zXV%DAJgaV%Z~A5qd9I)B$B~b<|F9Al$Kuwf&wjC^j|#bo zg?mP05;u$|Nu2U$Pfg`6K1MRvnI2h947kjy#9&+Ntz&IJK`xxU4uC6AZ~AW)yHi{mw77&IEe=77YjJmXcZ$2aYjFtu@_*m8 z*1Nxhy$|+2f`nw|$~7nRxu0?W#<*ugJeajmM2mMs26f!T-pwBY?veP8u^AlmtgBWh zE+mf|BujzAZ!+SLCV9tf8=I96;mmmZ6kRX2PpXEJA#}9N zp!GBl5KE5Vr^w{r|J3dY35o|#cJv4VlRVa^0%YBP-9@kwazhyYuHVqdhTo1c6REqY$ z%vC2pmwEC4Br~^X9xCm|DW=wl2GpuQ60_1XsEUMs7zagTI^n5sB&mBM{hEUn8uR#+ zfzf>Tknm0Lz`27AkMXCRg?Vy=u;uNgdzv1(_q2WYGD$^K=T{u7hRad*@UF{L*l{9S zoz}k9QM6GiZhMs5JECkfW!j?-)u+ol^}lJ!MVRI}`}lluA}v@sVnrB*Xctj0S65iq zfhJxgZEy?^MOLfJ=ZW(@SG}RLe(0X&H@TZSncyhjwsgbgf8gUpVoYbdlU)hK&u&C< zqGb@dSxD}oX9}dHYoQ8(?EZT#=Y~QA5nS}axYx!*!RXNNc$1O&I;pPz!8^FhepT2R zSd(O;ENf{g_aS034Q?LCr@)Kp7ueiMc)fRxCv5>K6zWqPaB0u0G{x|LAoi$EY_(T% zX<}VQgK)!UiiI1lMpoqdI8@)kDf%DqcaiUe(W$`>x|G6~VNz3SXAVPZ5DfPNEgths zIS{4xK%Ya9cfC+%TkN+sa$(aR5uaW0FAb#&9AVUJkLYy?<#rM;4U@>-2JjR<1@7D> z`+|ZIx-kJGyofGF3jIHYAuhGg+xC4>%}>0L%{}ANk#|WY2kzFLG28&rT){d;^*1~t z4RNDnrhSkx2*P$2IRc@Y1$xJMCOz9?<+C8!JxWzmQmZpk9`<&zr8y{ZAl1Hl3EMUJ ze04+A(W-Piz1Fm4JfJ(j9MBXc_auW75{N~QWrW4Ur+q>J`pxP(=zn*JAF#SS^QLG% z<5^(K-#?EC-pWbvW6=ugXzp&Y8>5lEJrFJKj+iW=WszTCU(%AcD~qY{je*q*q8B{79U(&D>+yps^Wc6|8M?`N!}G?>3(?b6WvoBS_eY2>hxnXSazH2$Sg z>=9AO!}8Wc|EYqDr?I7qWb$Z*;>?fncZhyLH3RYchp?r?yt1q^kocl*S}CpY1*R>9 zK>P!I8o*+E7sKcDHudgZ2o8z3tz(F}Xkh8>%oCmU>s#=wFxTNQzS%0}3RJ?ae!~Ua ztRpN*6{j#9&mg|BTk5N>_>+b$S^rXQY0}#Gx4EQ&Ex-nm_c`G;WWJW87Fe>Pka{*v zn2robn!aQMN_8X_POf_C;&?5cz6bNw-5M0aXuWtg`mYU7py^9)KRY={QmVd2Mj?ymE zLu?9w$c|>`S2~%e!0QxPkW@4OYS@{b7p`zCAUzeCq)`I>4!X}xwRNkgXkl_?udrF3 zqU(b}NLrL{okyO&Si>$^{}GO>N*=EEbC3EwyzaVJt;6iY=4X}Dl@nHbmG#XARASN8O0-9j=fWwE7-Ki9Q-|V$ZT?rP$9k?y(RcN* z@pu5rPxYCk6^GF$kWq7DXL}hjXGpu|{)IedAbVc~;#&QPBmUyIJc;rQ$&0+2+`2y^ zjCLHzR6q7Y#OfQ}=9`1X5LLQMrxsG6s(lR|zl@@7F_6X?V0*8ddiKRneNPb?$yQri zZ~8jwz#}OVUiBNd3d`OzKNPV6&3oP1DE6}zpiV6Tqx;ybP^2Vzto8eMf`2;sB}2$| zz6s*zJX(g}K-5|X!LX7^YXIEU`Xx=L*d+e=X!UC~dq?@^&2zL24|RDt;=2fPcBs0J z0wi?g*EntRo@^G`ULqcX*f2&cyYR9eYt8CZG{t_csq+e8>;=7Ul@}hn)D)qyLG)Pl zj}ubE8xQ1|_i~*0P?Mq^HTy;s&21oJ2!0!OQmdAS1g|Z;`FmQ{k(Le_wNWb`;WXaH z-i{7wY?7BTyW^`GW^6)CDJLq}rAF`ZQYrqba$jtfbzM(6_j*fJ0^cbeV$90KJE(aj z{I9x7G(ki5B!!?>V83}BWmW)xzZg_exlZXlOttg}M1vSoj|rCI?|rg~QYjKWPLiL! zCNdn6slc{nN;MC<9+=53!;$T`?<`p7;6n75jA#yEoX32vgf)$hDc4|w0nYkdLa4t7 zT^kyUSc%ui12>zqqXvz1Y?Gjv8&}rXtfcQA=fOsu3AW$5h(`L`3WeWun&+xm&Ym>f zFDSW_1U1S71f;yO{f34Saeunv2uICqZ9-<92bAjP6;iQwSOitjb6ez*dykDU@ix2` zq;<6%V9~8#SfcOF)u-nHiZT2e;(fH!J#KV4e!G^qc2P;NJ%|EM^G2mG#qZh~WjbXb znel=`fpEU-SBPfcvk`p_gQ_XVw=FU)Tau)aDscaR5i!BCVHLu3X^2138=aZ>DP*4 z9)F;jWiN{WQ()AWx$>}C8_>A9@wB`@oZkD`L;*_NWL-Gjo$K$y*q#mVC#A=O1FAz z*mCGZh(l{jr`2<~9#vkaQx{Ym-%~y3tvlxFw>C-hQ{+-nvB}aXp9BR-TLGdCTvcLx1w@8R$ng*EciM;j9z`~mc8 z_d}sEkwqC2O~P7Woz%a8cQBIu^w29&FXm47fD`EcJ4VZ~QdIrgdqIPr+rR+M+yE=( z4ib1O@I~I8eC19o+Dg;AP>$F=ErM4rT8*q5cZWT}cw}=v;Hholq3tOjEHTz_VrSla z3>)|?zA6+FSblqO41&58lcm~~`)kj~Y#+raw;*h{Qp8Tb4w`yeEs>;r(1YUauG%rj zcyhkZVt?|K`fe$8Owe0AcOcq-F~=MokYqo4db$fIO5R5x5gH*N@?Nj$2>`k42`Q1jvoQicz8P% zQRUgkStiQ(In5SFv~gK)VaiG8^$d8MxbQB$92_<-kSO&Rko_0X`C}era|99<{nW`u zw0t=^c_akf7gF;px@jXL3+cosn^xe7lb@CQ!^j>--^Em7ROkEr^3`8p3g-;yNCljj zy4LCJn}neO79QcE7iUH~^CC$>DarPugKiT5E*!aFNb;4oNU>`=8AgaG>}UrZXz@j{ z`|8BbbMS06o)+BalTYKdl)|sMAuSYem+wUbN1nC5w29o#gRBqv9us-#d!W0>&vNjj zBE~>Y%Hu?W1l?=9#3iVY25K}`# zX8OuEU94>W?rMtlodA&!P~L*Mnd%jYVla=H*KEmS#>e{wa|5@yO)=I?c`*#jBGk&L%*-D&y}&tG z3WQ1Q$;5vFM<=jZ*Q14{IWWO(3lPY)AYDe_pu5M;zzQYB->U9;tWcQ~YO{WFX#U={ z+4`Ia5qm0kI9Q7{Sti;Kr5ATGbDO40nBBjbsBhCHNAzNR)U|$xKI@AX2uVl zrn`34R>rowKgQjGY9IA9glJPpim@(y5%I}cQSi26CxRoO1!-H@NQ(wxrHZA))3v&@wN_V$hxaxSmj?LM zjPqpf?M7^2aW*L}7vu_E)edevTswa!^#;YIcV=5BMrcI zcJCnTS^3`oJ&O%dXxdB($M%;!YvcPyUAm5hfLFZjaZ&hEbMsx(H5+pe|AB^@9n$Rh zy5ut^YbCl5GE-*}Rc>B`BBw9mYsP|y^%Y3-{Mqmmza7&nBBkgT-%ipWvHvi-)qj@( zg#m#-%U(;t#}YU4#vZr5uj;i=G zv9HM=-x0zRrmKX1gao3BatCEi9p;4Sj<2NB%Fkp4R%SX?pgFk9ksC&jAbnKm3@58& zh{VzStQs&e z`g6=9M2B3k`Z9pg17|~*CLThxaISOhNWB#l*akdd&>62Rwx~`atj`(rM_2^_uJFEd zhDrJJaD{z)?cne@d^$RQYr$7P0R-9xG3ZdfK=$vmXeceB*_@1Otm`1ZpQbfEdnxJZ0i!%9M$>fFY~t? zJhhGOHE&m&b8o+Oa4O^@iODR@<05O-&|y-6ElPZhlreSG_H)}Chc$(LWN(_(%TsS@Px z?u_I239&@%@c1(a{p-NKVDAag-(MfYS4~rQ5)p}ZUabbUG|KC7eMlPo=m^gsFaO#3 zhX!R)?fWF-Nz?qUpqB)TjA^)qmY%NWpF0N8*QB;C(8BmuNV@>LY5I@=`Nz&L+V8ld zOBw}zJODJ}2W2$dd(d1L1qH*IBBA4&qcJ`rH+3m}1At)T;IQ$LUD>DR%3BJ>=3W>= zNh}4FJPuWiLwan%$+<^{-^qsK6TQ&m7Y1Y0&xK_4h~H)U?P1)6(YQNnBX~W|)uG_$ zHfcOd@)F*|f9|R+GbhxZ++h(oR8ukR+c0k=VhQ-A6|9(-LCj}%3M$X+;q&s(IO5IGml#}cZqX_9V@8Yv4-nOgnO%Iz-{<^GNE!-;O| zSEK=bx4Q)V`D(MRrsrFdx1QH`I;bAV8ixAoZ~9(-9yd1xHCEH?6Owwb(|j>#Bm&9_ z5D2lhhp9QajJX=us`&c1ySGAy(*iPA0$6Y1U5eK5hGusTq&^ zk*?5DYv9*wLLzs?B|SRbW5P}&r~+tEkXNFcOmqS2KLtXH+?=pPr8_vnovJhEYi*oN z1jPlv`|b(O6r56x1vLhlQ?j-tCTVW&2jOu8zTfXHM8nmO+t4E>$JMr|c~m;F5Y*Yj z`C}tgq<#%qI9+_P7H4-+gkuOyLfy_M`z{$Czhca*>I`zkq7q-W!W95eaX>co?I5(JZ=_RsohicQuHQdv`V$1+RiAiG zYEFT*%%2hwzYnLw$++`2;n#+`O_G%Pj%FEGU z79EgTv3CV0k@NbB&a$QDO*|d8$G&XTcA4> zHc*MUW}R6< z9PseS3O?bK?8uuoG>P=J`6JT(I=3q@7A1ra%8{>exS8YC*SLXbjJk6oblcDlwg%Ba zBe>j>78pP#M`K{`-oF4c+QwXUI4ZJ4E(mTlY!+~veJ$r2e)xEt{u=7#CO#EDl~BuP1lTHsSx_u-q+~_M+Una0LY--PqQqkeH^{<*UUmGQP;KhnAS1AA)jzmQ=+3@>*EHe*8Rf z-1wTuCY^Bb<$rXf0Hb#Vuv=#=Y-{}4yX`;D3(Egtf#uDY{}-u9s|9@XwR3v~rkR%I zRFh_)arc#ENX0@-5d(>~vC|3UW>k6%c*2ZTAvfh3OQCo7C_Nu0J6yidqj_{EQ{}M`3iJ0N2@=NAqtJN1Lk3C zzu@|${9Q%AwWYdF#gGE3yaW|(d|1sni+4pqgvfvDy8b*=#DDCs7w(lHkrQ!h>bbs_ z=TM>3+Rs)LCw~`=$O!uU{2?#3oAyxU{D*5%3@R1OubnYE5zReI6=gV4{M+Rz-@!Rf zvh)4TRnJxn648xi@a+5X1LqV$cew{l9p@#3m`QfA)rhoNXvHeUfDpvte9!9u)=_u| zk}R=UOEQ)b65bm;I%?f*JuiYb>+Yk${fY4J^ZR{esXa1@J+qT?jd^|euj@SK))%YXyLOyvR1<|mFq?iRp( z*Xxwli8+c&D8SqL0&=04iK=X-zAng0oJV6cP*G(gkfCvg&ILt&fqxI;5L(b3a$ry^ zcxJG1$ioh&Tq*s1?7E~F1BW=+@2WjH3Qo82=tCl@wk;aBvk{>};%)kXK8ya93~yWD zNwKMT+pjHg#uFRgXe;RD+aO9e%#MLP-7=f6;ak~VR&?BM5^$Qtd+T1NjlZj z*p5>cM<~V*e3P%kw0ZQaLUEsu=(D&@rI-}U`+0GonHVVe8GSuB03ZGH$;?v@x zUCp_3$y^HCugkonOn5o@3n&9KItXQMR^geeuaTi8E3FB9mj0KYcmyT~|0|@yTcxyV z>$GHYilw?Md7cOCeI!f5>X_XGig<)2jktGG)seWp#)_yCc>N(@B~f&qbdx|<)?n}A zAwp^Sw8{LtZji8n8^4FY@Pj#Qht3X2WkJEN=f7jWFsD$gBge2^^|+R;)kdzp@j>Kj zlt9_8`Fd=hySOhDqZoq{PJO}*+26SOe)W-rr!nw-mz#iPwc`}Upid8TAW6AK_irSEH^lT?iD@4>^lDX(GRDJv&ci$P^llI1V79@j7i*Max% zgWxC6u-`C4jRslQTDpca0(LoH=E~y4Vr%G7NB0T$cW*w|*xBb_*qQ*4TWxT6>m*HS zuVZO1rX+BqvmL7Rpbi%bcs&?+)HaOmvP8SHKt!~wEolxZ`Ow-W&(a0!6liVKSn>nK z@x{C^{jJ0IQ)^Lkdwcc6ZHnH(VYWrKRfGB%6yflhR?K;`MlzXA66}zMx9p>wc%G}9@pypv`W`**War3ZIepc3z8(d^2 zN?$bqyOX6nP?J4c;?rQ^@sQ7&V=jA44&*XQg_@L#~d2A=Df2+bl)+@3ZrO^fDOoYyp9 zp%L@c1e=Svk23Tc<0KkR#ln+#XiA|XFH`QI5#ejE1M|r{1{_(j1B>Z zt-p5k8^7}|er&-Xv8cDdq&|MmIb(VAzd$(Nv@mPWe_TJ`!w>&oHtZqQRW*F%b3zJ+CI?TT+2f~ zRP2?%KG39rfZW^Xka11p6az8f7Kz1LKdBEI9ESoGLNm3?C3Mkt{d4XXKR-d zCr&H-^RoEhR>;Q&Wak=>Llq4hS+A2a-1D`a!Cmpo2ihjva4B(#PU_@S9$gdck*p9h z=Rh+%LWy1&D>Yh9o@4&ZG`Wxp(i!F#HciZ+6%YZiDQGB|^iw83)}QxT&T}j%&dZY= z6{-7i8e>y6iPK0#$9x_+$E`@Kt9k;mGXOKsR?l63Wk!hmJ-RPt#Gk@+LU{@r4DkZmiZ>u=M$8jOwF_S?_dypfqywL6;c zO&&!pe+lHpz2t~KCG^Jp6-crerubVvVC%7k36amTBKCOJKDf6n>T}kxI#}+Q?qH_A z+}Z@Zst$$B?mS6~T-<%wab-JR;~j4+r~8rEIQJRbyE3M z3NymYi-;z0eEFmzYYbodlbi;K@cOA7Nrevq4;s5Bo3;Bf`tTTgoxUJIC^TWf0xa+s za3XkJ(GtE)f!gYvDFh}M+WoI53$FVv6XZ$cY0JuCUVylNl9x1KP!XN5s2Iwr`bdAs ztOt8RfK7F@i@zHtyw{ZFjZBEibJ@+nJ@6VG%FXHd{&4|9kQMXVkRm&Bg2kmMcAoB3 zV?R3VXWL&^Uq%ozZ0nrz@FSbX4lfCzG<%B$yW4!{z{=o66#;XOKU>f!Pq57kYX~yh zNpd%5o_x9A)P^IxpEvv^+HnXQim`j z(B)~F9PHIv<*9SGchKmvJT;$MBb?5ux(QmaGpD1hB!MovJ4m|$*LbxqPl?<&D+=jn z-$_<4r}w#F3~L(A??Pi z7Y~$nq-w+2zGsiEaz?oiF)2ORZC<0kFmZFV#iVhGyMj^3k!E8>x@zZEj%DML z=`PhOp=gU&VWRm|a{*jG7}b3c!u(w;^8$cFPQA1nwNG|1X9j}0{e6~%d?Bl8lpGCv zdZt6BaZD^Llrkm`S$kA&Xi+lyw;!%un8(@|&j=SJxm=PL9KBdh%~$ZR42az3j9A`6 z5sK#hViVL?nHHZ}20a~%QGjX9zq+LE1iBJ{DDO_|!oyhK8ycF)yENk8|D4gvrA7Q6 zb+WyzDKG{KzXVSSzUGy}_;$)Ot|b-H<^74O^cyYL{w>QTurboNpduKR<4s<_y z{mwzZYSgZ5xNi&N4)|IE-8k`HQDT1N2-s`28A$361{DLoVyNt@kqh_o?O(i%rWfNbKtyreiI-C7v}ZU7fp5_?_UtLlsXGrRxY~1Cy9w)*nDh?E4Nv&dAn9UMn0t|DYKBkqr>*bd1lj?O;o0lB!gSd5((cst8wvRR6Hfzj9hVb;^4oQK z=ao=o}#Z#$?W!BpcfUj$Uc{!{b6eLbY^pLhm6B@W*n4U1paxAaQO?cN6$NbZ(bK%DW*eLW%A>S z)QFbXaCwaHxcRj6Dq!mJ+)sF(PtPF25P`x35*LZ%&Ri*%Nr>|K>10Nre#5%I`nRX8 zDBD$T;XYR%q(jeJJoAhgyg6hb#Pi#@DkTFmD2bp>2JmHP^rFI0@6tJ z<;*SP;4S_poKXp>fiXr+s$GW2yDJRqtzoQs?^V;|{Ng9eO1BQ@H3 zGtuejMpL;AOUG@bgdWT7ZUgSk`NRY=>OdWlhOz!mm{I~{l*AYQH#bJ_KL za8``rz4&QL>gHXz zZ5_(nQkFXl?kG>LLv*MV+0vyW4Fsz8uc?wAV*jL*V5i#|E zHT^O4^{=NN@ELSpAH*`;1S`pd@`~Mf0Rt4G3Wl(}RDvw6+&H+)gmI|DI19l})#2s= z9=2(o-^U#1mUbW7Amt7VP)?vHQr`;?foy40E86dFZgd2rRwbH8_QLSJjFi|`=jz=) z<(GcoZ2TEQtRdP z2ijfT8R0H}lx|zHW11tFak}hxaZr>7R7YU`UCR4Ldn}Q!>{;Y^%HfIpi&S5Ngi6{4 zuLeGtGMg`xcw*dzp%}>oZGJ8Xk{x`x^Y6MBB_nK9Yn+`LkR6O&QlZ+`Gx$o-QT=6# zIXE$k4dSW+i3pJyWS@U?>)M+R73IgxA~$+P<+HMob~w~sR!T?%Y&4xRq%3>Bj+I50 zQ0gevv`8!W6Ob3k(WQAsRf`UGE0;QdtewZGYC}aDbh7)?ARl&K$(v)2c9)UA(lETl zDJmO!9{EkifIxw)fl2_=!9UaYXEwbfoIJf1($uv=f=cm*m9R31y-f`N6C9QNwcQ93 z_HglSReRzBBHzG5D2ZUa2oeq0t9?>je0CZ$xo}y#Dus%VqIM+FY4hDsQR7dcJ zsB!avvrB{V6s$r)dK>w|8%E#mv!u%~@c}`fu=~H17T>^xKg_qgjh1~b-LIpafjiTG z&eOd-k9v=iB&u9$YdE~OJ&=}8D7DYK(wLxh65N|Vk{~fJ2BaW*iW#cDa^r;C%op66 ziD{kpl3}Z&3*EpkGXh{^>(b!;nsqtIQ^DO9*KgIEJwj2h_~qcw!~V0KPOVT;_LQGI zcTQWP+`XXx&;e^twKDEV(S04YN5oDZCgA0&|1tw*-BMfa!gFxfHja*s0AgiJBje=h z8-D&Dea*@+U-K=jOQw#SE=OS_{6EPfGCmf#gQ<2EQOv;IU4fF!yT1B7NQ|0&)Oaq) zTGsi#jN(^9tWxD58?7^m)8>zm+4EjoOJ~F}T*h<`d>V`pa5p&A8@q~f{ej7?)?Cud zpWATrsWFH`||~YH!WiVpT^uli|UA*Hiz& zxQyb;qrLE{)?b{&lOQZ(s;J-}O2L0x?&^VM6tT|V|# zAXY^0XARHH-h}t1)~YYz3XFm(oZE%{)O>+&bzR@2c^CncEdVfR!P1-K52VD1=c%@2 z$VWj}Ar_w5?) zUD{fBuPKK-D~BIGI)>^N2SZsIhuq;~=R=bZ_$xjYw*1bQP~+TCl_IfAj#8~#(wr&B zWyS#@^Ma}&|6|WmC0@&GXcV<<5?Ns!9*W#~lftC=S~qe2Ebm**BOmt=0iFzgeZL6c zNSQwzvug~us5JSaW_w^EK=(b$ciI<{%qv^OCMOG+gwUi^|D6`ArM{*JT)~>(JBzuj zfBwdLi$;qz-O#SpS9zcMMnHKwY`*4X5FW$mv3lsu8ycRMV7ux*NYXu{ z-nR(~waQ#rAb|rdo`;)KWl3kvvQJfg->?%KB^JJ=>r8CSJxS_S9)DjQ2zP5_boe-N z7da$2OR2jDIr~RtNX+Tw!HmNo4lh^KisH95pVDmuoG#}fgg$0hhns=<4;VlmfE){n zzxbRX0dMkbXy#=|SmdgAFNyrQyg;1VjPmK!TcoPD)p;>gna9dyeB!eoKg*H3G98t9 zyYmZ1*)xnWK(nG=!?N~&SkeLzEV)yHq^T#dzQ1 zQ5;{uwnV4W)uTkP|S4})*4el4{mvK(ho`gRBHDg>jYz$riTK2rsenScS~8X z_1t?Q`^=^Sv-Dm(!>WIFh0}IXC_*R9Xg8)gM{&XmiUE~WoZ}8M)g1{7Pgv?DP1?)4m9buHT38abN+0W>y?e$}P$M+z(O0OpnuS}XQYnd@&3F;d; z5UDm5B|J{O#@cU%gc|W^xL}4%XJj-P-p^|rQmRx~oPkB~2!zxiZE}&7tz2PSgfhQ5 zjEzR*DG2_QKLq34cGj?6l6YhVRxhN=mwXvGw=Md^F|~v&QPC(V(3Z0GY)&$=)|KV zSX zm5c*c(zBxE1*NQRP6xt);(}zof8rV0MqA(4S~^f+QV6vrsMHz!z6YmkSYGjk!F2)0 z)pqyv<&RTq1vaFuwM>9vz`ir5vkzq>H%rmKm13rSpeUjbG@?{BcQg3S5ZA}LMpztu zrG%8`z>jd}@7tyA~+aM76$EXJUF(H+a@H5tN(uHqs|$AlW;&3 zcfP&<%zc_c!d>u7_+H1qt--L`K!-INPo65g5SKPi(fS{i^Zy(`HVbpDRF&Rb9M=EK zv_f{6xLoF?+z939-ho{;Q|NKC$BTqZpcG7sE;xGG{W|8v3<9CW_6bd_cAa3^gsfTb z9~mOc>pf?MPA>Xqd3}EJI*GjVEYkXZH-6~*@5SDAYLjIX#XM0;)i0RNAH?lc6lP#Q z6NZmHwL{T6&%kp81sQC~xx`KIK2&3rzpDNMs5fGrf%PM{AQhY4x`2CD_1C0WNlXX; z`Pza2%M_mif5A%4UOjhBD1W10c2vT%8%b&zMl4cVt5#xOOOhE*`;x7T7*;Gg=lod@ z`wL)&eGLW}vxr?&=Z>LDk&`!FVqw7#!E{7q`*^Zp}l_OTA3eOL1&kH>3SZ<_Ie2buLbY2};$OlitjR>Y?lNcNYL6#3gKPYffvm^Xb zuvE)s9bbBmdtgRZXBvDqaP+L=F=^2gMe<<6+2x&US*ZS%-K)H)aT&280~ZtHI_ueD z5V!hysHfsI-GkRBb$Xj<=Ozk#vWAZrva<>-{_Vr_+(;a>#EM%YBLP%;6LA~z1Z*?y zjA8U2(kK5yI97I`qly?@_h%Wt+z(lXly@0`PZn7G2N%C+qd3{)tI^m)iJlksU`K-q8t=cP}^d{^J)DL{g5-c z|D`^8JZi4u6%UK+QG9m7KPkof?`Up=P8?7^kMb??v?p@^nj|?u_2?p4V0-%)K;oa- zv^A#PBRkBa8d(=7PGdbKQUaTZb8BS2CdG>$E`yI)fhS#m0W5p4W+vqjzHm{jlnp_j2MBmPXf$wwbl&fiId7v7 zK=RUMpwlo2cZ7Su~y5QrMwOc6@g?9&~=glvSFtFuX(?~n!&&ER`i)%^` z+|arN^KT@$gN)~=2Xv2?7wQM#7^KHFCcSyp>P~qbId(W;dkggpGQU-3a)G$2U1UQB ziT$A~wRIuwt*4Y}1pT81^1&wXx1^V$BZE=;VR#kT1Bz3@ik!_0ijQ(v2D!(wpQ-am zsaJhM?&(FQfVUGF*_NtHIv5JKA`5|kO zE$EQX<#`3(KZk;8Evw+!^Ta{!THB3(J`py9L9<1gfOOq{c?KDk-fwp+5uY31x(1a> zZ>jUvx6L#_&xX|}$LCPA`KyMp8dawaewin8W_)bu7f4u0Q%%hdt1Zf5R!I0^uGEqV zvYAxcX^};ht-3^UTEtxyDLXP4$tzVglJa3acE%KP3CM?Fz=&C^S_2RB{DXJt@^4f5x4vwlhFY7e+gXdcVEqDD( znO7o1LtVh*ai~8W#a2rJ5jpBMpYVKAH_8{fD&^F#)#Q=ORcSE`Lb^ocg6VD$4hg@~ zShr|c1id*E#ASI}{@U^CqwHHVdCL5jnV<8ysz-YkPXwf5nOq6|P}4RG3y$rz{4o}R zi7A@VakmUX2N`mECqYS4s=)Q`Z(sut^S%0?+6wx^u|iERFK-R`g;!8+&2y=K^1;!} zP5mkfFTG2L+_1jDBGc=3!M{9qpd;Rl+vL5#{g(BN7H^RI^W!{+p#x=pcf8dNZmtwbo$`OJnG`aiDva1cud`_Jt(HullA zXUqSqtJ(?^h1|7!@+Y=t$%W?l< zJn_@q+vqIy#FILQgYmE4ZqsiX>cnQdG;NMOmnuQx(fw+!t}Y^#4?7o2HY1km(VRVdYBP2|%QNPiO;{>!D{y(NUQH?3PC(8u z234NMn#L)C$0{Ln8Cdg*q03Vfw6$8aF=XMr0T-f^+Bgx{qnN;SsUWJAz zY6!GM4ZrlYb$W!cX%KY_@1Pk7y=XldiBk!Lv7+Z<#jet5Cpe%uIPs*=1 z9UJkl2~Q_CYtUn~>!uo&Xvx_T4rQ7jr{4sO&clnD?Ipt)oJGIk9`f9|fC>Kn&a8vC z|9Ts25)*7nQW8UG8Z`~`pia$rq*~-X6g`udToJ(_<=%ms@ftWbZeAjoI+Fe-IQw05 zY$c?w;?pfg%By>qj}PR&QtFoJiqgBjkLtsb0e2G`&vs{SB=Cd1_99$;Uy5BI&^If0 z_>ca1N6|9bniQslhi(rQj*7hw!mS5#WSZT(PkcOud<-JSd>k=3IT4hwlMNkTIh)%5++LS=KGIEZ-b5-kl8h??xKctqA>2YQSP9>+ zjFO)-_s7B@Bc<2Z{oS4yKk7dm{45EGPn#6^#hcx{AK7(f>abPE)oVTWP*KpvLxRlb zDYAJ)p^WmtTy`E55)k5gW8^t(%IrBc^|;f!TdFhtjj4X&shrKjgTo!N=GZD$X=VW}2!Hf8+iC~i({P*6WAYNORc~)l1@2%3HM|9U~RRhg;(I^-G;e7>O z;3t|>YmoJtz9h6Xt?4lmf&IDrB`{4cf`MvhLWLyfx4P%zrdccr z#lP<6-J;YTs}d6S@R^}XnX}|ts4*ZEs+KnM^}3qeI$I*CtM=6#`KGG^?Q!XRjL_C1 ziGno6?uuaPB56L{+YFu8%pmVkYO=TS@d-V|{C?Q-y5pC1=Q8uF(v)3Y73Zkhk|Mn4 z8ysXg{_s>C6d-5SeE@@X4Fn3Az`3yrwe8E9b z{EK-Hw6PUFZ{90uii3Vb7a@mp2#nyv_L~5j!-CGF`Ds&YzNl4!c+;>52q`lNg>T3q z16BB~y4V(B%1T9DGp*o!k_$Q)Qgbo_9^BL?*P@IT;>Rw3TX`F_Y#qAvCtwGI#zFcbddYfzOwhwCgf+N9DCPiCfhGm2kP8Q zmJ(9)&$#B{I`D?47Ys4?pLN+K2xHXyRTA|{ffuXTQu!D)IK5o8i$oGbwqxK1h}u<` zxi3|94=g^3O5GjeefHY3YV{D4lDVM}CUvbkW)te)0!c$cJzbp(Q)1esSm0|E?R!RL z9%Iuh);ucg!_yKI%zJ)0OyYahDIjbT7*ueiNSrpQlSr9RmQbWcPKd|M7k0u428#q< ze`_gl=RtltM;a7v%4~u`>ppuYGqg*JnPXt2WicIIeqbLDzio5dzEigJPGNSki8qXg z(^Frq+kwRm+r%y?0un(^-EMaT|C0B{&j!+bwmorE=ojYwv0sK%R3{(F0nDx@J7cWJ z7kM&?93U2X0nGnTvSz?963AK-#e7Z*O$1?jNzdP|K1VO2Qlz-{y_O876&%sS zw$y3qA46^c&H`Jh;K<^dhQ$#O7O&FuSU$YM>)eGv{?aw#`l6fXU%n3B@a*Rh&OC=Z6NeXg^hKtSNoh{B<$tRQAzn_?Qn>YSSJrdq^%JahLXSzf=}yaF)S}f z)@PJg$8pvY~77_Kr@hiu~*e-09nlaRQC)x;I1ofyh6Gm%0fE z&H?Wqp9Xwan`BvYq{wULxeU92farj6g%X9EwsF#k?V9x76n)BHV+RPW9w)-eDWu*&`LARDndp`td_2kD9I50kl5{7ON=4Hu4X zS+Km8H|{~csKT5aVv+DQuDS!M&Sq)ccBJdUp=A=`SoQQK>ZfxNCodMtBqn`jSMUpr zH)Jn9!jOz#_0+Ev*#2mP-tf5h5tNSJSY(Yqsr*6r!^EGk6)ex#d(Iy-vYj7=KIX!h#LZoT1f<_);<%CGz2+Hc`u z(fFYL!{$n`m}@kfVW;88C<|@NmaxoYMab0kK&KLB~`P_!!Ye(ZP$2o0{w`I z#OPynM0tM?6xFp6rI0jPZsc-Lq#F5?*0Bx56uc6J*%!v)h`k)JiOur$H~KNc`Xl}A zL#22dtG(Z3^}<%+nROC0BJi~w^xM)!0fhcfqkV;#gyF9F`ZI{#CPZntnc@7_Cn#pG zjEBv7W~bp!?|Y`pev&wgAC+8I+NiVP*qt_1e__HqEPzYIB;Q>y+Q7C*m{8oDUNKrF z63u9U+U`J&CVsf{g-C&;LDu7I&W^#LDTA}WV6W1c+_~^ZVev+a!B9Ou5gKiS zh?r>)FWVo^yfZM9b=HrOEmz*q9n+qi({UbPsh!oO@FwjG-OXi%-xR72gkg}O>)j?1 zWP(sWy8b(c^C<^|`Dy^%$S-N?NTdJ7_M&;={&)rRXnSF^r#Slq8S()@nk`jpuY6rx z)oA9Edqh71O43iHP{-G{tWlUFKLJ8SpMEV|a14NGGJT;5hieQXf0xzL@g#n?@-krY zxdv+&6HWvB0;T$r`s(M0r6bLpn^mV;`B8jKwLweSpBlexg{a$ONSt|vCvb&g)^%n6 z$1Osx>?Q-7n@lr-VI$gtb%r;%kXqe>nE0xngK&YN21UBiEgmlO(*)#}dKU}}M}h#h#`q)$ zXdbCCm~Ss>SI;8C&VMV&Tg6S0I*R#*L&o#@-o3)j<+#hBO=k}t64IN+$w&k2O*b_Q z39}-PQBe5q(2sm~dDsFb@4A8V=ufTWeP>o_^7+OlIj8E4K}2})^Zv@nK9mkJ^3nGQ z-jbyfR8%a(gmC>r3v-UCLTAQ0F_13cGSL&2+LEp>GOs0Pe%=v3J{q*3!N==l70qoV zFR`LyUpF;h<>{>EiM(MNEq;tcIFTF^qk-oiP<<=SfrvSXx;;>~e#7kgkvoP7O9XjU z=~+>LQbR!q8p;J97gmNJOv9#j^h}c&(Ub+eCmdWAqyawwKw7Cg^gFcyRv(8ZCsmJ% zCZ(h&{!c=me6XC&aymBn>$Omn(YvrR<+&HFFUFX0sbe+;uoBavF9i2ykG0ZUg;q$L zMkH8kMt6xweric%I>fx<8i`UtCiE1xHnI26C%LOrG?_X#YcwW!GZROkcGrzfZR=M3 z@bpR}!8xL>ZbCgtn+IX}@S>QlUB7V5NZUGk#g zE76*0no@Y(3E&g~nXorp8-^ilLF{8q;R3Z^3Q`zo_l)?qaBgJhN%$*2N=CaA9Yfae zk*XIaI`L<|)J*OXBM4LD$Q8r25B!?Fi`S5?Ro#Tw>s|oQSG9*${xl$DH*+T0XFK*2 z#U#KQ9^0uEDcRfwr|>ac6W8z?kNS|mP=*;fcJ>r{fn}Vf%!73HM+hV>LJj?2Mz5NY z_lP>47)hN(mo864X`!*pY8$^Dc2-_Gi})oOiNNHb8;DIrT-)GwbGgJ4>;B^B%C{CFTNKvecsv1D?_J|!p4kdw<=(kg zT9qPV9b}_EHk-+0DL=0%+uz5u##j{<1B@0vBmFu}d@lF0p*Bq2C1Rme=N19t_-xd_KMm8~qfvBH|>kuM^Qb^QXY?hovJB+v;*UYM9x&yKAvNJ#$5< zL6V*Y0aq=y{VJ+7d((68;>PagyWG<_xd-*#%kRJ$W@@>;b#{Nw(}wH{0l&l za5Q6NI}ht}t^?@@!)5!{r(XBl8bqU~Sd!>ztj9ig9~T~?S|Toi`-`xB0C|G=GVMTz zTUR+Ci8w3wtq_VR`}U1Er!k^>)|uME&=>d8H;)x(eIN>6Q@8PuQERC2>Qn?rdHL&* zQ)Up>s@vOUuat{2J+Ze2l^s#I^)qfZFElF8&Ccl}-g737d2*2-zHgn0SUNF@KBa5jO_uCwIl@kTqPR_aSVgYV z*2;QBRi|)y9Mkq|cP|`OWio8B#1Pn_sr&}T7UU~T+{CiITOKeh1=V(H=6OtY9fNJ{ ze|(-n_@MvSHp*&4)g?mCLnX5l3uJC4(A)bHR;6J_G`hNa;gy@zGVbEP$v*GY_YAg# zrDAF9`-#1qHxpBQ_y;RoKGl7I(;?5XI#}ZDdRoiCNVdHa>f3;C?(N1cWjFp+Ij&OWPr_Zz(}$Mbf_;r)U7;;cf!zC$;5!2O z`D9JQ5{f%jCqA1X$@vIeZpxROoVyVFh{|cEB??DB(<=vpn?dtBk@b%7MQO>U6$hTwN(ve7x6$iEA!I>ub8GA{a;CuQa(7% z%AOTJp}jV9BMW4%8Pd2oT*O>Cbc6`F*$qMtx5(^t`d6Qe_3R1CH)hOjK%^PX3*?c^ z^J*f+2j#cs7-ju1?&gszDxyROm36}gkRDAFddFuO>*XM%gr2@vQ5`WEs<5)@DHO*X zfsov*Voagl02$0@cA@@nMq9tYnj;6XD@dCjZsa{1P_>QM>-1{ZorU@zn#bD3JpoxN8Zqn3LZ$wP= zx5ZI$m+TEiY!Gu3dkhf(nk@94$yA(lN2EfYGcQz???Y#I{zl0;oQ(}wj5CbDsZm;8 zLr}WrqY3|vlEIq+WToRh_}Oeu)h8|$h;F#XV={)VPL&-NtZ>}J-{?Nnyx2!w;ghB} zL9KZL*t{_1Xm)C2Iir<&-Hm@4PGM@+zVY*gUljGp@sJI5dfO8N9Ctgsn?J*GEC?Vz zyozLG4Yfl|=o1||VVO>Z)>IUwEqymDfNMd964YUi-%E&b zdPj1Q72qh(S`u&W5$yq|(O%G-Z^p#Gh=@~Uu7K*hBj*j04W7iSjpdc*dlmwO@O%Xf zax|=zMj=*Z3zcS?GiGw1byiiA@|_e6v+D>r8&h&)Ti(ni3VBEi1h@(evy<*eujJcSV{>YNhU>ivIS@xoGd#D}#&vPwqN1 z_WiI5by1L6a#j*QUM8;!8=sL7CG&T$>}^P!);lg24$%-_R7)TFjo9Y3O6hCQeEY#{ zTTMdiIcreVbj0H45!WYSOafRU*9Bj6_!zjQ2%s)^vQ;6KK?OYGGOq8@S z=MPIAE|)RF2wjJ^bCnkC6JDTq=!4?l%+`0sKj%41`er0`{C?{VBgtE?)t*q7&rlAs z0QdO!@4}`<_?S3JT!>{IYaj!Jd_3(e_9o^>xx{N#sbgg zjip6@B!Z>ma2-upO^nXIp0Q!Jaoi%KeuQH@OUf}wP(Hbxmte(SIhYQ>1g%~tK{akX zgxsGQy?a-MEQPVBuW$e0Gj@FPxu!`1xw;=PJ)V^i0InWPgfQQ?M98to1k~S zb0AulS}yi5%F%J22qBC!406%O$&7RljULb2<>W=T4L_MTHoekJo3zZ9QFH zc?lPnM`j*$_Nt1&a;ikiwb+pufdcnBK)w24T2 z#Uv;J&WfFQ8}0w11JX{As8JjJ1;&S28MRsCB95<2+Jwzol|g~UE7DDOo4=Ya=>*Q} zad6-<;l>$VrS>F}PqS6GcrE}*u&areGBHPe+Nts*5Mvr}a8Z_i`UCHvX39sYFhw-* zMw8x`su>N^Y!X|7(cL@&KUwXS-6t9Z>~nK{H&fb*P0r>u(VSnxJ+K|ClFOontSw** zQKCJ$EhNw4vY@sAlP!@cE{C5N1mI0PMR5Ld)Lx!7*T2F^f*s&asIEm9+JT zT4P7@$hipW&1-|O#%9Q2Ti6xyJ}~T9*GGg#;Ub1NK*3gD_9DjZY17Y5`l{&MTj`bt zMM3Ew$Uiq@@!Yk-h94B3Y_IM8R4`s{>LY%&sXhDJl-bqOB?@cFo*mZ#&n^`Io-*Q{38 zmmfgE+#7R~iCJc#x&&{|WkA(VTkc#cMItID9_-@P^cJe!GaI`hY<`|Bc#x<>}caB=QW0$NXW` z?xWd{T-H51FGK;XMaf^Z=W58R%z2ZHBiT`BSw1VTc+b{(=qC*h%D8gJ?1Z2BtlecZ zelRk6U(1~9IqJ?GcdK=mOTPGe0;%?S)u}w+^qIV9p>?|L4lXXaWNi1n1%E@x!gO^u zGzq_cM^!LjMz5Qh83!uLpSyxawQKM&;&xhH`C#&{8#EIW_jTa-DF%=NHDT_5HNm_f zV12z6hqimM2Py^99RY+61@kC0Cq zxYORRdN}l=~Gbda{r#lrH13dzarJXZIbK^M|9`sRZcYY|BW?A?iP6 zJagZY!ujqa7~$>|U^e=w50Lx$FUo0wp`Js5k>b=lD#6HrfeM{9|LJYF54aC-*WF&Y z^lkaOEYbOT6JlZ3&*?*wA5M^BuTYFk#oka3v$6XIhj3}EM(%y~q1~D~<2{aVOfJ0_ z1-kuHp<~b z>FaM$CUo&R<`kd872W*`PvFI0lr1&UTm=6HeQ9z2sO?dw^boY<`~j%`9Hj&;dI5;~VtjX+dru5d@pl>9S3!TS@Udm_aqvYC zc7Le(GWJM~?89HB%#gv4Jf)ZB^kBoez*992(BjT{oX@7LO&?QBM@q?8&R`Y>%3xU+alh|sj2`|l7TH>c z{Y0oWJ6Z;Uo&KJO)pl7(pDTNxE#C*N$M&HC@Qo-N=i%xg?KnV$R>RArX`Pz^QtA7b zezA}Pgw{ecO|HMTY&9=!&s~00^}BGo_rZgetihN;db8uzQrwh>EpMc`J(`6UEnPscx5~B!AADMbqVld z-g;gH2wAflZKvX4C1@)J4g(a1vvW;|Vdpo1u7_YcPXc|ip?kXc8+2xV+q?UadEPP` zVUJ#dFh6YnAS0kdZ-r57rC?DHKgE_FSD#+yNrBB@>w#7D{uXV2kplTAw6MJ8a!0~s zY&8|T8s)0myyR#VHa{l78HUv2A}AK;y@KfV()qQkL#$H%I*FTBu^x3rEiYUTlX=&` zOO$o;;}jvkLfoADd;G5^avELD0vnuh1@GV4*elZ`93CI$cc{IZkAkDTav za1}@P7U?&qOI?yHsD*>asT7?wXpyRPhtB79?7du$Cs1E>glME~o=F zB3x5tQ}X$~?$S9NKd+Fc4*p-$gX?=mXml0DRYiC9cr8a4444^$6s{M zbT}f3@`kmFx^wKsE{15z+cHzNuLkmrs0Nye2=;R;Va;llU_u`-5GtyG~ zX$hvCmz@~m`gX6?qBmo-BtdqswVml=Hf9RblDmAQi3L-O^j3k1+4#En0bsUXXT)aW zgUQ22M`gYNkcNBqNIv(YYL~*z@Uo*J3>CzSA@o}Mq@IGskr9mjD{|y4KK(xBuQvmP z{zG$cAHpn}oHsQ&9+$?Csr!_WM^@C{M*r(6_G`4#$0okd{DlxrH(@wZ;QaguHypVT zrZNJnE(zxW>5E=Cvivu%{^>n_Z(jFrw*41J@;wGSA0_*`Scx07UqO9wkT#B6g-sQ; zK%*NBp1Epye zP2UA~?cMz&R2rlL8+^g9J6*MIm^#HSRg)!^5Um<2sHM>PeAlo`vU=j)pdv_<6AdcLGT0X&`i76Y!+$)AWb4RB04VfguIK&Aw%@a9l<=pIg+#wY77$ zk%N4tR#5E1&`s#WWsA!iX4~dbns{+JBmR!b8o^BRlF4SQ9CBUoN8TCT7|6xrgMCDJ zs?*7kLgYDGmRok{d2#`N8egmW;!p%?aDAdyGurNlSHHqcj47rI7*2AysSR#4Sv-=t9~(f-q-Ha z5CWqOYsog&KmY|HT_>8eyWIBD<9L8js}>-L{KjN1NXZO2B};i==Z;);Q~<4IxW(SGu=?voVZU6dGK#JCBEPRc|HB?OjK!)R=gNeC)H!~y|6e+9FDv$S z^05^#U;fidRQLM7TABSlQvj{F0O)LBrubK@|9IE`+$#CPh~-=CD)j|N0~ z>S$>hxfHe)8UfwOXFsE&)#WNGL0>uxSu|7|(#{IqoUqBcR4hIPVu1uwO$pb(es9hP znk%7AxN8jZyf>lM(U$yKv4EC%lR5TdY?g@bP=~K`5Y#Q~lBy`7{>iW*CPzNg9BA?< zQvF}A<(;8;7|)A1vsBru>8&hvr?RVIm9$iiGeVvvnX3L3VctgeM;s6!wuRaouJmu(f$g^m&EitcLzI6+@Krc5;(@hGN zf-h%a%J<=ORokT5c{g#k=ty}_W>kINm$^Ey;E}O%`iT>^1at~B z-LonrbLW_us=`JZKq&$OxK$8%9Z~6eHGPiJJXv6ex+Uv_Y{iuo5V;GRKN&Uf)M^vG zkDKKB5%O({Ga7R=@%UJxe06!r_nBO_&kPMi9s5Tkh(Lm2k zA|bkLn!p+nTIfAbF^uiX*9k-PM74}oAxqv>dxt+cylgfrw$H&wwK?YD(W^b8CF&Ct zDLtDLNg`fKh>gy(jlaXl(n8le3`JCRkaL^*_Ib-WRU&oNXLQl5k-Cf9>(W<~&ryif z|K{gq;`s3aU*0C=FDj<99BrO`{~W490s}q(JE=LF92ZUQT+ZZ1*Xv;;M%+=TKVBK( zTc3~Tv1e&Zo zRzCIv(%604MNuuQfw4N79+*UWk4qD3(ycd{f6VVomqfwj=j{MXBcL|$UPhB*74=VV zui#Z-Ewm%rs@sLsVo#fJ-r-dfnxlVBVYiR|M``4=D_tTKJt!{}Z$UV+pWsK;bzGdd z*_+{=t&H82(iz9_6(KkxqM^i5*0pC$);2cqvgc$rrAc&Rlwape`Pgqs?3HgEB^a2^ zgZIi>F)?VC&SD3Hf#j$a)Ee%d?H(d;vtO{utnhlSVhS!V{K;R45sx({WC&U2fxK&P?c$(3B5hl^>Zg5M{e6blD+1Sc@%sc@ zA@|%n{V>D?8(R3OEQ$p!bA%nv7gv5?548$ZVOoYBi5GQM{MMw`n&Zk0#YI-=a9PXn zw1vN-NmLy;*WF`?^XAL|WQ~i)w+ao-$Rj5TK7q5geOA>M^PRU&fyB}{k`bm#wclIZ z<0tpyt(f(Xi6yJ9O}+>2+S+QldljGU+M!@z@~3VNwW8-mcT(k*!&<73mWmSV@y@k7 zxu^U?lRe=&LFbrBXG_N-W(PamKe`CWtLosT`CJ@IAVv4WwO}wEwEt}DtRKvw_4fO#VcoWZStpKIASX+=r}DrkY)F6 zgRVt&eNHY?eL3B{L{`_^6mcsOaTrWmMnyD5cRO&RCc*UKXBybuD`d4Ujrg701{#7XRf|%aW7$^YpmYjKrISjjg&+*DRv)v zH@|e2)5084*bl>*umuC@(Cg|ThKbA+Y+cdPLSuIXngxSMNFmX=qy>4@;4Q;u-{U5Hj)bE|?LS`s)sIE%?pVhfznB#gw4A3Nt)apNlHByamEyk z^df^`7es=o9W28sz1mB48Jx*{2A=!Q>{&&LC5&&O)um?iW=F8II_(s zfns9j&w)vV;LoZn{U6IP6WlA*vFBe$I|nRf_ln@rExq0Bm4~$ETVVxuJ$6Z;po={H z*?!p*@ebDd)}RAQ<&OLxA?Sk+!qX=xqnd|8qu*BonM9)v;HC;o15%7+Lv)pP5xlp( zOKtHb74SGH`!nW}%Wx2CQPX;>Z(j}W9Z`4os17?QdPdt5zG(g6;r%=Y>!}o<_eovE z%d9F-(IqNt}A1U7nAL4`KjCH+Y4N+a7tY4$VtVFyx~^X zz1nJSuWMlr8Z;>ml@2q9ORzD!B4&r)$b)HFMK@EeA0PCzt_RO~Rh;oN3;2b5+koZUWIp#+^~Tk00&p z6Qq^G6^tdhDmUz=dGjJ_q#Q_aM)o2w4L_mC$Y@5#z$|pKzZ*%lvO!6kt7iHTNMQRZ zRS2IOP4;*fULqq)(N9<1Y|BCw0Ag&yvpmDAd=W^{Rd2DfQi)NnVh|Tj-6QGCsO`T# z$z(!7xRvsh-P8zA(>!~JI$9Y}MBAEwT_{iC7{ttfk9=0f z8W%qk&I+6*B{dJ$SEt=ouH^uS6DmPBRQdg){~^ckP|iyFQg0 zw%snhf^?#hRuaU1-ZgI|HrkJ-38@;WGFj_uBSF@#l?fz$Bs+`(&7PQ6UGMPcujpoR z{3v5fLlMQ1le=Nh$8EK@;GKU;41nTIs&Y>|gNQ})|{5Z|kH2ck;)B)KvzsRbfj7>{4Rpg}HyOh#NdnZ5hwjmFe{b)EXH z2;NONGZLDyx#?y8rqMbCLaYr}_9m0~x@{0aA+)_XZfebedjtPXzx&4oCgSk@xgXmo z7s(YnF&d@>9426?@)~Y!H~uv5FGS(}px*B-1Y%27bi<4jwR~K|Ugd+gXTA$O%)0~a zM{tJ8!leF_X)W^w;HSNIuemUX2^zYbt2e^Q?Q##Vvd(r%EL-eI77j5?t%t)xtu|2J zXZtV^oPWAK-Ryhu;>D<_=5;jjlER|e(TUKQEOX%eFr;0T+ak_z-n6DssDDt{Jo(w3 zN5qDfvc)vF_XrU@g=Z9Mr+Ly0yUc6pq9V1_|F7k8>7S&Cf5OWF(*xk)Oo=!23^5hi zUX_c3!=tBmj^^MQyzqD~&-|C{-=J@J9u;to;5%1vaH>3{zy=3FD_`h+)==sTDncpC zE|ekaY!;(WePZHt?+|&d)215lQOB-D45rSLa%XmsVRG;iA!@iZmteE-sMjy->Q3Y& z!s3+r`q64U0PDNLbsI~S?t?Gz8tjrP<j{ZRx>faA9DcngZXQ^goBV^N6rud?JnKM*56 zc5Cwu%40CpCT7zSQtX;7wRe-I+}S$-&F;1^x6`4EkDBYjnK!FAD441p!{c|N9Lpq~ z!5fLOh-)C33=5+Jj;Zf|EL}9(3?TM^vrX1f?hcd6i@8w4lKB?<+^u#Qw-*F9rrVE5 zCijsr>usFV*68r(3X333R<4>Do2~O|q7Ph<3*449hP%S924#|2@EURMg|}}zog$Zo zw{W_y>n^8(A?MmC$SldQD*~1=7ZQ~sZr{)%$5|CE1(v)WsaR6tAhL8Eo{S!rgEzj7 zefA@rLqjKF<0pjxdU~W@ipTrhKu(b9kpDo+4Ify&a%QZ-ea6bv&dhQZ*45#~sP66osYAxD~UoCkg6UzvdwG4^pqG+-#29+lJ! zes5*D$%`RHk4PG3Ig&-i4V$ytUKzlMu=jk6!J_IuxzCb^K4`h*cxWnkm4bC7^|QF~ zRi#HOst*q6RQV=AB`26P6c*+bDJK!FFp8n51fr9_PnoS0lw~SzM>nvW#~#{HfqqtJ zO-m4tJ79v>3%a=96>NT6H1Ge+cE`?f8e(2`dDYuI?#O{U{$`H2N)>fa-b?Mxai|aB zg1das-VjT{k5KLnuJro0XiT#0w@~%6k2gMrCT2f{9e2i2RBV@EtCe^w19*EZWf3Om z2SRL_xE|#zNkt19m^OTHSrMOdUsAC7L`$|U5VjP3b3(ZY;Jn&BxUEvronXY%ayHZM zT|m&0)gq3(aeU>#sbQa7XbRVr=Ja}#>v>H~_*fk(2IB6))KwnaR#&tH+q`9;QehRT zaX|}G8BEwNG!k``h`OK|bC(dNHD@MD#)V~1b=%(E(^FFJDEPgzlXXJl<}E7tr-{-{ zCE%Z3p^(@2&NBiY&=i;QH;}HtUM>IX%{TVfWHDJ=jr@3tn8e!qqsS-Pl1Hkkmu!_i zCmLVvJC!>}yJoaN04zKzbv&M7okUE1jrtZ`x?L45X0$i1zk;%(g>r{5n9FkO>_^?* zemHaf_l`dK{Z?ejc2$3FoBGM>WzO-Fu~L&KB`1-p4tte6q*WF1Dvkj*mXqbn-cnBS zR?p=NeJpAsgt6ulcc$$}D!_-|+ujMsQPKy_Ohy|xWJHWbyss{kb>g(+kEpzoSvDzK z)P;Crxb}sbP#2RIFX-1#@eq?lRH~6!>yrQUi}fiKg0^v1h6QX+v%vFU(S4vt_DSbB zQf`RfOuflzHQ-H;JNZaYGjuF1>k@X1Iw`0ZFTGd8x!*418t&!Fc4KH&=3k!C5i_^A zkrnfG-bDvWS;R6{2WNc$F(dx0VYdu^3K^asyD95jCRt6PrUu2#)Ec4Hd<9#~FPa?` zSL|;0aYMg)@H0>NwKoOqgHDP9$y4&~=7ND&g=D=_ZBHMU>BV*iNv_z0tkISZXdPflh)&w|_!{)^}%c zbI*^Rar1zS5*o2LchpBm_iFBQuLwG!NR#BM6}Nt>!!W~a@9imbsLPmgiBG)rv0>Wf zgi}L>nRVXB^c3Z$)4zOYwH|$EGydE9iAJprY5E$~wSkxzWTwoR42M4x zh8JHU2`M-&EmHqt-c*xA;4)-G3@rjTyLf>DRh9yj`a8(6zW4R@%@b0UdT9`blMxCm zO~^3@v(3&&FQ4oWe^M8~@5E1e`x^wSJYjmhV*wR=i{~6#vh|V9t`+&`Y?1BJMW)E2 z+?y7SS5Y@iktysBum5#U01loqb_cMxjqjKssltf+Br;(spOUr-nd$V|u#56kqI5I} zxDL>127&L0PDlCAuCZp7Evr%lnStlBbZ zwG(httbry<;!O2(##>@MTAD6Hw(6z<+B5zeq;^%pP_f$}+sCIOZ|Tc%^|hzZn^q_K zNhE1X&xYNSS>t7}t_AQX;~_fW)6nG?{*zQyT3VIT`eJ5k+&rz|$!BW<(jm_?^-Ssn z9iuG5;#9B5ztJ@mj+M{o?Ae(W5eAtFGuSaa{g&V`FfU0`r0o_Q(yZ+!Nm@byBrn+X z`jx75*6r#Z*T?+|gd!lHGT^8(Se&f2(-F_fo6?P0(@zq|b#!bqD+QNLzM8*&GoyyQ z*GN+5xm6Vy!DU5|JJ}Ut**t~+d0`aA!&|0c^PJ-rQH493(g-q#;hh@Q6{yjgZ$} z$-h2jKpgvD3=bvwq(w795=DiHc;mStJ;Dy!UK}At-#%lrx|Gf_IWQMb)O+HPlHl3- zdR5BF)9SKKS>T?tl7?Q?!K19qMk^#HEL%(WXYDr#VoMkR#l2_Ex)IJN5^F1tF0&d z_&NSvXN^_2t7F3nis`y=m{KMu&zXLdfF5SSPzzJtPlj=fi|SwB(@}&zFB%uLHEA-K zkVHO9OClqe!<%fgOO@56$?k)DN-9cwGjGQGP%Xb^PJZeo`NgDa9%7J&4CXH|AdA}z zI$I41rh-A3P}rC$t}$dB}b%+>Y>+aTsyr zuk^qumB+|z`H+M964MRE=Zoa$|k1B$P6r z;itcL67-H2?5sH&wO4A*ny6AhANSMIXsfP%(~%JMbP>X0esoaA*OjN;>ey7pQyblL z|2>+~A+)@;C7RzeH`Y~_(%;~7{;MzA5}=q2dcN%+*}M64v9)3=s*`2=0?`689Yz6H zcaz@}&7%#-sRzwv48+i$SkG8yjGv$)jN4ZQAS^F~XB!2OlaC9l0T-0>>aNvIzb$U? zxZ@$-+TY^*MN(6b21cSo&|nD)NyFK_D6EB6P`nXJ(% zMEDq>raj<`w1?ympIzt2U>y2KkvmHEl%XLMKIx65OVrTO*PGM=!M`ul*M^QQ5<*t-=Oj8FB5p@i~^fuU&)SEky1A!e}fKaTy}Qsf7Gay%+6I|zKU4R zxW$jyaNp&jB}rXhN0c*~>*5VWxv*(KH){NBx~{O!kTFy|skm9yCOCY2qoT~KJ`>du zYu7cvAHT6dB7qCbUq{jl=<%oxg;g*#65AAUa{BKcnu5PFmH2kesegIW*g7)rt!C;Z zletDMa{Sc!o-c{YWZX$0Pl4eL9Q;c}g@J{b#lV*5aN$N0{MrWoLJHS~BIx66liXu{ zA_&Xd59{JN+;9a*+4=tk+y3#(zaxB6@TDG;yvPD`EOl<-;6senIS{j={2u$?%Wj-t z?jzjlofeSdwW84ePjK(A+K2BN;*jSI78WjbcTuW5n&tb3$@Y}KwQEmQH*LSYuM3Hq z@!&m{Nw$EQ+l2sWy&8#4<`)TKbS4hEnw|!oBY1JpDs0}V<(#cLgb`1_vfk{brD8kX z*CC{PG4ZQm%Rk7`^R=Uc%=GqM4(>VXH0XG0xoK+`$stzznz~MKz~G1?BVI@}W-eeh z@2!A<;w`yc7EQc6?y-blkGo=DcTbmLTwuJYL4UPFmLHfUS}6$U+AFidW`&PkK9R4Y z6ObPodUpFB`kuxj!Fayl9lLpe0Pk<-p`O&MGnalObkMKt0!c&NN;+}35~GODd0$G* z$eBnXh4_qL*kQDi!!%vhi6!UExsc>^W}ghzno&(6$GC8$$co>f;P?0+u6mj&=1FQ| z9bx2Ro=fH!NRe0-96GX4NsY20^l*GPdbVHw628thLx(2T4Lt3Y?_`S@4Y!J(bQN5IU`S_2!%QuRx42frOJ!nTC!! z`tA7g3h4_YuNGpN^G3};3W8tVI=+(_bU8YM4B%!0_8lK~WG@<__C*WfN8sR($#YyIpCT|I;jX7Ao# zVN8M@OlkhRp-caVw6}_i^KG-d3y0tk+&#hF-5r9v1PJc#Zb1qO7Tnz(g1fsz(BSU= z|DL?vYxc7MCo$$Biv@xU4K=3LBMVtGDKSLIu~DGHNoBM7!z1} zh+AJ#+YQ%HyA}m65P|u4uUuTM>SzRt>Dik?Mtb`L=VHDhw|Wrh8jHec5u;0>x-`8! zq=gu17K04wfd=s!NE>9&WLEmzr<<|Z3aIRMIf*3k`aP3=x-hX7wFT0YLF)Vl>1L!X zztb$x2njC8g=nV-3g+bK_8N0AFA?fk4m*htjn@l`)#)3?HfxdB^-V4X+39``j* z*Y`BJaUv{SytUXcwj^S(caCSK@)`SvcOkSwFsf)Ohf;=EQ1s*J31k!G^ZHUNQ0xuc zwYc*UFY?F43)gg06AIpSYsFTh6~PzS62Giy^((xUdqN*w8zQ$ zbG_RrTrt;4%afrow^$TfDw=VueDV0%d;Pi>F;%$2TR8rq%9^9)e5CI5n~g~%IbSx( zXIXX8l%4n;a-A^=lie=r!38}Wz99)~zI;<7HM=frEtCR0-X8|xSbS%wanq7<4Q&@H z`4s32oN|$tpco{y`afBImmyLG=PQ-O9BDUpLqRhK!x~RZJ^ClwxEnH!8%Jlu`25Yn z!s;aj%a?->LP5=+9mEqFJHU7Tbkw!B#_jqgi7;laB&x-$mL3W4(BS-`Kl)8vszXB+ zYDX}6NHB?M3s-d;eu8pbyImUH58=Y?0~$q5^Ba}(lA$g{INv@R+EaVGh0?I3tcKnlHo%4%N{sZc9K%k4ZEZpC-YGJ7 z-{ZkE6T-tLTGKv80i}1HrK)MF->--tI&`h@&0R z&upvf$&vUy6v|rCim<6>#0(JFLq!|b4kv!*O%wSpndUE}ktZ%t_*3@mC`|{TMX5T| zY>s_^F<~>(HTTQblNp|$$p8D~ME2*5FqQ%?yRSnK=>4{Lr#4Nd2*#;<6qDsChuF*X z)l)~F5&E#69)$xxag_$f@r-%k=j|eUizvMFy2@w11erd4Ho2p9LSpYZ{cxjHNn|yd z9XHyPFvQHAg*waE1!`>L*dMgFj5F?jq}}uy!_Vm6PNZoh5?&V!z)&8dtic>7uN1a{ z+NjX_->$}?ht^Yq|A9sY#Al2)Mp_xlVDkT`E$`RSCP_NGgSxsrX{%Vr=zP@`(l{4K z?K^W^!~2++O|~|Uq-u4A3Sp&Vj#WsSox&A1VtPj|q9s`sUTjXaHGGk+is-3w?p82r zV~is{q!0Fz8I-d~B<7VEHN6w*n2fuc8Ckt90ZhwPa{wub{lkvYmUt{?DH(h(2Xb

    D`iw07%*A( zpV?{T6Mp`1xHxIQPVyF#|D_bwb*zr>va#KZt=~WKvWh%ZD{{`NAp;L=Fe0?zONB9;vr57R6eaqOGp_x`ir?Qo8Y4E_#&)#YOKO^J1WM zUI_1kV13Iaxa=@rA0yPk;WBktO91~mkW!W=Bz8)0$ld`pZ}q2Q)j9}KB$GECc7OSr zKP%-+VJnWNM1t1YEFV!WwM$tksx8&H3DD^B6*WTIBmfJ&7dvvV-u!!8Qu^Fyze?}n zYp2R2ecT;urq->&?yJvjh;By95JALOH1TDl91Hhfj4PrdNs1_BvI|@JRwHX%3FwnO zLGaU=_Vop8zbBb1D6J64FpIdz$JbbGN*5Z(Eg)uwbsnD==h)8h9}kN}ZNyoE$j}K) zw|+$YC^FM!#OJwEs-YpIkx7c}PX1TQp@ymfIDW(nI47mknl0N|$1E-%9vt#@UVz%f zHk<-mIp_S8Rt@K^D=YjiYSstC7unt0kJ=Jy4HXO zCGw{qs(L4Xw)U_dD?1+)h=O9*J~aEPx;2vo*3Y)8(b}adfR91rHB_#*b@}rTi2FWh z>HQJ>TmWx?k{|Jf!kn&B`Iy-$gT0`mFu;N1!S|2z?HkA^ESqZjZakg7cPy{3MeSbX zTr9fEDLe|7Gc6!`@@FwJBH24+Q3#U<8Un}xZG?$3k!C<;C?Gma zA|nYwlh>q=cz4q-+bd@+RZk1G#VKNpcqB;tuyBl+8#lNuEH+tUunEVV7bd0JPc7Tz z6zu?mXW_$`ww@i!UV1QUBmQxoErnp*V`cj(baw>Fdd+Ju%_^}6H9scX_Jj0dDe3H! z#&h+)4!6F6dTNu)sAF9PR?}#z>CG839rzqHp9MO2GjmPZS-<+e+KtT`?ri`8-N3f0 zUg3zI+sORwNdfr*CE~d!fc-A58c{qGhbJvjuGDs(qp|~RtuKR|AhXuE=@ZoUX>I}! z#3{)modE0tRClMIrFN=}-k`4DKcv6MKbEHo3BURW!8whf{_vzGq)c}}r$pHP3>Spj z12a~Tp#NP~MW#d2Bjo7wi5C|A@L(m_0eZn&Oe7f9zxDSQNbDj(rsb%pY>rgc-4eI@ z>cAP3H{HRi<}Vg-zDfEmi7QRazN1}>8C{L6gOC!gwjhL*j(+c!2y65Gf@m4`rHp=v zc;cMfC)}8^3YLu)fgUYvU%5fo_s&5aX}2AYxHeupvkGkHF(tVD_~9zI6p#;<+DH^$ zJmn1EW794VgxI$#IC(of#xzP{O&cSRWslFO`4lPujOTGT#JE>by+}NrNnrlHWG<_F z&A6Kzy~VMNOX<1UfI>_hHX`6RyDn;D(i=J40JYYbU?AL9E-v#jQ6q$ZtI*juXn2(` zA7tkUu>Q^e(}DbNH$GC$0RZ5XVDR^0D`4P$o952Suh)x}f0zk!!uQ=jb(7g(*h*b$Aw2>gOa^^8!fSdwhyQnO7L3fwC^G)%^bv>SXHIZ=%tR-AsdZ*(Y-k%?CV;6W zp8olom@v__-2#UCP|Y_Y_cI?;tv+R+A_Se(-53Zw0Z}-Ee`S7DdjaPP^mA%whNHm3 z)H1HnVftf5{bh8(YflSLYP=D6(;>R~5^?ozg;_B?HkP{| zzBoD-NzE5pIdbjvCz6$P*~)z1I28g-0>=S>O{blrTnZ&wpkO$Q9H_lMb}Zu>oW}7} z;$+IVF)4IHoy*PH2fQTPKQq7Im|qB@BF}ea32YtH!#Y-+IbhDlX}Eh?}Bbfg?N zOn2WDC)#R_Ml4`mf_EBO`g=k43fj_m94=RKN?1T@iUr68pR4*$08nQi08am7^G4Py zW2fD)Jp0;}WC0k7OTY^hG&$kBbF*7`SCvC{t#~MuE!lCftqb$MVsw4f&E5E7uEI3x z$GE%aT3_2@k)m4kC^tsAQ`}Kht;ofw{I4uAx}mvYc?=24bOJQ?>T&4%t+* zqD_jJIVy3!e6T|2Oe6v-1#_$9t9p_hcV(54@j7LYG<0@?PiKUkw~g(TrHPm@dQnE< z;ZB}Ln(1~85j}r=qN*JvR7lSr#Wl9M*pMstR>!#qtFXJRM$R@=JG~4G^!fZ)KUZ=` zEWI;Q#Ju%hxB(V`gzY_u2X%u`gE}DisjOIFrn-;vQSxQnE@h~tE}TMNh_&zizw}0C z)^NYATvoaX$z{Q3wtddDwcVFuJ`3KC zRTFLP^!7!gk?v#zLoabx4rOL`UGv`gE^tcC1`~3#T%UF_kLv4&a2og`Pt^?L7Wp-# zQCzyOX2dXtv=!|AXPrfaaJ8N;+^DVV=utS@I${_Ia&I0fVUljL;b9iczvSNk#J{v5 zZ0^y46|Zu~LBCswQ7xyw+&bWU&{?t;LN8#j_9|3sshy)pMQEi#^8TqGF-AIXwZ>n; z`Sq*0sn+EZEc3BkZs_ilpaJcjuSygl{tEOVCH=z=XRa+$Yh~FG4jyz1juSr_CtmFg zxiB3y4~76I#|+xN;v zmVPb^WVj&lcX7hlm|&_&=QPGt6jI0nJeG^kmYZ%ANJ(Fa#jI=qCF@I8k-)_r6qK|h ze%#S(T&S&I2XbLU>wExbW=|1lZbG+zAg^pf4G$WR@|;>-XHt>sYqd%qJ}AX5RV*}V>_gt->#ueWXBvfa6XJHi~V+bdKR2I z{s+|PzPJ`U77E)GPK+{L@fI8LZkza~oJN>>;QB4;)1Qwb5yVOfnn|uE7H2zDa{u{D zevJFL+56fC?qPoQP5)fDu(*tGH67j#jtahQXWSmqG`^XP^|kpe&qe>!C zcMg{cq;QpY{A(cC+)#hE!e-w@aa z{=_Y=Bx{259kZ^vqQTaFdB}*4f!Zw8Wc4z}%CtjfjR``3@@=~3#ql-rL;xUypM3}6 zrp<%fp@9cBF|?QmxyA@}I4-`4akxU*n$~s9H9#tcypb?%)!ppgO|3&4j_Rg<2v5=Vwy=*;y-HV^vP0&8dlo_)hg zWZ9iFpK9A&)Y#EmHP|8EZb_g70k)9$r1>nxBGTZZtQB>^`ZrnsFCNW~)~g#i{S7gj znU@I-&om1spDP=C7(kHvx#U=as6Tq&#_rf0)i=jxjt^_Jy0_QKOPFme?8ClW8|foj zvHZK#j5xjY?*=R?pTA39s$<|}@_zwN1HPbt5vOTKE9U@UfI2{O*nCbh!-|$QqY~re zJG~(U$q0U+UorX4uOk0dW~LQF#!2nXs`CDnvPqsjHOR~*HBGSHyycHXcv`Fqg&yVE zPN&u|_R5!l&_w^diLvyl=jl=UAh{@c+%2;7pRqjfOCQ@prSRgK%dh3@+RWAQjIh%z z@kuz!I6l~Dn9+Qz39Z7>b&{veuNyhY4D<9I+oyAVbCiu~NnM@PsB(^`v@XG2y{%z_`Ehslk z9i^`-CbFgE+Cy{ls^qR-F(wU5$rNJ$7$Q5z9W$1bFlDc1?5(ABY1qRsBX!@-d9i#s zoVn%_Vv!#!umQQE?r*mHezD`j)6N2$J7~y)$~ozN)l-lEhJBx(gcIUAwnRM@LCx zd(s#nk|Htr*25?MHimj4bvyT_QRc#{yU(5^ml0h6(UH;(QKL({6eVpPJ1Y;87j2Kc zi#@dp)z$ebo~7YuI15iZ`B@L9B+zb2WRkeDYS@34keK?*^Sd>PsxKl3%915NJ-xg4 z?tpgJA@OlWg*lABtOlG;SFwzJM~D@nOVYB-cz#NZ{y7MzNt%#JsQ!>|%jJpj zQ|bbG(sAou;R7cu!ftoeu(GZ` z32H>#0D;6$Q8zZtyeIayVDIP_4Aa1=pcBLS+WYKTgkZ!-qX+n>O2l*|Ary533$I;` z7Io%sKoV4Bg#JZD*5A9qrJtA}mbK43O%G87oixf=^UZ|kcE_~YP^JonUj`jfl&Jh$ zr`4R!ry6?itHWW=jzyj`OM(I`;AEw840RWNviV4t@oA6Yo;TE{ zZl@2~2VRiM{8dqK5617tB=Jp~bFS~*GkcMQiU9BlI>r+E({_d6N#w=D9B2~v@?%Wh zUY&8a$VCK9*T*s~AiH$viu!dx3P!+{`Im(z8N8gv=eVG@&~>nxfw|a3#nHC{eZ21f z0U5qOV}lt`7a(ZKg30dgWoFAE=Pp0-G)$ZeT5T%C91Nm4Q!Kg!p&O54Hq6D+sij96{ZmShJ1L0|v^_BhlD zvAEla+w12o>0bO7mFlMRTC@&X*B{%M49)C6Vz;y{1t3LWBL39yGQ4dQ+6B;UY8dy% zL+9wnhgB4PN_l)ia8xEP2gEVK`r4#H)Y1e$x%gV2Dq9fQDiP@mb{BgObe1?>kZl^? zsMwVhquJ&b1&!Ek=TZ#B+|~A5gjtcUqdgXkwr>$R7wsL4jqGpy=FaR7_&N(JFD8To z;PX=PaI?rHt`7bi$&{j1|L^@4fp*2cGl$bX?G^K@so)aY;f}K2D)6LKNzm?No!fq3 z`1s@ewpq(DJw$|p^c;l{mSeNPex3cj&PPP+NbS4ZTgfk*UAMD6-?eW($p;HX!E?tf z)$N97NxrGB%;(lRM9Tpm^ISfcGwP-3pMTzO)6T#5V4+-s^74rW>OmRq)^UNzK0?cQ6420{L8gD zcpTVAgFlJ8#OHWQ)keEwQ%pnOIbr!RxwY>w(rLXZS!Z@A(h?edPx-2*bkMv|RZM#w z1+%|2NUJ5b-EPmfY#c{paeb|vl9T;6&o1NUlfDbFU}qBnd!Jdu$`^B!SY%wB!0d!3dV16gw(3h@V=Fg)8>q? z5OOj}L*|aP+$6g{QXvxZqU@-Dw8Umx0V24F)kvD}gr?88-6+Gq-6jE~!r{HW|{R7grwVZN>n?!;O5}< zFH>gj7#w||Shret?t^Zrn!+q>x?YbWU3|u!D9*%zQzTZD5wb)DI)ab|5115=dReTX zt#CJoF&iNli3t<7*O7HNT-Ks~j@fJ|*saez?Sb=Ko@hH1`hT0(p!uYTHIS}^s#mRF zQK{`;$pP@aqCreT@yC`-Cf&8|>OGa?S*C1|5bbjLho7@{=o%^$~G|xQ+ zst^}aHozoU7P~It@g7h?NM&g$dvz~}I!lndBSCGlj^^j472KzxuR5D;# z8?AmLDU1R^dWb3e9SXX^4tt2&fjcHVbik_k&9G)~j-~*V5RjSUis2LxodiDR&v_kCB(T5Cah7-^<_~`X$~15L z848Nc@-@ob;7;MtAjR`kTJ*wU3}sG#U;cCPOCsnX(!!CLtoY?eGN$Z#d-T&=^u;6i zWoLWv7aZ{!i;dY)K0ME3()1nFtxjeX<7OApuT@!M8=bQx{==Om68q-n{S zq4nIwE33-!)j?#0nOyZ0&QGJAs`9~jl!zNUolBY*@EXq=3U=c;aFD(lmRAn?vEKy5 zkOUfQFbJwbekkCWUG zc~{nOR=CCV6Z!>$sP0#yn1B;ZzN>)Jhep1Fj^%8RcoJ>Ihc=k73I9$_v0wZp#~E1p zVv*gy3rPTGCj4Nxxs%h4HJg5)>1wP86FYE%&=JY^*5F&zp+{Of;I{amo6OI4sw6*H z>%w#i+8vTySnC-c$)V%){tBvOc0?va7m|RIc)zKBVRET87^l~}CFS6bAq3+!SqxT& znSzuHz|Yh=t9tqV=b69|9Q%44a$3{4g{-fQ^r}7lR2iFQZP!%XtkGiHLc*k7x(?+A z@nb_B+gHiXO!QgW!;&=c>izQ9Ah^8sjgKQRpd+LKhwCu;-Te>Ug5$9@8`Cl=Ph;Yi zKI7|GtZs+bzPr zLyFH0F|JZt9j5$^XBG)MK*vw{gjw<@J-@FbvE(Xw$(_x*zqLsR<4|%cKiJuxt84GpQW$-6uT4LI?JjTqYJ|6G-_o?YKgou6a3St$6vV_7)xk$C zPnAGnDY2jQg$jtj(~(K!E&ohiSH2VKD|(MVLP`QHo}elkn=ndDzb-?}OLy=i z@#Bvh)V){DT>q%eLzI6&(L!-d0{*i?ScfFa5ARcc!`aIHSKW#P*gQTxCm3qxoI*YS zfM!+uhJ7cfw&38fQ+HRD3)jWItpfOay#^`>fdBK|6>mXOMU_2Q%FA9^d>BkT^7*GL znkm#yCKa8LoP}b7bYae?7WgC%$OQPA1G`aM?p=x{@@bhhzCgBHxAG*fmFt~dU4k6s zz0~&)i^MRli(IvZJEq-P>VTM8de0GaM^~@KxCYlXT)ceP{Z*thGmQ&njE=;jw!2BZ zc1oM?slA_lPLyd=Q!Gif*oSx9!O0B4+x4-)4 zVeR;Iww43rWi*(&M@Fox8`u_?p>(+MPtI>t6N&g6E&7$_KW*Y{B@gO`x|)HVPrRF2 zxX&YZfPUeLaU9<1&k?t;@}FijH01hjptR`tg0;*YZyrD0@ot#(U*Xd}Q85c(4eYE9 zK`?g7d-E))e(X4!?w zttAXFT5&0%wlrvD-s1%QK`X9WDT^ByAc#BcFD%GECH#?@V4C0FjBE;M^^fssseYKf3y=8YCJ9^Cpj;9%Mf@wt0K7abv`6K?)C zmBn;mJ1MxmZw!lIz{WfeC)vQ zqd#E#ExF?YJGg2WzbpmtEQ!_h8J=0gyEh*KtJpk;4RC~Y9aPrk4ne+%<1>SbSuSD> z1u6*+Ql`C5Nz4Qo0YSmWm>Pd>^x%CGdbBasfQ1gjcQW{rvMkT@({jwMEgF;4@7!gP z8*K~sgw|gWY*77GHeaCewnkSbe7^Ebo{q3wN@5I?dW6{LeBkF2uDajVx-yB93y1we zKbTKVxJ9(3neIPz9*a8X|5WJiuW@s7jLWe%LtQ*MD~_A^s_HnXLTMTRDpTX+Z9dJb zHy8q2#QOaB02h6MH|Pj9n)yu;x2YsGBbq+Y0r zFWVHVx4ztH+Z4(kwB;N1jBRWAa`cXm;cPFXe(`bR8NBw@hgyTJ_2b;K!1SzcYCmK^ zF>+Qs3R;qSfceHL*Tm2PYtv(p3VWPjo*d~E{Zfrh%2TMzE!P(@i z=-5#TZ)c|JNgcd5{(c0n;MIei49U6f9cJsmD#kaO!0wlA0*bQH$aRhtbt2aMX31+; z)?FyW*zc9uTGl2_{b0P14adc!m#od$GtI;uSN^u^P&~>68)OHE2goxlq%@~dr!9`2 z&GN74A;PuoXSiv1d&Bl*M1!zJ6bY@dAq?1R5Q(`$^SW_i+;2`(QzHmuq=8V-Fl(|qlZ@wh$Zu@Y!G0!xzNQb-0NR}VFKM@j717+Yy+>16=aTn07VuH2K3;(;dF6IAZtviUuIAA-i zKb)%Zk@&KQkr|T)ca&1;k2LkI^V*Dsb#wPdRSv>}VO7yQSsOI4b7X?4%&9B9VHvXH{HswY+uJ2as>yb>C>9@b=u%*$>^cFzAcikB%f=}Zr0 zEm>h5f|EM^#4g*2R?1k{OOQQ{$L4!yRHI0{mUpbbQsu!CDUy45A>} zs_}BQ$7688#bo9G{`-9on5n=~u>kCUuu~O4eB@F?Q(gw8yfFA+rX9Rk={o zF{48x@1`f&1t8j_d;ANIsNE>QySR(Q_gmZK2s$YWW=oTfW2THcr|qEiFMJ{L5qIU* z$ux>#1|KVZ^{>sDGX?!*?L{gFcELheVn2scC<6yAI4?&bIY`s7cU_HNJ@QK$bMxRJ zWOO1(L0Xf?vKWdPg*nvA9}{Hc-JmZ4H9J`mFzEk1+?g~`)qDmVJZB%mRX{vl9C@`~ z6Bo8I5Or{{#u7a6f&CWl(%3FCft7vx=_MM2fO)b61lq(XyZsd|M9SU-0jCXDm#vwt zo7JPbUnEdVc8om+pG8Z5$*Jp68wa0eKZ04RF;+p3uLTX}P%6S5wn4>x`O%gSUPagn zAbl(gGFgTO&n_f)Y3Cj$7Q?DqYmbeOoU*GNyEd&E`7%@Ui@&R(q*Mt%O$}n+sYpEI zvg9hT5_eNt_Wyh{UdneaV)?GJP^YR{m7 z)R0Q~7kX}vcDsTm@uw0=y>G*O$eOsrs(O`rVH}SIe9G3m{$|d7pZ9ufh*v{9(p!w< z#K%Asqa1SU;Rr08kP%!GPc_#aFR%KjFC_i%6yk@kp^&m8rk3xY=267G9utM`(XZ zZtjlL(<*QR9zPzcN0joIxbHYM77XOdLxHd2l(E$rVOa-jk!qy6YfPmzKIjrer^wP9 zM}W;+!OMPAU*3bv2}g8+1VW%}52a-j_^Nx<5x+4JpsrZ9X!bJzNK5Oi!BhH~mXD8A zZQ$;=#2SShPqR2$Ni9EN{xt`+{L36v^be@OkLo{CBN%^EBVQI+W!(Ny2*NX}$WUF% z$o`?+B*H8xE`HAS>`0#7nq3_;5fR+ky;;yJ794a%t=D-X@QXLH@NPv$d9*6`)jC?_ z!XN9)F#uWG)354bJ-Dn#r_7%gGk?z@IfKTyzq(ezBoI_Eq7iH1ZNo9CySMfC?=jQA z(}`lhj%g1$)j(z0By46tgvnUgvxJzWPI>1bURx%#z!rr8_RXEW9hgCBt# zN0W+B=V_7kcoRSwPmRE+dm7YS^vUqf!H_0Ro~lEAS;}=upNJ-hkAp|SkJR$Np$?97 zB1CZT*5kO3xW4ACN+%$hfhLkU&+Yk;x*Zvtm8VTZCOL60F;7o#>QMz(>1#AR*bv4I zcK$id9mXWl8R(4Yp|_;|oNlPx*d%D&Ucf$hL=sl=t>1U|b1=O{NI)p^%$w@*#YADX z18I=X1*FDrKMLBiHT>BZdi2t(xjiiWtK&m|nh&oplx+@sO6{7E0E%|M#&;G8Pr`e5zF zvQcuAQK|NVl?k3v3Zh^Hq>Siy?wt)8v--+KiS*U|wic=a@0^DatLMO)uxAZ~bKRWR zFL{C7w+wRv$?8jQMMLb$26TLKk;n^Hv>vC{HuZB342)EL?S}93NE9q8*dOI99DZ8u zYO8Yrl9IM{55qjKnACTwsj~5)Aq{=xg!8^`pSg^0D?b^W16^%r^5eS_%I-c@T_0Cr z{b~T(JX)|%9*C9i9e>7Hu2_!5LCQSm4@}&in`}y(X`-!e2tK)Ac*wT?+$UI3{`SzN zq687c`>v1WE`;*JvPw@tM`2<#;(_*i_)WPHY!*{n(Etf@phVB3K@p(nrK^LLiAeN% zuwKZPFk76Hi*apfoSGIPk=y;SXi@NcHKX@|ACCWsJBS&clG}3BV32ofn^52f>r}|-ohaK$ncYyitAe9#EMdexoT~{-W7LW= z&=gY+Hk!c{>M>us*HHe96M#5YNlN0#52o@i$F3XH8iR2IEU$s2%`MVfLj@i#hhVp_ z)S6|mclc%0r(ThzvGm^U5&Hxic96!-NpC+?j}ZHDQgnoQ6Nj($aZka}MzrKXr%pdk zhu0{Pey*5E*T_j05`B1NV08%!j7Sc#7;`O;k@&h8Wj#byH80ma_f}GItfsG!H^`F* zE}FZ{#ESj7#D$l`QwjBjfFpf6vO?MAWb*M z6!s#M{HunUaT8BZOWWdE@jA~c>K>gOL$YAqXR<1a#1&KZn3TCyMS^r!H;e<`ArV-C z)koYXsxwiYVMt9yXV^R?R2|o6-@H{3ZmoU21iX>rUU@3OB+)W z?%@e-PH%Gb4O;lCtDKFiaCSE50%NXFJ0B*V3sX#voAMyAV9y9Kfllrl&Du?0Pj*d5 z>(knTU$Buz7N=6St2FT%xZHtxe1#|41h{l0nXG<@6ONtDU94L}5ZctRb7$}GnxzNZ zZ)GiZvG_qPdTh_x1yzPiydh#|H(4Af!WX6a-d%M@Z%;y6eY(O7QR16r5I=TO<8utX!*nP$yeTe{(!`9-FkAC@XPvKUeX(g% z3Z#zpZ)x7K4F6WkTf3YW9TLhILikI`=bpRI9m3%)7*{#Yo}lcl1}~|F{OG}@K70`L zwP=Z>A$$M@(-F1-!w9d77r(cFY~L^O(jp^>927{`T6AH^1=|0M%SPgVONx5#$P&6Ve+>fwfdrbbhXjB$L0 zoc{YSI=oaD&BNUq?6Ih36v=5SE96#_^JKlQp-KH4!e+uH6H8BbON-KQ>?$Sh)3dTca3Lem%s*60ANvQuZ)b}U69 zmC1U%?Z$p|YEdSI5kE1+Yz!oL@!_6os-8vpK*$eSf^;maIwL`*qe!J_&J3>VIL${8 z#lNF-U2PjfW1ne${Mi5MN>|yy(r-(n&!1fEpHQi(P*fb=fJbNrAc&k~J&UjZee&aE zbtPUIgugTS=kUEVzY9k!R|qkC5!C6*Kn!W(LiLv|-O<+SX>FZ>7)hlGz^=NLwq}j` zEpUrFI&)960-Fx%v68Dc-WhYYgYdyfHc~7V&{ssbf7r}>4u6UOtTAaC;U$qveRc1qnDxOfEX1qwVGG+}2WT|Z2uVov9~BTQxL5G9;v{J*wNAXjS9P{4 zauv|*3CpvPtLM3gHQX%_jFEz$#K9bM(Gj;lotc@+r*Uv0hf+W$t9t&l)y@oVhDT9G zi(4D@ML*BaD@`+Wf{&e{xku1*1wR|};mp4gknM@^Ufp~_F(<)`5G){MBh^}|uxQ5* z+c-(kMT3S#ztqhS0G{?kjK8(0&3`HFY0MX_8WR&ZUDaEbE^!VupgmynTBOsJ&nU<@ z)&OeSe$RcPP#oR8$%66venU0}1TCkkSiZN84<$5GzMG2_(qX`EGj^gv(}o3?)~55a zxkc7*5ej_{-h8m5YvGRK*+Ab-!?w*5SdPX-hb9$*gIi4*u4u4{AEdZTG;`)jjXd-9 zeX^;YBuO&)f)_J??fnRH|kA+2jnZ49VcUsuSTR?Eel^K5B#sE)LOlV3gh*Rf@?!1Q5iP$ciP z7FIY(<&Ac`ZuH?;6ol69%!J)dseKF`9bv*HXThLn9m`Al2-PwSzE zlyG)i2RJbjiOufv*2Q4wPu@{Ly%}i_wPfVrg&GQpO`NNfqoGmRxbV<(O6!zO43s|L zCkri|uX-Vx7T01+IvMTO*#DGw9&Fx$%BCIp1nuQ)EPW1nEp$mdGtqUqU1~!z0}=U|Xv4&^kd? z17+Bgw8(Bzb9Ss`i>+?77B@J&w(fVMvFn1C#yS!fz*`mSk&MO{6cO%8782Y2F3uaK zS|15k&!jHWnpU97pEvpwCYb0SA^MFW4fjby4Q1`Fvf~{b{#7Fu=7K|GJw|SImS{)% zV!upvp0TJRM@Z(7#PaP3lZ5h8u~LN`)KSXe##dy*yi90~4AG4|5XR4s?j=VKOikPe z9G2pmx$8{j+O~3;q1*%K3u8x@7$pA^_&0$`q*^f5J5a^R9}o4i4dts1haBVLY&}tr zFga9}LNGQK8AH61wz1nRZujHB?3;FquHI^bxqbF_tezA*yBolm74p-L7tYt zP@sn9SAdw0i_O%}mD;lkJ5P{DQv7^d`cY@INoy>!$@={{exyCIPp^&ZVLO$0n!!u6 z7lGSXHqmLhD3LwpUqIPxK2ZNQk(+yxw(YNDJ4&D-Q|Pkm|S3XO1nxWk|g4!NWxpYRHFaylFhqx0>aiR zY@%4wxLK*1lPC1c=LNj#TWeI5RXun1W?`v^Vz0IeSOTc>KYs&9|GDl}^*Lt)$Rhs= zv9h0QlU;+UyJbF%hp7{QNedOl7$~{w5CKlK9%Ui5@QbUDRcu05{c#cEVcvLH3#JEw zI1wUZSlNS8&+uT)nxo134Wk2`kDs*<=tK5G-Th7&Pk-IaDJDz-53pS8t|Tsuy9bT# zp_>_H@3o%c`+O6;eWB+-gQ(2JetH|hJ$F^}N(PAdP!!G$m@AIiH@&~o`)KQ35<$2$ zR{1Gu_ao6Jz*EG7Ge0`sT+SC>oU*o!Nt1_p36Bxu!$RkkD&j`Y2QBlEEhpr#LuPV4 z`e+*T6^A$b6J19G%f=VIZI(5=uJx+h&d!Iy&KV5U^Rj~2@wLvY6R%AeU!btyDXwu&G*}QlnV-tXtk*`+$ggHU{%hVcaY1wb%E(kwPm67$1kt^MDAZV;_NLYYOFt>i^}2a>l?x!=``VU72DeVe5dN9o5VJKlX(0{z zA{>e#Ol!m4QRCW5G&+*SaPOo@EVrpkEIGOkUqj*v@+UsYI?kRwdvEWeJ+_*%T@u3D z}9jOhHwvK>K<1YoveH~f~Ia;X7)EJ(u#tr**EPlv8Uwe%ghnUvQ zQr!b9KJuw#!`Z^_!GQ-*o2B#LMjQ2I%QtEZMs`H&R8%K~fCEA3|2h!-y89cm{`WO5 zIVT>*VB^E;V^kk&kpr&72vvue6sQsf6F32CwbVqxGvODgJ$X=Bp{ysba}>KA{{ z3#I^4F{86&35T6icqud+71pf((X-MZ|5o=(EiFGVW;F(mw&F@W(Vt6FgcXJl3v59n zM)%Gh|2v`gC!kE!dKp<1D$qi3uMf9q>;xpO5)zIuH{BhB z!{=(}Jwll&&lp|771;7B8%$5Zl*$xo>k_aq{GN^=quiHjR|vwUoX%1Vf;XR!eiqwUWNGNo7`*a@HL4^Acv7A}so-4+1e zMi@pkDixme(i8Y*h}`u(8I$$eqH5RUp|*;` z=yj`7RpG8B-R3t%Om15#!fYtVE~cAI@yO{+zh}ADzm#0y8C2j$yNLRi1eC@w#t&cw zDk}W-bAroVDEdjx6~JOAjx@n5TK4vohm6Y753zv0%Pxtz?6Q^QuC)Lp3RZm$yjQ|w zc}&KBO1Z@yWDk<*Ro$;7>|+R(iKFSbfQiqwNi^7EerWX>9GGye5Vm_dz9VWDnq}p8 z+}FUVTBnj;>QFrXcrG{I!5~Gc^!dJ-9sd8(_SRuhuJ79L&>ez+(lLO9NOuYXLn_G7 z2oi#HgLH$$z|bYqNOyO4Nq0+k*ZLmUTEE!)`1U^DcfZH}hnR=qA?~^E>prhDq^0Bs zNY^RZKA+Wl<{BGpRbAAQ&h3D=x2NZ?Q1)WS`;%Bq1HD0%AO`+@TR4I!m|2ruwqNEs zNO{YmT@9bUAZYZMvImVHpA-Y_Mkcl_Uc5K20xq3KWKc9m4UQ1iWAC(GTz=ngG!Sxn zRFtN6`X!*KIhA~B-^RI8oa-Li@_L8&ovx#xjy`u(`@ym*>#g|HL~V2YF)EZNo5s|1 zblVXF4+rNHC6Xu>I24t@pTq_8Y3u(I7OXZfY{fpUQdB5frMlddc{V5M$)0cTYWx+{ zQ=&JRutv}PqG#%J&8_j^Vl6ku#&5-^AsMyKEa{V8J&DwiSWk`g^!25wY6mz7 z+_9PC#bGXLL_>IHmq18xqOC2KC|33pnGTbSsjpf(P*L?Mxs!dO1URE!+lSpShPqTV zmLboIcaa03TI5=5zuY7^>G>FR;Qh9KZIruVXefstAZ@#0gP=-Z^hg;LX!-Q&tbVP? zL0M~MrLuMAW_!nNVt|tavn~feupaX`&ijh`wx*ZPUvC(OEg7I6%+H;RyYxd50adQ;7+ zmt;+KfTPjgKs~QWzRUQvKqWe~4!4naf-oj?cz%DUj$O-&Nj60BaFv~~vZ#$P)ngOR9HO+fD!s^`?pjdPe5;LN6+sq7xf9gzVg57bp@c-`Zk|x_riFTLs>$OqwA}OkMtx?FFm8|p zLXOf`+p2jz@e>fO`yF@k1gd$HMdalgoVS%GOL^S&I$%t_#uR6bTchgSB93prIn#3> zZ=UiXji~GmZ4PgBD(NU%D{{6j$;Y*lw@PqH|LEs4{cy8MDM1ItDo&-iAD>gQE9Iqb zv-9P&r7Gp$-)8;(v`RT&&LHEBycz*KiGsO%Iv|2vJZT2C@$PjKsod77%&rIDBQNYW zcKlLWvVd4Xqj)3&Sac!K(!Z=-fJ8vqd$qQiYf7o3It%hE@Q-dTS=sI?nuFC#5RR2f71c=(rA`mEc{lG_lf|;WIUUT2?HQ;+ z=z}a}_EG7RyW)V<3tN~8i$sOI54QE8rR{Rf`VADO*4#g2nb$efzD-^>f&&Xlt9^P3 zVeIlp@3e(xURIZ%k%j7=g112weVW7%pUibZl}PK?1r!!ShPoXR)9Wa%Y^b6plr9`xu`%3E2>0@aP*js#}GXRKb z)HUmSj@{(57B@8&k1mYARuf zYB|ukPCoH`z8@36KoI2XaH9Hs%W%MD$Sv>WPDVpz$JP@bisVo6y7)0!ezBgq)3lHT z6+@VL%8@kTBjlPUer}omg{_045*HI?8+vH~RQ@I9&k|qo54w(aeowWWqxb6L^9kn8 zV>ZOs3->c}`b>YS z*`!W$A&g1@Rtj$7{59A9E4A~PguNr!w`UTq%5FR34*D5LGkLQWCcZ?b&b8SmE4Kh-M8lE zSPLhJsNOfb$tOu z2@et%!nLVG!bNjSl%uO{1ZBs9uH~$y;^Zjhqo9bVDF6Y`UNpL#%Vs(Id6Q39IoRo) zfFlTZ6%EFdYTlyle1ZMYUm>$?{#YNO0|*~5pWW*&Yu4{_Z@$tjo<&SBUmk=G^bfsItn_0w8+7Hsodj@-8|Xq`t*@|eflHo zf_!;^xTTw9Jdag;4?$53k4s(Oh*u;!n^Oo5!KfIDm-z$Ly^DmW8pDq!BYXZIj{g8% zw5&w_qR;WsTMo^)Ge>d=#&~c#oTvThDW9fKW#V<=TlGlwSRMJxIOpqS&E(XbB(0Ie zDtoVCiWWN9j+o3VvE+8QTkO0*EZ@slG9}HJT)RHJ&v1?$-S?v^*$f7pd*X&w>`rNU zFJt!p;3T)^F7j@_0fqeaqIK1KNm-j9+pX+9_Tfw=M~#kqw2{+1weu2y@4)=QBhA=N z%j%F!GbdAzN5Q&~yIu+x%H`Dx*cCAQwIns%uAgRJ&05P{HtH_xm9BsxK-lpVf{;Hh zln(HqD!5I5vpl5xV0-iS?z{o{PL%Cx?zxbWf^whGOJWSODE2Z9FQt*|vK!2rF|h=( zDP{}d!Eyzufbt;p%0)?$grO7L6;^l8Y-6akZKP7V<>qP)eSa>PRf>E$tV z3BSEzFa50uQ#`s)2%2+F#^u83Wnzrl;Odspllj2HLtzpLB}r>jdma>>&AOmP{mqd}uL@ z?jV#x2Eqr$2QI!QE{bRaznM|mZMSIa_eAO*bSMAby}rQ1m!II?Y?FM=axs%3bkPF~qu-{F_)(N=kJ8 z75JJ1j%%v0=+}wv-R>S03Qcosi_%`3)Q0cZZm${WgN;HKTZ|RU8uY*v=h_6r{4n?g*DN|m&Q;gf)FWmJWs-zl($(Mt^L76C zAsi*f+)^$cV$2=R#Ru3Cq2W>I-9b?^{qz*fU(s1f{it&)3f^g;IgI#z<9l1cxSi^b z$NXg9)=WpfuTKvr53sP0<9YS(xqtuB-V3q$x4jqq;&&(YU)W&7%>G>!4gC+1w%sgP zMGIT;QR(IwW@o-Nu?mC48{rOu0jkM8!jXAbMm;poHQmz~i#FAwp4PPnp&|TW1EcIT zQT7nW)rxx?w#KHZPhvYQU;2(UYe_teFQYVsge};JA1%s+KIaPacL9-J7pDYJSeH}& zFfi7~#qb6EIq_6`Gp4!u{pyBLKO!mLspoFIouAP-+{4QAP%Gf=Ztf21+;wla(ouQd z)IrIA`5w&Ayj_>3T5;6dQ#6IBgJF?2g5ac-@NE~Syra-=Y7PRSgKUWXQN&&%MS!EA z4lv_7JR(~abO^qWGc>T!iQ>E;#@5AjL(Z@Quf4A#u zePrf_;TnS6tUbj)Ux51e{lKKs#J3xNd5qIi#_IL@iL3CTj3JskTXhrVc|x;(pN#Mf-@0VKZ6|Kf9W;sj}Cb(+vv|k{4;d_mX;1 zs)j?uY}ePPKPlKGst@$vA)fWXSXTQ25eDZlp;b%C+%7A$nABR5KF;l*TC7n&zc@>GuCnMKrscnDr?Pn~)l+lcHTa9IOs4&p-XO8fY;=c49I_~wyQ=iip;!mS5?D=YJZK&z~{(|r*QJXq1?nv?&G?fxN-EXkVK(Va0U2goF7n6>{ z1|Be8ZbWoScQ(xz;{@a}GAd~{O;iWiUgCTcrZ(<9eNP_b$!E7*U4h^8IsNQT@`UXlAPHV>sgb1&;KweX z3aW&!h@VW_L!4up*KrC97NUQO{V*geuqi7=GUloe6dllRD%U}$6pS6BzbCj0bBl3< z?_bdUSpTx`oVS=Bshq+>!C0{N4nnLo1vkO-U5Bd}Zl*`*h|j)D{px1o@wwgpQr$8eV zWt|~rKKIbn%JOlnRpz!JX8eUE|LCm3wW& z*_GA95xgd4(8A8nieL>%{8kEJJ!TG>aN(wI)t|CjijU-QTX^pBIxCr|h1bQ`mv(!P zE)7U2aq{8@nZznc*Lp~Z(kpcKI3`+}lj`V^I?mvoylZW{IC?&;UkH*U1)<{o5?b@U zHFGI$IC2}ZzMyaYEap(u-&>a6@zxRDwnyJlkJ{oxKd%Yx(@I%6t?&Jv-#5nRXUtux zpG`b_u{eoUK?UElEz==$)HbR$Pg|%csa1q`tafq8|=n${Pgy{+MSt83R=JjqpFcv7{Hln;cHFyslFW zFKY5BvR&C;y(Xxfc{#*iR9?e1PICmdKo~N>M@xn+1YB72*Puf+q}vV{gW{V;(SjP@ z6s4vt=(n$|?}`-S^RsbWF~a3RVdACkbE$ScsJ!Xl9r^&FKhb6_pm(yf2i43?3z?dd zR>tl>cQIsW@^2&cCE>81$X|@(y!6vQ#72paqpVBpfrV}!Td&gwRw=>?rW+i1rZCC3m-&wG@4O%L+MC&$ISp{i=EL6c)B=@0zS?RXn(uC(bYE_n` zyKez2Cr6k8((|6Jcqct}*2Re7Euo>jFubW^cz%)?txy(7cHZ%g!VLK7KY z3!q_$F&)-x?Dh%?lGD^*vU{%~2s7W@=tVnK-hEyBGBWjPUHD+4G8ONarC1bp;~3%P zNdyx!fH+bhZL6;2Z92Y^SWB9*0AgMCiC;|?H{HE1GiqftBWzP=y^|&@u`zRXtKn&t zg)IWg$bpS3i82*3-?l+LL6TgX?RAr|)S+NEY~ln!)T`t5_x1_ReCesA`Z6ympahgS zd53T-)~D(5k0V;I%AhJI?BH-~Qi0u?|%4?p!tg7O&uO)xjHGQsDKbO*NC+;89U7V>_GBa*;Lf5CcUw-n%aZ+Qt z5fOQ~K*<53%>VxjhaEL%=nZRRH|!vh4XP)#*-|*K+xYt>{e5@-+?y4RwGb`S0SRZ1zPvsFo3g?k;3`<( zR(_U)Z7Q07#;+|mzQp#%!uFN2A!eBK8Uwg^3kz9bWW^7myzJ<+x=IW2YctbvQs&5V zn5cl<>9{9?ZG@PI+T`X!*i<~Re!9|I2ZnPJiS$O+Xn0WN^ zGn5k43ifBv2Pob!+7|IiXVz(VTBQ7b3<4S170O3^4sV!o)~@`}ydfSk<-%^w7ZYIf z${CiSLYKNj6+Kw14svPdH$?<_Cz4AUakXS9G*NRRNu`5h1H$CGyV3CYUeT^-s}4yN z3scZ3Cu-Bb+by!+7EM^#s)ON>NA^@Lw>`T&?1}V@Tw;~c=zxm=KaE7fU2MITi6lyF zq?QTZ&_~{R<#z&};mv88J3ckK^EEC-Y|*;0A8V!%s`={ zNjrQlqYWEsY8R2#s!weK<*(ui$3F-c|WOlqqBiu&PqIi=kXv_>+1>L|+7)>Rux2^5uZ1jZdfuex_> zoKj(ilx#R7CKLMT7u} zN%)|`1y&ndPv9vcxqer#gl@Yos%F%AgMtXQf(Qk<31p77bm&&!g`a}rsUG9YgbU@`zl!QmE}I3S)}_Dq7@4b1C_Iue~NMSf*X(imBxe50>ll#A)HMA zlPbts{-qe9H#I{tNoz&Gm$=*%6MF`*)QBC63Msu=z8~N-v96j=AjdEzg)a|X6BjQd z;g630!n1`oF%0%FLlTZ{B0sUwQN_18#CCq2YGs-ZJuep$~_r9?;pcYUDnUO??v5F@##U z@l+^j_EflAhI_Qxhi2_k#?LNSMIiIRU38Y$3hlgTw4)sX_vGro(htBfD*sGtX97q6 zHQEJk2b3s=f893=4xy*-SiJmt`S7w`$XS>5mkaEyee0O+0DA$0EYPoh$7$>_e0{6J zwQY}5F-)7W^-i<)i@)U|AKJ^YJPi+F^}X;gH>LXMTr4}~HpM>JWZA}|LyS>&oz7&$ zy(TyVGg9!cTT8tV#!>c73Yi2`gsNuq&xXh^(;pUPcb-B_V@)5`UN=Y1%{&{h#4!bd z4qNHo`7+TjWiE=O?4T!AdCTReF#??yL6Lz6RodU3R{O1(*h)+dOFF>b&`db=K;251 zyR3xm`91XVN7tS`q0v5|sDtqVRfPMe^tnA|X%Rg8U3rj-|McE6bz^S-;_}#Ww z3*QH$yJpYQio{fxCs-{js#|&c2(TQ*Tw=3F>j}4uV!7qT%^|1w4YZ>sQofOfGNdo? z7(TsLsg==hS=!V3R%n_X!_MJLDntv0H=|%;GwV73D;flAj3o8J25=84d@KN>KTsTW zjNlN4|1Om4ze6Ls;yk_H-n|&dhH%ZW>|qrd66mg6#*GAiO%o7U56b*8QND(#k})c# z^Vv8fmmf`_l}{1x3T13{(<3`M1AV_S^VN5g<@ieWxVj;$qamxbUG?m9IUI`x2rad; z%V9Dc%T4vHowJ}KMTozDb4-@CqZBQuoO0Y8md*KgX|@`aENH_Ka>V{v>gY8x^|cA*6T z4&lFQl2_Md0^4I9fR3Nt{AmV|xa)9LEgPRI9poK6Q`URyHwlrq%Q{T^1B7LL)9b<3 zFk@-C*_Hmwz^Qq-Pvwx#Q7K^+@P}~#usS&|0(hf(Ot$gkj9b#4dG=vZt~xqg48A0n zT%Y;vM3<*-qmn-@10+VdeH{C3L-)BH)cL>(Gd#i!*StDTDpA;DXLcfm?dhT>&}w8D z@e{7ZKDF&hw_NfF()bw*17v7*2F|K5Iq6X}0%b$?gdzdE#kwqU>O zp`ys$V5c{(cA3l_2wK8Ms6Qrxn%gwv$M*R&-#Kq-=*B|VokM^4NQ5e%cvU<7r#GDDh;|999_K3 z6V0I-tK^puYdj(-30?g0;%mAEMRIhMF45dcgr%8%YFpg36JdYDxOKTj_9a$;o53vv z_nRlisp#mL_5(vx7R4Y~RxCCS;wc-ei@_ACp1R!Z|XysCL}8{ zAz{0~pGfXwLMo;Md^dy7-KqzFjqY8)+J>e^V7S2Qm&F*vDGd8(pb0ptk0eEHA}jI4 zlt7@qHx2*PzGU!w3sJSp`IZU#%Yl}OS2}kTB72{1(qEMU8%K-H;|3c`zDLJ~mqo+F z>kq%*T#WqVN^_6QE$>6e9lSO_cR^I~O`QN9(fe6fb`WX*PQCRc_CONrfJ;%X%2fDB za9R+2e>Pl|qOQvp0h{%E3x>%H)z&_g;vJV2pgL`W6qy=T!>lISR&=K7qoxE)wuE0?kern+vk{B?N zC_V0!xF8y0)zE^H3|EQS!oKDgeYaSF|AMsnsN{UOkPF>Hf>vT*ZIAVQPR9jNUEsov zVs$;i)E9c_s8k)#k*mV3+!0UHY9dpRuFc=60CI4B%)O{fh`@16YCp=r&AN~MmULSu2%M2}}7iG#% z#0nO2Uh8U#(_)rbq44qxbi9qYsxH+zKpbu3nVY+lcz7MTaE;9OkH{BCj>0g<;^y;rfYC91zz)D|T>LkGg z$RNY_B)O0`(sv>ZB%PgRXAsC!H)e+mhPmTb^t3~DA#m1-Cx%vdnOvn5f%A5?D zwYa&w(5D*)j?5e%~@d3Hfo_c(8Dh#?O53Eb3^&I5NhS6 ztotO3$qQfi))&~3qUq%w7?awPd=#;3gxXhi!{%xFLcx#FZxk`M3Ac8;^RB%M*nE-*RfyrfBmyb;R+jEQ|egJjr2GD{>K6GeA*&1@khQx%0~BfkCdKqd69D!xU7 z{0xZNX?Z|WUaD{%Sbw_ERiXVuVA-VH3YQEh%k{g0(K;N3Ns=Co5d-n6e>J5{FS3d` ze*CKl|F&iSw{tKASfD`t`A3Nct^yA*w5C<6qnR!69P7USM^!EaQ5=y{?&Y5^{{ga{ z2g%tG|c8Aw*?7W?r@^CnmKM{kG_I!CyvfTe8Xdz2lyYLCCpCbT3Xx(Xi{}ilhQE; zvg>vg`{8qa>SVl*=`8f7pOIw4N zlt^n*Y49p&rxEJGM6BGI^M)o2rNm^M(RUix^Z0evQnO{h6Fm`0oj2SWH5e6d!9iB4 zP=J+#rQc^Ru~;g2w66NOU2rwlu`iaA~gs^Rff8;#M%Ckw7!`Rm9Fa*%YN> zb?EKSpUK+W(S|AGG|jl9cMWXznU4E~%pQWlIBZ}vjBvybFUB=TXlXNSpKlOFIcWIQ*kpNKDKvqtrkJA5Q$7^p!&EPnjq8M4KZH z^5slBlZ~WZin!ng2XAs{k8<;1*q4J!&{ZYBkDwKLRQ7kdAzt$CM*LMk*bj9`qFmVy z21nusXf zTAOAX#nVv^j@qd9O228RswU1*qo_lX?f`iz)Iu9x92vX(O~?McNYpifV}Av`5+x}N zUo~6N4-q~vg4!GjS;X>}ZzG$YxGqoQ-N=pX);wlWtH0@nfZ;~J zk)Hnn6S`FJYzb@q^)q?p6rxe)I)2Mu$^-NSF(UP(F4oe#Los3nyC<>nJ@)0Cs2W5h zR=8F(qlZ2>au=SkdOb0|nl5Ay-7GP8zOogiP*p05N^YLU7bmYx^b?My=?*>!;F4C? zsDq(&A(O80Q`!f{+1Z_lYk12CH?K6BbLPgUZCo{4f<)Sv|+Lq+jJ4270FP@)!K9I0_MqS5lV|V1WC_$GcFj_ZRX`o+i^#+B%V?R;i!h$R^IH(B3P>oO>${$n?9%3|{8_#l5 zz5!mo4D>PwF^|W^FELV$rlia0$QH853l|&4_c8YtEsmCarel_nSpH2 zj+fQ8pq88VmX={JE#M9-*Z0J^ZwhPd!y=IJtK=m%U#25{J;jbM&9=Q&a7*tu_h7UF zqfbOY!9O3P0Q7slmknD8IpMH8m*wHvop0_ip2nEx%ZMV@E452Enj=PQWK(tS`iQK3 zzi^W+&oZrr~P{G5Mtxq%CRT?IM;fZY96PT6;L zZ<+yQ0~f%`UY5C)c^F7)UEpMyqo4Q3OWMtS)g*a8S|Kp@=V9M!1T?va1GD97BYoLg zR=OPd5@8=9Ygga2ItbHB`KBt7PmjPGF1JVcOvM`*ViUnw%|wBQXnQkB$*aQExfq1z zz!ORKi}mML{l_Ku39W8#5I!2nNs81khQF$YuyErRryx&-FFd;xxwjOj*-Mt^UFP`q z?7s0O2$kE)R2lqM-S{gSvgZ-8hcR!4+$?ix5hVGN0;W36_W?r+NWTu_1Q?Xs`w@w$!bJYRXA0wU`-Q?5>>?3t9)a95(`nY1sYt6VIpiqu z7baq#)E-)HYhEh%h8H1*u*vAL&5hmEN1y7+hfGv__(oyoc~#3Z#3}H&qF%N6v4%dd z=GcXnY@zT&pYGsM3*Hm}oy%574aLyB1 z3;N>T9a8$m(k0hsvQvV2evT6T#cCV>D!UkmR1S7qZjWfa$;P|*4>`YhGV1WX1csfC zU|8R``818OZBb>-z0U&19mVo%Z-#Jea6!GeQsg5v%O@{k-Mv}< z5$wF4+K?@$7{)>Qh08J@!yoTC{3w}7em=Wwlvl`Lm)`1^ClV9=V@g2a4-nKw(4Wj4RO7q+88AxWxczV_biY=Q9JR)x zK>Gzao!|HDc-0=Aef@AomHoKhGR)$oCX?q#69}5qyCNW+IyE4R63TcNOe~rD&u7Qq zcmMUAnhzTECA{x%7rNs?Zr-9B1``RlWbh%ahf<-tF#6rYQa9t_KR}5TTvrs2wpzMe zS?lNZLmDfA(O^Qs{Hu#xj;(rT#|CWvYHBJJd%Ty4u~_DULPsccj`<%AJX7c2#(@81 zy*a=k^59f(Ot;EAHVi}pRj_XeW6e^6@V$!qB#?1p02dFJ-TbHsAfi_<2XYO96UJRM z$x2R&OzdO3UnUdxKw)nkKYS{uJayVeA1$^%)>VFY5y6vOExk0x5{KEtsDm1}u?Q@V zzj4d|P`Ql$Q&-3YETlhA@-Zq9dPZPYqRxQ23TBHl1GuXIaA5C32q2lAhvX;yy9?HT zfc)->Kk=hItL~<#fnpR)ky*Hkq6HMhr|Qv($m6DZZ=}5swTIH)zTyNsw{a1r;x;6tmYdzck0f$#_-PCr7Ifwg-L!rkW2l-aT6txS1MF17 znUU3u!LH)enh%DGaaelO=nMKn^OV{KpA06(^!s);hl!xAQ_%&9yJBvR*Jj7EQXcOP zpU^m#teiimkf(Y|Xg@bfQ5ikc*|U%$WR!DM5vjBHb8dr-o;H8WNhXM~7A(L8XY z_7GmcQ&gZhDI6C;Uu;CxnIV3gFMv_*sRv5{v`v4tpUl9#rn=FEMdO3auX{q{I-{U| z;b?+qJk-hYQT%`sEBbZvtc1t6@Ps3aQyPd# zAch!G7C#2lo@hyOV(?r?cJ%{pSMw<=%+I4^=<|h8VaZQR6NdgPs*Pk)F{&etMXWrH z1rA+?mN7q?!#VjY5}5_*f~*`iLkl+`$xHT7=n-R{14jasoj~sXb}{-byX@U0ZdJ^# z#=ZQ_q{hv@L=`3;aHOOW-dkr$ z-WE7=o5L8pmGMEaGWoErVnN~SDf*GC(+)bH|CUyViNc=cRthgkp)SsY0I$szHU%r3 zr4XZuWkVj{P_^12&3 z3K#g;>>~_!jc7F7fe$hC}5uA>_M&C z0108Jr0+Yk9@b2-wPjAGCok5bPy5!1&ATq|=)@m1E}s`mnL28-%Tkk`xUr?i?58;C zoK)6gAUnSoY-8=~u38?dI>Z$TT+R3;X8Fr2+Ya!f9zejY>ZFwL_nEXvw?({PDq!R- z*sV_*UYlEhkou(Gt6}G-g;cV822Qg_qK$fPJ>qIu?AG!4PH6zcKoG(`ftThWHIjK| zhtw*wL|2#e&O(28?o{ctTiGJ#F(7w|V*WK*p9&?^x=FN+ULawk{{y6Rma^kg)M<*L zA3O7)wtIPDp=#WUR<)V?SsF^*jVSP{p^;xH!6ua>_4khXF=I_!<(>0N?3F}48v}{l z-5bjRUSX{x2fy!PldGD;JCn<|^7}5Pq^R(jyE;h|USowj5hr$T(`kt%u}K1-7}ZQVXrC`V~Gs^oLk6it1HAc6y}r-?r; zo(2ZhOfK)rk}6-(PQ%=eET`in5xhrToxA90@=#tsm7uOJCr2@=m5mrcb9!|sbfkUh zf%K%uG4|H$TCC0JtcCw{f2r%e&i&QcrSrbI51k}Simr?bpGVTrJe^e;L7h$RMoThp z1;Z}DlkDbU_?^}E4;(-NXeNLE?tiwme>JXuKk)VMwpJ8(SvR?g%pb<2%dr1p!Fj1} zI8Ds7#6oFB>bSmTN(@jvqr?v1ufbMky59GooPQabn?_R#gbu3_&1+h=5>ucHzaHwm z7|L*x+IzxY$=MMu#qRAsabFq6K`77Z!vy+L#gXbKAsT}yo~qEU*uB#lGbb3X-7s*@|qPuaF{b%sy#!Aoxrq#?EH1w`ANQa6r}%nK)8r1Nt;JnMFZj zLE!5AONssRE3;CZc^xg>$Z%;KjYEbqf~jgDN%_pv*pDiUV(}ZXu^9lsIr;s|OA!9h zU@{K;XEo*4!F_PTB5$F}9)kV1?Rfq}FmC_EO*U6<*lujBO{WD)^Pgh@daXZ4X!(E! z1ml-OI6n1gbvWl+--xNJBw|sqQx$G@?M-juq1pGNNhCvRy0+m)F#3kL&&6~iTzQkJ zGc_R{-BEs>R%$;!<%TCdu8UdvXy{qRX(!F zBAM->=ONC{OFBb(jWW@*5Vy>Ow}=3ejYdBpTG}}%afB|00~$J|NQmyo|D{nmhh~#} z-b32lQfoXFsB(%*yWM^urR{u9OSw<=7d`)at%q6kGgPg{%``o2Y4IOjbG3*@;%E=X zPW;b<=z|;(gzrQ&e-ThP{EcX2QNT*#Ld&;}gVjLbNBIcMqe&qSgEl z5Z|FrxBb$6P~A!p$#b+wwLXNgqOkrEs^rAvlznFqh?AZWk7gDJ9A0X`3njBd2S<@I zeO#|0$N$NdZ_ex>9IB}B3Q;z~^F>nnyhc@kf9Ewg=bM;{V>K#1h^y^XeNs-)?X!yu z7;t_sho_+Zwp#H5Ox8$-)3W}AaATJrB#Nq6G^Rge4Za?put5!S5}Z zL8oUW@FtjePMHQLnnOB1haW-=vA(Fm_=t@q5j@<{5drLv}ENx-y{tHojMtB(f5>;E49 z18wquQ$+zB;2*U$>zZS)!Uk+biZXrxPz@e0hV+%^So~_10N_=j<$?bm`bp?46eqG+J#qJaN(hWV!`>`_wRM><2BSPf$rOwz7L+z?g zSE{EguS&)uR5^I0uFLZa>ivqVrUa{lNcV6!$Z*Hk*ALU+C3ljq@Tkelf2wLNzpbR8 z9|^j_K|T8VS=Vx~V$|HKyENYW7jazUuGZ1Zx%diY@$r=;5&SN40*Q*oZB>&FkfTCQ5i!KrGzs2U%$< zPTS=Hpavg3u^c0JLPs3{+1VuG5uG{$skO8r?Hks~K$NvFT;30US)!b(o72E*8ttjA zIo;c+Jmc8!pVN}iccbLr-x^sM1vn}6eiv@pv)e)px$3W|JCbYNAXH40SQ$G4io>17 zmv_#5?^d+a?_WpmQF!kUu5>5|w?eREC3 zuTqWWp@R(|upnQP|E8mf)yBrzzH)duESQCnscuk7T3GCbb&u>`nw`3%DMEEorYR3N-RAKtgJL|{RCoW<> zM9A@V-l-fr$xAo_gRjYGTPxu@dir-1-{r!|+i!_4;j)Mxb1%c(1D6(u4$?7&UCRb1 zoONIHnuALNO%1@27{c4JHPt!sE#74nq7~|42l86NIx2n1>vi*R5@-FPU%Q1YrSPX2_-*2eGXeUOP2j-9o3uzvyTx*=No&CForrbz$Ydn#XYM zL+HZa$7YN=7U!wv2^^qeAgx8Z&`LyYz%{|C9PEs;xxXK40lH}|l;n#c6~+jPJCN0|$NNd0!wgRb7- z^oO9)HrvM_W8dod25|)N4Q;-zY&TC?N!jwni|`!+ZVb&PRfT_$3yirwjY3BpfsS?k@6h3YpKkrfM)qHo_fM}4Q3UHbmIbgisY`Lm zB;WxmF4Je1Ks9deS9wHw&6cAJK*WdxzpTStc{#!f)-)lNmU(`{MOERxSGV8E{CxJS zWiUq=@8>BMXMx+y|9SUrLJKOpBnZz=O_7~r^U5W-5)k6`>1 zo2b>N&4Rz#Acp?)e z4UmLFrGBgC>~LAzv_7#|%QLo6bBfaR20Puiz7-o0M9!)dm8ORVDc*zNSREp#>Oqfz z4c;+-lp14o;f1&BB*M(oy0*>lQ5eRXHAFc*pOH-kG>JVv8CpH{9X-@fl~uDd?t1?I zLM)oqP;hL?kaP^^$+0pJMvatL!S3cjjVRN}V&#IUOrGYO#N`8fs+?_xOe&O7LtOC5 z1=Uph_4v^aIi_l?MChhC)^|T=hLW}3- zP8{92lE9k$!a|M}w(a0A?I7qE+CbT+R~$F7Scoqi<8oreMUb$%O0<>)4 zgPrDk_NuUUnhdR~lccdH*jBF!kiKOXlTxaY)$>4~lbSQuTPX<8#V>fTHHY}GceLw$ z*wuZ`no&KUz926_8pyU;AMk++wO%xMx~IN8w=F`WjdMxHYJicAcJtzEVJ~)1A%0K8 z!YbwHq8E_A;$c#l{0w4?OC8;(7lc%Q_E_@3d$iYZS|GKf@S2D2z##_LVw;gk2;T^7x@qD}F>gT+a5mz^1PL8F`VJ$~%bCli$_FEA;Fco=B7A4dU5Z zpYF)S+_JS?Q*45L%8Dp`x-brUwLlW}BksIlH4d?chl!QF53O6E&ppw-d;O^f>yNe} zE&z|xp0MT6nA=ZZsmhSattW0K8f;ECR(xr;@}%SDoi18#WWIunj$5l0PwZr<>>9jJ>L$JCG}(ZR&)Q+ zL$_+H^={@Dj#FhN)mN{gN|6UT+Um->&7weWi0DdV+9mYUEt%Mm^A(M+T^t7!h0=Bk zUFRFo)t|RD@_Ww|oq$j*a!CbC8++&$3Qr)`Go7`=Lrw!5v9~7&xmkft5om7bHk>@b zYhJ;?L`VYP(7!G26I0Ok)XwD!Eg1EOomjG#o|Re=4jr}731rxkF@)-hBu`mcah zIOGleKT%fT?EUAdoUxTKS_4GoGJ2(}47hOLMJ#vO)!Mu=0Q=P1_HQ?7iFuBkdCisI zjHK{#>@T;O2Mi?v2D~%Kg>1>j*1ZVX8++&eD4FpSZ%DW(irA`F;v&#$Qq{gG-;{SH zcuP8|#464f7r{KL>Kc$+uVhcpUQ;Md#eJ!)OFzU(Bqebvi0L#DtY>1q6O8paG-S3l zM@Q)lj1Y2ZuLz18oJ;mlt(pt@6;mMpHU;wC5o03eeWXs8@q=-11sUSNXZm6?{Rl@J zs;N$Hb2mY(3dkO^2l+@j}rIj`zX8{0~+cZGzsP zy8}ldz!IVGcTL1B_-#b4ktX@;o1Vy;Xc0myU}ObRik+A{Ot7k|P$d=N#6z19idZIWKiqz0k5FpqY|Dkk z_K~g}lcq(uMuB+FL26HUrJ%h2d&c?BV*w%C-SvC4qv=rQVy6(1b719{ML% z2g8i5e}G){);(D3vP%ds2P<|jlwIu=6wD~%+)p;bw)FY^{{W#b7*pcot`6Nc_5_tW zA${;&-j!JL>%e{ngr)0Xmie=rFMLz;9hIU`EwkI=kKlBCkft@|0x%pLj}S`<5Sd^j&`x;x@T$wwh|SZ>8}w*KnoH zhWq>&{c}L1xa-g~k1%oU_8i(VcS7B(Clo(jeo{U#cPc5bwA9Hf2B=~&uSBvVCH47{ zdSeD%O&I8+^VaECMmY1|18!QI_SAh^2*cXxMa+zFOB z`R@DPy?1Kft9dmw|4>cWDT;=3_HXaC)?UkDvn?ZafPrtpCTF80n92z`nWycBKqT6T zEur=ejei2TxTLWqII5MFPFd@&aaIegy-6{Auw8?z^3{#8;XeDeI)4>FDLFIjGj@t=|!u(zkaV^4D?z4@+Sh? zJCY>@S5sgE9SpT-xHJqJ38+AKDN9;RlqbbjL6NheQnlU8Cl(Phmf}x`+nyVd}FGhRTuzXKo;W)A9w| z&+q=mFKPbIkmbJ#QU3{O=HKDkLwuW8InV#4p4#1nZg(tmk(B*mvt$7_>kolOOn76x zUiou_GY|_qXL|KDl<*fmVF}lIb4`QyOA@5%x7fG13O*6|WjbkkCZ|3~@OM9c$pn95 z-zRkF7!-AyARL1nI>q~)P4HvodnD@JXe4-Yf81+a>Iy>^&_+s(LFU}mzs1%!6vNpq z^{iUqT9Dy}U$aUskel?&3E)d&1P>^H3Kl+H4HFeN ztU)>FKflrnbPo}cblS1cab*e1Z?;SI9H+U3Pt2}m!9*Xgcn z>k_UDMC9{&T;ZK5oP(=NB##R;7nd4$kx~&d`TDP*9fQz~*`Zy97`VOSZW7$jP8?$ngdTixCFLw8a#WdSkz+I3uhs?JA@`Oui zFH&@Z#G^lxI<`(C=OYfOdOLrryimuBtDQOTamQb88Si&yFYL-c3$e_jy$@I!h|k~RmX0=lgWhO8 zsROQ!0sCS9A}qyiVqpg|4ygV#1lMkBlU9HwA~Y0M*ySqCyX^En*C)2G=HR~y;{7yU zeIKRxpq||c3O?7EdVK+ols@Y>inQ^wCtVpcpdzYTi3jfD1#xU>h0+c1RrY7`4X0mkQN#ORP7EH9 zVkDzOBz<#1XWEGz4suR-YfXvUapzl+ucy6cs_1mGi&2Y;Ucek-ERo6iL)Ey$(;${z z`T)ca+X|YeH=}Y#_|Mt-M@i|+iIM(ps}uM*ig$^^ynW_o>nW1TVhqY{l@K%(AHcAW zmdm2UL=g)g&R&Ojcp{SISvf; zsJ_3<^_O`iidE?le8kY7`s!G{6UkW0|}Snr?iO zo8`T+Uchz@(XG&PQ9r=w>#6J5G^)lK+*VyKp+&}_l(WZ{24-a0!JdB<*ZBOuCgy(- zL$Ck!oBwUvR`mUg3fdSWDXYHv4LbAo+u^sOT24)tM-oIin{gJYAb!|8(Ry5Eii>6= zkZ;(*=3+Fu|Gv@wd13>%_HFsJkqt)&L*QE}D}1CAI>8+CAB`R#Z-(tr+k#UFjJnHn zwEGCG{}zj4*Wv~kib{a^nW~*PwjIMpBm4wEzSaNQre^l-6-pE@S6s2or({ZLty% zCDPtf&$NBh$h=tqi-$@3FZ(FgZxpo#T)Pbm{|1?nCZ439ePicTdYU2)<3^m&I~jUV z?F3={Wmi{6``^?y*#DQ>27Vba%kN)A8_r5JxrJu(>AEcQFuwwq3{BpihC%m~y#8RR zl>O7j=Cz;|;2d_;J1|cq4i~s76Fv3Zv+9`MXIybY5z|TfbNxd2y9hmv7EMKiv>%#J zWU&iFOfA=olR zbp&?Pb|0?AB^q9#1s#g0k*Ao_=F8B8_?-?0LT-y(jx!RXwgp8vny(?{B+&T_N9V6z zhe>jD1kBe4(DQ>M2nn6gC>wEllfO_xrbe*D^YOh^)Ek1l;cX|`F(vLKD=uDjB6({_ zTT;>_^@-++!M(}E1HkuGnM!A??I-$E_-(?+YT!*XavCpa(e?o0IdrIvR9J(veXn}& zYAqJr8Cfr2oi&HxEBaNd_dTN!vSW}l_>L- z@n-g7x4>wbO&olEI_7Y9IbRTN?O$E&xNtUy7lNO38gk|%!ror&zv7X+f7yK<9~`*{ zTg~>fin_SoQ9BNj%8~SqP1(UirCHuocxUxLH+w^g%6=kMQDS?l0@$+*MSr2Z1Yi-v zHcw|Hiz|bwLP&;kMMSUU8ZA2B8vyq$`ulh9kJc3Dc1IBr(Zhk(=63INED@U^o>g0w5sO^G8*^>Jz3g#mjJCFd?3|G<1Vx~Gh|8Uf%p?qDo!@oG4QlJ^%y z?J_<$jV19>GUVhLf?r$)ec$$z`hIF7EJKzS4YDg8cfHi(cux2Y!rBDB3{RYkI#iYV z2~}K@SKAv$eVF9Ckak>-6@A%oGR*?$$pEJhzz{4;HY(^`{B-i@<#BJlo6W*6R=vt` z^p|v3z?D}kz?I>@K^&&nKdFTi$gwt))(YlXcsDQBs;dkeDtyrKcE3IF&^g~*ui%l% z9;UGmKF?3nZ?Lv>>4i&H%Etzb;WPASxnWy~*y?Vqcd3HX90stzxXOdx-h0v;@|H{OSH0w^r4^ao`-b#_48Q& z^brLd{2fb1)=kzPK#l_L30yAMeLGTr{n2`My-kfzFqj;hqf!m3FAOsRi43z~n_Rp_ zG_JL?Pj^qB8y>%5wJTOA)&mQdX>koaQ_h<-SlF3maVfT`P&>TAgS02gp!R}C)W&h2 zE3CNqdAA?i$>9MAu|BY?6yP;I|HU(LpNWDL{Wv;Y-=8B;J!vHjC+OB4BVV-?2@1VU zPbf}&sQgLcuqk4MqLX#;k~`eIBV*j#x6WHMW2^Q2+_VX>w`Nm?s6osl#zWD5EJ$aT z#@&?IHX6z_vD#JuZv78+1!QkK-GZqBg~{BOOyFHaveOcTorJ1a9%o&$9Xm^a_?S~i znpMA#lN_V#saQauJY66|BX=*bBU+nIs)rYCA=Ew`V{B*tz%#a7;}{`tI(TIn>l%3( z8I6Fvq`t5PtRurU#4xx?iIB~O^8LwU#1gr7VKVU6j2`&%Ib-)~FQ3#Kyn)$Sd$kXDgNV+ru`Weq zGi6O8_d8j$YYc-$RPIwpr$!K<8RLI7NC?{rW-QHFGHL#f7M6aB;Z3#nvvJ{t2Be$s z7!rII-RPAP<8aRSZT_^%hqRE2L@sKbKsm_av{2}XD~^4t-!Fs044+W?6`-|OA93cg z?ZR~IJk$5`YC%u${9q3q77w8S+(h&UJZJ4lfqw;xgMyEXzMIr^bx;OWej2^DjHv&4 zv#J`WPsBD0TAyb;#73PFFfI1}@6E$f*vW zYFM(?asUfl+&qtmL2jLg;HNt1FW@1HDswgzN`Pq`B@Vu);L-fGU;E{)zUL97(?^uX z;h2%&@a>F_gT0oW*ZAzQEp;LidEVX+9a8GNR^M8-wh0hfXHj~-bP$a2=>hv~)5iXd zT8ofxmy(nTEh^+?1uHU~=!@BI>wuH#|89Ml_Wx~txc5yCe_qyfT3Eh}pBHxS0Phw7 zdo&6saT9utorw zS4K+)gmU0k@#Ck;(D?r~7!}H}1D?2o3Hu_OxA$m3_NO9{6dFbQ1?jF;q;TP{5IDe# zQf?PvrSsXF`kAf$8bc$2gnX|`aCQDSh?Q}GbP6j0P}?F50zK|Or2GSuGScjoOVN{k zo`>l}-Oh|R&5?aQPROq`OZ&~iK^;Z9a||4quMgPns=3pse)2n&@#DgZ z6^y_4lKNOp+eKGb7h!lHlB8Bi-4v^v4qwYH+wXv=+*EY3l};>I%61i)X};p`l%Pt* zokCISit^5Edt=3IfS&S>hd^6&qDvyp-x(V2iBmM^lZi``E&%vmg+1_ZsVopBNzX_d z>XNGZ9%HKyDn%`Ctmq%5OF1r$C>o=%M2FlEd+ME08#}Dxx6mScj*`xtB*8l_rO72r z#IC=4JAZOrFPTQ=u>tu8*NN5G1^9!}zi@nb;^yXpY(L^ybl0Zf3kI7Ld7&Qv`c!}@ zp1xHGr-Dju<#JCf44Aimp^o)|sy~cEY~ONnH5&#EyHPbGZkc=+jx%Ijv-bYSMceTZ z=*tDuTaasMcK;d)b5Zm&Su*|4IM-C-8Do_3_3sJ&9Kye_p` zk1Ka#d!lwiK-r+dJ=?~K4!4IoC)494^uEqaCRyWS0G+Tl;Z8T|6uXO=lVBfs);U5U zws9}^)|yV{QA5j#x$#>RF6vnC{z%!++gE1m3?6IDNi0fYS6Q7&WYWDf*Y79qjc9bt zrYdxVVxwYZZ%EjBaB!}fKE|Zf0t1N?pr`xComPokd7o*;R587{c=%N=eE~$yJKbke zm1ZX4S`sg_(035OF=ObU%hWn`!n_DR2`DFe(E-!f`R4e1ZiM;=f~ZpNFF0Ve+!Sx$ z1CWscp-2BoEW$_bu>O()EO%rOhVoNr;sIa8Se4}`t=a;_I#?9z1M*on9k>Ah>rXdN zDezB4Ob_xxnFZjH+c z|ENmt+T;9QfAXY#DMR1~9XMas$tvQ%1hqx~Z$NFAD~t9`fGPi2!tnIZ=nNdq9)}gL zAl&^qcWJy1#yqiV(!>;7_z={34*%5vj5o2s#(bN2%C+}fY9_u?0brrwse?jbYqOOn zcXHohnSvB_2k76xCoA=%0*qE>u!y08n)Y^*TF6(ZFH%OilpXGS6smrhC9`|Uje1pF zS*C~a%&tuCiIU#FgpMQo7yYo2%l)aV1Aj*->q^J&-q2s&zz`|c)k&Gx|Dg<%R6!Ni{$o{wcG@{TV|qj0in)(=8v1U%R5SBA-cg*3Q-> zrBM8&Z8)m&JEt+rY+6#HY=SF7OBp0ni!7_!y`U1lk{AQV#M))Dk1FB>>`P1-cF~_5 zFSL=>Hs^HwQ@Kc}@(a)}G+eI9;=C1VBkat%?fHlhev--lz2f`FXnms+98DrB;3-g$ zPRIKts&bTFZaDMhRkj5E2Ym$8KQbv*0QLGO^k94dq0B_2_B+E;4rWP+Qoaaim zwM584<0)2u&}6jo`_9{y?iPi36B}-Ey%0rDfU?2)h0}YFOe*Ilt6E?(VyT`dpu{10 zFkqH6r-SfJ(-e-aCLF1$Tx@lGi*lzO>xE*eo;Z1)+JV8)y}A!EVzeqVjij%4g4Z*RzptFrSs-L4s&NhM<``p~a$7jk|1eZhfn%CT|8 z452h6EA+JK8_(+OluCf5YY^|#TOTqDU9!_(`s8_JU>xFr#gjwYQhfe2ICS@5OR3d@ z2l+J1(h}NQ6o;0r`p~jPdzK#!^?{tC@Ie;h-f^g;Vr?0TR{dp_U{MDHTqImB(u7cS zx{t6+gez({ttC~tonSfN=o3K?Jg+&o;u#YOzvB{Ip~cJX7i=Ty`ag%}H*};S+G36| zV~m`iw^VPYAHSy_x=}WhkW!qvo1ZD*RA*~`7A1H)u-FBKqnevMd*$>cMxf?A1E+kr zoDAVBpfn+Sv`jl{Yeqt|MNz{BLtz4TzLE-w2Bs>w^S<%5yK~^47 z(2(ULvRr(u3M8-`S2FkEb9VGMe0#LL!s>NW=D6DS3T3QwZf>=Plid!O{3(*GEK zu=**vIrz-RqVkF`!rYY!qy>M2HFdtBoAW&a64NT>$Anp{4~{S@FFmKM<1wcm>V0u> zU&~vsAwJgj69ls0dJN+`uDF)%2`HnDoF_t_7u5TavY|5E(T=-pzgKzcxt?UjuF&Rz z1%FPsgfqa(-RknC!kAu~CfDi>Fk;C5ebnRwSSjC=G=bK8_w?}xWC0m-U!9d-qUvUS z)&kPzc5&=`1mrzPBKML!kC67unb3{(+%IgtjUKKS|3|HgoPCqXYfD}2VS6Bm8lMvj zn%M5L30oTFek8oLsGOx^8L<+_{++cSr8pLlZmLc_s62Wj*kK)&oINVzs?0oT_ms82 zn~m~JSZTOBuJm<0B!`Y*@TzJ{HI}-IT>#6^m)LbU{H5U*%U-R@ly&w*hEcD8q4J_E z>)M68q{7A=-8^>Pm{7!-b5{j}7Pt?qTyyl1}j#M$5m z?G;z}EKK!h&(DJ-Ym^4lYJETjHSz`Eod!D+m!<`Uoo;^1U*vO`VF@X5def{e zh=}fPn9JTwrK2H!k6%($BD1;Lxt4UM*p6u$!~}ao)TZp9encol1Ji}HLnhJTw7NL+ zRp^!Q(Ohr#jlgg+v54PrC}JmIeK7tzgrDmF1_fK2C<3HlHczq@#lYCUKjYm4EJQOR zmwXC{i66Gb2&Czd)ZNqfOk3#>%*gi;X;s^;CQ)jLkfY;0mkrBat(RddWJk1;A3e&?{(7QiGWNBliu$B9f0iy8iv@AzHKIx4z4MMekgy_ zkQ&5S-NbqE7+~jVdT+G`Sk>!bmUGXEutk^~xfD*(XaWjIoK1XkrN;X01+c5b06CY1 zGVG7i$j=(xf~f}-28n~{{GaI+Lla(Mw8*(8&#k8pC(y(*Rj5yHb;!t1svVA+L}Hk+ zt9^~%$do;8%bsWKtcZh~=FjBCZNMAQi<;qm0AbM^4G`zL0_{QU*ZnYbEo6A?Yt`#? zoldUBsS4bURV3Flz(vT&Z>7s9SSWHUcNlu3rXz=8jmcyS37i+6+7t0Bx8rpRcNxpy zV;klN2_4^fV@F}8GTj_xR*rK%xa<-9Bzg5_!0SX6H948ls5of&n_{Vnbvk# z-2~`5Xzrr>P72h8({Os=ee;$Fw2ePGo(tc4L$zLPZO=K&-&>h4I#CFFH#*dK8XQDa zeoLMSf<>mx6+>|1vvyzGR~HvbtGB{DT~|$Z5Pw5QFG+G94=xKB5K?Xxi-2^#61&ti z19krzT|x}k zD?~&(McpnUK})7=%d}`xm&64Q)}(1av!HGGa||S`v;v8j3?53n@l#9<>~t@n=cU#d z0WK~bfr#2JnzYIGsk$?`x)En0`l5Z;zA;$|WAT&+1>wqIJ}oF6W}ldobgBwdNcTEg z+kfJBJPE?zuqwUwN@hnsEoz;yG*Q5h#_++OSTOlS*jOnd&)DjIQ2!HkB)q>vW))Z_ zw}s>hXGJfEiB-HWO%@8)VN5$<1`M`@A^94=UcLHM)tL;#jL%gIC~Opau#V!Nx4gF1 zXq}rXDIWe9<~0hi9hzdmZHLE7z%`{V5WX;uwg&4;`n?l}^;OPh{URYbKlw6#KuZew zXf6*i-HnTrGZQSMQccucmsEq>)tG~HE7jm`I9?mofWa3c)k%_uu?`?GO(M7Q>dB^|5a)G z`pauplZk#**C*|?(FOnBHpYSH7E@hzi<~ms5_K|)zQ$3JWdf=355qqq>kq8V*?H~# zbbB>>Iq10FP8b|_&l3lYOQDRP4=^Dt|D>8k?5UmpSLaEB+<#+XzM}3o4A8{N|CvEm zX8viyi~Q?9`DHn>DS$|nEPiHgzoyhwyZ8QkV0!sg{f+8y$7dz@eJKQOWd|QzM=}P! zpL|qcwp=la{f($%uG7`y0W@eE$0v ze1u1>-=fw_!lR1i8<+CQ4^hyp#1>vwAMj#@YT12?X|ygJ75`jDAXko$MT1NRqc@KW zPCaL)@XOEdLk35Po8-qjNgeq14cLd$nD5 z3FQ`YBjq1F!ksdTxj^&(7ibA<8>Q=0AEiC0*>Zn=J&AOb#oV%S)DA=FYX;8g&jQL) z6F+fjDtG*|68L>BT}tfNNW!BLQJ>e3d&+1MPn;)ZvhrBVY9kCWU_l!nXhP^6gMBN2 zS;z06v(6ve+B3Nxstj7{WtVs6ms+IedoWwvt&a1%ebn{KVF7*yVsGu<)&#ZGFR}C9 zQETg9XBBp1sO()GdE5l*FGPsNqV^5lPlbGaPhe%e0%CV0r***@b{4aycAj3q$GJjl z5vJ||Z>i61Zxf(Uj!a*6s=1{|OTQaK11I?me6BrNEI*8rt^9gl0$J~1wwrsLQ6WDp zCc6m_v+Hpvn=oSA$)%koN=M3Lra`g9D<9xE{d}XL_fa1$zaFBEbZS0m?0}34taxbI zcMRSIpM8kAp88g~q`k}Q%zApF!SABfdpJzhp1Xnq2~#{{6hNFc_S4h&u9Jgl768fi z;i#ejaaUhZMw*5zQ_UnHq zD!0QgfCm*pCVZnJH=)V14Hu#_??&T7jA*YpV~t}4ia*9b##T@0vX$0|GUOqAtw3b8 zFjDo_=oFDTrg6=4a^S7&JGp2%eR5J7+@pe7YNp6W5PI^|fR&u9QtXs{{_z!E=tzWB zBa0>qrf7nj>?X5(Y90ohI={|%x4^Ys?J4Ep8r?GDLay5Jfc;$g0o36mof~oOtQxNx z-~TDqW2ywJq}BE6Ce+;DVNYe=wLp^27}S@j$Th51?3w?jJh+5y{X>4TS_bNkQw>X_o4(uO?-GY}LsclDSVwLM&{M%d&RDo2j zwMn-2EkFR9nOR1LT9ENXP5jLH8?;wY9ORe&g^5_^d~4tjmkq3szXbpN3OjK}`Iopr z*U{$=&#!(G2h>l{7fR4&nb!yJ(57QfN%CW5Ej&{$`vWZPij;6=6y>hnY421Kn+h6} z1REnute+K|mC4`RILdPb1gWuPj9A93>zb_Dy%tdy72^N#Z1AL|U6{hwOG0;CnikBS zQgcb+S4Dnp@@;41r~Dyl!Bd!bOHO*|yiqsYxtjfY4~N6@Gt+sxPfoP~-1lnqlN7rN zZFd-Ay-sXZ-*Fs-w>@$sw&A>=gkH1LEZAN=muk4NO5iQave1i~&=6pY&LW{H-_*70 zir&SiKrG27kosG0vMC(Zha`j@_7S^IY*&y@V;1M-g7wrB%*$jRf^25Oh%#N74j{hV{P z-_dH2I^FgR?mOcm46WcnXui=To)xY1BE-jB_!w9w{eUw`JH&a2NX(9-Mm|GmRrgym zPUs<(1vpN!~PNm>55$IDCw8aQv}d$IklVd>CP#gBud<@*g^X4;yD-B;>h zg!z+oZ8RKrm!%K^xN6R1JA843u&i|Fn3>*|70a`Aj(A#zj~f?RATlz9JVA$Ak}!>v zORQjor$-q|AQTCc--(xVDcQ-wOuH-p8wCG~7zMIGLsDQ_5^Z?*IQh}0lcJi~@dA4y4Om^gAzP9Gzd<*^ zh;Z?`q4Cb6=={xp|MZW7UyKJeLfn{zoWFT1P2_=rP)vw#% zvRf9iCCKyGve0;^Q}W`;e++ke`9mfs!i(d*HCJed578X()Xuyd12XdPTc&TrNRa0j z*4?6;NaWK3A`2)uv3Za<&7@Z4i|U+OfymJDyCU6*;Ajrc`JEBCpCoHFIu#2y?&4B3 zfe|93YG(JHYNszX^dectk!?i2Kw0g3&FC#bYB6$%*)SIhJy9e%JuN@U0RNTfw@a0ypxkM(>8pmKMsyiJ>!pBOxGqNhE+`U z!|k;X?mk>h8ntI@#2^JZN<*vd?lT$r{q!Cfw}fT8bQ zhqfSXaUb3TNpo3U^Ka1S+Mi6cBX)Mnvsoi|cNV34SA)zjw`pjEAPjR>>Wvcy>-~#9 z8~2DZXJGSSh54Rs8;7}fV3p~6zkp#7TtybN|LenI7#2)kH}g;*%LBeRjBs>xhhk%C zq(D}yy+P>lamNE0>8ag{N$egr1s)k%u#!QUkm9ASe3_$I!_4I{RY*3`FL4gGH*)Ebw(kw zJimTd?D5SmZ8d!?#Q!*S@!I|wMLs{pyn*2ut@S=9`p}k$6r{d6u?5&TAkAm>w<1B? zaJqjQ+ER`Q1Pc{Bg(mSzA-Ap=1lqZOy@DRm#DS~i_?CgDA~rr(hIBefXf9Rgb9(4e zRYVi&XwGlYYe6c6M8P=L2%jtBco%!ufDys1bi9g1sQ&`jtJN{vo9CPM7bN15M@5jX zvc*hYmoHy^AaKh<-J_McE}&e^w(~*|hKGK&+g8I4^JQ+=zEf&-HKY6Tg%AgQhS+Of z*O%9(#yWA0J@vwMU16e-PXgb%icTHJDJ*Ob6zW_q@7B(N=2A$rEEAtx!l`jSIceTx z*gY&NcnK^LtdkLElJo712an}6>L2|-;5Pjqlfd7;(_asq#rs<{)H{TBMr>W;#GcRm zBX0@BIN;)8cPC}0Uc?uQyhy;(n;Nz#k3@2eztMveobXrqV8gsp!;5&Fv_Qr&aHa>^ za9H8>j(zcDCl$U%P?w z_GRiI1LGLZY;k~Gu7kl?^Q)cziC27zbxGX8K3Q%ULPAjFrt%AjAy`}rPBg#n{dntQ zz<)`k{Li)nUIzS89{xQry#Ew$#6Jkl_%I(!M1dHq=oiGIxf%5$Ns>;|zo3F%7j%Dq zutP+mOXEW#y~OgYXjF96WNkiZ(;yzi_Vcsgrm;jMuCq*l#R^I=g2u?u1GC9vTs9db z3c9ANKw1i^=S{L8at`dA+l>De+^Y++lPf$*?uaopZGbU$>P;f@AA>$b6>&|AMGDB4 zPJHiewZnMYp0@U_f?T65#7>1G|jjl{q}Sg-h!*3sN4wc_elLfp*P&)R%J%@;ok z-T^V9aMbqE8yI*xWX3+S@?cF0-uT{?oFvr{YpDecLuxWt`jHy6;a!=~_D9Qd!o-mm53t}l@%`D(-%Q}Y38M*@ z=3MQ*8vRgy&9f+!Z^6xR_o(iEcuOAI9&or|K2X;#Z?!nvpXnx)z!L*xgGard@uEHe z>YPmJFeK`edg$1}`$$X>3Oufdx*vAYl*xA5Ob^uZ$uNr|WKs*u#q-6N7_!O>pMpui z6|9knf`>dcvaj5qB}L8k`J1YUE7r2kO2AqI!K>N@r$ie}R{>=5RKZzcZUrd?ljQIp zqwVgQq-6bcUWrAwA1W7InweCgi`qmk$aWmRVAK6(!vp)J19~#(@N@RP zRA+})9YQh8=BFA*g(;19XD{71I$&Kno}lPmV8Zj4cOl$#78&@S`W-3gn0G&P##s%D zi_I>GC>=%D^%@3#gFaQxx_G7^?@H0(iC*D#L7!&s?bP;XO=pf^H$g~)u2N3(dPEgu z8#*Yy9D`jYnk1ZOA~Kc+)ZBR{#?|I9UCVI8ZsyrLEV{B%*U{^xwOYx^QDW2I`wko2 zxKYh3`1WdW9`St`IST!R*u;5w=cfulaC9D=Xfo-Hbjce%g_(yXW%U!q(1gScGA>9E zMX6FM%r@;S!Zg%@NIsbG-hfNX(U6qDV?z`Ic*^o3yR#7>6HT*+0hWdc}SIy>VksDf2CU44ur8 zEJB9m?8SIz4t2b2(|Dau4IB~5j{3t5mBrNUr`JAOMpt`NNnSGU(#_V0TK46#Nl0WnN-$`OA2EVkWhewB-JJ@ck|-i8wl zBZxl_?r~G%!`H(dCLtw3fb>I5F9vdtu8z3_dLYfc@LC zm+Znc@$22k-WH6G7yd+}@Na1R{)#UQ%3nhO3W_3ls(m|DWJ~Kes2;|VG4o*U;yw9! z2~hZanqDXjNez32(I7dg6z+K@q@p;~z}VG!hoe5v+{iDhH_Qli6~fcJiEnCGv(2l7c=?6HHb|;_Pn!pQ9r1xdLU3WuC62 z9Pd6)6>IWQ_H7U`uDm^DtXgD>-i@W{Hd8hD;*%$t@5uP{!;)V}RE7iDU5w-fbP6}= zzcelM{U4bY<};$U|D6@}t|GLD#ie|sag>ETb8;;UfT3w|J5JQRz*x;hhZ7#O9ab0N zSw*wP+eml-Eqhnvb((s`5*EH|T{%fF`f_*UBWVLG+-3xJZl9mWCoNhJz?maCx-itt z>5HDp#}#P~G@nBQjpHGjIyhfD@hwS1x zT+~R21%dXcI|-vrp}9Nyz2&OH=?;Y49v{(}k;xLgO-v##o;kTm|4U*Y?>9(F9agGQ z{DV_lIS~4rY>QWzW&yOY|Bz$-ImFl<2j1wTxPDtt3Y9gKx-I+M2Q~;Z>#w;VwWGnq z#I%9QaXfn|_vJHl-IrO_$@5MMSw~|yeCbzwEA%i8iGe=r2GS0`cFtABD-rIb#OQeW z7EPkF`(V`^u}s{4Fh{Q zZDBeECT%Oz2C&dATM}$n2X|}OA?=_x=BotQo$l1I=7dcZE)H?^a%nC;yR8mr>{ZlHk#GII&FOmeO}vDs@! zz#F$9gZf+w%C?_!j&oZ?O1GCLYIY;laA%%dKa;7Yh({x9Z1U<|@5+KSkAh~1_A7O~ z6g`uj5)6lR!ewnKVeOK39c7}!pA%3Pld`fTrKNN+M_wNy>U9qzk(am-b*5$vW#e5Q z%GzzP7Sc3&$i(D6yTDyQ6|W|*i$+uP^YCJ)Z3(|b%@dg*y@L8d^2ecUa9^d67EQ8k z;jWfLmDYR3`N=unWcUYZ(&yJOKaAOPthNscei$1&&T<1@7xUxmB$KmH5)V-v)Tfnq zMWl6I2;BCB9qgQVczsl$Y3}rw!tr1dZ~d{yJJllSi`COjr0gm=jo; zyBv~ewhBkpJT~T-of(70^J9=Ti-XK5G3ulZYC@}Uapv66PCDoo`B9aff5ee@RxH}^ zr?vdJ52B=B&MPet&)Vlv00j?gT7k{8rKz_w^DQ2`@KD*>tLEejj|Rt9Bhsmz=7vEq ztOfjAMJ4AD5Bk_ulZWl4f{D@H!q;6zXXZMgT)P!*jqqmL8?_@01Gt5b_hr}2P2OLE9F#4R%Xu9#5hgW=KTB0Y#=+*eYnDojmiW2!QCro^USq{i}jtW>w zoET$pOS7%AvU4l{Sne1uHNMB+8$&I=^yH}XCQR&^L0&MPO)>IXi>cR+&8<4NZ_Y-s zFeb)i3=3pI_<+@Lb5G)tFq-wj^;eRHxVR>xabhs>&Ki|-4E=gqu@MJp0fo@9ou^^O z2?$9jXXT10&#*=#!HL;DeYUFJJzZBk-9W?@#w-?jA{z$x+GgUC;Lq}loiJd7&d?V} z!uS`8h(~*g-z}_^*@p_{9?coCUc(l<^~gaieiFy&_zx8&nrfj*$`=DjD+jIT28H z*k$wHe4(x|zRfE~o<30c^Qg!X%&qJQkb_k=TU+TCvC9N(J8W{QDOG?dJdbk|B zK|X^W{7nPErChs}Bycoux$k>|JWt=6(GJu24%g(w366pz@2L2T*hmB=4Ezt~NwrHR z;pmkq4(d-e=HRZW!b8swv7+Td%&3*Ro(@}&UOcwR7$b#!#C)1H4n}$}8Q^xR^_=Dc zqyTB?#Kkz=``bY(Dg&#wVDd-zPf)k+3#nPgH)XIK<3Jf+JI0~tY{@*|7rAgx-$2Mv zq~nv`$h?2+C;Ks4`R)gN{3e;~>2tQZ|L67%tZg(OfD0lAWX3PE{%F{MwZiB|B%A!^ zYyOr+E{(d9)x1zh{)%{p){p!exSU0--LvBL>olwC35WO9zh=%j$z>f+=O3d>nW)22 zko%SQ_m`#%fAmDMN>RNKvDnHaEw@7LgJw@OvQCG&+Kp{3j^%i-)LzY7t_w}gbtzXV0>D*48TD$l zXyB_PqUfQ4p3Y9o@uS_4(V{1VxX-JN5elqB4y{3=NE{pI zlFfx0QHqPqlqEh+dj^}8_8INp^bKkn_%?c42o&;}HQ3sXf}QjHBxaW zwVFc=5)3xu2TiO9`fwYC#PC-FehR^UiAUvBV|i$F)8zZ%+c8YH zI49DBbaJbH@sbh6tOe`w84$+)%{9gKm-PUKRba1=#1Z<^?VvwD^H?5bJzuGpc>XcG z?;SJ*N0U|v15#RF42`VKIm(@!>I{r}xXNvQP4pzmH8!VSN8{mys){rtZA294iyB?b zl1f^^xdI-F^5^l={4f!n0`u)?!lYJz@3V?tr|(ia;z)k=gY4m99uJ{$RXvU&CQJ^1 zmf{9POjT8!XM|~jZwYX3N!#Uqu|29av6cP&Y4t)pv-cQb66Uec_$&Q8Q6io2QJR=9 zQ9X!sa*Q-;@AGSp?E)RhXD`*6+FFLaRlv(A!V|>b3bx2Yi=)(S6s;o62u=k2!|HgG z=cI*+7&Wg9B0?Eyzfa20Uy3<|h&W~&fq2bqZ}eUbAC@*Iph|PslU*#ZdPZ|+9V$`^ zf3~SB`xey>N!8S;X;<{&x4=2DwqkOoChCi!5$dxHigmK2VYRnoh5YQJ9F3j4;Dl9Z zcF#k%?YZz_j&#TrweE*g(4ECuc~rQA>uOUK3TC%)JdCFSTmd@k7MG;FKsZn{hSlW zYP{^6+uxfpyjm)*tykA+@#~l)ZLSx4xROV|1lC52_(L<)->)}DILbe0>;39>(Rz{% zT8Q4F!R)I?$q0yXwMMwns2#h;oWg>25w-DjFV2vNvm`(UiAD;0Suovgu;2)J;Q4(X zfO|J}aK%?&5ybmYJI(;4gSS;0Iv(Xn>aC{TAR$I?<I8H$)t+QtBCx-QtwIP=7YU(ED&xk;48dSN^G zGeR09ge=o$jw+@~-;UmzN3S|gvsj#o4?mwj7S6+tebmY(m(JuaF}s}NV54y5G4Z3& zWLZ15^j>|$F&i$YiP1`)-ft`^4cMMW#;tiSAHD6vUUfV9);Y305HIShH#>%nQ=zi6 zCH#}I>auQ9n@Ia7=GQ(l7(BRwdNf-|fnD>-(`BFbfZRxf}Ki~NH2I4v{3(-uXe z{X>H$09yM$maQdjf64Cuyp*zUBY&+BPs{IJzMOdQyiEY`0y45bu_~890#p>R?)Oia zxy-%5d*Fq4Z}o4GHSE5!024XeJw<=NwSbFYpV7G%x2M^+#4ljWxNH_&scW~Rkh$9~ z3U|{iuI*$}M*iiF2Y+9y%st-QtW&K84*602G8l{&9V#l{;`&9~%fmskDWqhEPFGM| zHmnB6I8PcO5)RURv3p?<5oxtJwil|rZg;`gZEEGaTw7Q}xE!+`Pm^5VhUf`axnQ&R z-G>RrqWlE564)(6hmmCJpG_PxdUjpW8GuDjOuH8GEXW^Okd z*6x8v_}FmoBC&&f@ zuECx-C+F(X7X4*i7!+BcU>Q_RWMp5gq)zi@?ydG`O*7yz6uFj*I~19+20R)VjxZJI z%WvY^XE2yE5+xVzSOkg$wUQu9U74FSI4gibRuEZ|L8~>lt3bC4c@Ht7II=K9Hx|4P zNc4k=v2T)aN~A~3v_dYfN?Issi;S>+O@SV+?>g=Yu1fenXK|n(85{eHpnMK6y&n4` zO{sY7TpK)?-ifwG_+PBObx>S?x8~b8gy2DfI|&xt9fC`M;O=h0U4sN^ToT;1aStwy zySoK<4Vu~co%5da&aFFjXKL<$8@hH?ch&A*>$}$Te4b7}D>|!t$AU;ZJbN>0Z<6{> zOSm z@Xk$8VE~P3X#t%oRe?&Rg{{T~?qk)L^Ilaj+O#8)oTP@n(Ij9vA!{6%NNnS16o!}jyPFT~)=ScaA!~J2Yw1 zxlG~mO6AzYA2wtq`7$g-Y;+s>oRdND3tRideys_14HNxrORF7XT!XC74nqxIehRm$ zt&}y(k|EM}e(wmb%}iPJ%vxn;I4g`^XNA)!5mBBG+th{W7@)(YVb4TNpbrJG#!+Wz zlc*fA9hc;mrAls1ag9K4l7TO~c*%!>lJ5QkSi$w#S$g{sXYIXDgG(sxu%6Sr8hNUYK^0dRty34-oE+~~;hT6>OGG`ao|J4#Jj}*= zSnF+w7rzR@x?ejHNZwmQF4j3{YN4(Ybrd3oZk72q?QFLne<8dLCXZlp_U9;$DKbk{ z+nFIc)FcGaiRc`=19Ik&M_SkKt*7Yv4Dkp)*lAL|ZWV5ADq;_rxs$#@KZP`K zD`^?Pn|0wg>d_-P-MH0Z9d{gt=bU(0qmqq(QVyyI8mI2r=b=M_ zJMF`Aw2JZ@LR4*0wCK^K=C4le-%cE1#%**(wpTDy7mBbDw<~AahR3Vxe$Bf^(^QxQ zP!ufdQSEio&$(&!n%@En#sEU(cwOa%Ep5~go){d-@0FC-h?(X~eKTaWVd=HzfF8{% z0Ek>8_p`2@SwGl$D-cMMW97wl*K{c?&*M-VK!rFe zWgQ~e5#{y{d1f1I%O3ar^Z*DBR_qJ>E8X~++$I*8;P;j8a^`Qw3pI!|FNnpZ?sCD9 z-?VO#7`IY)1z=LKcRx~YG{zaJN~TA%W?urq(@egJ6GOT>b;)%caTKZ}2#r5+Altvo zkdFE>X>}}Cgxk2EZVrmB^nHML@yS^?{|W}%I%R8@;!4VZcKo9c7u1SentojNFnZJw z-nIcQQYrB1EKp1F;b6KUcCJGUX-T+^2!3|fY2jFdueBJ>(vxt+kLgSG@aAnba#np9 zv%WxPR`H>pynNBp-K^o#Eve{4nBw&BcY8O&wY*8{P%WE18_^|-b{Zi>qKihMy&sHK z(PMmQJb{aFrEJl&OLv4TBxqHB4$wMMPL)qBE0mIABn9`Jp&!l57+c?t)Kux!#kynA zIcBDJ&%dg1%8(-!K@<f_|z(n~$21t#vgx>9`Lye-aOdMzvVDLNI7F%y#| zLLhhgU`C^(5b3O12_@gFyZ+KSC0VzR+jO(e(wLKI6dfo?s#~!=F>G%@`fR2wbh`p_ z#98&v-_FT3PdYv93w~Ltt?~@Aa{a#8c~VRNi&K78 z{jVz^0Uqdn3}~UYxWPFTPz5tU+3iJ2!5{UT;nV9k+31+M`Czg)@mDg6J}sVcaKeJtg?}oc~Fu-mAS9_oq0iCmZ404dxI^H*F!4>yL3UBcXT;)MQI z)=trSpBzE2WS%aA3^(Ld(Lf9`$jB-HcWs7`ldC3y|JHGSw|q52O?V8Gb5l0G^n|n< zMXGr5s{d-F@!z~AX>}}3XrFV2JI$zf-DFg%08Zsb zi!g4+%!9>JJ#nv;<>&h*Ma^eH^pU1;?Agom)A}C~sk+M~4H8JcaOC#-Srcc@cj_L5 zPc)_&rPJp1!F55XO$aV}!th2lyiTV%U48F+!_OVT8sDBml;<8Pk2G3ZIvw^1XgnL| z^b3+yq4|596(5jn`$PQey^`%&292yHZW+E0Kh*3s4U3|)7*lk6hp?lFv!)>`^CO4^ zzXA>bS+nRB=6bdbo$iA+;bbyyknOD+FAWKTk5wQW$pAM7W0Rfo%j!f?YPAeFQe*Vh zMyK;LH&QC5TBq_loUNYc^|&v3GNZg)$t0s6Sjsb(MKoJP^ss}mzh5x4dT7$+niI0;Z7TrUlkOXmbMg8c1i z!9IJZ?~v8I-$;hTwt|Qr@N1DsAWCX5@-gnlZexyd7%W^izoY%0?dr4ESAH)Ab%8}L zj?|1wXVK|_vI9D;)5ajd^t)?aoA-|bJQ~z-O-DjP@1U@GN{cHfrjq$Xb!iNOvt1+# z_S1O<%Hn;&>5d-x)kNp>>SOyn?C#($Uk|Fj=p{YFEYq=Tsjan;8?DoLR&Mitah z7Fg`9yq>FfZp{2+C;Um+|lfQ5W=7wp^ z>$w*5aZ`$BM%_iM<3#O=QhgdoJe=(9gDlV~zMS%{&!`0U3o-$uyP@DVYnLS}X!fH1 zcI%2CVUeVwyh3Fdx?ZJ<9=5`f7o9}AebG>x!hl9?s=sN$iPSjr$@@}?_IB7VjoY@ z7y4z;c4~gBH29xj>f` z5VcjwOU-e6qbt3aJ?0RA$6eaw_q?I;@QER;(0@_x7N$00vs zO`?bbY+Mmd7G>;ZVB4shPC!rIxZfO$*-1X`cn}ex=6b{JV3FSnF#F>>D4Jcb>O@Aa z?4AGtAZM$Ot!0?Y;PJ+qV!~H}kCE`!#fugs0h{RRng&Q? zp>k7(DVvlDcHkP2S`Nfvk9=gBVP`x{A+m$1Ap2Ocfw2|5U)%J``b??IUen2m%GL?x zYJi+E8$HH9x2qGoMYv4C&7-|Yb65Krva(8orj;QM)6~fu(u9Ku1O=4(<+MyH!>ncg z2m$8{bh`r4}?S!}|IJz8XH4vL5d6cUYleDT>K)*Il1!sMmwEE!44Bwhi|I2(m zNnN#JcjmUeMq7W7N<9e348`cO-Fy&=^c#oGrD^q0N}JXrV1? z{CAN?-gIswqk8+yS87)u#pJhz8uDnn{)AV?m%+8e^&Qxe5pQXW=UG`kS)5BotCC@2 z(>`)~-JF_yv|}Nmj&%)%-c>Rc9nrdfI%MLEpW~gZvW63;V5QZ7>}~nhG!gPxV+=aO z9j5ksUYA;;M=XE{8ArN5r4NR^O&Q);34}+p{?P_Uvz8~y-l0V9Kf`oXf+Ap`eO zso**<>f{!S6@)%4x%4cVoQWKi-vg$r5}lGVOJHTMuKh)(>vgJ6a{?`R6i+3Ue(;yR zJBDXk`loh73CYJ`J8C#VMH(! zHcD#~UZW5*E(*3N(J|P zz3k8w7W;&3s;i%Vaz77v#|EwJvJ`$k;jmsIs$iyWvdsxG6uiRQ@BdzmJz1j0mub|O z-{eba=%ax)?^mxA<_t;+(rYmnMY{Tw#j1L{#gm_dif14>Q2^@x^#buV78H&4*m#t7 zf)8p5T$G7q89;kQC5AV|vG>Wce~4#fh0H|ZdqQJYmy@s74|XO?>YmjSHcvgf@2<&z zK)qxS1%o$P2lIbHyGj(bh=QV!ufrYqvyLu@91^*e(pYTa@vlpjYXDP@2Ld1onk&PZ zc4Y6aU7`NbBJ1>DGmgKx2cGvkkfTFM>HdR;CGm73qu9x2sOTck-*g$+n-gh9gZoLK z9Ms*D-L5~Xjit>3Mo8x}bW4+l*v+~jcsZ}n7H4I<=+GBvHwY8@ONtWuYdHZ z9Zudd>^&3x0UgTLeNFCs$eag>TETl{yVO7`M;KOxN<IdvP9glwy^wkE$G3XgHeX_UBL^PezI2&d`!$KWTqm{plNb2EFy%UC`+p z_f{ucJ|FT5qKn12X$5X}XWa!RN>imE%VA6fEOA$YeGWetoMmbKiyN>(86K>hzdEAM zvuIIg`TBkBbUs=2oPZ$kK4oUAzdymq{b-Jbv9*-y;y=S=WsAw>FAG=|=8O0(S+*$D z417R#n?Im$(5DI@=lc7z02YDme8upl*^V7Ffn^`2s zQGtVyQUi&VxiKMdE>F*qPgfjIw=Zhf8{t^pn{RI{fEzYY zZ2wzJD<*KW>8`J0$tiljUw>e+ONT)ltg(o^L`d{g8-WWxJ6m<@m4wtviLW&j5;j{U zxp6R`N?~OPWUX{&iBsgc3k|-!oAcH4Gg&%*{8(X0j@W2kv|GIWs{qWJ^iqCp5qJIj zr_RE|00tJNZmvtGO>Odk++s-%hQ&=K%P4jdx@s7+FOS*hg=ayQ`p`32~!`uWfs23 zCDa;1YHKcxvvi2mwW_yX-z}F#%FG;_=iaVI!LR)Z{@DKJ$_Gzt`BfQ<%0@uYt@%a_>%GOLq z%AAP}BaOdGoG3DlhQ)d&RF2A#fSHpsvaR(N5Sm6tC%B3N6e&JU76+(CO z+DmuWrmY2YuIUJyhZI33I4)Tr-QDj^jndT<<-lUMb-If9ayrel&@XnzgGc(=q~?1PRJ+#Ac5?i{)4ad9J1^;G<#Ze<@gZ% zcaACSTN!M!)~%SqY!&F(z=A;do?H8gFZ3SivaF4tGi}j3b*^p>%Grs- zw#R+Xv~8u?^xCtuk#~0}8!SmW_e^QwEQ&tsqDRCR6^b~kTFgoVv9gtUY^ISF!D@M^ zH+e7XB3-%aO1PnoVcP2Nl`~tjs^HiIA6FTm3-j=D#MXz4)co~PywO^QY6#xCST41M zpj$wfzcGYSi@!Y+V=caae^q%A9e2T8@~gG!+B>7_B~X5-huqr%E~4zte%<%HXXlEv zE~w)#)z7ZAg$wjSwk|v%x9E89-xZ{hH{VviEjuCE1i0|4B8c8q(W^v@>BzX(xx(cw zz$XGO^ocX9_p_6=*hl|HJNBZy>VS}4CS}b!JWKy?kbI8+&6o;C_;&^R`A6f)q3$CO zjH(nCY~s~IUY+*$8H=J>pixqsBD3?fh^l+w=Tl85#Z*YVNM*h7*UGgm{->$COOtbL z%0f66x;|+u%$&YqOwshFCbx4v#)fx@DQdlL9}TNy5XH9mvS!!o1V?LSW)x!xU#zb! zKXF!Nh`cxbr^97d>5AZP(LAwj9W*5xSe|fyvxUU|{t2*oH2n;3 zXmbB^Ss?Ht(tw0YmaX`d_Xp%gat|y1i-B5Li>$031;7%p0UDJ5!V>(gpQ>;8E3TJx z%|X;pu#}YCEJwxdwrSSBhq2qm~7MxNlzMvlb0ly5+ym4GiFENsRg7s-m>TNLN&pR9aeo)e1#I*k54Rash$efC@v zFm-aW1Rt*i^9oWKZSsAtY53ZT$yvPagd8D4)5)&f5}t6C!sUZ2#_QF(AW?_3ujl8+1~3bCqv0Nr5p5BzOOsG{gGk`|Xl$4;Q;b{S@Nn zQ)QcW^N%mp$K>|Zr{vDBzf^Yq(VJ*3wG$D^$607*AHDbcr7WFznUvO&f z4~Uk7Mi(2Ai!dGutXw3SqIheTCBz^*{U}=A@WX9#IMQ72>KM!U zLTFNaVFC`YSnwC`vQxC`f$IW{Mg*`ff{|A&)xTQ2?uv`sidgQ-nRNEG{b7@H+DOcS zxrePgpK$Fu$B}4X0XAwctByn)S*-{2Vfv+He$x|%IUevc>nf+o$*EuikqTHt%#75M zCm0b$V?~)9g_9^nl7G;$#(gDu;L+GwxZP2W)3Y1AZnQUz)e`oncVEpkkaI?`%U|mB zWj|%kvb=L+j)*o^FXI#9XYi(g&OAuO>-zZ=Imi_>pvK$@W}h%SXOQi=OMQDsP?ST1 z&Lp45cR3f>oYVyUqG#R_1a}T;KU!LT=Hl&)9k_v6=z7k?J#4XaU2#Bo1d})Sx<9Q^ z>EWzT6}P?Qtjzb~bB-BNZ6PPFF=?dYV7{*i=-)NrOe5Dk%-n6dIyH%;70~6pFPkx* zjhX@s7AWzsKDx3O47e&>b&jy_H&JIj!m*aM|C1N=PXM3hKj@&pm+%G6@_wHZV|hdJ zNndCG0*pQ4Z!oWNU@>)0!&N?Wy-nrAb+Lq%^-_$8d5X!fsu;f^*n8EZ zs|~Y+Obnf3uuu1|HP_J5zns@F33p!EWOAlTyI@G~uW0&Bxx3Test>p)lxS-2e?l9R z>I1`Wb%$1u+Rq;{H=CCOh{_&>BtI9~Ed@N>&fVGGq?_0ojbdxN3dx1^KI9oFH3=4s zc>{s6`&Pt0_(cK8^IE?|X1ZNLPswhI`YLfONhtOt$YWozYJ(@Lh@oMzA{O8;>T`Oe z^^#5Tl38!u0(fME@jnoIjIr6@y-OTS!P(PuIBaGALgczRMM$8t5TMxcZStUv^D$U^ zakF*<_Bh(kFCR8RbIup*72M!J>}v??{@~fZrL}raPy=Gj5+yYb6X@M18_55?<=9`c z>;Y(IN|5(r1OM%S8RLmuuIc`{_921gny={`cXHKP&#Ne`>8iQN>fY(D)Mr=5IDqxg zm9Z(8hMvjo*|nsZHVjbQZmhN{LR{SE`|+j1QdbJ?K|vf;qn<(*vn%P5jdMnqgyQ)y zWJCJV6Yr|zA|pVeaf8Z61Cr9=<562K@-sUNA0>IHBWh|- z?54nqo)D68mdz9FsWv&H=Xjp!?9c6Li@T6Fj|!&0dh49!(XGM~ei+{CxG>WmIOTQX z`>}$NJPZZiQT2uv6;c?MTCp4fr?6}c`|G9HWhKgbQEni1YeQ$T<4mvqcamux*KQb0 zIT@}+;*dF7COLY%$ta9idSn(@qbNc8H7@pifMLLd?++5D;TZ3(>Erl(F|W+CEXu)RgV^C^kS$?O~L#4D2A^Z zNV1+dS=n^K!*0AL%SWt!Rq%$N>jxS)=UDUF9fu<&C9UZ4R(R=bjJfV-?)_8Nt`l@( zED8m&DmW2h5J*+tLIo(yi9n?WfO_9#P2<1GyqD%~t}uxVB!`v0z>F%}Q_3;*+wP#G za*VJ<`BHYckY;bj_4$@f)Tp{@v}gZlp@R6xX;G(rMk?QhzezKCyo09Ra8W7mC$e`s zF|w`Gt~bq1LXm-)o2lRlXMOveozVI(XYBkQZ^nFWgVp(-x$fKw^O!gA zuI3=>dxNG{D#h60>W9Kz6P-vuseEVyu{(#z{eCm+3zHB8FC`||Ek>zC9-~X|VUn!+ zQt1-o7wP~vLBw>I_^@0g0jGGjt>W+Klr=xdHFMImlOz|HNK4h0=m*=umvZKmw^3$B z^=XzHBb96q!GAzh(Y)2`NnTR&^KY}@2ZnEUIj46|o4_L6d8VnKYC8K;PGD|1NFh?I1-XxS13h-sp>LITC_E5C z&99wwwBIL>)!F^@D<7Rrq(XfMDawO^;)aELmfYT1Ty)Rp(H~cpb%Ap7sE%(T7EW%p zapyIHYO%2yDv^~pG8YS%_5tbNF2izRcSdvx?F=q(#D86)>N z6)xRl9i5uFfDJlFM#102BzT;>TdjgVO~sAWr#k^3i8#*S&+D9O(q`ED7S65gBpiaM zn|oq-mQ+|FS!z-gg09c=qe^sJ)$zqad$Pz)N7nB zf7olmbA*AWWSq!Ow9>vL@J7f5Nc|#Nb_&ZUY<(irICxe|Yo9=7z0@E*?@!?mpoUmv ztKTkkaxPJZ4MFBG8EoKC*vU_Y0phzN-Qog+Arm2IUO%q*h&1wmbXvyN z@JX=YH#pHh@hW$LPg36W)4oC=;Te8(hO4?88?;xF(ek6JCAH+U|^cz;Q*QX;|h3> zMpN&rF2^j(9|6yymoYzeVz~Q)jgcd^)LlujW|xUu0w}+*?Jc;vlLz#warMsd8)$@f>Skw+MXPHVm%DD8kIbWXY1tJ=F~PQ-1Wy5t~c}xRs^0(#P+P2RnuS!`<2?^Yg=<3}ncDpj==@2a|Z4 z^(pgB04jd%=d^QDk|&);)B2zUJ)O19W1Kn;yXO<{_ZrEM>4zUGrzu(kksPVcwVuTa zXBAl+y=_eKdGk*#8lkZ`n?kK5uBjml-CYk-3D;q}r+mHdY68g3)ZZr%|LUizWn9ae zs&QIZRQais1yb9>`)adlx)xdqP)oN?niU{%~g~i z&esm?MZJ_Hd$N^-ZoiAtEt@|pjX;9mMnkHWZvLa-1_JIKjsqcJ$UuK>pCD{u3#4bG z_%-#n*vAX2qdnst?y%#HPKjC(I+tD*?60c2FJ{#RxMe5>T_wG{|276RU5Vj;`<%?O zL%&f7wtKwG2`0X%J?>j1=Otnvb);F6wX_-AgrYsCdwj*5ei%gP0dt&nOj-;-+0TMU zcH&!MPxW|}3GI1%Tp)grFkHx*!{y1=!oDQhCOpFOxPn&r5DSEcIKZKBW8Jc3TRB6G z$1#!#WAy@0p%oDwKewYf2MC~ed-OUE)g{&Y&A*#QX$Te$l6G0JZl1C-$d$5F^q3G% zOItA$HH>e#sHkB{xnX?iqPE?6Hg!esEFF=)UNsGx%$a}~GL?64PgVzqVXz{_RmS(p z$L5N2pmmaN0-p}`M;np+q0;5@y++Q<$K7X+CNs6Ffah+M8QCKiFMCltPx(Qhl_b_a za~53Lr@%zet4It_0-gGo(_&>4=u z#cVQm>Wf~UaGq;LGMGa4qEn;g^`q#3bm5r!S?AQp4^GScc0rV$wzA8_v{)a%vu)#l zZuykPhno6b(^zC0O#KKyj#DC+F zQL>o!-|_mF=NUEYpyN{TU!G@p#bKlozbK}HEQGMQ8_TxMZj!w&aV~+l(NjqQBh4Ki%kRwGGso#a-fA`R z`<-OBirs=w^~^0)&8faB*kn0}gmPG$oWr2P<#Q8&7S7?1sJkXFR16_IJPWPFM~K6Q+_ff3tM4as8LQ;w<)&TERJLxBNTuOR za#VG!4J&d%AkeowI|N`S`CgV@_WgU{n=ku0|Et|`PQe*D#}_3tV1Uir;^HrWF}S`G z9u?CSqeVfM8n|mUrvPKI?Rw1EiomIfIu57jkB}V*Z>iC*oZ9r`6{AfEX7WUa+UVgM zBMz?ehP#I_@Mz)lhMm~qHvf#3?AcudWP-475G?tb?_j9?nDkR_Op2~cKN8f(cFMqv zG0!~7&OjPwCgzYWHxSYyX7!TN%=txd>!Te7N3sUW%q?!@KOK?2!>v1@netx(H&)D* zDJqMVhl!WlJ+e2#x}2Qrd$i%O&o;hp^48a)_OSeUA}M4%Jn^17UPfnP-Z?&_*C0hs>~qTqUe)N6Q++Wgyv)(Q>etUV~;jw zY)B5-)n{$1qmTVz%y6^*g;Bb-?@bKn|ozeb8$w3A15%;YFJ zm%wt-h6$o|hrIJao8RuIz=hlBA77T4%+uE%NzRknQ@VO~1hv4H~o5tb1@aMzL;(+Zf%RMt7FzW{RYH zh{R~4EB|ugen;6Ptw`4uB^ibre-8(Q9Q}3g_yCq2fTs;4Wz5k{{GCnGG#g+f(kX|G zSC_-eiuHGh1pS%sBP z&)=2wy{*Nrq`51R+%>O9Fz4z!ek`YbJx)uF9x{jeh-$j|>Qr?|3jsc?kx}BfL3RQs zr(Ci+aLov6KvxYMu84HTlc@_{R6+fuHB>Lj^=2j;|yi9wdOR!)nd^ ze>-~|{)>gG{Ac+1pW+scXUV@Yr)Id3&hMkpWaUsREn1pQ(4(xJu0C0eus|bAINDja z`S_XIzV-zKof;`X;E_)`7>1Z0784?p#XC8Nl`ez*`J0mV^>A3$rUq9DgLigDJaW1Hk& zLnHY1XpPZ(Wyl+e^9K-Qa66z|^w*>OWYR&Osq+Vfkm?Y2-3}2lGdn|{376=_>_(1O z3;CJw_H$U`q7mF@iFj3Uyc$vtFs{!fP!gd3?nC}NRRkhX-MGq$h%Z4HGx6k>0ID$R zsq1jyD|kXUedrIU2mL8f@3|z@zenT6+rRD8Lz{{IaS=xliFY`aGA0&mpcdup?cxf( z>TDHdV(QefLEzY;(p#L8y{D=55o{GiAT0zBTeCQ!iRw@>jt@($E8~(CMpy)5Q0J8o z7BO&la8g9p6tv+QE4|1R z9K%8_@yYCVW&OJ14~T>Q-jiEXoXt(UTPBP{BE(GN!vTknKc&{*yX`n6?TJ#>2uf;A zxj=AaoTbTrxus(CtnLGZa6}43j!Ft5#V)G5XMGb49Qj`HuAskK5^j+p$pgQzTp)KfzqkgkG8Fmb3jt?Y1dkhkn;`52Z(<(dcjmJQ;!XUqY;R=bF6~Y zX%*-2?)UJao`Ya2#7?cgt2dV9z9Q?*B}WaPH8yuqO=bSlu3$b$znf68N@)cWwzr^3 zJf{wW*iF(R6)^Foc5(ItV^zv}>*rKpvqoYUikj|lFz-bbe!XuAO?eD2F zFjPAqroUvhgsO)YmUYA@`E8U0&#C7V=P6-^L&3T|8o@QUb6TT|$Yxo(zw}7?2`8V} z@i||Bs{^oxtOqk;z=I?(Qe0GLousD@yIyMj+t|c3^2pOA{7t%FhKK)vB+i;YEb>}> zOK(|Anpv&y;X7<};CE}T25<`FYCRx@dhiMNC%V?I}aKXqm}e!ddjZ> zuZCm*?!@9m&w5)W`JjMRX&qk!9cjJA#xBsn`7fpVACv(!$Bwl?Xs<;0jBQ*zUx4-> zP|a&!);s@t_7+y*Orf*eGXJ^@6FHlE-j1~*Mez?-zKS+W{B>dQr!bY@JSydk!opcK zHD8aF4&^->5Q?P0x?lq%!7tU|6n$~IGU@=s0fCS_bmRcU@Gbb$4C<+jH+fDwq!^*8$qh@Qj%Wp=77fDg30Pz@axpED)>lZ@9Q)4( z-u4YgM5@+SHiU4x^VX<)`xiqi$Hgu`)A>^+QH)IFN%Cy%ACoq_P3u#R%>w||<+{cI z#y?X}3}EUB{@0b5PSV7^`0FZpWE!q_6#WvG<-a|tgLY-ar^%wO)~oz{(CopB~0ISMV6cB9z!dPkTrWn5;HMJA~WAFy!Lae1JcZ!mYMV# zpMjFLtMkR5u|`cj-t_1~y@6h?36tY^ZGf5w&cjR2>_ z;06#{s^BK7vudu|6n2oG8ODmjyX(A{az*!H9R@@@-+m8tE_i&nuUXDSi&`hPwr9m{`N|QUsP*{8&M=F!A6}Jv;&Jq}_2Qkz zx7Ou-uKf~B-L&OlTD-G~tA6E+k?Wf&7+6l&D_N%VAzwMg#J-B(TLP9?hYb9^Z7V(t z@q;NAPRUBODHU@(p_^50fqFNxZ~W^c6Cer7an;nX?Vlokt+tn8^WX}^rvV{__t_vW zv^Poet?N3SMgfu?UR;7P)%mT^-_(gE)M2rAtY1fWBzzCvQ_5z%#qbVrnz(1knEHF* z1cqez8^lB~*r+4mSY4EzN|Ap+k-!-?N;sAd?OV?kQo*C3)3weW0_{w{FBYk&v}3&W zKQOqz2Sm{X>4Az_61YGsVayV443Cd9(U-A}Ov$LKt4~;t*&_-@L)jlmDTWhmB=BuuyaHnf>kN$w0z}=*usQ z!`fwYs&r07Xxv@tT7$ggu74`#@{c5CW-g^z5d5})CTk|hgy^(}WjNkgmHe6H_z>-y zH+m+MuFtf#aEA?E-m`+}vcWh~G)RQ}K54+$?qPJs%Rq@&a}M#RUs}3=nTZri+M(D`8xEo@bu<5Fi5HK;6h{|ddwc{m8r+&J7QrZ_7I=Ko z&$wMwX00KK*dyoqG2Sv&f3!b=@jr&8(NyCv!#7 z;_95v6Q?X^rC2$Lf7DCSzg6|q*}O6S58WdtuTJ; za}!*?+L_uwB}@I#4KUC#=1~y>R!ygbYS^6 z5D{ns5;k{!4%d*;Ng}FOIkOVFLmb8#RNdS@nN3JG)a-5P3y(^K)4ljmzK68-wsL=P znGfgvWY!x?5e?Z3UK^;YZ$x&h=Btq7aQFhInZ#9U%i)zzoSn z!_6GQ?mb76xRkH@pL_(*EXi3zU=D3F`MK35N#q%kX1w_6dyA;UhzRGZLgjg1PN%zQ zgmBenE59G(Tu$dqFPnLCsoXiBnGnC`?2zv0^rvRR7}fF>1Xr@{E?iz6sFi@o3e3NQ z@G4EGTWN(8PX0Xs?LQPv|4a>Hez^!K?<7$(Mv~~-o#H6lWNx8MkT(Nav;ek<-rv$6 zyaAyu0#g6}doYbKe!YHA`k$^>SUR)dU12HVGNV?Cz!B`-taN7CjxvS5s_)jXS%-DX znQyq(Y2-eThF*zDma-oY^>onO&9;=Oc9X;Pg#8 zkHCx1_k-iaNJy$BW>8GCkHhZsE&BrmXHLv3F#M9^?w*;PNwY2^+lf6*-hsiWV;>&s zZ-?Fav@g(Ts!vf{A3DGXRUMj>xiZwsmu7M{CEfW0s$_6vW;kq=0%#jjKDcy=)twG#(wa@UIUKm;r4JPdqNTJaCvYT{<)cwy%5mRo*WPLgZcx+IE1Er!vlKc!>#FKWN_*mF()}6%SjPOSiqiz|vT$!jL zpvU+xA}0r5hMQ*RVZaBI-~a*a*;h4R?CLAtB(u@{x)4U}dXk+~*uGb8j|4CstAoS) zn&XVl?@d#JPLPli8IM6<1m)h{94>)iQH;n2sgW7Xf4sGUTQDmM3XIE;EG>4F(x*$i$#d46r9F?uFo?V^ z$K3TNBO>2Oq`3AZGs_m}9yU9fCq2|+myca!2HvFHyY8hcj!fct-~PZ8&(BsWLhKI+ zN_6-K^gLp5-{8Na4k6R)9yhUtR`FJ1PorZCZG>D%DsR$#+>#)AeaW(F{vmgQ8iova z@Y(XA?8odmIt@(DuM?N1&d}$h`i9-lw^Wf5C4O$YtilHkGJ zLvYt10RoLCxI@xt8h6(K0RoLA0Yb1~!QEXuxH|;5#w|c2c{}I3-#zD5y?g7vs`q|0 zwW?U`y;!|#?zzSsbBw;a33GZddQ5-U758(aw!-Syk_F#N{EygE)SgC#Y7jGBDStP8w8 zu=d?5@=`&bd>3IINzG>HwWEv*<`Qn2a9i}#iZSrd5v_ZP_0Thqzi^K+!I&+f!p9oy zel<1w2z3q+KzuvDTW?JmmUEbR$$6-+WX0V5aBnHyN>VC8#fvjGd*ZNl-O}ehrSgo9 zi@*-tV?mX$4815Nn*ya9YC`@^sS@)Dc4v!>nLhJLyIfyum=f!hm;9qSAGrOSnsi7SQB)*#~Px!Q;#Wi1$&HwLC|l} z;vb)tRMu5F2N|OipRx#mR>NeF?r-|YDNasiWH%XBOsC8NI+H{J(ItOlaRA(&rRj}%;1gPeuKUx1^E(5`zQ=TR?`{TD=jYAJ zpVXd#(ZLr*&8ZOa%&>&xI9+g=&?=u;Hgvn!c0Y3 z9YTlwVtA$)wS$U-E0i02~w(0`P)*CLq96^I^Mny??FVT65 zO~mpLM#h2^7{k1C245SP_~3@5-UJxUr_(!eXxlxFek@WNQ!{#6rSOKNaD<#U+R@V_ zaI*kC&e+fKk~3abbcI*}I%w83-{mwX<>&k7krz?{V|Feae3I%bb^E*3Ejjin=pe9; zIGenuYRTnnpwd4N%Ask4JVVQKq6Ac+K9&giwYg)=zNDL zm40a@Oyg1AxGiBrFX0;Lroncs+oFpiY`OEtE>?ub0IpePoJ(K{HSb1wMk(&*^hqt^^2HFP(Oj@`gbBsiw#7rxLL zg(iD3Vm}dMNOAk}RmOgZ3!PRj_#QhNOI}Gnc(N=q)RdjOR3!tWJ7LY-wqF`m!sfey z$rSFi#ph}i=GthT*kM(tL60w4HOqKIx~cW5*)e-x53C1%WS%=xsA@+t8Qr57s8EG z?D4+GnzAxCL#U+x>a*pgD!U;ywfor+nZU7$QiHvNO%@os(;`qvT}i%*9vQ!6R@q^s zG6GWGLShQE=ptTMYW%EoEf5~xLc>^OciQaZWL4A@=CCG@48ns6%}6!QOw>js03MlC zX>^Mv=NZMzb`Ucn8gx}O43{)t#4JJ#w|0DmvO^y?63h9vvZm3BuozR&9)uaZLLx9o z!1$WcO5;2KF|I778;=Xz5pYxGKGM5;cpUpG((NCkW-&qn^U z$>)FIGO_=U%%N}zXq63|1iFKCU+MP<>_NLlmDGzit@UE4t|c+32hkmTnbCYW#r;9U zV;ed_qwSzkjA*lIWi#9FZ&%zwF7)Po3sAIkM6;^4*>PFvH$qs?-}DA9b_=FL8oy$|YRrjV%BAO>vrD zF=V}pCZw?)*Cq&6!xySVUz$2lo%n&QAjHd^J{NWGwK)l!>r}qi+i48B` z$ua{D+qQCj)mlcyl0rEjpZ0^Z{1eEa8>xXA9p15KT0i={-D^c7d2vSl;*p9ke`K@f z=|O-bKZH%LKFsE{l7PQOQBhc$=tCY;SC&L8tPQ*$beJ7Wx7*l@bpntr-rPAMNFguh z5zJIL=&+@uYdyk7)ytE^a9aHh7)j}tH}IQ+uBtV z^F6G1r9lB_B3kT7whT%(5UBpd+^xZ$W`MnXY|Y6q2v&r^I`Mwn9X7cX=hRU&R{VWItgnvdov1 z;iJE9ec;Y99Z*zvn-;yk8%R{+98j_*wW>3#kB)YTi{?c)r5DRG**p^(t>wJ;E9k{| zV9`ce#-qxvAc6->gD<4M;kcc0)DFI!ez7*j`v6||ke+wI7=-D5YGhUeEuw6W5b7vp zsGtl{Ww+YWdu5NGF_F-L7Wx3k<+&zt733E`o;gVIbn2`-NhRXK^Pym~?u}yJ4s&qX zN`#|akF8gZ+3Vttd2;kC=z~ov$R(2=^!!#b0#Y%E$Hk;%f(0(@FJx?g(WuHZuXml<->3ThHEVbCUYJQiaD%N6X@_ewXNt4IQ+JuBr=B4eD9rfGAhbr>4 z@-}Jbl|L3)u^D{{QU=z^v$|Sy9b{Zo-g}9*S!FZK%-$@QiylIa^(iv1X#3TCOS^J^ z5v?O?aVX;vwwGbqj%qy(3;QLd&KvQCX;+Ue6bsvT))XK3J~GCP(R7-_kpX{rWCN8K z#765AhloFHpVx4j!0IO@)2ur8;;Az5#bE`rITUH|p_G%GiQXms>=h}6j8*BU1r^7>!u@fH1bEu~lc1{b{|3dG~k~^z>ONOP5)*WQH zEpyX3R!fcT5%Q>bq-JKkg6ej&m<_68R1aICsXkVF_XaEHX#ktD?Ubdv2*XfrOkZXS zy^YGdk=UZ=j;|d!%t%SahO3|!DRilDcg1{*)i&BoF&MAa@=MSfq|=Xh`blnY?Sxmw zV310%N4qZ#(j`}-ynD1Ic`2mAwJg={g=V$0(~gg)fMyQ{BYSdLwymyV5Y4v;o(+B zM)D$-4#B6qhO<4hkgF3ncW7?Sdm9urG%~IfMyMnd>tmomrlx#%@0ve{+RvsMhuvyZ z%b^*-1LS*05v{Nws^BLLfwHlvaSb#uE7iymy-aB^6)<~2>I`jhpoozfXlZQyzz8<{kuAuf^Chy44;Lqxa zX8*w!=ky*{li&)&#Y{3`8v{8vnT4E{HicS2HY0w*)3PHI;VUh=NGe|yT4s_&&#CE# z_Ua%>>?uybH9nuyeV-)OX=Rm1`T`IlqcHI#P;wK|lF)M#)plxB^Xe9TyTYimDb0n` z$voms)5NzC$D$I1xYBFm-xjWG$UOuISa@w1%Q+={tYvNdaP)tVK@lCntTSeICeX51HVMB{siM)Z2gqSjA@Dl+s?BpGl znLCZ0?ELlAeRLC>M1kx;p`z?p#Yg5+i~$!c3Ntl;IPa2C(bRa+1!p2Fh>*FHp2&vl z<2v?<(nMxe^KIV}1&NXpPFt3CbZU4Xn)D&)R$Bgt}Chj*o2kohXz zm;D91%!#VDoTl+4e<+Nwf$*1PX*!2imRs|Ofj`dJ@S2|CQD2R%tCHL068(CQFK^2x z(Vnl!fUcY@H3yL!m*rKoWvcZAU`gAJQtA{oO2Q(<798)9R>R19*cb|I#u%oF*ont= zSTD_N()dx{ORCcD`qmrkrJO3^CHFx78=dhJJS&^juOiR79Xz`l3j>-oyHJztk}=KU zoy2?_8TjZ-JzY~tQjc6RNDYot>}iJ;r#z7+3R&B>Tuzh$ZroQiq+Azo?#z3?VB=AS z(r$^pS-pGv0}zKR+7Owvx2RRELHZ*&LkuE6Dt>n)?WKT!40<<`xkqv7>o$;-z1PF- zWM9*ed5JD@g)yOf0+9>PjKS}beWFaqn|H`LdwLtb`vuIL+@JHuI|V4jsawCa>_wV@ zK{4}j#$);2oWCjujN)9Ax98DGYvmqF$KO}h2&CE8HbTA419s_A{jj2Ge+K5O5g zwHLSK1Yt`;2_D4Rs@bAg9e&BGPr>}W#@9(pQ!g|$FDvk>AA^1!mF?*qm4?q@75)shrAZUAxPCLQ;udH_I&nj9vh2uCg*9hgthK{~EJj1lyv;;Zq-1lp9UplBC826c8N@Q`Oyj zvU+R84vHzn-=D(s=S@=Tk@n@)HNEuVF|SMqFZCCbi%IKj?UJ3?%-npv$uGX?2>t&?7REWwZpn@2!0W$}5zYUr@oL#^2RYn0MJp)gJwT6qKu&j?dsTO3ybh z^W-j#FCt5@pe87zaweHbX^PvTi3e-(smeEJ(ybs9Lja1Ut%C=J)rJAYU`f5Kb!Cp`s# ze`8RVKRpvdEuzF^mZ$rbIfX@x#;<7>3w>nBJDd(YSSM(?MWE!&?7%S2=4iQhtzX>d zFd(|&)!$!**x`v=rNh;Au=9q9B|jvxd+xLfI;0HLyR7U**=Fi>aiT{&NFv4gz>1E9tyF4adeE^WCnnCs!w&4#Q9oDYM2H@6FCP71)P*Y+ z%wE*Sf#)evB>NHkQf2%jnUHqcey=o)PqN+#Lcy6j>YU@N!7lHVSCB;3|?>eyE z$T(CT676IiE^6@OAK(Rzy8CjPE7)Vjja?HGIecNRq)oN~82|^@>AHp`*&*h*(OH@x z2A>Cgdo-Bog_vuGCtVRw?TE>@t*z?BqP#06Mf~}0Sx6h69*SBD5wb*!UvnqidUsEgudN#{S2M*M%P}o&t#a;BPJW^5Vn*ET-+&I zMK+oQQHO)vi{YV?Zv~fvM2a6V`tP`r+^|AD$7$-4Sv%HR5v#Lo^?Vrf>kAsBL$e|? z<{q7oe&sqG#O!XAku;FX{t+ub2VjqB?tAt7QnG}lulag$9=6hDXy%mR(I^F;T&4uS<>of0Qy0E=HiE5cc#m$6 zV_d2%;?V=SJP$l?19yL=Zb-22&(%fp`^_=kF(Wxp5IV|6=9Vj*1vIxIX0XDlg#awg zbxc@**!vel-u3JRPpziU$!3Wqr#h6*rwBGt((B)e5$oz-R-UAEH^Y_2He|7oXgzN) zk@ZpJ#Z?kc;zkh?9e{S0YpSO!Z}%-!eS+E`EV3 zkMylnMDPCY;EC%u9Ix+74L9JfDRmPYTON^DMOMsjH4Ys(5zr2u9kVpv?bN5~q-Z<> zg#>H{NNUFoPzM2)j-P3%NEEt}4f=SkV@(t83FEs7Od~pVHLO8`4_ZBpDpKlku5uIU zV}^||AYmv3bKsY|DThN?PV@50w4Qo5T;Y|h=>6}`M7z=ZMk}$YR^7&BEH}@iA)0ex zX^MvKpD{^;a6n9)S8FnCTXWBumqW`Fawi4uyGM7@dWqfcGhI>QYeiL#$ghSaE|}iU zki9rn;ovCoPwz6Fi(%D)Z<0cgC)ZWjipjkWuz@pfUdCo4cFtnj^8Hi=3lRQ;-hjZ_ zc^aymPPvrc*N14kuigdcA$mrJ5hT`n3M3JlZ&dxPP5bXL9T&*W2r(J7`}Xki)=lR? z@D%l%VNn#O@1F-)qBKV-OP0(NVyq1DqY^%;Jn>I&RYJcG<3H4OPjjf1utASA|DOMa zyww#<$Y#D&Me|v(qMbmVaHDdmEn5QY!651R{WoYMkg)~!buenE7!wPYP+)EJ{dn9u zWsGSE5=5;CwyR#_mx@Omb|I$1=k1;NaYsTozs>15xp1_EO<@yvR*Gt#;2?uX_rG^{ zYu8Z~h+FKkSnMX|yX#MqC9v7g=4JI1J_?Fd`vehJ8?0gz|{cKNiXDfPZf0XH9`sQ zNIJSI$t5&BTW7APAv26VuE*NL*eQIhAyGZ=*E}6B9`|&U| z?*RSYjpns>U%IipykBZUKSL(V#ql+fkjAo_rK>-d*yaIx0_P}Levo#)ll5adj?rkYZ9vH)`n>Np=>uzY41w ztKui0PK7mtjEypHqtJ$x>6Oi$RP5a?e#w^OWgR|Oer$l?mFQOf(uy0T|M;IwG_tHR zN#vi-RD(M}^=$H8)J}aLbAoCVkfmu&{Y^*1zFr2ZuuW}aN}b=3Yt{6UnB+IKG z=1)tycb}0=%I%Nhp)MS(#DU%+>_oGpglHUHYBl+VwSh>d`zO~_Aw}yS>_^rFC#*yE zB51(qwYuMwB9+BM05~9ARudG#Lx&jAfO~9B;v6so2z1^wN~c=_KmTIF_fF9snU|X2 z|8Nnt54Q4u-D#yulNw%v(SAi5_B}XJskLre6-zKAfPwr=90QEgD-*IPWvi&w2Pf1i zgMyRsct16yh|vqfTRV7Bm{Xoo=pXia7q-iNQ3_olM81$X>i@3&5I{`H_fhCvW+Xur zAmP#G4Rtw&4=Ik?7ycSNzsNL7i~Tw2g(@XmdRze2p#c=&=n1W6ROK$)i^WJ0teg+_ z%sY^wjN38R3U7x0%-VdX>Zs0LJG*U}K)g!IU?@SSW9y*!(y@TehMUN@E^LQ@jP61@ zSARfi&U}7+_I3Q>ViSg53jN0D4h{DUOi@LGsh5nzISHjpz<>U4EetTbW!Ti)GVYnf zOoN?ZHt*LVZ0-F^E`tNT?jyd=KPgR6heQaNl+NB=?bt^@VHFoTaS7Y{kSJs0#uwoU z5UW_RLg@60Q+wyQ0U<(e?uQl2x(xNCdWTTRqMX-F0s{7U2_c|I+AalpDoqGr|0&*5 z5;GocN|4l#l)LC?S!z=!Lx3V(Lmn3P($g{fu7EO7hXTUNfp6upWDe88!x`P)=U&lT zIcp6mpn=$My%(Zm5VzTj-W+mXEIc6ZoulTcZwI|EPf$mMr2-;IDbj@3x?f6C-t!xj zC)0wzcB`Hw_wdpyF9PSv<&0AupaubpGn^I0?M!AKiNds2p>SMgrhVk~G;#BknlOkm zq@PuEFcrwCU(ik7KTPYo_s^K7`{Y)f^PtBylS=lmKxd4?sH-bBT&v2wPfRBG^zgkZ z$WI@jJ!URP-bT>@{a>AapTn&lx>BM=60T&21ucrrgVQY;LfL*k8yV9h_|;9#oqNZy zK61qs4M$)hGddKRviY}DAHHWE#IV20I!6+{Vf0IMcDZIcv_0ETQKdX~@=-kheES>3 z*m4oNBeE9AD9om0^(>@)P3Z)CZdQ&jD<>XP6UGzg0;lmQQKT0jf}Rs1(eB<<0tNP5 z31o-E%9}^Rb}3k9U&EV@=JND>~_+G*cA8jJ}P6cLX^pA(U9WX=YTI zjl*<3E?5LD-ASUpY4GmS<`IssqX~f@kC5L|d2uEUSCnRJ#dTP!4adR9H&Fv5_0bIlBA6EGKZ)=n9Fz+L#B~g?c*T8oKBj#YUTE_ zbLLqisw`7`iA>pP*Tt*TH+^TH67$rH`HIu{-9A==*}!U&!=GZl2ruh<@@g=x6o?>_ z)bQ}m`(R|^(obi>lIk)q1$&*=B5J}HoZnm08tRwhtdC^8;a6mMUs%VMbDs2a71Ave zBuSck9<8r`AWM*+W0UZi9D+@b8@t2Ld_wb6OCZzGq#o5+5Zx6!`PqZf*PZB*85k3u z8ni4jVw`?@*6PuByM&d4|8km7WB#;S_1|!b{%;XI8nnNAim-QzHGd%P)UMzVRhb0H z$j#@*ZR9;6HxDT8SXSymh8X*YfV9R5Zu&qtRF6q}5;V|CF*ST?DdhW5_V`s-p(|ui9?o{HE@bO!q$DMFb z9LUXtIYEznGT|@Xo_}Sy{|a8pNm>Z^^ZB0IKJ@UQF;-<6gUk?lSvIS+mjrXJcE$Q# z^pUi$b$43~OTWRTQo@^T(}a{`h+?12k`>Hx>#4&BV5YULqVc>LRHz?bd>-#DCuYTZ z+Nm@*Kh;51j&W&ZuA`ySBka?gmvq%z*!2N?Jf*XnW?Gs9mnuLhowx2`@QsP{0)vA6 zsbV0Cs8}IhU}my{e*+-Ea{m$pJbxKLaQXq$&C|vgcFr&`PvO&Zs_& zq4h;9UQ2N)I|C)uA8jIvba|^p3k49i&kYqbY! z8qwXNJj@Exrk=+4kwm{U5c3`-Dm?Z+j5i8f9hC_!mQg@hcCN|rkf{(FLUK9KLiv4E98ZjX+X z03H%`Ioo)lbPe$Tf?1@B#(jbiUd4T*WN7phpyHQwdNu9C>%}zgI`>&tDt0{{6-Q|5 zzd>LRDn%#eCmT2-L~+KY$uztA#@Ol1oLWY6pb-3IlfVQcl*u3+2gpb29wKO10)>Cm zo`a)2B#c><@Gvdlp(^V=`$A_`EHwdPOY$a%$WrK6S>x?j$W2`_p>>f-R}0k}>#N+0 zfr76-sWDnvzi4E(EdssiqSk&PK<|@jA1Wqoc6WTNb!ixOd6`iM3&`EknE5b=Bms8x z{`@!SY+K-tCeXF5MR#{ur}Au`(@l3=y%fvyx=8N+OM5+itIh z06S~5#UtRG^0NZ;yvq(fFN^5TaDhi-;r{jgdD|Ay?C`I@H{)73({a6*4mJCGD)5*{ z2?UPKTuR(b!LGxP&VfP=0O9+Xo#8J3>jGt;53UOveuL;b%Kn}UJTMs)Z9986X?FWm$bdsa)pwRha~cFP4W<=?)q?d_ab z_?3YzrDnwk*6qC2Om zTztqo4l4kgKBQVZd6VK{)Ht>{5XCha{+&8}{P&wjg?LYb%2K;#KGYY*2+FjFt6uT( z0KMlT5!DStf}#MEvvWm3P+V6F8K9OXe5!(1L(HbMeyAhP>RK-Dpe~2rP-}xv_oW)Z z;q*8`8(2!outQxel$gW@Qc8XbCMnA6H(nO!B-V3Q zp#C6Q=rT?I+g!(K5gi3QF1*HHwN$()p6f(ah`ph^uTFD1u?@xN19Dz`%N-8|@(gxX zH)~2+^U9xP`UctFO?IHnG;yB(hz{NnZKmm0)PjbV`BHbCe?hmqFE~0gkk+jVCnZ%l zLJyw>qot$H^dLK;lZ{LyzHYmqX_Ujay*M2bgZ*11p2p;sxej8XvdP3k*Q08AB0#)8SR~e_Z?>bkuN!K=VGnI4p{7DQ=Ji)1pgd^MWWzNu zoez%=++H0%+-u8OFjkQ{+_f_Mv0econb;#m{A57A6~`W7aQC!!`KytoI=v{bT(U;Q z5k`Yr=QLIcQWGx6e&cj zs5ebO`(6z@$EW7Tg@fo*PoWLFqHye+&k+UI`BBIc?(Z#GJzYPq(_gKW7QKMB(B$;i zrpxDJg+-)JokD$!a!^;?CMv@X(6MP$L}4UNsi^KF0wr6QC2f0W8aJK-cf?X>ce{&9 z`I%tX-=I3zz%hX%>7U>3o4!bQ)ZGQxoZ$MAXvjBYlFl)h)oX0pmfN6*=TUJEQ2q+2ogt+CIcUK=7i+GC+8a>((J8YS%3sRH#k~NJx5RR zgf)uMKUZ|)liQjMn`(WB7&GbBGy>qlXbgRSSx3zhiz2bu<&wR|Yx6RPxy_D=vXw8* zH=Ki_3)tqbC15Vtw?fKo=*}^cM!R3v#=g13E|R`~rBv;>Ig9S;x%plnE1^mH`?TQtoEZ;( zg5+1MOOo6{W4@QCPS?Bwu4K~UK2m}ca2!RwyG<-#;|Xo@Ju7^Gky`CgpORBf-RXW3ihub;Y7>v!gpzd_2sK`-yhij_5+ zQ)dE?0J$VY+a>Jh%bSdgPxl{pJFZ$w8dWuVBr^^lenqB)BP-H;`%>T26L%TGmkY=C zmSk4mNKM~8L1}hbM?2iq;k`J7&+<`8fj9`^UsmYJf(IG1)m_Gr_@(gSI1On1szl-HY6Y1G(X(kE zizf_$&HIDm;Cgb!qGjU_|oVp*>QQvIsW<&wN=!lq_tP?cux z-jD^3>K_4OJ8AS+@0>?%@s_xm+S5poyOwHz_?sw-Y$&EFA7{kot=>i-E#-Sx_P$C^@mt^L#-3CxysLGmcX~NAuz886YN>y!7@l)R zr`zazykLUsS5G8M?<;H4WQBfOv~I2wb54nJ=v(v@&K0Go&Hp~}GK|DB z52^0$U^hvh0AF8#c=3<1a`uw3fwb$1$1~x*e97Eur%LIq_W!(P+dsyI&!&2$fmJ(e zVS#YA9%lkpCY92Mrh0evfek?8>%WIjY5p}WP%V)e)la)N^oM@iKh|ybkFmByQIuK3 zRTE<^PB8HxJE!Ay`MTBem~7-ufa*S<$hiI}<)krq1p-_^j?A4tK1sdNg&~Xs)jZx0K=*$9ImS6*jCiqDXpq=~AGx$Fa<&JJ& z()>3+5Us&M=wJzrWE1VLxWP@8tO^L$kD_`e^koQ!-4RVdBIVRsqthllGeD7v|uvVQVHR)I=ii@%a z_jvc~#F%Y*_#6Lem@0i`9^7W}=y272$y%&Mn&uiW4ILzr*mN`H$+E^#~HOox#_Cf?Pk*4z28$mtSf4o}KJ?)DF8CX@}+uB<*Y{%XJy``r0D z6rn#yvN6r|eSn&oM5XxrEi%`aE;n?iQHXBeSW$70%&kad3L{UXI`|MZz_rW~9=3db zn5FkB$L!UwcywCXL;Pfe6z2i-^Mx$W6KnXeOfSSV>#TT@qugHbCqvgOYEds3i;j8j3U9^32zxKxM&Yh7`f!aF9nqzDkkV!Mbfh;KJ z)c8dOx0FSpU!D}uhaa2Y?ebm*LYF&6+sw6GC`tz%5SCP7Clv}}=_~f{#H(oz-<5H2{DW_|I{IzJ` z1=wQiQFp=VVcTe%&4%#yvyR|Y6&cFzd$-;G$z3-edy+|z9SAhe;NCz(n%d`4a`Nu# zjx|bs{g5eA2gdO=9*5u!h5ydOk^x6*QC=(;^vCiEhM6zXs&xK89hDvo%*mu;V;6nd zyT<={LO?#}m=b36WoW;fK!{BPQ%UXvVlQ9@p1j2DZTob|qjH716Z?dkhqbkN%i_Za zsCt>LE12PnV#BCAfzZP-ag5kYUD@E$Joi_SEek6KCJJYdofH|A*E2kih}J<*VTjp% zfRlgQw)fZaoQ zm#TeYTafjD1otFc2D7Ynfn|x%#{5>xd?mahGjQPp)<)je!sLR9$egxN$FM67Pr#C! z9d4^%_J)O-LMha)WXNf(mL@F!hD#!%hsTx11SVnB#W8!A+9=9X+E0#%j#e72ug^&F zY&z06GYL#70(?)n)IV;GY1v#DLEwC787M2|t#w;e?fDZGP1OFw7JTyo(0pwaEd5m6 zI2$|$g{?o^p7S5{y1$5Dz?byD8=+KOXx+cE?pVHH@r1rZ0?6SLft4dT-Z`0~5-Gl@Dtvm${Q{STSDnh+d1n?m21gZQg_RP2eD)o~)D^~=VX zCf^#rL(&YG>#IfY6$S$O2Y-X)tglP&H+KcibmcrJw*WB37LWMk1>u8XDT{R&HtwUv zcdn{~KM>b}`^6*YqyQ##bg1%6*BbdSaM1IT=cRha<(vl~Wuee@8NIn&c_uw-^oLw! z@2}G}+&hSWzHf;s*A+h2v5*zwe*Ca@Ouk+{yN};*vQnl!ST#9-mTyp1X{UnHD}7-2 zzJfGhv|g{yE><)x+w(@xcG@Rf+>&)BzUj<}o-9ptrY?}Bqpe_Z&3M&-xsSDQFoW(} zTK@}~6im8Ei(oVg@gsW!YA9fH_R`YFTCw8>lf~Gx=%~5nL5~U|~%RBW?f)oKA0W0T` zkE!tovTX9ZT?Ll>-&jI{A{w^s)o`;-%M*EZCjAU4EZY^JeK1zb3U>bo!Xb?*#am+MK> z7dv;>H86;N#W4HtT5eWYNNSGWMa6;@ttIl#J=Ws336xg6xa1dFnZ5Eg*Wr&|t;P

    kFD|qAmb_Mu?oUq}=voOnPpp;9p1m>6iZjQ^?3aSFaRrmC`la zVdt{6Q2FZ4X7&yJ{Z)y3%p@1QNC-CI1U2k5G*&YA15$S051vLJ@hGd+JYV&>yGw;n zk{_>I9UpsK+Z^Zw7B|e!PFFOL&49V$IGyb7HlD0QAP+r9$+vvgq4Tp+tA`E;p7KDTF1WJyik3khplWxOVG4TogCzVetJ1sE9O8J%>^a3ijAZw;$ ziSas`b2jDG#8`u2TWxE_84I5f=oNR7`!&+=S9hLd_w36T%H^9Cdz2GZ78{?I^(uB~ zh_vYR_*geB9?sD;b?y+H3j35m1&i0oK~*Xlaz@)&i@2yeAhj2kDVD4$AplS8 z5-+UOADswpf4J%L=qOe_J8$C7-y_R0J{ekr6G=;0_-=iavh!y=Z)4F_~lhPP7mxvOud!0Z&XI5np# zh!R~ePXs&5#p4p~1Y;NMW0hl|UZGnE=JHFJ>0<$C3xS)M-VuS59|H=e_@4IHHdWe| z95`W=`ckfDo?-v>YiC}d&LCeV_8UYVaod(e?dW(Xr|k-V)Tm@vuN0GEglwCtuoo7N zE~>9-dSB9U2t!mSl&o27=w5DY>TU_QFO@)zF9n4I;>@KE0v--Z_c*AWxCroAsPIJ+ z!zbRJJ7f+>TTehs%VnYIa<$D7r)(NDsHxQGOr65et)#+>iW2b_9@ns1f-U4{GC@&$ zzM5gW;I$wjslT9}ZmH4HGpn+yEwDSMe1Lbsk9snxkGcr)e;(RY4{=9nwA7R%vI73>-OIh7Xp_4ki9I&&mpAGQi@Kv_Mj+YGFELQa~iRv zkFgM~MAiEKSN-1d-Ojav97TE-`puV7Dq?PWJSFOk$3jglda)=p7>ZSL_ix>n&!x{Z z?hw;tiru>#Uwyj38wia~_43T&!e<`q3p$^G?^eEEu+}`S5>DgBV&Z(ZttLJB%~BwX zxg=atZj%tJ?<;e5lbV|p-QE*#3S4-}jD&7QF1#0{O6E4G^i7Mo(r8A1ntu;k*=R<4 zX*Vx|%La|KIb+(Yc`nb$Pc(<=LFJNCy(1gvHzVyiHQ+BJv&0tXb$^tAK#l%72Rike zQe7l;v2f>oEim5wUUSau0d8Gag8TABX8%cXGS}>r)QCh+N zU%n>(N6Od#95VknU}iHaA>z89O*;1iKSH9cIK=`5kJ*W3KAttbxS`UuZ`pI3&NbkrrbU# zLdsjmFy>Jtb_u`vFTf zS=R3MP;*+&nP6}cqhVhg>LzTo5O-q{`NB^TnOq+bRRMxzk?!~#>xtPOS4dp&` zwKcehh7FxNBp+|p!=Tohg9ww@ zYh0%g#i!?LN~EwvW}K91iZL<#p%neUHhsTH(n&}Tb` z=Q!M+zuuqI#s5lO*u7&VourjA&2XDt(wg>#y3YwEIhpp)j|WId##d%%vF%$wqU&PxZTZFu`|VM2ZC;8NEqMKfiS!#N5K@t1*>>g0Fen zeo58wFE;p(8@1BB<{}Z1YMrvKx$o30U28BmTbaF$17*#sC#8EGt}gcB_0_w2TzofC z1W^}w_5Rt(f9`u$r*GMsbQ3GWR{Q=x z_7y0_esQc=2Jpq=8vySfw{=S21*S01#V#rQ_1ec-&IIIgZ=+yy`z_O&F805IsD-Bp z01hK*`eB(m#_J~2;~C0!s3(Q_i$>0MvTlFA6L+DPo&v>TD#XQlA7?~`_Bn~<7OLSt zGq~#OrulhF%4|R(TM+~_Co;J1;5a_PNq`js7pva1*-orju{mK|*jT9uXu8wu+DjoZ z_;^DJeQh&qC~+N9UF@m0dq^TcH|Z+ISyD!SeO>Z41D4(amGOG^V=~Z%;vM27#_v_Q zUQW!6bp*2JLINwtS}!s7My0!!+E8BNhQY?)3t195!~e97{g+@xSSD|^QU}a{Hn zu_ST4u#b0Jl()&X$PTo=y}XX4!%fSJ-|#|}V$J$FYXKgn6t_NC6>Y6y7rsO9j$ifU zW2v%Etk{-^{AIt;Fu4m(JSJ`t~Tm&Z!k50ts<#9<>t%_iV zPK~sfT)8)hk}*Oq$H0WLspFHvHYgM#YZF8{HU28bR5YqvIVSm&{=tY1TX&fL$`9xF z%ikRgyw+}V-u&6tR|UpMhSYo ziJjuZN5le>*@>87Wugz?6}L{btw6#ebNze%(v-D=m4yqX<1Sb%@agyNfPLNPrUGy$6<{Ap)*sqX@GU~*CElZ6@x*$3xi%wEVbC!NLpV0y%Ge@bd)dRps)i>` zV4O?WP_~HQ0Gu4&$tDhP5EC4o=J1msqNb;LhfiNv7dBl(mG@(z@PDYW(cY{kR;)5# zGyp0TvaPSARvlAc31DnMx9iM?JVsiwUdVX1OQH8Fd}%t(;twUzp@`9(s=N)&ui2|A zxMzgL1-|%KT#>B+>%g^5VraqFU~kkDV~1N3h?lVBmvh`=rS- zCU-rzC9v7^ZO(@~qc64${bl0@nz6aKyR`(cJsR?Ud37G?d3dUAH!Tt05ko9QP z(HCqD)^y%Xa*DULw#hg^OYn0>-gT4oW0$Vfg#jQg?lWUA=&sB!O3Sj88?~NFmJBY7 ztqwZ{pI|Ra;Pb!F)>*s?|8)6%X8|0&72we}ZhgG0v&TK|hkR~M(Yd${!Szv z9sYAFlo`={Q9gj&4CQ*SXJnzXvB}hxjdNWE7aanFN>ravxD3#A^^qSYxMW@5{%2Em zp)#?xy0{2r`d|ZQaYM$H zK=a3~GOECh_5&YYy4PuqrAs(RRu}N*r^s1!XgraMV?P&M=-Y2-l;mxGU4J|<(c@8?laSNuRC3W|Go!iCg6do>8%hS)_Hr#I!34R^%d`P6hA z-rEisIe*hzcwqek6S!%y&6M$5F10n6A))VQeKACRp~4g=Yk}bu!8hh2z5d*2$@iBU zV;?tz-lhYz+6W5TqKNn@GUXV|KP?Ou8mcA_3J02?H5~(2_p3cc*C_w_SghM;>$j+svIvjRa1iXQaaOC2nh^0sJ_6=C@9x#&Aq)8nl?5^gK&Yy2bg3k?S&tY? zwzVU4c2_FfMnSrD`SLU#D(DG6ueH?{_2xRPP)dO*o_@#q8^&8RVNS7H9a@gZU70KD zE&7=t<9x;Er*{_HX5TcG{5QR1t8$5|sn_Tld379p<*4>gb88qG8J@J3l&nkZGI`4A zVkbPt!w8f4Hg?pdR&C6de)UKgTV6(f-oV&G%9@>*H#J|g(VSDYqgvcVG7cg`emP5Y z(jYq+G*hW;6^W$7U3qQNiSwq>Q}q<_d$?wfu%@||%P+k>&<2vBf5B8CPG1fPCKT`o zL}w{;;!PFU=BnI3=<);tMM%e#GB)6+rjVFO`L5$-Qj3KUQU98Y3xJmUEL0aavd9dxsAH`!R*VrSTJ(f2=o?0IBR{jO7sg)LOR8!CK zmL%mD)*Xbt*^Cs4>`kf(ewg&YO-}AVr#$ z)?Q>56wF1Q;JZn`2s(L|oH&>>jBjfK8&wyCEYW>myDd0G!sQrbsoO8l0HL@fBiSUV zBsLbqNcn310$$Hm+@{qsA0*A;KQUP`#>(WGdVM1@i85#|_HwS#Umq7~jU`Qa6~Be} zl@!gVAr`WqbCWg*+yFci;>FJhdRezjW&NJt4QOyV`%yJpec5alwEXjkaB{)r&dQZ~p>zF$e!P@YRMqGzcBbDh(aQboHegAIe8Ln_D9hA%IvUBw z$R16eoY8@Om>m42`3Lz@$y@p*Khr-YGQv#DFZH5w5`U!g=-c9D4KHPs*ePFdfa)wfly zcO+4iZ*+|u!zGX-W$h~kXHN@`S0v>CzUvq>!psrg1-2p$rY-xXbvv1H_~U2Ce>m`2 zf;?xwegCtN5bUcXf!6pnwh2_ykY}>7gYPo;ynak(kr-K7q&|BF@w#n|9*N#k$dq7t z6hjW8`RJcBihe*{vPgz}f@~X#)P#|0?-Z0w)fL(2=uY@2#(?6CRWymkXAj+N?v#nM zyvT;7Z7k+CKT+evl%lWW9R{YR=?A@uh(=T4)onq~=;I+XTTI6kayR7Acf|aVw?xgT zfn|U{&hRbxF3UlGIMCdSNFSR{XAXoGWfz9$OLtW8@xk#E0FUDF_9tc2jA1~8bs>0X z)H3N*{jtoZlt^P;$BkB7FW;M<$SLL6q)k5x-hDtATZcWC$Kl|oy$V!Ax4fFkd*_F@ zI*TzfvSM%l>vnZ0Xs@Y^lCD#w)t`IPamKizbX(utG(qD8T>gzVZvHUwbC0WRPs{Nw zKX3Oua;!E31%`ci$*jR~qhg~M1qF0RCJaL5Z+u7RHsp z(6p>XW3R2fL)H8sNa0h9O=0Wt&c?>w(jhom^!q9+)q(z}=67_ejub3(NsdBSE41j) zK#oyP**BIpcH(;wFEn~{Bj?vOKUh4_pO{dg>6opKyE$`?KrLH((iQhb0bDVGHF}kz zYgf)NONd>OlEw1i;?{H76n?}<(5yD696?V7j;u>-kk06AQ#mCICy|fRp#?1)O=4Rv z$0!Kkx3qGh?L}Go{c?WjsD^U1d_^}O2rIuB^3IrYNKO0=OPP|HW>gyGx-UTY#eR8> zZT+j)PfaO&<-pL92|R{H*BL9)Cv;ZcxGEkCh~;rOxnN4%hrKFoW!TStfaHiya2i^FBa4t?Khf0K|+ETP0 zbTU9B3b8TyXcKF))p?=OUcS0((p-|>GEp~+MsS@O@?44cvq8F~PzZ`#ecLd9uAHj) zqLwXf_E8m$8QG6UzdSxUH8EU?hn?p^L&_9}ft4s!AJ&%a4&yxxQUW)ZloF=%5+&Np zMy0^FZrCy??r(d8V)cAt<>HkSy_bjH0ye^>^72*MJKgV*J^Z;T)M=E6<9c1NR}!o9 z6X&IL1X!sMu2gYw0JQ@&5_>-_xCi7aW~*4;9?@GG;F4lyRcsC3vbJH*OgI>jGWCJ4?-LjImWDzWde5b z*nfmyd}yDdpRF5Xpw;lNs(yqUJj0J6j>($Y=ODUhCo%RK5d}vj;+NF2;$kL;vU1E- zz45&H&Lm~x-k?C!nj!ofoG&Ty>T}*=B4P;B1Glo%JfAuTN9Ry^&!91P}>)xchr39t>%>9&6S&&L? zjmsq<|Iox`63Rgv0$xC-Z~QshEU6%tep`zoyOz(d}( zL9A+7o=cw!_~vl>{~~DUzuA&>GzI1gy)WIdjiYGltFH>XEvxTPYm<=2KLmDY2^xqm zKIwQ)Va%W=$iAFWH%+(!n7 z?M8Z}S5Sm7AQ%qVk^TUX8f2Vp24 zCBZvbx$ErdACBdr57E$AmEYyv)F!HPg6c>MCbCJ`FFUSi#U^|B%dWu*T~tV!4@D2& z@CtrZL)*My5u~j~$5x~Aq13Z9Rr`R9k_4MB3D`Wjt|IuMjf=Y(`u2;7&K?n(=;#wB z2nm3#R^QY zBqz04T9a2hK7cNgLfV4qmX{1BqycMv?`B_XNYFS@I4`tYmzvHWChh4#Jwhgg?sPYp z;B7zN22PH3cfge9_y*$V}>pr7xI9e*n5Z5VM7$G z5n~xDSqD7ln--p4v@mt!9{PsI8|ZYCU+&uz|2d(7@%K;oQrPDJ|0qg$y;v4vae&h= zg)Q${(9W*Z9h$vH+L;FHPAsNasTu+bl4bduas@_NK*v?(_J5ebtq^tr&GJ60%t^ya zq6B}TH*Oto(C3?Z#ooSA|42v93{K=vXoeten6!>hW}sC01s!WNwmltJo;pVQo1Bv} zH?y-26t@Z;V&zxlZaTRGqU?5byaZc{Op2P7AyNj)<#u)%rUiv1GSpw2Ggskhq%|_4 zO8%`S2nb& zTE2=Rs8**9TiJ4yMOZG*2|2ic&&eb?CcZ9&^NNC*Q(q=yOgK5yqYhw1wLypjj|ShJ z=bu}3#vF%<^&|i!@JPG83g;iod=p0^f(sVK@*lhB3_1bB=^>;#! zx?-tM>CK4_8Bay7Gb?~)6F z@CiQ%D#2xeqaYVkfv3dnPmFF(}O}glY4|lb2>@}6#`By4RRq1VRloTyvT2toPj(K0N;@iUh}tGxrTFeWCN+MUy%0yk}|r zgor5pK`Yx?EH%zhvb>e{m-5M!Li(Wl^NxNxP`D~y?0r`$i!U@NcK);>^GzmguZw04 z8M4+7wgaN-J=2Y4kaJ8cF8MU2!F!Wyj(u$n1#m^>@Cu~IwpkKtsZ{GmXT}|>Qdl*EwL+0T1Kplx5@YY7J`E#3k2pFu-O`@ujU*fb919c*`KB|<>()E&|;q{ z&Qq^9*o!UKe_a&H>$g4IZm+ z1^I;TbeN&0xJb5(0%BE6Pa@aCv20aPd;t`Q+`YJZ`V`k`=@;A2%oF^mp|l;%7V51| z@nHQ2!i@%j+r++a5I&F*xF#3ijWHSXEsc8ar>5#Y_8`QZf#pv;b9yJXTjU0hzEF|c zno=?|01Hr7g`NyEuGQoC;3)EyE|J&-ExdJPAFm|)N*rkEm_e23J1PN0k33kGDJ)&@ zH&wKSm?&&IT}8!vEBdo7A@YzRzabN3vhh|eqaGQ+!UIZI%I?haDGfD0tc}PxEsCGR+Z0McDRa_1expm*W(v0y5!a>JQ^QB4nvEF7 zAWxlgGiRbnyIkTYAX$hdDX-@MdC~Rr?XHF%sn%{|-HC88&hUj)nBm86;?p+ zCTrgMK~Iz`!AfPAu$6X8btlDAubHiFB9q1eWO*Z3Dxpi3ZiFi(;Hmg0cnjgU-xt=B z+1XWr8niVlq=QK?&RLpI&fo1y2z<6ws`SSoQyu>eX?cJ1}BVlz~6EE37EO*y>TR)9W1 zld;K-@v^w%$LKdsKH}rSyCeYU$G(aGVy8naYGKHm-AVT)^$3U1pho^~!C6FKOd{;! zf0uE+o|yah01y->J=0iuhL8WqP!F#_(1!hZa&2vuu(Y?l zoLhN#L%!-5Oz!3?#M~930-wSr%1WezNX}U10mz6(mMTxsK!f-b93v}AakdW~`PyD5 zgLyj@*w|Fysa?qV>j^=^1Y1YhrnI=V9~I%riNv9aZ{MyjB)s!8hE}TUDy)){3j2?> zl$k(bU?VODBYWDj9fh*_^G+nQ;MhjWilCo?xdQO8Fp%6a25b!rk;J_SGYD|*_xLID z-T4KDCr}1502#pTTnMECi>ozzkP7CjS)Eiw`Dux1BzsdhLoupOqH#jRL=jgH9&Z$j zU~oePP2G@%fC(KNy$m7^iycoegA2|%S5XH;m+G+cuYo;jSv~?bTkxIcO5W9czB_Mq zM3+q&9eg+(ppbbg)ELVkIfk&n+;79;x=6ad*H|~|_wcfbHl_J9Si@T+KdV6r`KnN5 z`e#YC&GpzS4*C9X_r_#&1g6vEA5#yZlLQx>OoJ^<XQM?;FyN7umAP z&7qBp3JPAimTMwkxE^aR%6Y(*!rticzfFwe6m;8(Pfb1AIqna>`?3=P2srq@850`k zv$(iNM$uHhXz*IhH2`ltO6sVCqayDYPhF!xUtqwpZY>C{N^1B>rMO2|K@M(_m?@!P zD@>c?C!Vm!Cto&W#lJdgt`)M)GSuy>IqvH0 zGFr)R@dgpKr%v10n^-zELZ*Lo+_=D8i|W6k>>H;+ZVJM;uU zc2Sc);W7Tcf&EbN?M%KOkBMK+r9M;#6Rj>GDKM_mJ*74@S9$9@?Z9Ew4JPzpMoX7J zTYKyA0`nT%uTiA+yt4YrGKRi1=iP%Hb>lS)Wy*qrC7!09`dM-9rdOiohdmyfQS-cH zO6Rt=zus5%@{E8QurktHHZ~jTPOGZ8D%-5eW0#oO^|8=)&1~p6r5LJsNoX;of8I$X z@lBlzFxvxvP`F@vnzs)kl;Q)pGBslTy7_dzVrf$~xi!VF8F~3Fje%|FdMw6hJU`7K z7@6HLhn+g=7$J(|5(O&^xhR>o#=_In)U!snhDFf>tXF5UaOGEOV)xW_|E$Pa(YS3m z^c=Mtnrjv?uE36}#_*yne5{_s)BA2j`y$_?X~-`M&*r2Mb0m+E|2&=^jAGF9=ilo( zn9YLgzb?m8nW6$Z+MMP^zSMuyjPVZKlmqE)+@vyZcSTUa5t#Q9BCqGM zaGD<3`a}I&y3=3?63om={v#UW4p%z#jynC({7B%=nG-i;&r`7DsVOAxLk5a)&1$JyHHF6`H8(^TJ{g+6jU5Sg2~HEh3~(KX`X z$HhKLMXLoHu^cz>HV=;wQ`~DQ9jUA|W%=40{rL5ev_XX{f3D~5m@q(-G_n4SsdMIT z!-mX1ICtl@67QY1XRK}ek(H6K9yLZNMH?tzo-xYw?Kt1?m$iq^x)TeH#HAX^Y#Z-S zMG%SuLZ%hELi#T!s>cqLfZxf{(Si_*9OLB)S+vi&aBW22HBYxNIyv$J$zOnlyRr2? z)le**oR%3cH%o1T&q>hB#?xQGeByuJ2rHYAw|JQYHhTXB+|oZ8dH?I__zz10?h(Dl z)nokA@d`*s*kYf3@(d18G5isWW?4vX!ZuNxM=lGmkAYQf6%H#6A(QGcBa8=>QM}^D zJw>xee9WgMbMFpvuH$Ghko4&lZfkt(VSfR~S}VH1+rY9~50?jQvieCHf}w{KBf55oXp; z0<^e$D?NZJM#o|{6bVZ*G?Q1onhC_jTvS9){<1D0PfK;FFNzHwY3={U zzF<7@qu6PzL-q?mo$8PW`McbEMddkKj1!hEgF%iN4@6i#B!nI!e+n z7`s5TWQRWEKi`_f6ThcmxX$WZouUf`GW;F=kGqETXs5sqSSZ8F|K!0BOM3w;jtNe> zsi6vf9_hL|)o^ zChtLO;JDyK=Nr|d@Y{WK+ZBJFj5qTzF52UhtnKO+76(U(+22jM#~Be=!fNL~hfnJ2 zv~-I?$>SSQt0nobA|@-}8}9@OPi|UIKcayld?13)CG+?qUKEaXs z)Q(_dpLssTL$I^`hhAprL1s&$;7NtzmN#Fd!qJa?iUNSG;(J^Pb|W+vnAO@dMa5AR zfXw0;-*WTCU{8yeYR}edDH($~Nfp2E)>%2V=I_>xIqIMbM>>Dr+BM-c!J{g=yqx-) zubo^A7k7Mw{#169z-C7v*vo~F8Qx0IFIw9etk1=Cz^JG6cRf67b!6(rGy&d%dHT$G z|0DAP4e&Pq0^T|gBd^unaNPQXDFH3Zt{%2^GE`T&ba{ zq;aNN-T&2#n(pfS*R$swC2ce~o>^Q@nr7gTcm^L@`_=&f$RHCUqd|q%{^>>O^fKyf zDO}R^`nsrt6fQo~7`M04J$;ZlGXdR)_7ZBI)eus-{b~SP53oZt%j)ZEIMXvR%;FHm zoDH?(+n|P#N2pWUrctRHDbNTYb57xB^JJy=7Cw28PpC=S~(=$_p7U_-)s`NA@rJf}sD3_3tYM_P(x zOrCb5QqW6z;yiixlu+CVlU;9li>~sM*QjGCuh^9WTrU5+aIRy@R-vR3x*JTbu%mBSS#^u&Ob#EUFw1L4uc0ZKRF+Gcs>fPh1> zj#1#-{OO20ozcEC0Dn{8nrx)%MK^J_37}raosOP0D%H#Lam(k^A@o~y@hT}aTEE$A z6b&-^_SwJ-)KcbbC^=M8mR&$%Jx&|xbs8< zQX?SSBE5(TQSV@{g63k(Cjj%S!h=c)K8k+s&q*K*cJwi76Bq z32W7M=RheK*cn#$<>AlTFyoGLQ`1zfp&sH+B!r#5HNs9Wn}g(pn}`+?rARpK52efj zZRK3w=RUosgXlQNgTi2!9jmi8{gW4h0`Mya9ltywbJI(@T-yS5w+^SCN+*xg>x_dx z8!27G6^^pKb@&K=C=q`FLI}I7BBDt+MV0I85`Q`aWeXOEK;u=rq)U{Wo`CQAT!_z1 zZJ-bqa5KI$-G{wLG`0Jczkol}95TZAQ}vY{+|oZfr7y57TkoDv4uE&>d{WMy!%o^O zbVec=^tG2E9x0xZ5ACKyk8ckJaQ2h@Ev}W|v(K(za#Kukl;|Pp?>4Iljy`&V<%~+# z>4|o^WR(f<_OepNnBPoX) zcU*tA0Y4tOQOL6V-q^OQJwnK}6gYnC)XMd&A!eapp_L%)z?FT+kKRMh5lh9?g(^MU zxTaH4A3^g-t_2&#pGH&f<0^J$XcRgj!WWjOJ=L#(uI*#Lv}nG{)B1+JA(E~l2zlFd zLU*W$+yS})boMXRB8je9iOb89e-7OY0o>`yM;8(8;(Q*f-i609@ABhHI8g0ts!ubU z^C{<0Z})f3Q<^zakOnPz=kr#L0et9Kb8?}}5u{d?RtLdW1t==CKx@M@9yhrmMITr3 z)H!o~Uajsk5IN7)>!`=sxzhbMAnH&OQ%XlqCN!oTOU&KVZkb&7yiT+P<~Ddpp$R0JnVB^6%k@0~h8lFX;v8x! zjpUSV&Ocg%bd6$l*7-#h){I$8N0sw8M|AZ74j}S!03x zK0mK*!K%qO>hbgA_7Fz}y=krr76XnaGCmke$F9&*+&5ZN=fjp67|x{8#>@rfE}Dm1 zB8p}aeCH}E0X)2bN%|pF_WMupPa+kKmwO`!^60RzC>6c;oSGB>N6yq2Stf+O4~x~I z&;DdmCye|E|EYs$Q~b~UuMzLzPwFJ)=4I>9<<;jQh=#%;e!jo|<3*nd_Tj%;Gi`l+ z9N8;7;?v}4xW!c=mMKOttF8~{MSXSk_x80&y3hsFmt)&e`QwcK0B(7wI!59`OiKN% zsLNQF8Z}2083tYxwKK{z%yg7%-gs9;g_`m3RInvt#MDK)M#bL5Z**)P6_{<^JZml} zfh~Cv_2y8IRG$-@=ymdEV&v(X-|u9EjGj$+@quLn!e;i>c{@IH%T8|X7Pe>#{{^tX zdkR)gcIO!jFYg1K`zGP;%J6>~VLynNW>gu%CDHeA_}#wh5y2p#7*(Dttk@ z!_0$&*sF`H-7qaV;e*2I-Le~IzKw6><8=nN=u~J}QX!XDDp4%N;^NjCl;6KHsMqI- z`pllJH~qQfw{!d0xi8u16(rPop=NWiV0O!!TH#jO1$$@ZFi-K+ZaJ^d^I#)Zy2D=E zWo5sNv-t*S-%a0l&j~xDgYn!r+rA{qZ7o>9ZVa^DL@4H=;fBr$om6Pi;w!-A+U{zQ zndE5fd*^>kY;D8(Hy;L-_O~s%SUI+TENXu$gdUYT=3HT4#AU}`;_PnVwNdRezSgb& zf3a`H;i(jpC2&LWp`;)aQJ`uGq5~`D%&`-~R&B|5aJnvGIkRJ?zXX~7__ANxHwlK{ zChukZ`E~`{sWDx zgUi0AHD5e@+SnJ&kP$RU(^U|UO4mN8^USGJrca+1PugUPqY6v5Jn6oM@%ZdDJWavM zf?rZPu2Nx#K%)x>z1GC1>=G5;APc4DrSK8}q6F#PX@TFgZSjU#RxUTOD1Ny&^j~ei zhm)7SG}B_G=2{Lv9AyWi>IIZ}8(8?5)oaEA}=E^+}q6g{;)+GmqgzfWJ%_Zyd9%{hD(Q8U$(71o2w;wNB~`e`Q7YXRdL~u^|4Con&HND{-35vhm#;4ha|l0 zT_m#OSDK%zDjr+smY)urhr&mqy8(=lUv&H%?+h`7h`7EvB$2ECtRV zfsOvPH`jDFLw>fR>V(P_+Y){2aOp4LRR1qv59bt4OHedNdQG|qXEcsEMl@#dP?9qe z2}qAeemyKAh;>NB0f1W>U-(uSVJI1UVo!56H$H@oTGo(KqUtIvR8y2}C|@T7d^g>_ z7Y&V+_r;I+;h+b;=S-HVU-+=|(&&U~TmAh>m%>E5#nOrfBMND|S?~u5$Q3FT9IhBh z)2SFkFZfORr_(!RyGA$4m>b4|^_|)Hj~cu~tK=ALQA2g24KUrFBRjix)A~2ck11FjdDTOQY-nSILC1gR9J57=&x)->~qzz%C9Ho4QayX-?p`)-lE^<;F zuUW{`Ic_^h&O8PU4-aX#&Le3{(r96!$Z)1%zVl2AgMiFuvBbhl+nb!g(q7PuII7Pj z!gm7T%m0dmf7Z0YCR>t=1k(#OKU18K<&R2^6GY>QIa;pNmo~kNjPSStJE(qf9%MU* z#?KwVlw0sB#U~XnSH+1;kd-jEc}PpoojynH7v_b%Ij`+8b9_V&153%b&FZ15#V4S% ztt|vVdcG(Bs-KmxVcjGs#Lc`WE6{>A|N2-a99xK6P)f2R^!IYga1xb0Jv-(%(O!(U zDO4#j7bBM+7mv0dU3kWq0E7Mw1fGOCk6;%)OM(_iwUe8JnmpZb3w6_X)TO-Ex0SUx z4#+5>Ph1S&NG)1JG}^0^Al6pA)!sMQaOip!DJGI}Mw6&#N0V&WmrJ832ufI62hrSu zfrAJVSvJlu_;GK+UC(!|P4B>MHSn%pUMNMLQQKbPkT}V$>&^hHqH1D7x!Q-PsCp*{ zzM@jfYPp371Oo_k}@Gpqi|Lt4i=h|%wh{I_Va!7ZfXPG)6!J#(K7UDf& zo;nS2P}+*#UI3(%L4|nW!@z|egVD#==TgDbmmlgX+{h$mkQQ<7;8ToElkG3T>P>8w zravP(k3MQb$I`l(etUZ>|sUcpiu#{{|Y&c(^E`> zoVdRerWEp}j5t}cykA)p-PK{}UqC%bs*lCzbBiUo)W`w+x=t)QFgeK8mSr+LK%vpD;&5x~8Oo(L!w#=%V-udF|*VK@l>@whs@(NpgC zg(8%4dw*2@>^V{097##r!(5pdhs?LqV0d$-aj~GRAa&rqYjqj}6yuqeoq9heco;}; zcMQIG-#)O0ADdFdWN2y^D!0Yq+ZYFsPr}7lRp;11zp+Me%{m-}ZCsnHryd!ViUhly z3ZviW7F2;k`}^qzueH?Fl933=Ug%Sve|ztYI?~=uO`PtVl;h?#Oo#@&aNRR2Y3zwV z;>>jb=}n^1Rvwwh^-yNXsn=rku~t9lIeGOd+M!E^^z)CVr6Y@gZ{-9-j(Lhv0H&u3EwVu zk9>>d(%>qNRS2h$+6u=ny+)Yd`U`X!v>3Eq6%L(NCU775Ab*eS;Vt=wn z8oI-Me9p{a0c)a(-uc7@`9rZj<+5I|hZUg?>b`J7#+cPZB-@sa9hv8{sKYdU?^ut6*I-ZGFOS zu&5N=x9<5?Z8h98DjUsF>{z{KcMt=;TUe@vn;MCXes1K@?VgG}I4B^KjzG-6Yxt1( z!H&(_vBdI`rgGO;EPk`DxyUW|i-L#(oUSJh=nx#)ggZasy!Hm^&9o%wU z<(+Xj(m5%hK|_1XrJ=6ZTMg3nO+8)8H9t;piLdtbmDCf$6^!%TlMgvqqT1vnG2;Y9 zv!s18+PInu1BEQJ8KQI23FeM1ig-{g?3sQV%`hPb2UOVT@;4^)YoLbfJKH`=LOhmW zhNn8I5CVF~bp6|iIIM&NU5l1e+>K`A>X4xAmKL+%un5^Ys=yv6F}_LF0Pe_zmId z_38SoVi|UD1ems(vv-DpeC_f|9Ifp5wqD`_+2+?LTKGW&zr;#MKH-vSfPd%xk29cf%szER&lTCrqCmuEOq-jxh)adaX5kUl*jZa?+wZ zAk9@z`V+(tOuDk4R~HUA->%LP_MNiNc zb(&9e3uLRZvHz4yc_p>@jDLM4Xq{&cmZZy+3?>)su7&pu#%o&PEI;m-EV0h`akJeG z;})}ek9GVBx+B=onL>5RpW&*tMzr3@O;18^J77~t_|oUWkn;f%(dKdQLHhfLyo%U8 zP*0H!WM@o+IK6&XB($Y%STb1mnLG=#i5w0`!HIL^{Rg^lW-={LfB2lyX z)9KT10-><5aq;is$f4;)nrV+O30eB({o9oTw~Z1gvcg}3<3uUHk$CIlSd8l90&qRz z7oDOnra?D!uE;OP8S9bWWkzq$u5XeyhB8rJ$v8-zP!(fv3xhiY`TCr=b+G{dut$eimX$0l!>S1>;6kRHu9bH zvN&2ZX6SNh$qw|1_@m1FX+Kh(hPqeyc19} zjqX!!cF{Ob9r%~#`Ma~{2>G!C*zpKE6Q6Vo`IM>s5W9dnYe7wibYVuE1@AdgkyYy1 z1g1WGlpL=grd<_}`jqD5_5gg7r^B(uFu=xg11vS)y;=TLZR-`WswmJKI=^$Q?UpFI zrb}^R67G^dx=cmsPX6hNt!VA5<4+5X95$-T>S{Smr({RPAE@DTEmZVHWefCp)E`G& z6&BxJuV5EQDjp8Z1)Qrj&$3lN`0sXxpg56f@M(-TP);zh({_IFyr7)lYwCo-)VRh3Qysa}QJcu=gmaHA-ZTL`_?ZY7-IoMo<9D9M=%wW>WZv*l> zHY)eQhN#D>F69LDvgWrJd7on>(}*K{*&Xp($A}gs<1*RYU`%9!N&XT(a&Gr0XT#`C%7Uayqrj$T=7J zy=J5Rzyi6R--S+G8t@=2+k7=wnoBvUin!LhwR`6+Tv(C zYt4z)TN?*8`mxvk1@r;ch7U>HS%&IvgP>DLX^IpBhK8br7S82`&!zUAo&ELXo0zqp zL{axOj}?g%)fL|I#zgGgHuMux?XPe@S}qmuufyX2PU}(wq58@^<7IzRF?o710&`y8 zJ(g>D+ls?4{80(q+bl99wuN+P>#7YWx4*|RIM1}Qq^fpc}EO95^h(0mMldZ(NzBAQc1uF z1ANG*FH_O-J9esf-fH7`P<%@Mio6RkWq|%uKWOlcuy|Mu1crZz4x-z^0@SPu*VtDttJW;b(OK z<7^NVlhsOkk&}F^fTC&VJ&1cK3`<;KNphj;@!V)rOKFNgYX-jN{qG@Czu~XQ zXJRWh+cgm;^&*0bL+6On7oQWd2M+H=JIWk`PHF|}BIVq%S57unY8hpnYnmsfyd#Dc z486`wz~w81$wrGvP8Uf!Hd~!{+HJ%*(;{UoCMWcn;A&oO{^u1Yh2PUHbfTZ(Q$dsy z)oF)8cy*SidnkaVMm_my%gY{b!6x8U_xsBz1lHMDQQ=(Qx|lSH^`;g9*1!t0H#F=h znEMOBUwdqzC6)xf%fnaRT@!d*Jkm`V|Lh&XO@w9gU?{LRMC2olBXIr5iGA+0&3DzY zU%tmxFqt+n5)_Qkb;=<09PJ<|%7B1VT-As#71ao)5w+U4?RANwXY1#o9sG9F-adj# zT1b6I0su=4~l^a*iTeyck9 z6XvD(Xp4{#Ii2&%=jSK4krv?L!co*zBE_n5x~9qtEAic4P!ji9DL)V&M!WrLYINT% zxmVl7_>!(65oZTJd-g)YPE$-FQIL`?9us=!vlx;Ps6hx_}+ z{l6m0ME`3l8w;uax6Ulu{|i)u2YbfZ?wBvkfy#CQ%jt9drClEWNxD0VIE}$~!A{wG zY4(w{c!tBwihk7pgc&xUwfg*oJWkFmB!)q`aXd=Px&US6aU!6pIr>EoZgD^`3q;E*M3M@Ixl z1OV~edOEfVk<^!jV)kevDI0TV;kRpM+Jv%23ZVdaSES`!$4W8{pLj&#&5l{baoCDn zdHoqm?ink1`Jh%g1d^`_(mjmbL-i9@WSSs+eLCnOpPk47#suY!!as@^RZjvl;%!3e zOmWeLP2|Pv>tZH14-5PCLCshKMEBF+I5&uK)iU<#dtWJBhGM4EuY$Sa4frMcO()0? zrHU$LGb^zUX=V^#QYWO|bfT8#c<;h|ay<{f?5NegSizI|avF)FY6wTD5h?WHhz$QeFVz)ZWfjQFM<2HBW_l%25(Y8WwrP2G9Q=_TB?1 zs%85Z9h4Ztu%&N&QGNlFq4Ly(*Wfgz}5kt`sfM3tcAC_%|cP9jONfPhGn zF!1#Z>haur?tTCF>Q%j}ubfhL@9x!W{d#rpy}NsLuU7BzDV}z{o|wZ}P3K{c)*`PI zH93(=uOE?ZF7zolw#9m`yC_qjpQ3kL=j&KTxY=noscY#Oo=Cr~Hyybvr?&jW9q`jF zXcIpgJ)Gm^%Xp+`ppIsH%SsjYnp>!YLdH`=F1%zD9 z8sNy_N3pUlZcdekx?H0R_)X6!DYLJKA@W_6)x$lcJQGA;dfVP)v=M)=}x~A)lu%ZnrzbYEiyiXgYI@re7**#H>TGuR10OqG^G5+L|i21K9|DtUHK{|GiOdw z3$eGFZ7ddk9kY$sUKF0U3u!k_L~)cbhn&T4;fzBxNlNaNey$~9J{Kpl!K7z^ETBbS z)Kd78rd-qecH-3X!KQU)kA+&JLpi00bLuIACR)_luiD(uqSNiUEm`$TRd;3djBv$+ zN4Qr*tY+5~m*`5@6y699R;{MlWm%zA%eo!z64*hCS7

    *Gwe}yY@|suP?pxkx(v) zKb1M#8Qf2F2sy{_{0_>ro(~WHZ8Aer!qZ0a0m76)-kPV0o;9r}#3&r%_xv2%T0Rk0kooE829=Yw+!hfT%sR{KxKQov*#r%7NY16@Gi;Cq5E6)j*E$``hdqd2dvbyEQ?wim!dQlo7gnhY;C^?7q{i6C@FdK;K3@2 z%a{t%@1-&em(3Ilt8ajg6&PJ=QJ&cpd2aK1vU<;m%RV~&W^?2YrB&Y-@|pf`#C%`a zM1v#~tA?dpvwM4Wp-Y>~A~zP6h4H6f4eh*lZ}BHby_rPM9`x@Czqnj3)bSp_n3OOv zN9Mi>Jgk{inEW28)_*%aQ|UYA^vsi(?nrjdU#7w{f2{?N6Z?Wi#Mz==j?jGDdnrR#>YL7JUx$LdE^9&3%wL3($#q-1;&768?6sfgyLHASplL(t6 z`gjs1n+pMo{m%(WAVDe#s#hu-aUL>NRx7|#be*VR9|gln?HcgOI=#s6wa(!6+*98P1Ef0nN@k%xY!qBu>(XNp1PqFVS_8 zLx^ZDNwnfdIj*An&Zy5x*I~|%CfiLkrPMl6-M6B#_}3W+IbqEECncDWm&bOqV8QgE zvJrvx3hLw?>$u-qzL!mU;V9cb1>^74B@{t$cF4$QybXI`t0oY5H|4!FB3IEm$!q8m zg|O$1dA3mG=yIw-N^DYVJ?SnmMVyM6xj-ofQr~-zU#Q_UJ~>M}*+xUJRv+Mc${-)je&Azy8X;fs z%Pg{8A9ChZ!^>I=8<~@Vn<^J+-O2E1r_7JB%58hOn?a}J-8PMGgbP8-2Nm7?s+v;4 z(HE`*@TQ8S@I*Wbg|8~y29jLiW1Be4zKjiLKauU#aJ5axyToo<*L2<{PHrGwu2cBr z7vB%Jdr>@6%$J5wr#{W#PXoJIPr^kHp(86y=5us$W69t)p&@W=uk1H7>mA#8%zV{M zefGLF=yX_%B;RtNUVb2TP;iQ9MR&6xnGr(L;guBy&;B8kW8 zN={OPqbxh!oWcIij~xh{89|0IyF{J_&M?)BuilyZ=rKJ!+Yn^>gjx7aQ|ED_t%quT zL_PMiy6?LqLaJi#e)S9=OtdSBgXN)V1RNfW<~l=+k+^F2ill&_Jk5C_-MLn(rgGED z6@770NA8p;5f^-^MeijiC~gs(RGdV0yu2Oanb16y;MvmpsCO5m|9 za&V*Ugp+}xR8L&_BFRei_P2}u;||C4SVy@0x200;AB2?!`hGqBx$So zOGCC44hzpV`;^nv?3f!HJL9Wy5swM<7RpuYCNx!oiB@Cj{T&b52(Nha+c6d=fMtKl zxv@4VFx?n{3JH2kYp$Kcg4n8KWr_-kasqGK|IrYJ=jD9Wu|S$(O*LQPGSSELpUZvZ zvI=*z&fIA&hA0<+mD z>6&4HNfnYibjJlgjsgaZgXPK|b(9cRArO&&)2=+Q|Q zc}e5Df$hC|V)mI;*=9n189E3K?mK%;*iY6C(3T5 z?cW)co6~>S3nwal@by@HDAE-T$G>eVUAdCny_7>0(UR^XGpc{u;}+h{lNPGvLmQ%= z=a+07ZxLI$moCvFEZ1?6^QjdQL}{~3+*9dqbbG#Ln@rSpbNH;iyVW&zvSPQk=jQG7 zQ}vC5qIPFDyzVv^b9H^W6ZWhk-lX{IC)%lzTt{oeogTceS5SEA##7}+4?FTXgGZ;c z-@lyEbmAc!aqAHL^1{Cqf+q=)?8Y|?s29%e_Y8Hoy-9mA82Er@QjWl@^Xj{UBf|2C zAerW7lGj;Z{HCa)rLH%xh+A?jne^t)-by99D?iaXmmWH(A04*;=onw1oz)ty$5-Y` z@v+;uVlBy>eM;JA`;C@{9@2=Xp9~H2g@hBqU1e+b^xXRErr(#x6_YGU4YlA~8A#`G zcHXhtsB^Buz2zgbL*eQ%mtzzeGsjE#;2rIJy_H0ZeF#5^h7iAaC{1D8v;&btp!a!U zAGr?KyW{QSO-)5FqFXQ5cttnKGIF%K;5!)vKRv(sCFC2AqSa{c;3I|lYviy8vfc|T zg!L2X5*PM`xg2aG(jVZZHU%Ei~hVo%RZg(6jOCHIwbuQ+d5M!5{&T9T3L z+}znIroC17^<+Y^^RtoftbUP?^gd2y6Z#&vz26*hDz(u`;c^>Q{usV2!>Xac!0OW} zS7FN>8Ga)NX%k*IBaUm#57~5tMVLq$Odc>IE>f16o znp&aLkls^S_F=z!NSNBj;e-0OMB?Ce9D|0|GQQHv>X7gU{H2BTgE*rLY+BasZm#ch z`kzvWI0tZyf772WmVePM#(zv)l|E-P@*yf+?tZuxK_{n6dBBE#R*J=nAw9ng+}gH; z?!Ch!S85+^_2*;Su@saA_sV(g7?0zh|DH#Yz%cH|)w<*?3s??{X3+%kdpT11| zh_L%cKlK)Whp*KkInJ>uPyD7}>+>NP?E5* z=6S9Q9?aWz-OXS*1%xt2DNjKJ8w770?AJ!3^4tidnsaX(8^J$=2?2$BE%LB+;obih)nd$*Z$J@+Tq=?Zb>XV<)KiYcmb zk1+;yN8no$h@aZb&Nf;?=fCN+fw(5$uYKfm_KL{jAw;bV%2oE7F3fG}bFXf$*PZ>G zkNKY;*W|p&p~^pHZJ?Z2P^%J2E9?`J85tUQ^_0)WP1TN!;?d=*CoELo&+NSEIm0f` z6UD4q7Tvu01X?zws}F%P+D;*}Jo+@>uBW%{o_>|nFEl;Sbjx@qG}kftt|U<^`An^0 zO}>6#A<5PJGJ%tq8aLzV8YrzxnhW+nk=V`n$CAI5+^H@!n~!PXGITYX8pRvDvMi!- zaIEn?PW^lwd_VzxW;B4`Y781TQC zQeSuq7LK1}$ICIB>mH5k?NS{j(Hy_k;0<9{6`SC*8j~JT_G#DIs;DXx{9<0qWHA$8 zOc+B!Tp;{lUGnR_#S{wt)$tMCg_<%M-wW@8iTvJwzY?s?McDXvs~9-T0t~4Mb|YO=l_6ZVo25-u)``bpEf>@zz;VIeUcK(cp)40B1WQoOvX#jixS?Z)0U5?r;iu>ID{H!W!~Rb}Y4PZkjpMP(5& zdxhs)`MNdim3#YX^6^@5f{(kcUqim%8}@9M?1-|YlPz&oql0JnlcPTA&t12mms6P0 zik?G?$DD`liwkbzQ@OB+Ryj#FA`|T0hrtnV>0Y%SudD*fsZu2fT*pjLUZHP;r5Mch zPe1pnW2pXA+AiDqf^c6;)TBO7pT7To=tiW1!jr~^mX^28`9lF=TSX4mie8fY%gu-x zKZzKZFr09R17Yy=R9p=KZ`-!fd3o{yIp9X`MORi?v10z9SaW^etTlQe><-H*8biS~ z`6tzCRpF_(UQydbviM3`uBUz~Ty1t0WafGhyb)?c?LQZ}CZUjgm+Of@OD4S>{pI1X zDHhL&oM(=li{Ja_uIVSAwpLaQXn$v{zbK~kgo!4yT<4SgS7OBS@;2UNc88_+g4N^> zS;4JdSvDLBXlwoF3$IGIr^7!q_zy*{IM<4ddUpm)Z|BDR4}xvd>ka_m)d z@>f?^)J4P6a^8nU#UR71DQp;}Md1U}sk&x}gtPP~WbRw!PKViK_xCYE^r^%SB z5)TR#RKe0GN*(3VHO6;-=lj+H3o%q@M_W!pH<2P~FMf`rDlY(1h`WU4&!xXe;>J&Vt}n(y8? z`S2bNU67W9wPyc#TYN{bzo)Z>qj4jr6q&MzBcfnIK+!i`}$`LbBsyqgwMr4CcSmPEDxa=-(@oOuluc)dv4IE1hsY z?iSbig@qMN1;+_V|Dm@j}aab6d7E4+!UH(V`ku4h=sdv5S!4|=C zXVwPg9x?y@sSls7Yq6OQEw9^n#rG!)#iD~W~W5#O?c9CLt*7{o8IHcKGTo|F+ zA3(U=W3W6{PoEv%z0Y@Zt!yT}SV=zo`dlTGx8f`I&Vwve=))AprOr+wrslIHfl5gw zW6jV{XimnafzS54?2|7pJH()#s~X8RMc9s0p5ABQSc^c$m9dn(Gd=YH;rQTzT3=Pr zzD|w39y&QfO=N4p`2)+c$Q6bG246$^0lFG^#x(vgLnyvO8;tRcH?OlN-Q!mo=*Y%T z!nEJ$xV&y7U{|gQto2-P@60~_;C&$BYfE(x71k6+Kb3DzRNano zRniX!z=|8GghzGM9Cz>}@xpMnv-f<|canKl(*N?Bc1-BZ9ytJ>>6%kt93rw4FWw%A!Y*qFcw0m06L4g4tW zQ%MXMDOm9lzj8}mW5dtLTq)S9u6b*q&iXMX@Wd(n;5E%-x%?T?*7Mu!dj~U*GNQoW z8sPmOOl4y((ApJ*;_~k0q_Jn$IqX8po5wFSk?G_uRz6U)#2xa(h1~Vse`!=)Slg$M zfv)w+VJni+LGx*=RLPz)6wQ~4Q5iZj{vfeyeGI+$5^)(|D+GHFbdOY^YqS8)R}#aj z;JKTPPj5T$6k?AlQmxf2RtvzAk)ObN_3ugGWUQ>R{hvt(zvudulpCe=^aDB@D>@my z;M4aWu*PU>(@>7}s_k$0ecrkyTix>?rl!;~8_M&=Tqm@^N4jb%NEen~oDrq?`UQA{ z{o{FPe_N}ia-DKBRDvyRj|y~M@WDD}$QIs*{2m9CZtW}$ssMDHNUyBf>7|x9TkPzi zy4<(3&^bv5DH9mQ6h%oT6z%B?9L!+#2>m!*yUm16DKv}uh|Ws_?%+AGii%$jo7mU_ zyZu&IwKWi0AJGLrcr5sQ=s1L^W0be4$`Y$u`-{hd&mc&tpg77zhWI@xLg%+6O_rCo z2fxuOZ3xHVgBdLrI==wwFk-U+I2bXvLiksXrICa!5HcZ-I=u5J#*l`M+P24=pjT} z0z4xo^O~&IcT9&rgKtadA*4HEz4k-={u&BPNGX_b#I%svD6?P`RC?9y#qK|={#puu^#1F3PM`AEs z?SJB#>y^fERRFIVhM!#1e{wC3EOBTq>P2CapE1m%3ZOe+SiQl#KaFlrJmL!7-^6fr zJcP7l0lu#=e7hue$U8Fb=R6Qgi9Ybe!T@vXGa4Yh3o93s{yg*cWP}AK&#$<^{-TJh zEpAeFy`Q_Of*>dYZI&n|+*UB${MB}0pdlZ98Fg=6+Yp)i} zj;4<*x_qU*P;C&Ln3&_vln8vzd}*DLJL;bsPUBVz1zc zmk#YlyTXp7NU)K3yLc#PdZ z^Y23F(Dujuq%kT$t#nH4EL?tV-)*1st+BAk%(c!x<>-gqefD{0O1Yo znp+I$;UjiQTU6kKEI6?>zeffN0q_40nTq4GzewyAg<}OnKcKcPXbwh!?!hUC&-2v( zx;Od@PONb|;nwzT*0@=v6g4;hQy%yP{gwt)eF*93+A9N(55NIFL%^_h z#00cCX=S4WJJeXUItCm|4$0y038bBwvx^hb*cKDAH@SU+m!FZF5fcyyan^?m!Z5cW8vf(TgSi?) zWt{9Cr0wtMW2pIr_!tFw;f8>h6A-`%hhZ~8HC>!sOl# z__yp_a5$EOxcE=ta6ycqAIPNudQGT;y_P*x_M$33mk^hVu`^(7fmCy~y(BIU)pRv+ z!4yWt%Fg@_GUmQNIMG`OGY@4Ag{RikJe#1e(#2^GmQY5 z&-|;p=`d@d25*~8jabFfq2Npwva=Em`uezCOBs=u>NV>p_OCUgPD{)RGLJ zY0jfx4|lAx_a%Q{sp|Q@@Qe;EoX~MSdd%z1?!g`d6iS#rRHpR4G=QNwpyy8ReX{92 zDKfHJmSXqtLZ;RxJ*$R0LXS-N&+}{CzS7i(LpT_^mR+AZu)5hT@S^hNsRMGHN~QXc zB>o5nx#gs*mvl+A3nR0%+LY5RmrxNXri0S2_EB9--F1QTchC6Ss=U|meFc+)7=AZ; z@e!G_d9~`XiD?c>mES#;Eh+ALgUPpsfK8w4RZ1%7Z;VO3zFkR3`f>p6RXWk{^62=o zU)+tt_-UMbkR0ELkhC7QOcrVyjS!Q*(dheXAh{fB+BQ~8b>fqyic~abOH~Bf&%24gyT(vo z8L8yT(iUbykAute+*SVT;08D8IniEvH{TbyCy2+UA#QMP&G=&i{<;s4CMhprts%yr zGx$&C-Th8$HdI*0=V5lf~9!D8#udxHDN zEvFzxr??q%Xlh1D5U}quaAW(Dj~224C$e$sWqSz-F&dmHMdLT%3DR*5Fg zPpIWtY%eei;c75mJEav;M82N+K=gL~Yj@%RVJSs9{4*u=A-%xX%HEiB;SsZO7wFHJfw(h7V+J@==9MMQW*A^!Hc{=; z^S+|zZ4u&6Trsrt+9-HUI{nl89ZL=%Y7M;-Qhw6*z5S(pwh(zoSu z?ujnmpZ>Id`O0H&rX=F$`G_E6FRkL?yL{dky%-RwvUv6W#dumv!}+vJXB^WCV}~j5 zlTP2{w$UB%`;Oz}G4R~9g}fg(sstzD%bE9j?$eia`xfcVmo$36Y)z?9fy1z)-gJ7N z-l44tC;rm@)ub@X#f-?SM1sGoJ?G^9p^k?4rF(*eNhX$de>>rlUnj5hqyB-yirR-Mp6u$4zPi3A@IVGv=9e$|Nq|0#k zNzuhE1gGMqb4pp2XFoT36kq1CJy2;?b}31B^HzY(lG?tu(LH#;iso6NRwKLD^QJ0` zgU0ZjR;)7_Nj<&oSvw-)yhjL+s|KYVb&Vwor88@RVkmPHJ#L+S;Je9@nXPXjaSEpG z&ez5^>g*<4$c);$H|b&I8mzZBUF5TnqqXZQ>NE(0E2oWg6L~padO`7>S}oE789Xn_ zj|)pt{Q9Iht0<$J`N`FnqqneEuQecg;`nUmEO8;Z(cRR?MLT1M+|r*&LuQL6TakB zqqW1^buUGxf)z+Pg_~(Ds~$VX;~iDslI{0;7cVKURPNZB3XNX24%kXdL>}yfC2`yy zzv>3Pp)YjLL7hz?+l8s8e(q(?=re7hMF};7Ps7bIXBnnLVMLUtD$Pvmv>Ep63nUm1 zk3VTpR5D|KXD=RRIep3b>}>k}K=!Mv{^d<2;tqJek~fRr@=X8{m_~0t#%Q4DDR}uozl!F$~-wYVRFN#jBdoHSv(t6etA_%CBBKg z^O=_UR`cvEs;NZX;WfkU%M1b)%6G$SICLEDE*kHA8jnR!`2JcW{7J=x787oZj3+eYkefOJ%cWzY8a_LPl|E+{ z?Ag_q&|C^a_no@mrorWXvSb|6lec$Xs8t1p!d@}8#;{9D*R$AjBhKEe^)uReC?M#m zB>V`9f136L>J?duua2IckdHM7je#`T>fjl*?9emUd?X*bbcyJ02U(&y7x_isr8s>k z6Rd+z%v|N&h&^SNet41_veB{{_wOBVxFDu$_oG+#vwM0&Rpn=Qj0XniJL;*bB3+El zj9rX>b7Rm;w3WhTacollip)jW8dPn$U~n zl(Bb0GV*hAbDDB<^D_zvaq$Xp3z?Y1IT+#Ga2S-E4+`hzSSgvtRXA^LmIdfW3qH{aS(<=-QC@}+xZXAe6U<2#&o z&deAVM?7Sa&ZbUQ4lY*qc8nOF#wPZzE@DhfAf54NQEVN4F}HK(!WN6m)ZP|)$JhZ1 zs;AW;!qz%#*GhPA`V1J``_^qseg98!(G0zb( zs10FNdowF@4_VL|7h?kY1e)aK`M=jAtpn{gWRBVnApFcV%*K>?TlenC) zfwZxmqbt%tOI=+>(?C^5)=10V-p1KLQ%jWxE^nmr<5pYMKwA|iB*1H=rY)l)r)~t} zEDd)eYk9je(&A;Zle_99xk7lF)*+PJw zpN9Uj5C~$XtN%|d0zBORdlmtVpdU#8Z(0PvphtlB$RHpHg8ctr5BQso{`c$wz%&2{ z77?uZ#6t-Tc6}?9`hK45yk7E3Zzu@!S!k3S&QeCFb!cu?hW~N8hLY zNGi6N@RO3HsbLiiijE>JnY=nG<^gBqDVpht7z$D9Lqjt0l*7|5V#K;aw6hn^hF+Re z+0t6RV;egBICueWOa3mh%e*>WZ~RkE;ST4kFN1xy{yDP_8HQ)@%ux)t8O1ccm*>9s+QB=O7SddDj^uDo9K9y1$p`6%HpmkCHMAW*=Mg*nFaU_M# z>?8kBt|1dGnc}d4u7EZBSzN;PtMuBTx5a9qWlK9Mxqje4jWv@}zo$-Ac_sxTjBmhU z7^MCzRF@}Cn#R*@* zCwFZ>c2oe9K?}xYkn-A)+)*K)IaqAS1&@P662)P6$`^B5X_zD=K0juI1!_)0bf`XBGw&!jpj)L`BR#c8W^|V&fP_g#%i?|bM zH?H7U;RhDqCesQciV|CUelpd}UUw@IKmW#7KAkj_!^;il{PBAXp7%*!J-p8}d3Vea zo}hLHcY=vfo<8dMS%poE*&x)Dnd*{}-SC$)1ay0;*AWdi6bLK9kiE&K@6X?|;SFwF zAn{=gCOiH8q%;YEd{-jU9s23=<%NV2sn=GY2;Rlrr~51}$(2VvaNoeYm=H1Ht5SHp zMo(axYN2nD!W{iF&2rm68{Z?9vDM8&?5_8>PX>gg=52WRLcRDkAKl4aoZRkBYx5%^UfR=0yAIT8JR+#EX=(HX{c28kD9OjB>M-FZ*^rRExN;;@V|gD$!3Q&F!7$ zueY@u#3$9%kFUB?rxO`loV4qrIvbvQLQ!OW;X+twmYg^^C&w?A6T658p%1 z-y(YaqO5PKI6#GaC;fqsi5}A@-;=#1cJ~Y%tYU3nj(O@FFmeS(t4|DO-`=F6E}HWD zcJacbyZFJl{bn$OPD{Kz*lA1!Y$}y zxD|ibNe)_4N@?^|qaZY5N-Knep|Jf-Ka;V>^Q*~$w_PM!^XE@wJRkK|UE^uk6<1N@ z|A@Mz7nII_Y=)O&dA51-isKX)fkI+L!VuBAzO57)Qn{|Xf@lQ_2xY;5+l79@$b~SwGLdDTEZ7E3fz8cK%J+v5Gq(EeK5Jk z_GbSjwTdW1CS{LDi1qzXLA{2~9f{O$duXZHk~uX&b2pw1pCkK>9iD2 zSn$7zB*?u+`-O2vKu`5<^aW_67qeT^H$9u27*^A^s55giLd#wP`Y~2M8Q<|FDwWP^ zwmrH~eC?6Cyx`Fs@D4V&z;>H^u7NeCp0Md$w<_5vQqmD@zJ1W2$J=&W8_Q>82~HymO_3FHWnY(Z|mu zb^hvF^fc#{2c&-0%RD7?8;r%HFy z_HH`08_j4cQE~myes7kq$ob=54PyVdw)Me*OVk9Jubr=uR|g~~JblsCN7h$Rle9Sea{;;Fll^ll!K--5)U-BbQy2muz#{OWT5F=G5NV*INS z17jEZpBpj$f%@mT^dF5F|4#o;Mhre+r{Luk;NgTJxrI1+O+m;6X3WniXljP!hw}@X zaP#o~HzNkWAkvuEM1Ysm7$#)G$t!3Kj3B1`W*BpbAe`Hb+Z@g-^v8&SwL|Fa+pVqnK5V5$ADNo=9tb_@K&Kn2W#|5n!@1dvELIN#maj8_m0Phh5; zLdJZ8oI+rfj=7fqk;d8 z&OB!I$-j5zf2?cy)tSexXZb-UWB+rx&5w<@P(`Gbg{2E4j2~>E_}SIRuAzbcSiK@E zqxEC!D|Y$PkJU2J>sDr98>}EVKUCVv#rZ1INygsR!QKwDVObE001Ka-9PBaMW*Nb* zDr< zD_jK5#lgV^|9g&qxjU?boH<6Qi^qnC!vw)SgM)Vl=db}s0^lRS!TtbL%nuKOgNsi< zNObJ@iIX`0nur6z#rv5^3c*SiLGap4-VE~(Kw3eCN+jJLx%3kd$zKyFG}@Ds38^+Y(T?Zs8snpIz2GG{1cYf{P2#;$txp z5fboWAzXlw;R6JYOlJw;ev#)e29dlH)$u1ej(>H8I}aNscey=;HrNdil^OYQt=qfk_b@{hPg;G1dT5Yov}3p`aN z#_vzHui5Y9z<`^k9*|!b%NDxR7(X?!u5%l4IUw^8B3QVue{kDqJ(Q=hb}o0|T&z?- zhBO6(0FWkNNc8||HgL1XBZ?)B#*!9CX%lH4IGNum+wZTPXR`n>bgfukp3dH%c@Lz% zsGaA+#sweI%^lGl#U0VjV(Czw23;7mg}@aTo%&8k5|9WS+dLVr!9YN=L_HSCW$@=@@i?R2Yl41;KjN6}Tml$6!%DaKXSGcm&k6gz5~N zIMUMR4vdyA04)Kh56M(mW&-;Q*jQFLAO#wI@`Ls$7DGD-Xoaw}(49UEXdR^xrKev| z|3XR!NP%yMAEW~Ni=9|ufGr@+T|Gi@6#0`>cbo&O{DI`Du5}$aP<|08PEob)!R?6s zFP&=^e}PT-K|1_9sR$2-)M&j(q%n0a*9_?2^uTTYP7$V_?A${oI&QRrdg|I=?gFep zJ=vlDH4fDYT$WIv5(}b0ouuxJqyiwCs7~Mw1`~;?s8>4^m`G4j$vX!axF#7<&qa*Z zYbAh8pnZ|`eSo0>Xx|Mr?}HJbeBeI+y=Z?R1So&`C$ISZuZ#4*Wq+)`y-0 zwROLa21VK4-&_<2>cSL7%rgR06at{5g*Bhj`4QDE!6H{%EBf)drm}D6;`oU+Y+ijY z1#N#2h!+zuJV>zELM=)^eYH8U5Qnlj$hds`qRlTSWxx%T(%-!yVf`|J=)bv&GAR7l z7_9TCzs3HGwrW0iR{FcsC#*P-3S6woH#e1Wjwf?+xpRw>X`G9FHM|X<)XsB8 zFv%hy`N7F}*(FZ}Z_LYQ8*1n&x3Yi}19ocrP;L{A&>z>!*Gcbe!~?%R0=xDrBi}&_ z{1H%^BB77^A~6R%7otZ{=W6G+!Byhi3j?WX4a4~T@rBwdi>a6M-bQcF#hV5O1~Zwx zX9anzKcv9#+;1mUps`#(nY84K6=jLR~XH%2wN4#^?}616e^}#6m1)B zpBulQTDe-Dk$0X=c7p>w28wVAwQc*o0%*JlqSh2izWlW|@(ECL{QW9-NDU$sJWJpFnvO zOu}4hJFu+1Qzk~;cQT;D8r@Ccb>o_qN6Pw0&&Zx)`i!l2a*$Kso zBeH9Id=oz;n*Aip{FRj@(x=0HMP$FXnA_)-e!S6(avqHfb)CUck9-Lg%UD^RMY8mR ztzMq|gsZG~RlFTb8pI??T7iL4X=+;Aam6rIJMXT{8~Na~q!wDkW?{#sL|)V*=)Swd z8mnk;MPA4*v@bNmqI+>B?ObF{D+(hoei(`^mrf9w+#V|yq5Y3SWW)ePM6GTB&po`E zTgtUPRr|eBku0HG`nAAs)?Ca4vTB>*zzRWqLFYA3>V1pn9mJ%HG8iU@kaZ;^Gmx4e zS)38hApI82YSMiB8-B{-(1};72gYxTkE5?}c#IpTCQ{!@YZ{JO%z0jt;i=y1UH`E~ zC-C?s1Uts3nkx|k{}hQ?Oq=Vy(Zw7Ax*0svv8tLr91imjZ$E7l@w==c)F_P>2XB!M zp5;eKTs+upKA>05*d5kf_STnjq{+5SG2M$J8HP$c#vmg0e{sSLRcLq+4$#Lk6dGXz zG110kAoj;iO{@E^0O%%YWHKUXzQhCXqNtrrfnn$&L>|LJ>2c6Z^XjQNM>Z`&_$zyqa+`J`1to-$oiO)%I~5bfFb>#9tZjMhKmz z=8;d8*kUQ%Q1$E9FV>IVcv$5{QDSQ=eI7zDMLAdT{$Wn6Tz+0-wv||{nz^PQKHWJ! z_X0Rg^o^2gauZ6SZXPj_Q>TR`u6hm0pZlJ@B0m@_eKpGUGR3`T!iY-G)2gp7=Yp}fQLAf2 zVVS!~V3Vuv3^b*5|^rZ*~h;xlrv_m6mQjhELQD|u-B&X;q>cRIc1gb-Ty@m6BH@>4wK z4wC04*TYrIj4W#EXet>OK2n^0MRl6rnmyrinb7$sx0}pQDKERf-VKkV;e1&*nX%{l z=}Nh`RDvxF?hx<0L=UTVgXndRW@GXXThY1v{CVSH-YVW78nb%M-|j?dl|#1D{4G|f zcj!tNDVTScZ9FWES>H8Opi3Noz2EoP{LhRfnJBxhHjjw?m>X}+ySlj&^3VmC){{=%QrNpL6A*b*4(B~7 z#DDzL!?sVjepwYU@vsIH%?8%3EqP3gDu&9l6<1Y&&#k&`{!l3Z=S}p&+ zJ6~x{Ty&jCbRBsSj(ECRpkbCI`(x>{3o)s^=VRo*vd2bl`t4EEjS?}`>&&q^Hiw9e znBxWYW!^J??UC|1vs>FI#r#1kDOr2to+Nc#{qmS-wBAX{Q{_D@9i))28tO-G=DPv1 z@Fs~jBozGT;R5F+c!}SW6j!=eq}MS|_rjLQi(Bxb(!(;$q|OmGS1RAE8rIIt=Qbo2 zoyln@;f*LBRJS<3H~5h>^;{->dzd8=RN}>$;WQKo74OBG|Btq>3Tvxv z(+%!k+`Yveic{R7xYGu0aQEQu6t_|+?(SaPU5ge8PSGIU`DSL%{~yep?R}JIUAeN> z$;$iKE$YT2KL_jA%dy(4>Y3Nh&ev#4n)awGFziM2AsAAk1nt0m-1b)!Z!hBLiEyG| zU$vdB_If}q8Ix6!qY)n^!9o_4G)cWx?9?W2J&*i8jL4nYAj=uSzY3URvMcvXV#?rg zQ3CtmltA@vk>1QcxOX=IJE6zjhNQY^v$d?+e~CWjUDNol>CpQUm>-g16d*fpdbT z6PG}(CQ09h;qBTL(9%O&ZNq>p6C^g4z$UXN9}tbLjFBN76oEjKKv?($X8qaYGO4Kj z`@nfRutr2=Q?Q!c?AQVqZTqshSf-kK-p4u!Ka=T;r<0%PDBhxHC3| z#HLwr85pE}Rb-7m$~9S8Eg@nsf+^#ZWjxhgifK za9_E{psJdMbQ7M$+PiZy_&64iIEcZR1@xiX09r;<|HT6*ais)H=jMh%r-B11CO!cL zcEK0=D-*}Xbe;(ywTdu>p9AkF7> zaJd9s*}~P&f@<0!oP#KqeC~Jydd&^o_0YhD)AW+af^T1SEB^}YI zQU}KUdn~RuPL=L+M_ve0t-Pkm0mjtB@d!xG*p4lH33-E> zv+t97Er5VBH!hxmvdhTXu-sy`baokpTJ~;9Lk^Plko#EziTLw^352MzT`Gfsev%Z{ zA^!7P*tokwbN61+u3l|rNN*^SV)IXs%xi^k&!qM}q)6OwCM+J#&}_AJ6CKWeL?xN% z?)${sF!h=z4Hy5+j+onAaIP`;t*D-e=zQOkH}H&Rbn&xnKb^lQV^ymC0QUlv@s$n|(!_P)w)^zcdx2s)2FT|{` z>^+aSQH=iz8PbbS^8d4lGs?w*5`x!Y3gfK*J>7F~lQ@D6{Xnm!MS~uW;y@V!vT3Tf zzg@c2D!9(sv7K%25tv`RwI2)E8H5@5I*OI()e%!Jhe#!JeIjHDsr~|@C!n!7XL3>V zpqod=#rFKw9$EeLTt!hp;Vtp2w)L$U>|m`PJpU;ck>QVhIyAFAz&IQ@%k+kPVa1fy z$rWve7&W>479%$XRB4VhZGp`|G}VKpWwpkmY*S;K&Xucr~-d0OQ1xMMi~ z`+@!+Cp^LiT-0G;-DTUP#F)e_s~#s-CYK3K;|NV3I=}g{s#S3uCGW1H8X`d6e9CSN2_q5t3YHI+0~-`%>S>FGNv>QroHS{=zmQ90)d@~tECd;d zgNJRHBM>jaN{ycr7e1UDbq;Hf;XnlE+-TRlL$O44)T^}hd8<0%NxKET`^TT$w>q_5 z-q_Zmp#e;OYcY#uoMY|h`K4K}JG|^B%tLkcbi7ISoZ%Ij0Dl2r$Zkw--ARFVHm+)6 zs^&iFKmzTwwqjKEyYasOzoKs@!?+Bsy;mj@3)$HL{PB)dC6^deTS@kXz#Ij!^lvXR zWs9FyjfR#nFhfRWm{0nZN$F#;Yt#se)iOr@^My=SR8{HDR|9&O&Rk;lNmG*J8}1lc zen-whR7q&GJxcRFPtDudnAb_CR$}E$I!~$B3cLTDjs@Z87{UFDM3&nYG@Q(+MqnTv zKUF&>*NYLk51V}Fuu*61{XzBaVkysfB2!Pi$naIb|L2u8#aMP1UgV(rd;AJd`w+lJPr2{X0+>2UTG(}o_ zvKOb7Shs!IZtJPvYW7GfK7LhljYtGA7U5jH+tT*On0aZgX5J5Ta7DyNV{k&jz&K5h zuxID(Z!wiqmFymJu$dXzCGaY&E|ggg2{^BQXTxDJ9TH6Z3^OT3xDWd{Rnb;=-00m; zL`b;5+B}LhASvqiF->7G5UeOk5P;pHJm#QW=_-Yw766h&GQ&ac)R1+pR1{nMdh_ld zadg=G_mNZUqo@e;=F7M+#2^5a?NCKn+o(u(?s2gr!Lahgef>JE6~7tpn$1Is)Db{l z^wl;Y#;n|-FmFPMt+o|~zoW1x{g*k1>#K*+Q%{n_QWA8sTf(}=>;?79;a9Bze0OGt zumI7}kP^Kg6(=4`L~ZdLyofR^&Hg~&4GO753;98?%aEq35Re^B*sC55Z}y~Jeo4K_ zc#RFkbrf(6PtICM!6|f{KX)W?SqU=&#+ZHn+Aj(~=4NG{bW2{;IH8oj^I*M3@FW_< z;rT?v5gheR*(QZxyZkocNHG6F5WJA8*d@X=eDU4^$6@XuP(a1Yi;%iSg15vHLlUQ| zd1+UOvFh+j9l_``Z>v9|h5RozJ*WM@%>Lis#}srwKxO7<{hm+Wv5r48Ls9y0aVKXuS9j?3^D zDPS{ey^Ik9+XE@t#Sel7_-qd0o;ADPMGlgZ;w{cw&!Q{A%^()re@mVniPCT$g526O zsAV|iiYc?HSCXa!+v~JqEJza=YyZIe5%Vv*U`>6BT<>^zJ0;0P3zfH6N}FpDe%kZh zFdtdO?u=n&s2e00Jum3DWY01hAa7q}H}KR@u5is#7p|fTTIyc(y{blB!$i5GV}_YX z!vy+uP6;h6MFFN1y=bR2#TMff($QaVnL_zWnkX15mcd71@iW-km7~?oKGie=Ouiqu zZvpb!$#66`Qm_n>1~YJfmCZMMj(dS+u_|}Wa0~M_=mdT4Z5k1{!Jyw(xb#aU_u z2O#)Rf%j$ydoN3w+uzbc3h+h3tcHk$8m}>_ldHXT+CJC>ue=qOP_Z{S?TT1iZj=Z6 z!Tf2?g@;YUFq1Rfb9E1@_fP8D8tYg! zCRTdQ)Vm%2ZBi{~Db!1DOokT365#MKoT9f=g!z$KUF);<537K+0qPyY8Xb^>OvU$WK&X2IeCh43f?KeSDwfn=vl2tUxVc&-0hGahC(a`xyy_!Zf zF4n{R9Pyi$qk8AJ6$ESx!$RW>5%{~9<(ul{q-o8jmxrzRFi)yyJiMhU6oycTh_#E9 z`yw>smj}Cn(cv58UE@DA$;Y@=CegeX?jBuzmGB5^zx*_~iTG!2#_3S$7yUOyNY=@u z2SY|wWeN9cdA^a&_F}MY3nH&=D(9bj*&?k6A?ZQ9R`zJ)eqC9{<0Hg}JTRliRLsgV z1nzPZAEfg_w#NhzKq2fr2fZ|v7tfA$FdwN)JsvKDu+)U3w!yXoDaN%A?6(xB%fO98 z{x};~X|>h-u_&_5wmCk$(um`cjGB5am*PQYI6F{zu^iG*#C=uj*cGI+6*w zyysQ$VY3RnK~w>?7ji5g5*thps)z|n)jL5cT8=;w)j#CJT_(ZG@1ubec}4#k3$y^O z&CK88P({SBHud$6aJ@J3=S8^lauv+R*f3TOuh$WDTdO@SAA0{EHwrH`Gg#^Ey)-+K z;p59=WS_=5N>-7lgst0V9OnDPkMW5m994>=GkvLEjQi1?LpU(N^|65hR-r#6GPL*- z9b5+}zL4h$A@(xwM)oU9i6#c$!3+r%-?B9|L9PK;jIOH!{55qM_o^PP5smQoC_-|1 z==Vzm3CD0rMpd@L$ak!)h^9}9Kbuk;0SKnjqn=k=$)wF>|c+fU{RtPg} z?5x92U_Zt1o4gD>3kvlU2zk`C?4lcz0Lg?@R{09s6Sc0~jmIjcRI*CK^+#}^PFx}M z`-b*^gpYJ>2dfL6aRgM0$_H1?pLn&NV*}t3FNqcy8{a0dQUWA6cRMYFS2l+R3a)It znAaQCC;4^OoY_#Ed5iw6+J7+mK#AAJR_T5ZD?zeN`=Lg3-?X<$__)at}fRmiYY#}ftV}N zq3(0EqyMK^X3%Fe8x|e&{XN}>&Xs$(#?=AM0u?z6d{%4Sk4Tm;h9d!TNFE!?d7g@* zM=*aH1NgUzqXg!}%q%CB<8S7M#z}j8i{YHvDZKjBV=^v`=lwsW5v4mg&U%3g_ln~E zw5)ZF5;@D4mOp_E584G1m({w48T@9Q{RMlRea)=q#EK*l@16;!CO$m0K>qv%7=nw| z{{ryLUcsLa^<-}gp{hL(rajNEKc|0iyG0~l@G||d1=)@yeoT(ryH_>BXaT8y%Pr_?Gb0~88Nc=ff z7?0s}m#5?=%?vnDJOf~yP&546E9j3VgA+sB z_IdF`p#hl*KDihR>z>k^&KSFO+8TsUN#x9_+_B+Lre|!fu|aqOHZ!M}tCW-v&ARqH z<0R`O^3D|0p@lLwD7|k*-aodWh^SWD+f%obA?9b*#m_jHi)}DppksztOZ8xdRK@76 z)(xn0E_~ZeJN>ZSEEtT6X#2g+_xFJcT>tP`%Yyf^8vJA*nwsB7Xboo(P@lal4G(D& zo4)@8QzQw>ts%@=9hz7k8)_U{+Snhm}PP!2s<-}>hSU-@65 zBB$!I#D6X#TZE}^SpEW;(<2Vg6Ox#fy`sjTD@TbS z6ZEMQe?E6MYfTaA!i)&;_n*>4$v@h* z5W4`7alc2~H&SZP-KyIO-Sv30zM2hjj4ZM**acRl=i%r(@yfpPV)un?*)x&7#C@2LVz=ax%eikVn(0 zvZrSMQ6GP;d%LIfk}a=tL`Bxg*ZLQKNUlifW{i}1RVV;MO1P1FH-nKh8AWw@NvQ)> zO#Gi;jTb<_PPCslWfJB#OEC`ION9l^N(|xXOidP2%}u81FJ;&uqnXCYdHar|E{NDY zN8JAqO^m$7Lie&#JB4OboO;$5RDKcd>C*YdduFw1Tj}6L-{~9hyZ9K8$PiBmHoUlz zpmtJ6k$WGg_M-qu#^r*6$iJR5JCrp2t57p97F>EUrjxet-0oQQYnhlRP-VE0Eoe?S zqjC=CXd*HRS9|6=KR?Vv&XmSZCh=2${*U}?oz3BH;CAKSZKc)H&}ibUuon`ra9ae2 zWYG=Q9g4uWsTg|#(-%~lYMksc@06wvhlxvJitW9_6rbf9^WQz4x<+6-(;sN?$pjSW zj)5lC?5#I6e~)n2@=w`l(qvafxhd>Aw{SUBm1$6Jadc1UBFTwPQyww(-VQlIrw0gqV$gy)|^p#5RI)bFqb+Tt`nlO|P+6 zq{oYHT~>!@I6PQ^asC&4IEUEx0rx3e#tuoF`76osV-M3qA&agm&x2z)*0}R3FSq!v z^kWI@rh?lB%RuQYZ^x%MJkUG=CdDnI$v7LhNn4LF0qPI#M9r{T*pQAdn5cS)E4>$S z@T5Uc2Xigex= zHa2Gt@?t5mE`z;2U)4R z=JGCuSNAy-i|)yEn>XpTbEx+L-qcB~!j_BO13Gb7t>Yo#h0q=bxWJ8aKWp_RgIU$FZZCh4Q0+H6qt>Fo5r`gLb=VR3zuX4!x{(3ZiU>4Ej4s+q68k0z4lQiCTh zOFT_s=mQNNO@QE;d;Kq;l1U{+z~3+h5+L93plpIbZu&T!VbjslCiMGmL@; zRZW=GYAN1W&rgcK3js>f4oEfHMADs~*%H(!*zC0hP4t{zDLP@fjZ&d}S)Q7(B9vP` zL5M%p$=4N7#2RwV`0@!~em*==ez(lunM~`-b03u~X;YJ#!b7;I;lwH5-rCVyxI)Ox z;AFh1@zhm07GB}fI9h=ECab4<8u~4pBv7q+nqKNg@mUt&>6gH!`PevR8ccLE^QGo8W4bzjxO^far zt}$BYr{Jx{xD&WEXhYh$_~tp`#+&tFD_PBrRrYHc8dKR zaF|6oEC=Hh5fP*>0ey9Zb={4>Z0O$y8chqcveoKuPSS+*UFo;zWX2x0kfyF0ZK$N+ z8oQ(Xl#r~OIPM!9we|e{t{$F?=ZF9^nRlCZQxOPs_qDIp-QHB+NPRI~J7os|=5f7Q z^0&BWgdyv9Kip0!wLTQ&=RJGy)uOrNA$yMk^B&+z^2QYY;C+3m^HUa5NWfcdPbMVQ z*@uTj(vUECeV>X3^9RPqCM)WULi8U(F@7y2GpGkEep&>3ZDaR9SWqS$xX*3EP{ ze926=`E2$f<-grGBvkv-1>H#EEkDOs3ljUZCsmjHluF4EiiAg2B=PT6U6$8_j5Jq% zN^p0mtj*v^@HoV84*#y}b>hWcRV7nc@p)fVM<>oT0q}0L!GGz4DBjL#0-pw^QN1yp zB3!RJogcr%n_3sAYYJ7kg6%H=xL#J>S(9QrkcuY_!-NlnXQiuxmHv_2j?sag&YW;@fSGk1b%R=0j}&I!)qz0JnOWN z_2NXV@9`+-Eg7ddjasmLn-8uoVxdqJ!lzJpMj9Oq&J%3<>&w&fBu*M!iSnJ7ah!-iVvEPv!(*v8Z9*5EyC^0IZ>3qYHZU$0Byf#>-#o$IouOQ1|IuYkC22(a4l+E#mtYpJbEp zKcQYxcKR(+uW?>tC_~PGWrun?J(9{fDE>WJ8nK?=T1T*+BVvvzMFXnzZpmTubUf_{ zG`f;N=*5dD4A6Dm=6dq&U-1!7T=oj}tV_=>te(x+PuBmpSkcGff7oi)LjQXMdMN!G zW_H&DiB^%fdi(%J%xAEat~5UNv(_KL#)W%G%t6W`ubT+MS6jG#k%v@0HgO?yH*@tm z3AYuUv{`{CR953A7+h=IHv^`D%F1YnxK?TfdiLkTBlW|IRns8#q_vgDFM0mD{g`!u zXna>C5Gos+bRHZgJ^9&o{tTS5yllg=34tN3D=SS-e=!dwGy-CO{GLZp9jH9vh!gX3Zs_t~K@(GUGONDB;wDH%Em8X+nywQuPzricJSD^akhxAvdQ{hNdwj)d(+iNrAnp{IqfN-1ghcx8x`o75GX zmaBKsb|8$kL%#f}JAc9jtPg*t%eJluLXR(IeaLmnbn{d+x*R*?MdVSWXb`wMJx}5U zR~I>&QuPm347a6?-PTYdB2Aaw&q@Q`nZx>SFRoHj<{GL797HHsY2b}5Mo=C~xd-Jw z(wy5h5SZIsb|D(YEpVULS4bNHjn#&oaQb{M)aXtqLDGS)`wH|580p90JqhMg%f(n5 zpll5mvuly!Z%;n!`sKDHr0Vr6E{QHdQJKJ9{&I7LcC4W|euLYMKMRza%;uFs*z;{B z^-Hkj0f`O1(v;=b*oKr&=?rpQzX0?R&;*44q@rkStzUsGg;9MwbfbJ@?otL@snTbO zBncuQPYXa>F;pp_M1y12nkSljk13Jl+}mdo;6B-bz04IXKpCLS5+7s|3%YMtK#Zh9 z@8NzMnK53$;Dc9@?DZB&znjH3b81fVk19jLO>)uisf+u`;;4=C+VFedW07L8CESZzN8Js^rg;KKt0ex ztclm-5En6yq3~9&HGHKXVdWU#oO=Ix4iE+U&M34Wv?D+fVQ!$n(cE%M-SLTmBLpkX zo}Dn+h>UcJP;{ffQUv5~xi5v(4-l>J;%km3HL=ns1Bkz!l8Mv(92lE`VdDhbe~?@L zv;UAg$8>28D7#%R-q|;ZOEzT#7WDEZqMv{A;#_v7bmV;HdX+3p6PhwD_`a>MdYe$* ze=lGZ72Z%__Gmb5uXLMZ|rj^%#-ZpEvy z&Dn8}dh+d5!N>y*9UTk3i~-ZQ{KoJST%aiflF^Bo$S! zJWNAvJ+x1GzT7hVL6h_?-a-3`)v_w|V&2t-b+};3v99kMQ6i&*|Cf{2P5k!!uf_-) zlI(~O_$wGD)mPnMFf%~|l}gGpe=jkhB<3%G- z{Ju9&$WY)0!tU(5&LIkMS9}qnT=YQ-nWw-W)i>y4mnfeb`ZV3u=7H6;ES@2n`MlfI zi(8>J&kb?%HNvLcuTU)cI0`3iT2Zcd%W~{&n@Obvxdzwt82cnBLGC{9wFNha<6>Am zWxdhD=kK@my7I>#-n*q+5)xV4zFOBU*zb0tG$}&8YH%->5V5t~S1MpuLoPgJ9Ql+wg%V_xMF!W4aLk zITn}t;TuFhoMV3Qkl<@6vqr5?e0kdUcB%yH`F49TqTtQf)#O7M5M9X0{e{;}KB^6K*_P+q@ zmfS_8W5c6+sohBtr5s^9x4@M&a{LGnd|0hEtK3hM?yf1X2J>ZYj;qZ+a>;P=SMF%ZsC zL`lm>nKO}O`9q_0gy4kx>4b*27Opwgw_3Xh$JX}mLfPH{k|ln0Lw;x0mGA} z(A@!OE))!>UszxECMXUHVi4KEj)cU&Tj1d{C131FZx zBu}Ahc5I+){V!!jtI;zk2HFP! zANFlZcb6-u{Ys;Fc_q@hvy*Gk%o{&8Bb#u_4pEs=7Kn7@4gsA8w~Qv`n_mP%Bw7?q zw0xXZ?+!uh}87y@*GQUKdLTMquskC9O}uaRu6lxWb39 zGfFvG99I<~zCMbubbmd!p_s7ZUQ}C9>-}5j&s<5V&zr>R{2Ep5-BPz+s|ooD{;B#T z<7CDj?EsYRE?4;JMzLv&K(him95|!V{`TQ?XkMzlF!IoMeh%4VPWP`DUdzsaL1I3q z{a-+|aXh)ecf(mlpXGjV_$mx}3Fnq*XD@I+b(jUc?yE$vTUyp|q0(f=5z!u>jXGPs zb3;S!-vcDz5PpGY_+t2IDKxf?STzID8p-1izjGE3%2FuFKSTQ-Qm-$r|2$)GmK zx5v5zYzrh+MhMo=Ks!KR`uyXe?9R;l@V!8NYGCHTufyjJ9V#DU>pJ`El3VB36SfeD zXipo%3#2PepgCP=K%P;b?n8~GebbKweALh>Lr?V6N%l1p;WQy1BGpkMKAaBE&%&F| z6`2^8SKx_>8QD%rVOHi(UUIWu6@Xz1o5-I1>18?8hk&g{_)lFU0V8`l6%nDQp2R{E z1y<(b%ju2rA|=WKnz72k`#vuE*=Li4Eh)eWh<)drVE;w(szPWYh=Wz_!2TxYx z?5!cxK>x>v%!r2*Gcfj_c?Pb1*xacMbS1zUdhK~AF+2u*W0*wl($ zT)tFt5Bx%7R6i+E9fW)+|06w4rX1ccm0BjR;_ryVV7p2ni1vt$C<$=U65HrRHYQ|U zZ}$TJ)GP6fI+oCdsu5WlkWAL<55sQ`o~6#bxE*Kst7T>8P#G@c3lL1OBfF&sW5!`t zyw7kLvB9GGz&)BBnnEcz(2fZ-H_hD=AA*+5f|{E7)6PL_a>WdU%l=M%KOsUNa(een zFOTdd0>NDd)(T#3$u!hmCKE#*(gMM7nQHOI#fTZ2qc95+I3N^^(h;H+<;}nF18c^jBLzeUM<_3>h>JBzKLz#DiN1;=)J#Y?W4v0T_X)}oV-2*e*Mu$SrS+|S^q;nY>gKj9g z2u`jXL`u6Er#9x874Tjl)2i6m;;IKH3||+&p{@D!9Y!lK^2v~(uCc3g3pZC z(`z*nS)$1=ppOdp9FH2(>C$u6rh7<_d-{3L!)F2uF;2Gkf55 z?dxv(mg~k@IKfvR%{fpc4}N&!M4svqm;oW{HHIT^V%EI8G~xi73bexG(3B6C08z5V z1cD`P6X^(RB{!?Md5xJedxXPvIeyI=;8P0Au$fhQ>90CeAJ)|{7Qur(r1XRnFPu z?KI|E53&c{S{H*iJp4xl($J*$h5`Py80V~6QZ~Be!@bP(_7tA_UbWPf; z0$>o~zW~L7!Q&f~uWM_lprCkV0E**wlf&_X@$NkVbFRj(vc zK06xL7AlT&IWM4;cQ34v#!d{|FUSx@QlSj&ms?p2oZ1z`8(FG&IX&;3iI`l9hLQ=} zuc%Z{*xVu*vRfRzd_%i-y82jAYyfnrYz*&Vu#cCPHZ&xP+(EvOD|nAuUTAm+a<`t1 zQ?Ci#<^W|GlAZmWlZ5hChne!V-V(h(XUxlvG3vENYJW_5tLJa}y`=tBuD*lSEsl?e z-fX*a6~^cU5u@+|4GobyVvG$<{>&1*y_fmKy1+9%1WKrK%>h*vrC}e4|0%K5`ffU2 z*>>abCU|D4-9p5G%M1BKN2bmNdw|FqYNB*RW5Ev4z@*v4+u;cS;Il!n9!|EzrX7%} zE*#{WovN5^DE%$SII{%xmCi-bEp7_kvGgGl(uGZXLq=9GvT(w8Kh(pJQH}c+W*(BD zbqjmSb*we57UZ={y8#ZHL{Qac4C=gRKCMVJ5!T%&++19__IVKUA6+C5ag~pAegW|n z`Hse%BNa?n=Iq|^wab!L%ix?{^Xh~WU=LZirY+*q%#4vJADvk=o4?xLytn5#F#A~p zKfcSr5{;}-r`4`cYV@1M62#=jbZ$;+0Uj^K_L+e6r10x0MTf!Bs$XMnb$B29YfD1H zBsF!3Kx|AsL%xw+0#=CQZq-9RKf6R50sRdSH$jg(moinylK=fAj>o0cVlkq8ddma7 zUeKqe=FLw5qiX`;QM9JBZ_%6y`|-%_M%lI96p^NpZnvOb|1b}JyBsb{{oBQiB{__r z`7ci9zsJcpo38)G&%|&o_zU<@^JI1_`|0+-2wLn@5ijUHXW+#*8SzuW8p&=Njf`lp z6YM|uHue9IZuVY)^OS{;!1Lg?tr22NFHj>5$!S}6bMHnF0It^gO8a{3z2(^%M;MK} zP3fKTmGbZfqiQe;g+g+)L^-KLLn(zdDTv};aLm%P&wF{HLCoaWCuohFF5~=YGCs-{GHi3qQ?Rmyq4?7M9Y17`fXJLpjROK&4C+)6;~gLnCDyAR)A0PWV-3R3O% zSPYPBi?j;C#D^NQXV|pC$n!Ug{;5Rg^5`Gsmn@EyzW_#)mzt5<)dC~`w+X9yia{JH z*ZN=B_M$&x#Tz}A{sp*8SRibQ|LM0^ywZ8^$206_VlYOP@0-2&rC4p5s9khT>8yPu zR^%Vh@QxGeOOwZ3NYR2JSa0^+dux)e}-G1lS%4|5>dHFF5h*} zjBL`|>`w(T=$|KW9O^VUdWPo*=|IEnGwwBwgz7E>aDOaNoW=RVc`T#giUgMJ8}ho? z+(^;2I64OdaB~1NwNvsMI2LIgrQI+qO!C!geBktM&Cb?cmXR&4F%yxl8j$CVUnuS4 zCWZO<^!Zi)$}r~5a7iJ(dho{;+j8e~1p)lGD`(&Gv9ye=i|5WI5nsmhN1&4?6YMM7 z0kqQMwD_OJOh|tcsLf0wat+VVFhA-4I*_ZfOPzvgBoHC%B2Xw}7k@~C4x1iI01GD9 z_$kWoQv>4v{82Ajmz+ryzmeNl4R2il=m}j^@@Hl16(1uKl%5ugH|Vkp_&5Xu_UXhH zwcS1P7syxyh5`ra{7Z?$<=HpdN^T*OOjYs8$NO}!2MPa-0~a4*t&d1j+|?*I^Nv+C=1~i{B#mx^H;2i?r#(F zJS`~1`f4+?4E+@l;O{k1UA$$eijWko-bu4?P9+Gy$?jTEm~!^9Z`@O3On}JlM#|P! zc>LR603!C=Df&o#1B&6;K{A@=c9V>ja}S~1csAyVx64-Irn0Fr-L-;LbJpr#X>I@r z^??b8o77Ia6#%Pl#DKVV80cj*xi8ijq!#4IGcm-&tkv!V_2#FcmRC1JC*-M%go zQVSdg2uaR2a5F%ZlcLnPM*USdZdrq+wo%?%=tYVdRWkTkZ}^Q+|H?+|>(XKn8f*&1 zq2X8RU2fo^33>GAO5b$Wrx50P`cuey`(=%9exc0ryR@>D?FEwl&!1Mj$_By@-`u33 zanuWr`+EIU6ZE_^{Fbr%=4=6ziT*T-E_mKQ8?R7zb#ynq4inEDgYW+SmKh8NK}E z+9L%-k#X!6I!et4G~O)l>**Mkb``m1;W(ZoqCYQfR+9~qcD)UIMVUfURGLOrfhx=4}yH(gx{+S zHtKVsLq+T4I{j_SkCYeod^DbprADH#>wC*vTswd$K|!?8tG1HN`2xfPy{@YEh-?@? z0M6O^#9BGmWtDzn4AR|ovkws+^ATR9_MXOIh2jWJ;OcO2m1&>nS^*6~kD<8WZ zcgM>2q)S5FKbuf|rNKh#hyLU8kP@S&=2+2S<7KtEb5Ac0pxJiuaC->`iGr(w(?iw! z?Wmwg9EpVN9G9pR|1Dh#hSHn(BH?&m7eG`d6;d(bZL$<%@Qrg~BBGsMDk0;WbW!4E z@bMmu@{>BAZ-Vl#Sn8|Y|H|@K{%@A|e-{yi5&r}3>f>h-SZB^Gi;1S}GIbNTY^WL! z5CXsjip9O&u$sBn#;jWqr7L%|a^;`PN7(CkP2Q|7rl9f9m;YF(Y0k0k&NW6(S%29m z*V)%=uam9z51T|JpozLyAJYo8Gi3aVhI;P_gL+&RWlZe5_qnSOe>?PM6m^0YQzrvSmIiQal# z)A4{?sW&swh`)e$IFQVz^dCq+VI);nhe}{%BRNw3{nl5G1*hV4btV#WoEo0O~H$=-}c>emMwJBjZ81mkg78gp3=X$E6ehukkZ!Ja=)0D>tvg0j8-)@^)7RW zgwNy3|5gpB#kD}0Y-ju$g}ODnV`G&MYCfAf3pP~)yOu6mzik1D^e-Ng==cjzD@ zwWofr$IWW*xkg5VJ5S}J!3B74z&myDD#IL3DsCTMAk7vA*V*Xyw!I^}yB<|oK^6-= zk6hCIn{(%pL^Xq1G z;m!h_h{>0PDIe|qKW94m=B%TL?>*wzI3@F$;?&Y3Sm^#3cxj(HmGBAMbR)tYO7uef zo?rI(lA%5-@jN~KGJp7;YNM0bf0ugc2_2_GcDQWr5MR+toOQnb=t)PdGy@w?Z28@+ zF#kh!b)nj34UT)nF*RrKspp4}H_Ps|iQ_*@N`4OhC*H}XZm_902%hLj1>IZq>koFt zW)-iUNNqmM?;in(!HSj9itm&@eoR)<5fQFtS7Y;!Lv%qWo>gIec`Iwb(d1hNkV5Rk zHpv;(?4QA7r2Xw#aB=@ zi%MYu!p8meok*=z0R7g_i;YikP{E|D9qDX|mJij>S|kQ7rrK#>b=Mpd0mp3CV4y4P8m17t6G2 zYJ;)E9$8Ch;<3T{JJJILge3X{Keod@D48+un z{i~i{ipg=c4H!PN$;aNA;G!+eobTOCRovx7L$GMl5z45u%KgDd+U^~t4ywh)OUw2E z1d$P#fYL`|sgOCd1dxNrjLZntCxTM97RydiqBuJA$o0RDN7Jo{c9nID(9a`&@;R)Wm1cl@=k z=P8O;i<;X90~fi-2*Nl&d_+azc|8cRLPeqZs+?H(Qv2Ml0z4W>{?gb{7n%^3nVpnm zC7p4?Q8y?#)3#rudfY0H7go&ATSCEh8XtMz*=f(vn(1kR1h(+nlBLIn#AiKA!5|WFU-=U_*xe%{g-SG=1eD=Q#?wY)LgJ2T6F~ z(gjT;at5wEtL#R3Lih9};PHoO5uAsuh11Y9cIbJtl}P=OyZnmDRKwOo`Coul{ebb( z67IGlqAeA5l3@wM>Zi(}Lg~ndR695dTFe}28D(6a|HIl_Mzz_6>$<_A6ev*KOMn)K zV#R_>vEptG?i6=-cPZ{(+}+&??i2~`&bRZeb@n=I|2XH*ULzx8ycwAp(KmC>=eh6e zu3Z=%_R=Q#fi%fV=U0%sXQIb=Q)1@G(b9hbayj?^T{f@Rz2DAS)6<k zu~$_6u!Ae*V3zmyUPs1~LEt(keYdq5iLgCgtZWd8yRofXP2obzT5@WV69=`i4)#d^ zE?)Ea3NTBWKRz1XRT`-q@R=U|-@;C0YEnX27ihlb+`+jW_Q4}qK zJk3N9-JJ|B&bE(3CHi9v!NzHX<0agi2DFY(LI@9sY0Dc$a(rY@+ztIPzG^{gOHh3) z8*`d&JDTF>1;yD-JqKGO_a8^NeHox|JL+=Md_Gb>jVDg4W4TsHvIdd19?-=u`za}Z z9;;HBidr*L$rcP*R$Iw;rJ6tqGoN7~R%$ju42&T#0U215 zGnOP{52qnAj&4$Bi%(%V+&9N>pgr*(FY66FJ2lEnSrWp{6uEq4On>sFE$g!?8D5k9 zK=wLO9E5|Ysg z@hGIl`cb>jumR$1Wun|#Yj<-O>^sCJ)A`i)M}a!L)ZC%tG+eAC}sw`x){I!YY=*OQhQ z52I_qu8GH9nh2*7VsB9^u2B5*qRkt`3YM}#_NnM0uUP^KU6&^bdd~?Qrg)?*GHV=h z?==3$a*IalEa_X)MOSMz^6%ML!(WV|8@s26aHJ5k9HmrxcmY_vj8jef?*wO&jN4qq z(qe`qzgBiMwBgk<+MG^qA*}8cZXG|=cm&vV{4OSM;^6Q@@LQ(8Jg!0je5eE25Nq2F zTM32&x&6udzr24*53=p3T)Ki=WuH9^?C7vbIExCX`qxJ<14)c!XZfghj2a6`k-QZv zQ*$z%o-uB~Uo42-Iz*kFb=HMm;pFH&#+$0%Wv%`e~b2ir@El? z^QbwKRo?$^ytELntF&5cHs$$KS^1^llv;0BPDZUXl&2!`Fe? zMaasSEcyFKx;`1kZ{pLNDy|D3*x5ce^h9B-?4B`tL^N@E3q5{kSs41wYi&FzyUlY+uq5&HE*1Wxr5OKj82*C?AfIyZk}oYVu4bx1S|x^ zb&`L;EGrQIdjkC9$LWnNk)`pWa@q=u^aFQ!I~-DBrkgd1F3Pff?`be5O>mvIpFz#! z$`0wbm=9Ij!Bdh%fdQ&OX*!Wjxp9gx)Of3pVgOWxiL!B@<;NT4$b)1Jigun9A+x&| zPW4u8@Qa$mEtl2@r7gbM2?3mbRGbS$>l_iCp&#h^MTe=OXYxxVw`j)Jf_=qn+ zYrV@(L@Q`IM^f&PZ30?!oEfA1%Ed0aSw9|^V|%HkLE9zeF2B*ojF{Pf_H}g1%k2TO zWCmsguXpM=$K_yO1z8qscj0F?T4OmxtdVrDnV|FqqT=N}5b5Pl%+BJPW!Pn_47y~M zRq$C>uWd21G!&$K5ZX8gA@W<=j&u)noZGpSOsq&;LW7U5BwZFNtb#RGQw1cK?rlh; zrf9kX>88p-(`9ApW2ERZk*DNCC>ULYN=tkk{YIRzf6iK+A*&kN2C;7BO0{OCO@BGI zqZ(AsJ@#S`gh`NFACoLgz{bWi%5Y0EX;5Du))?1!uOHci-yH)uPyzO6zmb3O6mXQp z3;hQEFtFrNH1o|JPptuum`Ei|$445n4NhovZt1>+dEnyX-RSo*wZnl^ zhkqS(9s~RB$vRCJ(l53thxsY+I452ep?#mS>ok0%^#S*`&jeC5su{AtpSp~i5*lcd zRp=L7OblLkx_`@pljuuvLH-5H|28wCnv-6+ey3A=FV}synpjswY2=f zL(y>Svjfr}hX8FA8(@!p-E&F-GwzG-4S!WgT#CE~9E3fEBcNHx$@h4->?{NofIMR6 zq#)ja>wyu8Y=EXoIB8c?G3Z*DgNwyieC4S&=@e}U*4Uao5N2aLwSPl(HWY+Bugw)$ z%us#-(|^=Tqcmn9BVIR;;;Hls)~7__aG<_o{Lt8%o0Tc*8?l=CDKdV zo)?+5)JX>+wWq%CcL`Z|YkW51>o67)b{SS_rr?QZqmdTXAIktw`RNWsW?X2M$DeT< zhK8GopyEg7(<_EHQU;u(y}sx(^>xSi!~`Ohc=LrX;xeO3xim}%Jq*gzq+wgaS^5|+Ep-P+F6F#3nyz<+APLOJlPQ%L3>Yebc_>1~!nQd&H0M`ND_xnx z5EQBK$Cj13m$o1eNd%DI{f=$|v=xO<66JV9%&YQ>m47M=g8jWi%|X$jp{pOS2p1yg zE?kal8aV`tgttn%sG^+bMpW06nFk0KbL?WvrgwAgRihOk8BWz!gWT8*j)DvN>CS&y z+h7J48fBHb2XkVIA9UY6+1O#;2qBk17_6!^Ipa6F>zbhx463G>z?(GeL~+FBqfr>{ zy$xHLF28GRh0Rr>J~_#ejVZ2#4^`95EU>b$tm%ZaA1j5Tf>6!O&&`+^@K`2vgm(zt zjGil_^1x*2Bxm25;LnUFH`8~v(mxL6ESb?yI(99bE4XnD8%67Fx@=SE5%PcEm-x!#XX+=@o_g;RDU{4Jev$tOrG`tW=L{X~ZX1#P3UOuz}Vncp7FX)q2e9}PqA z{;Epf1TcDNoi;>?W^^dyG6ik_1~?S|0EoEdzQch!{^*N8!7d&@#>6e)kq!8&Ug{&! zG}#3AX0CE|{rQ<>a6eu{3-C#r>c`X6!dZV!YJ-R9;Lh0-xgdJhv(rB2sqh*l9LkwI z3B1N+lL9y{c8L3AV81vSp40#R@wy1#6^t?*!VSCh?4(9|rgbfJEyQ zIXVc@*y2Y7WV$N_f3w#k`@?)S~7yw8`wVV;JzM~%i2Yh74sp?AXy{`^_3XBKLDjZ;Oh|D>ox_f#`7_)8U z0J#oY`Ec8>cl`Ve>jdTW0$F{rSQf{m&@*h+hrxt{v72(UsQW&VmFMLxjZTaq>pruU z)qrV_m}hKu;))N+A*VL4;EsnSmc~4-yMBsO#9PPr7U*O`Hygc}TTYJN_mSngqG&BQ zyb*#CZ86~m$G?d87o8UBS^an5QY5!%I3_=RnqcrvOVH6xZ(@(kC?8m8eGSSj@aPk^ zC6_Qt(k=wQ{xK$qhx$nESEsE&tSq(8p(AqxdL~dS*Z#&@Cg&{*cGB|@W31{(Va^Sb zgqBHr>J_;-_Du{#I*;i;sjehH?~41;V(vqnkKN^5PhI<8aWiHCC_)Mco@z{~s$eid zhD#l7BaXFMwg<}Wy1=%@Pwn!|gjiB3U!qe>$v0{4lkrs_VW(3kL~A>Q4auRYR>cgt zc|ZNnWCB2}k!6fIJ&#f=v>`OwMnY(uaORKRUsS-&SjDe3p~OKDN6A6=XepkyIS+MgxiEN%*=2pP=QNB7lF^O>x1?L zV7yb>nOce-6lP!ew)ITHWa@|Uc2zsYpq)I>hvAYiaozHI65OeAa+|pEs}&(#;QY^u zksa|7#eO3Ji>htIsj*N>n-GdOm8B!&r5cyo*XWqiNwYZI58fssF$>z7YF>t0A4GlW zuBAy|b_6YWHh8AwTiF#tI4+mhRI(yaig#Xh3pO1JFUvz0o9exWWuH!?4}`%1K;Ki) zr+(PkBJV6+SwLL=1hhbh`G|fEKAEaejfD+GP|d%+Pjj0K*C8ws0YxmD-tfy;k;Tnz z2ne&IASUoWFoRj(U+7Lc3X5x^-dV|xRc|mBBsYI>+`68MVJPoAPW3YBsx#%xou>5; z6VyX}y71AXh#&2nIDXks=x(n<2G_6?d3-ZR+2I$ooV909OZm~6&uAChLSA{RHtN@e zwW%3uOABhze#%`St3t{N;gT`U4jB>84q@~freIy(i@cEC8RkeC-G6m?%L47JKI*jG zPJ223d;I&~WAWAh5rM(PbzVs6pZJ7i&UGu5t0C4g6Q>K+y1guR24eu3MWuBGKF632 z9Fn2YO{3rte~SMBj*xxScPuGrHaJ$H+c6Mr=bu=JwgKFPFX@(G zfahZMKDhOek*bOmra=`a^JA90wJZ0;R_dlfXzTRf{Ec6w?4h&><*%476n=mP}1{vJnQbfH5aMeAOJ zrZ5LXAsv_XY_RZVp7_+O)}XIN9q!{iThi&efQ?(9x$WE%9C0 zK8G8SMxHSC${3`WB#5S5Q4_~78+{5}yzAjWL!UcK+yYBkStg*p%hDGj^b%j?RX5K` z{1z2B)n`>@WgKa$k1V~|ceL49+e)Ol{mVnXtKNHF-(hLL4ti~~ED6}&6Ws?L zhQ*b-sD?xy3ec7Any`--)vM9|Brw4VJRAgCS};m!s-+WOEfPra_Gop|N4$Cs687!O zmvwz5DSN4O#L!mh^q;HlbWY9U(TP8msslIG_*(PE`0x1pFrx-{#RF@lffYxMpKL2s95QusQ!Sa2$nUm_N1!Mee>HvO%jw! z>+UD+EdOK%B`2ubc-B>Pqm#7omSMB;vhN!iZmo%?iZWl6T4%@>ASrQuqkf*dFif=f zRlxq4<*HdNA_lAAft@ID?_$F_f*0 zkr8Q;t0Xt$@>wz@P!oLluS`V9!k;)%RA-Egn#g`{_7*0P=9n1sqS!*~`Y2OBf*QIf zphV0=4OLq;b1-9j3%}3)>IHh+1XM*c$`Wy;ayMo#sdx7u0N19kXfk=i@jbu#_;JHm zEicUHFAig9I3#S>ni08A8IYEH6o8{G2&ZrifyXYOZ>JWBV5D6-%g)p|yV%@po#|;r z|LB|1CTUn7bM3R#q^(SHBZLILeb@JEO_CXGdTyuKhduNGZKqsM3V0(Kqkp6c>I8lM zF@+T-OjepW}fk6`}x^lBQVo2n0OAp9zAPww?`hFHZ*vLcM2`8n}%aa zw?x)`*4WY^pS8{;B^Ai;TkXvP+ke$!XDZMT3gEA&pBl}d6;MEoaY`rQ|G27#v>a3I$`OTheY(a9&TzLN=I%qxBSG3M$n6X$;;^I;C@7Xt=0DKlMGyB4>e{Np20xQ-V(Be}7v(8IgZH6TP>OeT*A~|S_~&b|=ckUaJp;YW zwsn?gTRZ(Y`2}e`CLW=-Bk9fhdUbhfttsA!PD?t|h%R<~DNnkbC{|)I@D>vq7nRA) z!ZOD@=%WDlTi+Ngw8(J?@;|ZbU@WR*s#v+l++E`rnHm<5I&UeA1WPlSFV?(vdL6ELqeC}x!YV2<=K>Ig{*B^{+sUc{}4gWW5)gufJ+^z9P~AO zi7@;S>!lx)W~*$E@mt_Qb})V9NVlxwk6-!1Z?*CIh)Mh+8nvos%?q^s{I__9`ueic$HO}wBDlnr429TmUzf}#@gpMCfh-SxkQ7(NdM=6g`1vUX-wRr z+HVvZS(=g5ZQU>L4)_VgZxf#Qe5ycHI-4m@3TZ>&P@7Jg?_6rDgj(B7pHM#s2}_Tq z5yDZ{pDjN%$kRB_+Y7Hh3B9hJAzU#J!&(RFyU&^vnF4>^8B|LhuYUSM^ek3zf(Vu% zSB4ImqAvzFRhh9b+G7KetcHQnH0*uUv({)^%=)-5KW{Qt(_)mf^uYl_jFi&4WNz>4 z4r8TQy}#gUzkZD8lp`){ItX9WfV4~kaO=&>RBzv%7}gqa38Ta+m)d@`sjL_J4qvFQ zVjOKrO4@tLwDw84t+b|!$;{#IN(+csN@`zTzYHO^KdI@Gt^|4-+IQwx*gHfvUn!&? ze`T?LP}7(YO_h31phHCydOU1*Jr4yWm&Q3-*l=e~Fv?XMa>_r&a|B_3?YY z-~+PU0tZY`=7lcLUC@DsJk#+q;s#}(o$ zc+<`nBHq}pS-OvyyNLGN5S9>rkK?rGyxKxxY-ie$hnO=(!r^$an0R3_(Q}3N+Abwp z#BS9t^H#87%z%m0+HbbEKg)62p$+w%J$vAm$t-_6o_=Wy%h=&Vla}#WmGmXMT3ZSD5m012^aW0078L`qAA4BceBvCk z)jY7cZ|qJ$YQpMK=HW*=jP6V1X8+RHHQ{nkw>!kB2&mk_p>6+tL{DKk_79*L20Z87 zTuWKG`Ufx#dRg@Ol_YnQgw^o(AHbT6Px|?p%&D8tAq8l`v*K|%nMz)Bd5t+bq1Du{YZ|)V#f$n; zUwhN5ndoYQ^6sLaL(oz$2w_5^GEK11ogKwOCdK%Y;P*|Lnq~aji7K;9(^1u@*6JpL zQ<1t--(?)HRV5!KCoHqh+QIhV0IF`>)&0l|(xg9QWyod`Tu0EnX9P|l+hQBoB&b6g^353Bu=>vg7N_Ow6_s6|oh zub33C!mH;(6NoJ77;lFcA)szopNHe}5?G7GEV3T1^ovI9Xw43`=Ilx`Z3{k*bX&~~TWDJ8X0S`a@IYF^ zB;eLv{}zq;EGob0=t&@|8dc+W%03H+XeX>NaCQt+nbkJejLH7OIUA?o*h-4I4O-4N zML^}7X9k?^ajP0GSM~N290)OCtZ8wi5Wdmd;Hp0`;!De?o}x-n~EI}*|=^u$s4sQ@Il5Z8zwgT*a<6pk0c#Wo@XaCkbyY{0k7pD&IeMPWa;ohr5 zz;A~k2GzO`IBb~A%w80XHdS?Nnji@EEi28Q91oQh?j&;7rFHMk%pN!x8COrA+Qd^h zIQLINco0|-hMw_4p*()U_Qt^S2w5&3SUFdd22T%p~Qd*l`oo6Hx;PY}Ona-D8)YDVZ_i zbSs1*a94{v!9&}+1j12Gm1Wc<$0%-0MIsZ2&LZJJlZ7_s5TpM6EHVc zFU@LbxNw}3dW{*ty)(26^&?h05n;=S>^ZLUGqKhcnPs6#Jz=X4K`^}J^}=&0-PC3% zlf^i(^Ysq3d+{?D+U5z!tM(SN(KMMzkb>CSJh{nA7;NHV^O%5j;HyfE&+LtfH+Fq!|am%pTiBE#&d)2 z$SUXbJ4{|-GS?)cS9KVapy+rhS*r&u3!7=X?#~Cbo>BswG?R?mMJd`jvUD+?^pPxh z6ZSNIeE?izBB4#eVm^KI{ zgJ8hYDOOMTBE^zKl#}@zo%Lt1cJl5U8&mlEv`YoQi<>%SXtOhrr#vTTX)3r0aT{~0#x=ZOr4A|BpZ19x<(U1oaA#c8CvK(N^LKXw zek*JRsRLwjs_frcTquN}buV$!ug^2$V}E2lvyGUF>HcKAhu;j|^@Cjr({#>Wh*7CBovczgwsP#?X9 zn-ahgQ_R5Z^zsz#(~6qt6;B`kl=p#oSgK^xj;m?5n#G2(sJQ!WwiOgJvMvrjQ2%Z3 zO4uD~YNF|)BnIYm(k_>JadFY($yW7Jak*;3Wi~>5LRCq8)t>RH4$Z#DU!oXhSbLg0_#vuWJq&@<(905n5gU=Q zP|SWPqy~Sp8{0SfK&Q5;mp9f~wJb_}paBa@5P}$(wR^l--s(R`Si$-Nz4um)Z6|>! zqKz@X4%YP~VW$mGW~GSdI9n{u0(M|wwWD`__2LYh);3Z@4>|Jp^&pu7HOoP;bSmjX z`KZ}%z`ce}biQALiRdr;EJ#Zw^%|C=tYW5yLv7X&>NE%0yBU#|Vsi`}DM?^qw@_v3 zZYbI%ywj08=W6oRO3Zocmr2oA$wo(vxJyNAtW~x2dT4=}PszPRP&FR^tRYnMlUr%gZl1338PMW-15P?DO9H& z4f=+-^2oruALsRI&hdbNt#1-2pYyCvE%`Z>Y)%?T$^MDrz7I{xkgv(n8u}Wgy?>vWE;K&fM@9u^Ba~OR+%a(b6hwBmV;m zvvbx`&8|`{i(R6?5OVZ25cg9jJ%mDXpx54uGq^dFR<^f(^ta9_HZb9mhJg&PSBl_{ zqcuWXjR#{Ig%yjcoxbDO;L?}(RFk)<1{MwMHOsMms(D&&@2XhD`}$oQ!~66QWzzzM zDOBqsqx>491oLM%&eeg-KvrK=L^zbIN?ERs1`hr+ws8^arByABOR8>vei5>!IwHTR zX){EsZ}VPSfL5OFq@nULFN;IQ&dr8p14~)duT#Th92%hN%dWY4n2c=dOj}>e+C)N5 zUCjvjTd^n%=+^))B<=PEi@9ih^OCQnPy^Ml!2&Y4Usgd^U%dMV{{hHvUc-KM!=*HE zpf!Q~JBG8}`{KI}(EJPX&jrh}I#*gsctpQ_l<*@?ovsB*uA+`9S2I=w(`K0abdAM^ zoQH{K%TC8A>HU?YvU^nb;Rxyn`j|B6`VuK+7)tDqw-L!FE?7n!zRPI@$j%FT%sx^K ziSCb0{fgj@x6e3813FxNGgCH}H3$aq`v%25Yg4v4h{|~KmERb*j>;7P#EvdRzV#fj zlr_^gS~%?+w}xbxa#p@~-Vz2LEh`?Y{>4nO8+Xt-vceC=ZYf(lEyvo&@ElEca(1$U zhje?a!Qpme;Xcsq>{GK-tLmDEFvG(ot=}{fX~O835C%hd%I*u{*SyUe)z=;wAp3L1 zs~FDaZ%5&027GVf347w?OipVN9#`>!q(_XAp?2b>*_8}ofCB3LEx}Llk3rv~!VrCE z4ly^|4a5*qU#ADK`>XjH2R}3W`Hrj>s0)A_=D)?#r1E@ZIy?bMzIF^+2X2KJgL?qFeM!d%SVZcAtEHD*ULlqun zvr~{)P`?6|fn^KH8o}Cq-%k5(o8V;{``y0xXlJWl2rff2XPRtnk3Y8rB=}#x9Pjpd zcVq?JU4xu9{YRe`;@2{WPi(RaaX__dF(qr5j&7&Q9 z4p6wLp;5mT5+vz+&w$h{x%ZeW`4nt1%aKmioHNnPy62NOSqH@TJlHFolE>CCx(gz1 zTT_qtx?=waHg@DVN}mSgwLdC=7pr*0!2r1t2hvX2*sUlp8SahCG&EJXtvRSXqY0b2 zKf^HPJ$Y}dO_q;Z$(0NVXukr*P<#FqOrm^Pl&pbPEldlEyAH|?nYm_LTORXBokpG= z^n=rgv?Mj8h9am{$VN+#W63rOy+}mzu}f@Q>fFPVnrPiq?y1KfpD^VJ<#y?dJ6EU!q-S(rJyLz&l`Tt2sWeL6nnQjLYC3nlxKqCH+@_j5G_;~a7wUS(@D z;{R2H5kE@J5!#6~A{>rw_}FS!mFX-k%y5jZ{s!kV`~F`quLhlMU3AVNpd+TYoR>mJ zc~_f42VL(T>r+O`XF#^Vz!x!D#iblFi(3atf9W~;AAJera)PS&hPv~e<+lOzjDtfS zqWYpbQ*;PWAw1*+&-#j$nO2yJ2zKGLvACz3+ZVTYdB_XkNmYHT&)=VbEUU1^W7z% zPrK!;>OYQkZRMO|*k#Bneu36nnvl-3qVQ0&?xsnRAo|0^CXF1kNWzsw`04HT5NKZrUT^)QZ0YyOIqpotzgI5K<7?I6%Jdx(N^96y0htbs z`qAwQy+Z|w-u<_3R~wZH6DM|$B+{xwc2SLGr}Bnm=p5Tt7&>3!u0R|CHL<@A4f>j?UZ0aB1eMh03g3qqg;n zxGi0-uvvGbD`e5#>;7A`H51Hb+@A?|^4&XS_M(z2GCV-av!RNnfHjJ*^s+xyr^#|} zL>W7JsC=Pjdq+6iKaue)9Kz*K|7PoPHx#^6|ASgh>UStj?!s@54?vmJZ~O#73MKQr z9%Z%uTe@HkVTTMp^j;(6^bI3UI)70ZgF$4Nee< zarZNo_SpMOhQcdF2d0*gs+pEq8-(MRy=ox(F);{Vy)PO@^>-FEn@Fr7twG?dpmC5) zRL8mJ!Eb1Q1U_U&M?=`n-XTBCK5;F_*e|k?zLzFiDB!L=1!575m&7%MMm6bo_4YUF zuB^+|>$n~2qt51D=|3LTAAI`3K&(vv8n@^H^m2YjyBo+RB*9plF;Yt|d8+FDtn{p^ z-!6E&_zz%QFm2oPaq|QQePDgEg%y7$(dPN`4puK6I;)h{_BH4ojIi&S14?$2e{yCd znd{BI3#tftO_4!Kv(7 zCRc#Hk|}wZss9(*n4(h4>Vxzt^OwLmSyQ{$IX%7PQP&&W=B=@~Pzx96I`XZW zB0O7ltT95=(%y^-cPLDB=*>PGLXpTs+L^5;({}>=KrKo(uelqqF+AvM>`@g4uU9D= z@hn4qKeYyGG4}d7*7(F=9UTX)zxWoyTztnw&isN;06BSAV_)vUE!`6E7fHP*B$Ws* zu}UC~j^BhX(BgXJb19QV>%X$>0V|kB)SIsKXsFFsA}HB3olcDk`poqCIyX zF`5_ltm~erIJXvL6swx#7|%)jM!aiuI=eNiIxcWPvEwUoGCF+Zgai5s4)&tpuR^qf z#=>LZF(N%_d-K|pBAh5OWf|O0MQ3=~(~ubyB@~*C-LI>!-$|Z=<~Ma&Ok$`NaWU!S zeOQHo-#gNLmdjaAsIMyYSMfI;I@q-!!AHgEjqLs7eIe}!%wNfO)!8>iu1ZxM)5 zXrdjo@4ICvsL8DyD43`n8fYpX9z6Mz4AY#mb{{^!O*g{4;4+e*;OT&7S)(7gKCjpm z&odVWEnNuZjloenCR@jJDjS(@%1sQ}EH?WpkP0W@eojl{T2>YDCp^v|Cfnj7c)j>& zcX7>@`6MGgPOaN#jxtIk2>kv@7yW&6bJ^4dI4=GlK;4|jB&215KrA$#+cUWyD}DH| zg?l|NK~L0=vn2Hm8D z(eG?!tauE8hDCLUJp_ftO?OU39(HBPECM*McrJde1kxaTB+=@p%DrK+%CQ$T%#x!81Hl?}a@Amm!WYv#A%(%3GZ z34NfG;56;AIv}H-PpN^eU^Z||me(emB2O}YLeN~Px@BdV`R;;2F7>V>#CRJ7GVhdrI@G1MpUJ{Rp;;Z8uU!DJp%^oJNjamv}R9c-F8cuFu!-Dwe$VPjXG)MRrhcg8QM6%-^}q8 z;~EC^7KfNWP*bTc*nHE?Y_KqyJ|-pY0Xpko>A`$xx{_rFnkm{)vuc0Qh^S_=%4$vapQm4s`_Dipra2$i-<}mSEWBN?W@QL+XLx^i0chD6*K) z+uzE1ppXnRRk9x+Ej!gzQ*nf~M~2R{)-<}bIX1ih=&u_4OgTC8YO^^uK_9%zdKx&n zev4LCQu{SB9r=v4aaZt>6)v-zD=>RQjipW?W>we9Ljl>jcRnzeoXIAUZQf#qu_sbA za+iG(fz%`H?Y3tzt6R<`c8qXm5#A%{@SK<#tKtS_52dp;i{+M77-8uyn}Wlac6ePW z8x0Ue{13op==JUuO5jA#U~cE25c^4$<*^d>FE}m0{jvbnKP0=S=~cfRU=it9CR6)O z0k4DThIAP0YgN63Jk*fiSi_KXn2EMzTXb)r3i_;8L+`}N8N-(W zi1)}BF}~5G1CU&S*scyHUWXGZBXg*+f9Yp4s-6Ph#aQ zI%B0@eYZmfG1}JmaVXP>iJX=7q_Hzb9zgK&_2_b*v(`-3cOiDrI@L)&pmFCC_8${s-swH@oX=wF^d&3AoC!m6Mip;YU;D)3@LzfSIK*GiiPHR%o63Cmq&2 zIU-&!M(ik^;k!dD*2)sKi@P(MoC}qC<615Z{-=}L6s`m;ev0(GC-?Fmk|TgW2WFCG znZAhtb7KiJb}liQO3haZ?e`v5HqqvP3;A)7V0`$CYg`q(g%jf0+xxKevf70#3Zi!8OX?ZP9Zn6)U9-6M0*lXM|k~<*0 zkl+Ap6c==JUZ9l5veI<**RqMB(T?%WL!RbLDMqW~S92{fq9kR4&wD7mTs6fj3Qm;E zp)Y+};^L1AH9Jo`C3mo|?bt%qHl0iIhm&&~**K1t&M-&{(;J=S)Qvjb_iZ+WV;dLB z&v5B4E~&MC#JW3+TUF?}oA7e|51{INv@_(0i+9}M7B1F?31TKI&QDv{C zbfBuHcccM$r+@hjBxR*Y4tr=U!s_Z~E+nD0>!W97&LE|V=K0yXVJ26^`nRK*A4fb3 zP59l=<>=|V=H%1W(sw8!kkGtyC&CT)BbS?I3;Yi7R76(pN_l6L30E@@U;1r;6&~17xww9r5!B(aHHo(~TzxY1Bd&;CMY0Yi3H?W;yW1<_IU~rG} z;(eCe?$&^nNM-zj{V2$=pO|(KZSx(9jh4+kSOA*ZIYTIN?}`_ABaYXVC7pXv#;I>2 z1bmb!QIMCmv_GN2<@g|pmhNa-JCuPlf!L(vc=9Gnk@>wG9ojr*gMXL5vba%5z5W&3 zczBY39<7+kM!6xykl4F}{WpNr(=P13+;Jb*}v!B#Z|>GQMSt zzqK*8*7j9It3A%sKQtc@p)cNe5{&wNyp%t>8+ZQ$^0}{y4(D=&spfH{D@OQ7P?u4r zOE$RTA@TB?1%Ma4sQy|<#4vI=>G$8vF6GaSh=y{Oce_lrhhXN)p=TInM7DCl0KYlj za3#wlZxy;5qc*#WSPvkof6hP&Q@2?mJmM3x@Pt?FNm2Z^#NfIk-fwj!(jG0KZpL{R z)aB=3ck$asG+zll^i20%Zq=sz5W1Ch*H+&c&1y)aS1rwx2sfnBC!tc1#0T1&fXNt} zQspU{H}V&mn{X~IVLmO!DXFCjD6ob_@R4Pe^9|O*M9PPd5F`Nok+YE`IHTW9j!kAb zux)o}+x1H!-%E!rlzaJ$`dT%|bHD}Izl6i8!o%ELZ5GTJ9xm{7{v4`bD9ZL)T}%5e zKx+-W*0-ubLiN6KvIkGOTDiYdaOnKU^h1Z(f^SHDlr}e9c52_M1@_bLQ?Q|2v~K=w z!kJOe6E6gy+o@0G_7-@t3KbjtTrI)@DcHk6cFmKiFY#DK?RtjNz~tY$|86FK*0&1L z`3%%OR4mNA{^)G&us4Kv?thu*A4it;1YQJ7;b8dPm{1seAl*WarLn$o;h&b-dyZ5H zr1okfx&Qq@tqf+gf$qF3AKfNA@bq-I>S7!Z&Px}t;+aP9Xw#(=pJX3e0EZOaqlgLV zn%D>pR;+AOI%|-59J`!UFgREi@Gmq;lGU|L*!o>6!#!Pp&UE!wO*t%^iKwlBo0EC1 zTys1zeU6Qm$vW*!=n>czAI-j8bfNfZNO1`RUwmqfDcjV|T(s9sGRCOj{2RMyvxTt5 zuUsc~LBdJAfXy3ymRk1W&d`vvq5(^Wt#_z{p-eY%=oIkWGgjG|mPRvHR)8(tP5QKh zCEr@^m33_fDjPK|8ygCH^0)}DuN1=OZR{P`%Q#m^nvtJ-NX_>venx=-mPMy-vi6xj zZhSA367Sh2tb+;&;HQN?MB0FCP{3Op^_d}7@gTlX;Xf66W2tMcUc9HyE5lTS3g@m= ztB|YIgOwHGfyzNWUCiKD>PB3sSWTD&CHhkHf2Bq#wcNJd4i2uSt`e0v$=N*+X?*88 z{Qp_rb^Ski#~oNtWdE6Wj1G%RmaTgR-BQ`yfDWDhGw^uO8RKw)!}X#d&rKZDRM(+= zz+puS7P!*y;qPHqp)vX5WM zYgB&{&j4HzRpN!+Ne+$28GTTal(`FEb2kbVoYdfuCIG`)U+SfBKK#Ty^^}#^RX?ha zcLQcht4xU*#gm^ZxW4@@Ns~+OwB53+^k)`oR_ z@Bcp7R%DD%%uxNVNcfW;=ab-v#=l|>QW$Kb@Y?CWj=LMj-e%&pNC`C%XeqBpMRTh$ z+BV7UL2>5waJ{ZGq6r__V@c*b>s}#4B8jCX{KHgTs>C2X= zp@oX*ZsW@@5tldXfUt@v^$GP#v(aduvv0N_Rvs271=p!ni9Q=S&TsGjlwOAvO zDTi<3L8!;BngUUrNsM`v6`q^UTIxvlBYhul>(}aCoQIJ^pA^>X?`QD~;CcR17k*Z3 z0#ScTUV+5VSh^yjQT0;Q^`|cGh1|xctouJf&E42ANVDz4r<$meR%_}``Ov+F+=xBA z>Hh&33Fp0=Bj-saV<{i&D!)ZZ;{iUV!TjuS^A3LNcNhw{T~`bh((r5vCfGD)L$U9O zfLGthL`!tV6Pr-$1)|NP)`xCAAyFN?yW$w|I-@I#XlDRzt&74dMbn}8)r7~vg6~to zjLKhu!&&FNXDM;Gb$jnCY%5$LV6eJVPViF{@e9^H^SMRP!>tiuRf8NkhX)>nr%gc< zL)zcN%Y|uN*@_OoUF0SIlj!`fAu`fBb@%$U|pas36Bt#B!(ldw@STk68M zKJQM_C&jJP*9>qsZJ!-=U5|JaUk3E|xu4_8a}(>?8-wfZh$%n++9d+_P|NI66KPL* zj|{&~^)p2$MZdT^FXsXe|9HkfezyA++sFJlUVV%z>&#ZekY}Gxl)?Y$!1R%hHkMRJ z&It8Q8`R=%P7;Wnr!5&WBE{xizgKVXZvGf@(&W9{HNh|o8W_`6P;heQ?3rws0tAqV zYQKow+QwT4^nif!QiL1FKP}XD79(s6V~%i}HJek=R-d@BurCzzR_(8t+IZqEE0Ryi zdq+-3)_d0i8-r*;Vu*ZfMt&L1f7H{uq4oQF5x=XxVs#d1Hg)-A;fi0LD^Q?(z zCc{?+e`h{+b&9S2Z(>!3>>o|R z6DL@QE&7+Rb8)Idn(@CK13S2N-hP|j`9MV~_2iPGDjfnO0XXn=i$4DuX;^i$5fPIT zy=t}IJl->xuopiWt-pFgu}$%2ujr%f!O6wrxz;qbP@xP1(73+salfkc`M}~04q!Hq zE!Fm;;|f!*uD5uirx{q6{oUo;uS5M?I=GkLph=1~|MN?qIR%e@4>Nls>|hVlr8vsI z|0en744%6F^PJK658$%x$Q#z2%z(8*eRiE@Utn*5$7fI370-t5y9bBh8r+*{-TB-}m=RB7A8#5ImW5MqZoY0@!)dk^sYq(G9=d ze@X$}aQa80hPNAl7!H@^_vxvC{J%hX)lVt&0HV%L?(M>p;}Z~Mb-U==P67p5oA~@s z<$!;7uLLNV_;3WYMA71r8$jTR?2|vMe^`4Q_^-;H^%W09UkmpMv*H!(GMSi;?N!Y|G7AH!(rmV{uf9Hx(OJvdslLAm!3QU<(&IHpWw-T zV-IE{6xyya{iwIUQ3Po`VsY=YTU?dM*gz8mdd5GotnT0;>v0I0e>pGU#@yFOqP>ig z@`ejwN)<5O8`RYznR%+@eZKC@%w)fgAo0UD(e%yteE?ph398*-YDh|fC9j~|_a+cO zKkXMNov(e*=mJB*zYzdU1ptq)fbg@(@_$-xGtu&|fm2zNkMeKWfaXCTxpA+$NFKQ} zE@x(+cv=~IS1v-IBv~KqytN|RcQAjq`u7Y9`KQ@u|L!Gw=!Vsw>VRge|9Sp*7p$p= z1D*MIG1j}a=%?ggpv#$+C!RFM-jj>IKh+ETuKr)03jSTY1yC)}d+CJ0-~ls65pc}^ zuK&Nfbu!oUpKc|2@`ONtPlV`)^Zyv)e7Upc2jIi8ia@I~WP$Pi{UZNCiS_SdTd+IkgOH zyubMcD!*2ry>i&!ihhCFCL6d0phTq|%2%3@_L2#U4;Wnx{pr&G_{TaFAOeco#o=z_ zJ`R{9eL2AF1x^wa2%OQt%&Bbuy?_*XA1(mJ4$N3Ch3H}mEvFK$xr440w_xzi6vbWp zmCemLc=nz_+4!1?E~%Sq9SzVrLJpTRz-kBT00JR?*P#Ot(5k^ORjDCO>r#0J^-nM$ zUtj|=;rnOgogLs1gR@_MHf16~0zQ@Q`FFGa{b6p80zc_p1OBYprd8BH42nBE@h68z zoyP}YOpP}4Ur+~#(nRd^AxOQ|f&Tm3@=spCL;?mw<53S*Zxc*>e}jwg=w2H8#3Z2n zua$$0Xer4WC8MmJPJ1(LShZZN_lfBW!PuG`EsK03QO zgIu=nT>0bw)`hLs&<$Cw$3c(BI`Fyde?B`RE+hiHbj7E?RmdK66!yUUM`0#pJaK)2 zF4jYnz^$wgR=|;>5ty-T(9PJ@=k=UV(AnPt{Q^-ZJ^(Z3`|qVCE6usDtn|HN+#b@^ zN2wtI{Td8JbbD(({-}QX30_V+#4W|g{qZiGvY!sPzr(kq0gCO8x_#%-5I=*t>wc;U zI;E5`WoVtvyw#yVoUNR!3%;G022KOO>bHx2h(rEMakq^#q9@q6)={KMHQv(vX69Ui zfD9GPi8ju5$U8CHL>-{~zKj#|LBmAD*k5wAHu%Cl#O5ZAz;STC_sB8;5ft-Rf*t@e zME$m|qe7(44F=;@z!$WvNeF_Y`!L3ITt3e3Jq)%OvfoyS>M098Cil*Kd)j`nf@O8^ z^F|o_a06&~C7>q`fHh6r7JCnXbFVynG`gL>j9zYj*!~6DROiFB=l!P~(4W4_mnZk> zbsGvA({MSEd z{oRH?O+NVN3xxmrLi<_KfByjs89=1pL!btTGz>cmgLbtadsB(jZ-77j-ZN`cpBS6u zJ>C9nc#PnldU*@vmES6x1&Lq$fZ5^`kiIV~*jCAdirB^2e9r5}Zn$`h@LUHca^Vf@d6@u!tvf#z4SbY+JmaqyS z9{b%PziA2od6xU%rzNzo5EC%n&H8Pt`P*Qf`*$ROu7&~tF-ebJvG0SS;a@#P7S=I; z>9u;t!dvL(pty~3kLgkrk!DGoK6!o3dO~p_R~?zEsqUe<>f2n-^q3ptwsHgaI5>l1 zIM7`Ic8%KRet)E{T#&ExNL5%}u<1{u7l?oS!1@?v-OA`f$Ko`jY_ZS!^Io;OkD(XlVfg6PDL#;7Dooto;! zXwr;y`)ao$+)EKp-N+3Yv>%NqUUe$kFRm|rhYOR7)iI*Eo0Jwhg=CLpXiy zb%#+{Iw#8FXdC+qbMXsxKSoZZJzeH8mrFQHAp$EBLO7nnZBJPKz~o~az3ugXduSYvapDX;~ixp`C|gFQHh3Pr0G$D z-U)74PZtM{iXx ztXn^*R4pbn2fJ9TJ>O{Z!*Y*PAOwBT6EU9Sti}CC!a-bsMCeR!R%4rpW^w*Qy**t z#QJDqglf*BI2-O@27i60NHnOu!PHiGrOO^>`kCGPRVYQVj!zdleg*llW#DZorL3x& zB3*^8gp9pioa?}t{vQGpQCR_5deiuvxD+pz0hCL)53M%@2zSqi+e^&G#m~_gnM+5k z;6^fRvTrm2x|YyI(Q_J?WCwzV4J{iA#WI&Li2hBu>mCm7x?t^j9A?hJPkbdL{>Dc9 zl?L{RcOgmC4X^zN_S*0tkF@p*z`XP=ku}kA?f0+3COwujM-x+U9#spFjJNK*q|T)_ zWv9Eld&+aSNt|+mqwYZ-L+t|&Xq<~`z1pZMJNf!^|`=Im@V#Jg@1w0j7gdVtG#T>wu)Ol)g3$Pb&Jo^G15+jitR=-J&ra%*P z_2g$GyuF^u!>+Dsa_aQ>=@Y(dX z9~o4vIk&5_`7v2+VwXluNSl`xIDVW4V5Mm20VOX7=-EH0KRJM5kzFV4O+M$VlDweR z0Yokv4AO^$Z=c?OLudNzS--nJZ#Qto?56ay+D5O~@Cb^eyXbSSyI+Kl&;K6RI1KE_ z`0f2T{ddbDXVQ`t!LAJYzXUm z=mKvL-D#E}eYyH{7Xt0&JkOWXqU_^)CORQfJ9#wd$sHhyC2{jspw&MAS>-w@8a1=L zcktPvk2rwzo2)}-e`5-nJAWn5MS*98>}$aWf|Z;5J4BpsQ(FquH7P3eyM4P6e6y78 zlM1TwdaqwsRTAM8wL|2!$jd)LwXRZmcGi@7-Ilqgt_uJ;PqIZDicrY+L#zsTr^onY zXStbnf%-X)33ry4)3g% zkeA#<=0<{|m%)q0Tia_NErDUyx3SO<;yL+C`v)iN3CX#I_(=F zj$12s_WFKi|J+b>@%jDk0B!-MUf8Q2nkvtjYHB;jTL@3q%P|UIp?Sp55X0ji2QeT* z?AYC&ww&j;Q8KX}<&sUO5!QW<*UHQ39}60O?zf!a^GV(CAfLPvWLT1?+=QIGLaj~l zojNfmw^=;=NV>z_Q$>6uV|A|7_maseX6~@=O_hv$?g_-|N3R{Glg-zoE|;&E_3N4k zO6ejtxVh6xJYsf)$E8ukV4KE^bo>?5oPjVEx>Iui*D&SB?@9glELR_SG%K5Hf0bC#sUMN;$$tMg&0@EIe ziCc^pQ)eq4DJl3Sb($sKds8(0B1Uro-I0g}?BA4DQd-f31w=Yl;xrpAb z_!R!IE5(swOhdV&A)qTTUvCRoUGS4ls1X}eN+}kIVXQXnMy2+TwReRoH0`K?N=GLU z5zujOv;0hXo)_WqRgTn_#<<}!N|VsG@zWwXF`Z^4~<@SxMA@)5S}&n(`uZ-G0J4)_OuHEw>r2bM0{I>iq#9D zpKjD(W@J3`;qI!N=R!!;i=SpY{6`&*n~xcHGm1K*qJuEr zL)59B>hO^t%JHosK}|419X)L~W=q6}&yGVcQaTp+!#}3EXd5@cdm@QE@!@{ofkBnB zi@>iI>tIoKCnY#ak+!0@){z;Jeq7@kJOtVcq`Es|v_$XFJ%;AxlDc$TwE>d#Gwkdd zJ9IWLAxu1qS}g$}>QP(Etez8$dXxa%=}9ps5P4P4>CfBBU2piNB^9q^rYB^Kg`Y$a znuI9rqa6otktWB2UrA-2hWd+JS-JFsJQxVIfqaN>O|*VI+|?U3Z!R3lGjxxtnQ!^> zh_q_Eu?wR;zSH7jnmb7C`{dq`VpM7xqbK=VAdff-mz++Z;x9L^MRqyb)hAG5SPg=0@Dg9M~155gTay(Q5_7U^4oWa zZFpQH`9}`;lKMDRH8BQRn!i4u8W{k$vFO{nCoL8~*MB^QzzeeK{|!h(>NtsSA;?~* z+6_q>ZUhVFGRxa@+dMwV8P}!RNERC5?u>_A3HaLU=cF=}={{t*fFDjhvfqtN)m+^S zZ_+@C=BVO`wb}C9L${?wFa#h19Z~`uZ~^L^sH1IuEiE%f#F%>#JgyPhtK!=Vp-%&4f@JoDJ$}O8?zO zx)UI-r>$Q5fJgkty)3PJ;HuDHMBxq#A`?fMwoCyEy+B^cm56-7luMfNn= zNjh0Yk=#Hn)!exoRGW~>{$C*Li>S&gR$kF6AP?%D*CjCDUfcA*8RGX@5`@p?Xy<=l(@d(HW|)(?>~i3*8_Pha?q6~;0ZYyM20wW-a!Ql) zdNcF6^&Jxd*+T_?No*+#l}Ce1;yD?bo<31bJNTc~g}QV$QX$xtoMb*jGJ&`ZEgY*v z!dPqlP+6E3=BO1K1_6WZUV(eKvQiy*)TeqgLHm9p z^sG7#16rO_l109KD3Mr}Ibi)zu`U;}&eQ9pU%v>+%#gMu3U8nd} zyY%!G(WQq}*V?0*OIQrXm!Dx$Yz?2B+ll|W(@M_aTeLTz4y!^9N`;syfApvQljvQ zLXvhyf2O9BV<~u5gUTK_kcj(20VTVsWwwkvXqz4V64?=ls%SjQX#$th-D@N{{7Yht z!(hqVPSp~wbBR&`Z*K0^x`wtsHXpPfeXzUYowxOGykZ5Pqei`syb_+joy#Vh!B56? z-#w+a4xKvnU(hyY+iu{`uLCSKNUnXZ-!Z~;nvFVf_`Lb0Nea9}R>tj_(6{Er1kScg zR$T|rUjIemtCZtGqkrrC`8&$g>%%}0CV|R_R)LT>al~|u$O=lwG>Q19?*8v=cap5M zH!OmV9F9wtJxolsBUOenOg^D899){o0owCoPEMs;$4*-dDJKtc%>oZ{;>z4FV*#3H zo`veD<8aucS1lIt(n2a}+_R;#S&i)A2+Q13Uy^4?n;yQ_8om!6fO#lyTrX*oW<@m0 zZv_(%uxyPAHHX0FdV`GN(ZZsWq=3|8_HZux!$m}jL4wg42Nv4z@&nZIBO8}(S9^U2 z{vN?7*UC3#7L2*-@h&I6q1roq0mkyto$Q~5lHaK^76_w}-gqp78zon?Dx*CfH|}E< zTb4!C&r0m3<4F7LQ67EV4R^+5FPGMuBv@I1yM$+c^V1nHF~7sQVkh_iQkg;K0wLmp zFhAmzW}Sqh&hlSD%T3*wf;BpuCC$1lDf_AJXC*#jwRqZ)qcI}nLN<-3TZ4V(`UoN^ z8awzJ1e2GFrfVZTnK_ZA;;NG;%FV>_>SI+%BzRXU9C?*P;!#&`D`mV(KG~RSn0bv1 zKYl|48JrlSxZ}7OE z$0|kW(0W_;;_8zOiC+nG#&GP9Z`7|VN!8lX)h}Z(@WBu2;Qcp4qg{Q*gnU<_rhI;^ zBj)WR{ELxp7rJwN<-J)ojhzd`#1qJK=-4|&h2azD>~waeEI##ojd8NK$A?^sD8Wl>Dpsizd${tdJ&`7W;PwB<`{&BEBn;tHNoiW0f~TdIZ%Pal<4;Kk>U+gaW|cqr zA=&e=Z6O^kJccJQyS=EClnvVT^QQj(3&z)zb3f_fl`BbeH>Db<(o1W&5#naoZU&(~ zUM3y0s8>JP)`{4ArR&PYuSKOVuC2CLj@8M8`YvXOE&y||@nuxeNM4^EmhS2^WMjOE zjg0a7ABayzDGBPYW2G4LL)fO__O`j3MqAtO{_4W4FE@SA0~|*huyR$x5|@F1@q#nP zl=1`B($)E~8NO&I_e`ssf~}FM2osii1xI+M-78Jw#z+;V}DJ58kK$3S3DbULlUz}0_h;p>u@?Zn7ysoZ%ott`pNn5il?y@F(fQ@ z6aHd3>&l_<=NFsQNGs$%EO7-aeJ!6Sh9-L{B!2Wk$brk(3F1}JSNt*o#R9F;hcFJZ zci;NZQjTnq^)W-S$z_}DIc-{(Ye(v~BYAnPKu;S}swlr{hu5P27Mx6aVMs$h*@GcS?=rQJ+Y_wx^>G(xiQ6jt&L2?uZA0%p3 z)8+}j?B+j26n8`F8t{N_K=LlK?tiYbOnCS`&XOuy_MAnE#kq?W_yF3djrmCMa!xdAd3=e{pkpbzSo4_{v>gqUf<77Zs50&OFU z&Dw2x`rOI5TNWCQD&Xq16!tT)+`e@slsKWGAE!nzw0>WRoMnT`1$jQ+821}U*WQf_TSt$ zv?cl>Q5o<>CaQ{U+jSkf$YnfSxLdT(8$XZg=1b)Tj#6l z;|IbIO;P+WOlXCsg)lN7h8PQvjLjtQ6Cx!n6BL55IT+tX>=}I;b3IX8QGdDFwdfu! zz}~)`edXS#^PcovcJWa*{_-RDY+atfFhJuhB2R~Z!;8~r(pINIv%J1n?qOG9F(5g# zL-b8ivx!#avrN`FT*D2eO$o#2$w{@u&NG4%MTlp+B$+F8#%wLDK1j6 zsiHgojL8N;lxj~J0Q_wiuf4;lraj8Kw%Z7^looON$g7zZks^F!Z@O?j^tz}@)MF3T ziW7ZfQ&v$*v}>@gSYO^ySVdL5KiDjV4?;ul)^cg+{i^;)OcIFq>bI(97J3L?XVaEU0YuuQKgJb z)Oei}z8>eM#-8(Ju73x%vfSwFupQd*^p+)eifNx8qrWEEi47IT{J0Xw!g>MOxqE9D zyIK+vGL9IYff;AbvEW4s&z1I;lYPaC1O?SZ1(tR)_D0SYu+-3(+d~+ftdJ#Msam!+ zr)FMgRI!ua%Lib?Q4l9;PRu&3#-%6jTU^cp`EC@jyt1gW9J?R&1n=PMYL10u0oQs* znZv$SL|-3_69{-FFahI4yJFe;v*0@o4D3_ke_E3H3zj|;D!W7m_$y3z0I)BhrlhIk zU!VlQ@B6{^7f9ID%;KxLgHIab5pi!t@rC|MwPwD)09g=wGTd$~kEJvkA z!tQN;0Gzjl{sSY#ezh?7`DtjO*;ngLXIHe?p@Wl^3ZhqHxWqvjsb8+OIs}Io08+$nXl)hvhxa9$3v#d3#kBB(gtvL zEK)K`B3hThlSzO1QC#!k{pZidgbu*DSk2`au-&cmQxWc2(n+W;K*V{1x048wRfv6u|AR8-p zstym0ctvT)UYYm2jDklr5Q0vZg*|;yAI|Yk5Thi5E2Y51X@22nWA!AX^xF7PWHk>2 zj~oa_M&0j35X&8U8k;(Vhw?>AgxJJ#EWH?@vD1{a8(L$^!oSaeG1i z!T@PjbeQxVRrC{?Zk*->zu${51VSwS!@wvG1|J4yqL2F2)wt8$(rDbh>KM|VqwGqN z1>No9+1Jb>Xk^OURPc}YKkpLwz$>I>)DmMF1yafq3;i@@dxuov9b8pf`=MFV9;8eo zw@{U|QKMDi!Q#mNWSu|BVljV?819ma&YTDtO36K3U#e|TmO^j$9rs4GD%U^g(>1u~4_NZ@TTaeA4 zpNC$%&mcG8_^+QpJL%8bFg1MJ9=K`tHYrh z(cqiv^wq=-fBVv1h71haSfUx8HdV}S2DQkLEDxDQwzGfd%-_&Fjqe{6!CLyd4IU%uaLmH3-EpO()PH{ zDg@c}n#hrLPL{<<1RyF9y5U5<0u%MQl%P{Kjv$h|#+B<#A|=`N(2CBZu8Ye&Z3!uT z3J(Fou|9T@6~xF|u5BAs@mio<4)mpA$E+t}A*vM)X0tc(ooSdqIX~>?bvlC8&yWBo>tuxaWo4H(_&K>()_Mx5Lb|dyY^KJkd6v4LNFX$5EZ6r_*;Z|F7=48I5ResN zV3^?xlS<%i(lBnBgL3(6nDhmpea!vjV~r6H3dm3Vyg*W$HqF2B`Fx+t5F@g@IDvmj zDw|y}$Mat9_&ESp1s&vu+B{{JWfv?ZA8>qq)1g1hml)h7?+Y#|4>W0JmwWSS{~_6} z#KyVONQr;p9r+|pQxLwgdj6}ZkK1O=egQnbts5sFd?pVl+QrU8Up|^+lF>XBlB_j{ zt$QFbxxV7{uFaK@)1b5|-rj%uvx{pW47PuIn7ez@_^aNo&vYM*j-w_krwZJ5OGPdL zB`9d5!9cLt8YjK`1IrDOzqqi|B{DG-nghaQRs0ma%U{;%S6};K^X)q5oa)rTAWdwz z+gzRaXZ7TGFXEgoH=F0@eXd?Ja>fJQ+Nf5um0PW+r^e_KVVWffp;{ku%;~C3j4OD# z=?DB`^U`NwI;@G|tCoffHdi>qdpw~-3P%n863zj>5#$JQI@XuZWXL>o|Z1iHP`ZDQl(refIHlH%Qetc@Qq{b3*5z!Yl3)UdS#9w4^Wd zrz@A-M3!VYTIvfQwT8?2HrKmu=62vZtzd@7T(gg6J_MFx?lekUnGZn;+SIfbxEJ=% z=Qgt>x4e}B#!w@g_7H@?x9yhGq;++r2~ zvs3dpSw=K+w1f6bAj}efWpHb>EcicOG6#7ajG>Z)zd)ZHp8QN?|MQtNg?fW0Q4l@3osFQ{X!~2t{L(fi@h6qHMX9Y)%(|u4I$<}*8zY|CJ&JnD` z%GB?FF0W03uns>mnn5|pzCoes>ljWz*H+A*fK4n{@qA4r&s;CwAZwj)HnO#r^N!14 zNMBxzN-cM*TFG3sUszQqj#3K^&6z|sc+@yRAR9GoL%aZR`rG-r&aKK&*-G2JSv}r& zhb*EyGg;wy#hu&~0kPP_{ORb~cn}ZZKtNkEL=Lxf6(xTNBJ0FPhe> zP}mHJeZdipnhQ9q6>9&sLw+sGpSJ7vu`a5Htk4Pt<=;CLc=Uh)v$+kcVXo+tJP-F~qk;25%f;VSmD1x4wuG|QygXV!5}mP{AM-xF5) zF?PK;s(46={b-iW$eN2ebKYLE0{c=>uJE=-CoCS$eAKRc8A3G>SQ$m94;nN1PknfK`rr&5m5BQaRjWPx5BXHcx> zZ8~obaL|~?reGmV%mRD=giQ%xXUJCuw&+8^x#O>q8z)}sM2b@_k`Gk|3>qIDwsi4> zW@Ee%OUlEKD0uo<8%@5&$PA^*|h~w+pIEj0d4>u*7s#_Eic{nVZzpe27X8 zCQgT(8PGA75w2M9@@)2$&MYsmF?mkm_iLQnyAbK=%7pM3-_c(QX>Pb45dKU{8vuX) z{GtxKA{~w77Kx%;HVV$DwP{&TzxSS1GRij;M><(jtS4DGHlF{DU*>51HiOKmnu32g zgKY*=O9J}5UFC*U9LoDIj-C6i&>lSbol`CCr8H@>HavcfTODd35XMb^l|1_7<$=Wu z)4-I8?ZYw9M#Kz=SM=5p#7U+`q@jU5PM<}Q7tpbM6?8L8z4~x_j8|F0gB)};G6zRh zbDp={?5^(@Rj%&BYwaFj8usv46-*F#D_PD&(3t184-~IgG}pHUh!rGl-d$?j-D|>?d?H2& z4iB#1?Zn+!O?%y~);~lYPQ5qAoILY1ti3q45Yc70I-a%dD}+a0I88F;t#|^D=2r$f z4yHQMWbJer0&FmT>*Dp%?+`~arVoRsEd6;Enx`)ZT(KCjI;j12-&%UerpwbxPmuHC zGU@W9k&jcmzcl!kZ+P09#PYJ~tjM-p?51g7o6#m)tRx-C?`a$lFl(#6M5l^nsI$6v zwA$0Jq!pc$&L=YB=D@XXRyY47CxtvC|IMnWpk zbqQy_$;9J#2M}k}u67zde^b@B3`i0EoxSy0>qX)ybweKX_itVn(2g z(T_kCgS)XiNDxb8D{z`9++BIzNmqxGfQJiHc=A+c1YV6e6UdWv*S&>mu@08jqp)XQ zyN+(^G<+ekwEpk-rZx;{A6)Ffc5 z2v|Wfh9`%CEFmfHfxvz(`^f?)f8X-au}%$1Ma)8+zAYA zsrCnD#}rQS>NbZ@KDm@thhwJu6lWFyu+Uk#Fj2C^kG&APTJO+7|@+qYWlJjjKN|zm&Q8CYZ@hP^+9#cm3m~-xz zakAcvmVWCn6*Lf0M{}k57NuXuNyQw#(&P9wAct>mJ)!UQV|)~jdhaWclpr(h@E1B+ z>dn9X=j!o^zd-bmUmy*@SHT0l09bTcpVa%Fih)xE;G*1V6H~b@zhGCUyBNLi2adTz zO$@Ts1N6ui;|^Cq%=KHmub4Zbqvs5bP|5aLi$}G}klO~YB&|Cz5S+x}o6D-`YqoXA z-+sj?RjlWNa6lQ{Ny_Bw>tW!pC;oM^u@d{f9lq>RUu%7XJt4jUfigyP+ASUdN=Nk~ zdPvvWVSjn-JYg*GOTwZvFT6$A8#1*jH$+S_JbH^RBEtSp`wLjH_{fn|x;b&GvP$`Y32g6<;>_-$ zSef$7|uMFfn(?R zT?+~MgOJ8Vdol%1*^zi(pWTbOW$c6z!XyZ`FtIc5EB)nKz2okxrcM^-08pIV=>0n% z;sqyrYA$q=)%uOMr@2C$(JwZ-2^D~d093~e6!-{8@==LilvLGqq^h!X^V6o_MrGj= zzt>5hmt$ESJcy>s;B=aR4(UqO#;W$aMEDJU#|&N1%a8Ec*kXo<{nW4q?;FW`oQv{# zGKy(y3@zn$ywsiS3~(eh>?-^rLYvKuvuM&|uXQqPm1 zSJXM*U9$O!)YdZhGmMq^l;Ro50(tWU_w(Z9AQdzfz)VZ}K6=1RVeUwou*c3>!)O11 zACx~;>i0HL3v_G=z)tMIJ*bkDW(?{Fz&NWqEHSzZ-=~44fQ%JH-Tf;8msA<2cTV$5 zV%#Sevzh?)75xyQTgv<_Tbra)N#6-oI~C1b857B|&5$U9?Gbc@OATu}5=&NKf3eTc zf~-!HXNH6lyAIKlDY(V;U?qa|`wsswr5I)Ng)f(6HDhs;?xH(L0%0E&hB7U>Jn&X|>SJG*a$!w3m#h3*zolu$;V9UKA5I&?4p%AFLLoibl!`x-AHB zV|hXos7I;6kCAYfG%Gg_Njfgx32h`skIl9a8ID9hJ1n%!yC=P1;ZWIXQ7TvqMFice zsHxC)2z5Um=WzP^Vv@-@mrk-&U?H*08feSo-TZ>I5lfeb9y^D*7Ym z{cweDi({kG;ex4eO;J1w3AlTS#Y7~S%1iUS?b-o-QT&{85^(Vl4qh+L+A&)q04=jFX)WMvL3KBKdH7 z!}VN$9mR3(fzLogXSid}Ra>HEs<*Pe<$T3Px;sBG^II1`1{D!HXsl`2Q$U8=8Q(}_ zmuVCOBWU&+vk;!v{+;9SAORo$&Ls6Uj81HGnLwPXDU^qBNY-`k+O#Vs=?t@S6Q(@1 ze;R%{PY7JvWRIb(u5(Gj#FcsqeRSQ~GLg8~swSP!(pi(9E|~I^LhbuGD%-|&-fjNC z8@i$>e7iNzQdJ4LkC8VOgBc2yweqBm4}@?e;+>|isV2jRlu0Y^8U|u`t@b0V*Wv8E zkFQ*hdOamj(BHogU>$re{{L{9-i!YR@G|A)C9>EQ`kC-u6Q`hkeI;=O!d`Du7#xnE zWMMqOlpN@l4c917OivALKQYhkDTrGk$o5R9U(>Oo0bF_V2yP$ zdfP-ZhxhXUes=DZ5^JqCduUzDa*P;64B}IFZV~M_=&z6rx^7vf*!;8t`^{WNra|M2lx|wwNgC$0TCq8ETk4d2Y6&? zR<>(d=lCi9^>AtLKh%0#%UNo13f2Ez?S! zEEY_KP)7uk3HYLi|Lr*Sx=YkaL;|WOWD{g zMEq|HNrR*_LS5PR@6@dlndeU20;t%+84&bjq{jG8=}$A zYJB3?rrefxL6e4Wvn1ndlo_ldj%nR_ltg3)yDckPkQ;N30?#B~4Eu9ORdCIpx>vb6 zTqk7Ve5P|E<1)GKZQ9jO{}LU;E;1a`(Lqv!C7$!9*q+6$mK0YVNwwb75eA+%K%{|V z5w=6Y%4F@tbCP_QvpW+A24xxg@r>qOr?qsh5%oSFEx(J11oHzYHxS%ZSuAA$ZgsIq zLr7gOjsggiMs7JfTE1=pqPsSiq{HvUcSi_By6e1q0hgUTu6@a|;L=JtQ`upCBonyG ze?QsqX4Kgasp+kmRt#!sXNUiBk$+awxaywQNdFaM4G5N26WjUbzzee|FGPk%xP;o7 zc%!@%gUkv_xVr9IpFzW~t;6h=Y}<+9SXfIy=!BRYsmyRA*Epks_LTy|MZ85^4w;K( zoakqfE1tssepNot5usF`=Lai`EBB@!9z1m?ltFsya7fQin(iKQN62V;g1JmvTDZEd z!++wEyfZ2rJgr37OGS>c&`P9Omn*&g5g)^hH^+W`#Jo`QbiE9zN@pQC>2Y)Cnj$~n z4$MwwSzHn%QWl{pC$9d^Eelm?P2j}O12Wf+UE?cwH^@cgc?PMlAZ#b!c4!1IPYu?nl)*cmqF`D^~8 zx>kfPQrG)hBoP}1582wQmN{EcV_)1JY1H^?jpb-rQO)P>DHd|zL{#%ezpYHg@&|Y))(w@=rI~>yGXW*v9BOXtRPVbkn(#f=}0_-#J za*@tBOjxTPZz@_9Ktjbv7~M=2*EL^HLI@KQ6!^sCXhXcdZVX2pSHt;Kyr~+o`2D(R z{MgO-5$@(-yIJZ{YcE?>UK((|dK+CB<^2L>p=?82Ddc1a=0seK)JXhR6FumGlk@C- z{;6R&kV)KR0mFaXxu0T!)lL-K@4W308hE;#far}Wj#_&j$G$(VS)a*}%eqX5JViBq z$w-d&knHE0H+6otw&slo)oe0|OqiOOTvhPb0N<5QDsu&|#6RwNdms_SU1O&Cj~gGJ zblp#w`v5voJe-zNZ0cb)Gox6Tn(#6ijQhARzF)6+By&G{lk>u-jMgpFv8`xBCvvK! zY<=QPGb>Nr4dBzVT@@saD4@u~=W86L3_82KJe=u}EXPI3#;}zXHG)qx;=y=qnk5rAq)s zY7XOy9(Z%%w`ExqdudYFxu~BSS!IH7ZnZ(|&Q27z@C!tz2b@+a0(dFo$w{66x=Y?N z>UpyD{RLvkJl1$H+U^@YFBmxrJH&D$Xs|=4#$7vC3^Hmtrrul9XR-ChIL zm$<|yWj1Ag%v2^JOn-K2bPq_){|LTPGzeadO#9e{?Q5X_gC%NFzq#sNR{75g5KXEc z${Itc?g8NRr*rvWo0e@LpD21tJ~6o>+2!ZBn_xZ#V-z_(IzkDxgtn^g(7b}+$b>H| z%u!(*qY1~YUEMUoaL7bBXbE&CT`=TPeeDr{uyVliCpoNbfMW}U_*7~QW8>VhmE;=) zpRMKGe^VoF^HF02tAhMc<3q2wsjMgg|03pLW51s+65L0RGz2+gCN}y;OqoDhx&Tpu8eH zZeU()ljXBx!*YDUV`qgMl@nReVQOYH5*-zNQtF-5O#N%n?tmoqmurF`*vX^N?>MtY zmxKSH>e=OpOa)Sho!i%e(fn8H@ITM8|NE)K(*H^wesBG4U-wZ)%_UeO9lp>)#mp2# z?BraGKeA4>GhQw*{^w%Mi7jl&xxNEPm9eJ?CS~Z!G#c&yzbAwe-7PIX| zi}!p(KNgJ?%nDjz33?Xn#6OF{p$d15D3-d#&RpYHDVmw1$$OKMge@AGV59`>BZFZ)kDc1GB*HVN(iP%L%&wz(V?R%^B2j6R#3%;)YU4U8PxT3?_(@O2}lqM*14(@r)wG-WL)n*vgS{>duKEbslU(3_X+iWVfe$R z-%r0?x!C^-yxsVApI`@~=|65pDIf|GkXu1|=V$k1-Eh2dhfO4eGMD`xTZ^@}c0B*k z4Rx)Ugt2hb^)MAlY4N+KYXfGFR`nKr&w%e|j~O;R8oH!tt9gyXzX~uMw6$(&|f)N5s7ka-ESz zDe{Au)4OrqmstfpV*|HRxTLSE(5PjkmXqYWv@|euG1CC{kQYixqc= zVkyw#E)CkC4N}~#MT5H+cXuhpU4pv@r?~xZy7xZkIrq7*?-_#uA%na~LVn-1=K8ET z8J+Yx`jUh^pg{R7V1nyNk|-w8nqnwvV%>-x6VszugUNNjM3w$Z>xaJaLkRD7)H07# z!~FFL=Q}1RPL{LT_oF|4b5b7|HWDOnE{s0B?`%75E3KRV^Zn+;Ie>1w`{N}I?Ljw7 z_ZQxmyd=lVIB+%7syip%UX?j4=Nj^fKM;vYt5eZaHqcKp3BNs}51HDk62gs8N=Z2y zwFlC1Wl)L~IEX-PN8>`q%UpS&pg97pz&iXDyCZhuV8QxwZUKZ<1(gnGjSDF-Iarg5 zQDD7v!d!^jb~EE)@hD_pi}@oOfd|lOv6LNTub(No#i7JYZ#+tCbxbX&!ct^Wv$Bgz{hwQVc4&fcTr#-1@tn#hY*V29+xAHv{eJ&QM z>*Gh7L=dxxV(vg~dF=%F?1%ug3M3)E%~niM^Gj*77b1%)t`?|bG27%zrzXR^kPK6)Tdr&irUb8`NT44<|5|dcmz7Anm9B* z?tO*YoPQEnIEAE3;YYD|Wj>s*=cC(1;W>s`G9?EkMS25P$ z;F=au!{Su_*VQoSF;N?6=t8(4?%L2dtgcah<@xqD#SS9B+d98Rg+clcU@gaHNBl{) zSQ|G{AgTGvuSXZNxW-4~fR;Jy3PND1=YkjJ%}Go}-R-QhkKC|z{|;AZ-JfGcG>CZI z`8EdQhYmXnqe)(C%*BI8us8R$UPH~Pqw5@LA_gBVk(l-vHq8=yPu+cFc{#h&%&%?P zwqD43(3n4ny^<03`nn0a$4C8;*1)KW%+Fp}kj7sBa8h*w$z{UAC7j0!TAuFjhBF2m zb_j=?7(7zeUBX#s%aQb!P~NBL7!>bfGIk|o4^x8N_y*3ks)2;GrS=2B%ap`%*7g;V zG}XO2qVErs2EcSvG?~>#EqnjUWFX(UeA9sDw?Ki{cWvdAa9`R^F0 zgSZ$r8Uv;ImBVK8u!iG zj>z3y3g}og7=xC7$SqF7=U$2maF1Zd&hGgXUa?&~$&1;8uuTKId0P9DNRi#6NUc=% z`Ar4wr1%S#!idMRmh1iee(tPG$Cvt12Mi7!wvM^9rLmO^8|3u@#F(Pjn}999=jWb- zGS&xQt8NvpwyV8EYD`%_^XmDX2an=+qm2A|cDAl0LP+IUg2NNB&7%-~17soR22d0# z6fAF=BK8awpH_tQyHO~q)aO%Jpiz|<^E=Vx5m9BlbOSN4u@QR``Mm&r#$W`LC}RE8 z|4h4D=Kc9O==297Z*5yEI9dg9KSEGLe1+a1Y-&WR|8u*-#M4VYJs+BxI9|@*eL26m z&&`GU1aCLdD`PI0Fu3aykT`YHRTA`z}2c)?J@L1VgQa@bSWaEbgbV6uJ`;uGTtZP1Bx zUafXh9C5kA?MlMnJCl3Hp5eM!NC7$&4I8p#N-p|~ClJW*E-6jp5$7lTtvD={3!AnV zr@O$6d(B3AdM?}3PMuU3C)PGLqTeJW`Q*zW{O@ybRxFx1%-ln3<+B`Z!HwOtBs01P zx&3w31r^}%xI*_iH4+iW%Hrrc0v>ipC#pIc#H!C1!oHDl2(2gOr?T<-rSR#U+?ccr za9Hfr_JlZ)a*`&lj4UKf;zH1CTjl`1%0{`~f_kgmYoT{NeXTGBMR{5hiZ*dm4G`}N7j(oY#tv`)UTTDM$7+nm@KnqTZLUokRwvaV|HN;=DY z89F2VoGvoJYSp6?HhBe>-p=`%=~3a>H5_&_Y?FV3#p>?;KB3W0-tc6rhRw*8QGXehIvldwyiB0ka)K~^ zX$z^6rlMbbI*BQLs>oV%SWP>Qzc7@zdg;`I5oWKM^vL0R9{E^0rIR@6d2s>0TvV~G z&Trjq|KM0gxq;)v_+7KbdGIxl7_9+q#nO|7{*T|L1CxS=?Xxb}>E|R#Qf@X;Bx?1u z4_l`)j;M}BEazaV2#+g!lZ#e|ZG3}IN1HRm6-_40_H zA-%7#dD{9249pg<_t%f<3LlJ2I`Eg%#6G2vO#%vEf4D7Y7g@0>w zQX`98vZ53;;$lF#SajK=w$K^2>NZoD=Y zhId+TZR)oy1L~LU$$Q{skcb|WnfjgE2clS}?{mH_tI!v=HClKf-l6K>G{@NNV=V4D`4Z!PJn%arx|-RnuT_P24nb@224RYkSM9w&JVNC zX`VpZO@2vCJq-9^{&NH^;xPX*b>RJG6Lw9AwiTr{nLI50O76yt`$RvgJ!n|US`4X6 z$HT(^VPF%$y;;kC-?j;iG|fEoU95DrwfcNgIn8bcQxwaK<{y#kle&@HIAEel6Vs3s zy+}*c$ova z2t&x63LFkwCEV#>jc60le;Z6n>4lO}r}$BHdoJ#sNNfrSIf;2o4DCu7@RX9O%lfaE zz}oX9Y7~jJVtniMV~oqb<|%j^bO%U&ANbpBzczS^zOSl(f3yYhIjiYtAn>pYtw!9h zP3U)pYH^1vVfkN8cM0BqH{v!cUapw6rI2CQz}>uHgZZr{0>+jmJ{~CWZ_iXH$q*`m zxU?2pfCz&l=)!o)WQ=y0Mb|X4cUzy|AY@*&1u0{Njg0PH+%XZg{B6D$t@!? zJ4>GpS$M#b5$c^Sq|;9!XoB5}Nt&_D&5q{03=u^!hga%@YkA+r3=2s)nwNAVXaOaq zF3VflgH!d{At+Vuhtdio(-$Pf^FW~-YlblX?3=*;lv!)w30(R(XE+*&< zkXOCrT|Vs1OhR9d>OFR%NYMRrL>|eKES}7(tQMkU=*z1|QK?(yx9&s}4x7K_y{e`BvIj*W1oMPlmj9JHBI3<{PONo#YZCvgL^YKT zIzFT>Mp8iQ!}5sJa7fb+CR2;j8EefX(J7G!NZ~ZyF(USdL5eLKl&|(V|97hJ`y=@c zqG)WUVT6?zVFVTn*x)ic=&Tw3+)!Ql#LaHwXw4K&JGS|fXb%t@@!7&4;TIvp@zIq^ z%ZtijsDlmOHtUlc{q60}WwMta{;1(^`gjzYGGY8WtfcoH2-_`$S-k z2;_%9DPN-_V_Wc;vWs>&DxulV1|j@5viDmO7seCtm32|Q(|4*Dsl_}lL7#Z zFTQfv-y4Y@s(9^*(krBRCX!#6-tBgUsAYOe2Eo zlz=jJ{s@xw*EH5O8WU85+8=42{F-PF)-QAc)K{*7D$5%4Yhjj`Tb}_?RsQvt)_UzG z`971lH>9Mm(3!uy>7k3Uv(04^vZx(gyLwTUlx6~M6dDZiFQI)F)I?aqx!oa*cmh71 zD-Q{Y8LQF4NxoeRzzi*Z%GFVSYRQtjnr9syO3aYoZIH$;c!2qUhk4#2%7zd<`NlaEy!MnooxN zflaThuQ&pH?-ZKs@U4W`Tit@ zIN(3+ax3?$F6MVd_Sn7TsIIGO??aJxx<$);Zh|GxYpfu==78y_fH_>>k|+rfsgh&w zg29_1?#*&ay;lianhsUJ08mg3eRV-=xSs+WTczk@@;?9q*q?Ju9W5X4G|I-w66T=T zro;e2DG{s<{S6X38w)XczD?iJ(unSV%&tVJJFtbl(+uR*1A_u zZ7nVL&wl<&Tu$p{q<|^uN0|m~UlqEK=Q%Xx(}*`)*o4&WOALMXk~4p2;gSYtiQn}C zmx1OP$cRr6RG!9Q4kw`GeVD4?=&pQx0m6+Tub!lVs z`DJhX=}9zovW^x^*KIQc6 zQ~#hq3uYuse3AeW;sTbRVDKl>fgKlPZ~Mpl)jTCJ#FFSqlI+QFes#f;@zg#QkHR|H z$0N$>n!}33G2SwlRFr!`TaIW$TcdC)OErt?gh?cuM^Ve(>zWw^MSO3*2%~MF|^;NPp0nQaG8YSD8uMoj3l{s zG^s$Y*}m99# zsKmeQQ-$IEzQq6d1t-t)8spNi@)n`d?7^54|Ho~paax7%f6^|TCHE1y82GuUOrrUT zXZ#3}H6PqOffy_4JXPN3#t|+|Af)LxUo7X~+Mw8yjgDI9^dD{pQk?G=F&#L9_nDN5 ztHHig6t^XM%{FRugD7%5{d9!f;>K+7Ea_Gh_onxW1ON=F@zp6rmi^b`!!mCFJU@EY zeG6YXxr-k!423>m#dp})H>g(u=7p#o&=zpKP5ag{xkUmbn;k3fTho%_rES0z`cqr9 z3ax2<`@!&WQ=cH#6x=+*pEqH+P-VZb3yh3i?}2BA5Ih2l$;#qE@*{I&*mF&qX8Y`KcZPti11j!s>5BgdlRtj-SdeNEI;E9vy0FU-V$n z^~F@e{sDZ-SyqgPwkXk?o8!2WH4$M1C@ZHdmuOynKl)}aWY1m3R{VCiMl#*)Dtbrf zxy*M+(^r@E744Yleg6f5(nGuvJ0g-5gi(i97fCbhT^0RgtXhlQ?Qvhmu}FI$s^R#Wz_mK!^`FV%N~)5N`@fQJ zd{a+PQArnBPZ;_@V=yx#ZB(6> zuL|F1sQ-8?jPz?7Mco~9et~1K%*bTYF}Bbm^^L%^cOw^i=f^2gzOP=B_k>UKMRSX( zQX9-r-q)ti3`>2xe80lb6sdb;x<)u%3cqDIpJB@uILyn2HdgE13fIQb`;!qA6)m<5d+9HT;yTNNnKtgKZtP$&MHttR|+@qzrgf3G)&=kCZr7j|U9EAn2tufKWR z-NqUcl}(Eo>{X}`Hmg5wFQD?pu;d;MsSK2UQ`4C{~~Azt_2s-`h!)& z6saT6AS%ph8m8|p`vFvDEy+5TP@2>@$$Vc2Fw+@&M|CPMogX-sNS)IwCB6Y}F1V%R zQ>!CD&W7Mthz3>dQ?8R{B)+KnbtnSBWaCXF+{mC+!z-wc$x=%T-4VGhkI)u9k|Qt= zL>W}S;tsotX8Z$E8J4BEIMM(&&jh*a%8ThgM|~e=0IU26YqpNjJ~}0P*xv$5%hTe<>m^gSt5J7Hf)2A~)3fraIy+I?wGre$%7n z$LaX&Ca%X=Z!03OrYA1G(boO=BD>F1X1eS-_s?SzA}KWGQobF^5bYxJ#2u@6o3Q6= z*wH4L=VDNehFb;b!E#;I7fZ^$Jl$33tt3L2GblWEdifmtULlDF5pdX#n_W>J=G~uu zdf?92Mzi->Ke%Ud$0yl8HfDK#gZb3p<&A;p`S?9eRROpGjkABoD?1HLt~|NpUj%m) zc1>EEmmP+E_z8I<9fl36}oAV2ngXS%d+ zKaW9kj;47(KX8D!{8~MiF`CTSzv}#4P|l_WvQ~MyUgF$~3$PGc z@8ug}KAF8yQth7&e|R4w825?bo5XI#&lVK{-95V-U8){66p%is>!N8jQrAcJLu~zQ z2EJs0=|jDd4h%1K8IHI3JF$-1FQdwcmUCs=j{@5)R%8I1(MqYiu_`=9PSKEM4lmf% z;`R5AIFly($K7LBXv=C4JncJck=^BRGGf>1SmbF>%VX%3X=r$+mfiYr2yCV zveCHmn6STsPYF`lmFA&)>})nD&EJA4;ieq9AQ>!T(0=_v z_U@hYPS)rI+Qp#L#*Z{u^@@dbmlB%0n*M!zN@V)i>Umr6s;xaNuI>anhv#lQiKJ8e za}wMrT=OaM6;{fYq~OR4Ms6+6=(kf<#)jm=OhjVHM~ifT9BqE*Xw$IM6++3x?qd`y z_2ThmR>ajKQSg7I)Ag66BQfv@c1ccQ=FGm~4-u`vKYZ;8ukT8+^8`vw>mWVBLwr7i z==vkRxUo<8RlK#mx!mQMN0Ir4Mr*8-<^2(!w3CJwfC#?9a6^sTTXCChg-D-My{)o{ z4-e`u5!W80`xNyX{FPE?ihE~8Q~0VwHYCt^l8v|0Ts&a`B#~x{Pp4=|y<){kaa;!l z8d9UH?%C{?M1lCWzo9u+Ugt8x;rH(?k3OEOeC|VdlG261w`t;Vo10P=P_w%)3ip5k&N&9n6s~pl5(&9{S zn;+M#q{jb$waOnm@A`dppS679{f`9-kEZf$h$9xJrJ8q%(9+1q@3YHGG8Fb}pSiQH zr0H7)-UnVLmRfj>%}C{s(*JWxK2Z z^E^f(2J5^q`S97j#$9U5&Zdeu3Dvja>#TZeJ}7wa<6-(MM)iH5U4ZtV8mb|ER_k}} zxYlAHd{kaCqU*`Xm=kj#pMFI)j%_%h2{mcD(TAzcD-hPb*}Y%-O+HfTXul`?OS&>t zq(@S9*wW`sT^&*pV`JU(dlOoEF{i}FKDI%x=HxLcEyrh0I+43u4ll`lg>@|h-qMO# zsExw`6{RjJxOIO#pgq`gb?=j%o#h1PqB+6AEpzC`pWnSocfn}D)Sv;RUhcy61`nHW zeg0I*53{xrFfFYGyn>DlbHoBdAT7ry$IA9Zfgg)qG%KU*$&{acS%rjOZ8F6*Y2Fnn z`{8Lk$Lc>3?~86d68XqdT6E?)6avj#l{mwvw?l(x*nJDF@?l!;Au%rN$FY6cuE3;i zi%=HodBlSU99xxMQ}|_Ab2mR3wjP}<%H5qcS88y6);kYq#i-7gCc?LfjT){2bhLcI zb&PEuOMVE`D#YoN*B$xhr4!y|L#M2tW_7elC4bQmrub6j{h`QD*NcxWuWz6ha()8R z*PmF|Hz(Ni3Joau0c!EP+W%SArHD30m;7AB7;hCV5Q1t~UiON<8Z~otEX4MK>k5-I zjK2LsQAu)VV(ccut2{tsv=P&=^ePy(7*atW>oazBB5zl`snE7>RR$mX>kxHe9=sGqttTUviOaYD=q`UwwtN=5 zg$udb{~X+VdJ8(WxCe9A&#=O&IS*}^>V&fgIA(-9Scf6FvPXI~b7BNbl-Z8a_ndkw zQi+$#CBvf$ub#!c{5Q0_z(+IDRU-?Y{2C(*S{rt1mJ*{l%PfvDYwKHQK^jNU z*ZMe2e!AXbdy@QcbXC+m(^g;3oKu0ympt*Pyz5gY>rj_Ws1eQ-BkZu;a3X0@GGsO~ zRkdksIKl2ZCtTsQ^0PA}y!*SUt!!a<$cV^q7hEQ&YJS{sboko~UU;CgxR=a&QF38QYJ$FhqMoZCOAtkL^qq3_ZC8=W|CF7dxfn@m?|_G_S?UsfZcmJo z@PG#8vXPb=LHXR;;$y}KDD^BWLwOx>iSCMOdLikIxj%z65lifLg{xFPfh$|#hYY6T z|9Rrg4vttdy6KvJz~>1yQMahP^n;iX0t1W__K2l;VSx?6;Wkc0_{F z=^2#fENfd(Ij5ZjW!A~s7zO*d1TY zyQY>U>A12TIyH|c9XrxjxqWjZsHYY+e@$5XCN|HrS@rOF@5Mn)UtNqb?4aZe`8M|l`}|xB=9I}}{F8iYBz=SAG$1l6 znSL^N6#mLpBrX_&HQ*ZIhykv@*Y_sLnHerFY5f8GZI9w@|>8oMBmp_{>tf#5WBI#A|Y*ESb+QjT^8+ko(1xquMDZ~^`ML^J?JYX zCrDa;;IjP@Ntwc|t2Sb-3NR0nroa`XHg^Fb_?fTUMR7xzgY&#oTab?NeY;Whwyr4Kd;-WO` z0oFp*A}wuL=S<}vHNR)prAstZQyr@h{dQLYaKjZCZxhR(RLM7Txa8Ykq~=nboen`2 zEo&de25gY&{X5gnWe>>fx2k_pKNs*GQ;>^44S0)8X4s`@)qNk9HE*oVM;hX6aMw-j>K{6}nl}rG3q?`NkXw)|V7?Jl*|xU%}xnsuydy zm~p%y>LyfjE3CD*^OQ$j0Y(8D?;%g9#)xeQYSuTo*YB)i<#YK-aUyL$Q@F`QmdKIA z`CdZ}QQUH_FP?ossR0e0DfF9`cIO1tu(aW741i;d`(Dz{;fjRXc&%3 z?d4G*Q_C>Lg_rm!z{?LwiAcx~`~esQz1#ecS}!GM(+~a6Z9?0A)6vVCf#uhd-#-*Y z@Jv!8#_wPMYK{?;27^xag$eWqQvTWM2qP`dK#PPOluszy^;5#2GSf#37vY)J!yAu| zL^euJ2IjH36m$SVb7iR}WT9BeT{TX045!*V76ub^o}NaBK9gjhgrcHO#&z`juY%-mS2VCc|Lre?_=yz z(etp6y9#a|xuPtU%^oCbT(odB(P();Z|hls_H&L8AV(T9-ny%NGCx`la3%P;^P#Db zax-kcY)}((tu$k%CA=>~3M>b$QkY|%KU>1GW|7$kM3ROY_IMw8bBJ_ca&E~qiB*=~ zEeKmSz}BZ^-I`>jP%f-XP}t*=sBYOzhZs=bV|z2#3yKk`F5zGZwAYUv2Wtzr9^9LCPxhTnUn)=Uun2?DJ?kJ_kx=vu zL&GX~^=ZEiQhjsn&q_$133KGo{Gmj_By?!CFY&laNWqB~PReKV!u`vqA3KEtV-Yl) zn~08*aI>z|vJX8_REqdat?Z|Yg%LIz?J-H}?rZ+NAWf)7hK?eMn4}ZatFYjll{df^ zWp~7X#Q#+D`_N<%(-!I{&>cd{FQvUeNQwT4DZTGoZ@p*3GLA7^AJVP~LnW^;c1Zr? zhJ6YYADcAPOnpx)acIfZX?COIxHtA(^#_egcZ;n+r3QDDS%`KI9|PX$NpO*nuCvbj zyZVLjDxQW`C+jJrTaGGBsvM@R`MZ;U1?|AJ{)&MJA>m(+!KpW!bI_?_DGu?N(t{c8 z%`?1B1|_Eck>b|Ec;Hi2DI17T3Y9;_B=llQ;NWGVF50DDMxS}T!$7%0Kv*FXLN zc$JG`XMn}03|FwuKPmA2{PHRP;WOh@W(%d^F(Fgj`J1Yk4UrVZvLfB7en)AH-BNQ? z_4~y+T*NF*7_DTpGR-iLpCAVi6@=5`PgwHkvjj;>r>Ua z<7vU|Y=GQw_;%c?mktublF}aIi`_qfyr;v;`-IuU!;fW6M18m=qM3m9vg+;oxQ(t8DYp^BrA}F$)%d3aE(V+ ze0Z<2#w%fSZiVE^jF_7K~0fp}oXGKyS6($!?5BUy#PX43ry3qzcDl z%Z(K+hIQ2KteL3S1^hC=~cxZr5qon8UT+k zAmB7W%Vjdb+iQ)Ey9#LY%9veTdPCouipfOe?r@H6(Hzl|XNLz4BIiBM`aWov+)?b5 zQw;#1fI4r=4L_;OJdmT{L{6v+XyE^f34qNgI^DlcF7*95;Abers zpV>?bEuX4G9e=R7&iVI7ujlE=7X;@g370X|=SN0L$cVaXr-Th1yNqUx0|-TY+Z7e* zZRxo=*`{lg$LmZ~PVHhXH(~w+0<;eoUKmXaz;=c92JYVa-)#r$7~iWOD5{&ZZ(wsN z95#e3JGuNuBB|K8pT)VPqA?V1m=r$-`J z5Nl{vYvXwHBKCma;~#)EWnjLLpLf2WTRz$`s1x@UE#q)vL~AG+hkB3dQZj2Ts7wgSW>-H{!Nx8AH}3S^CtbSZ02 z(J+V5ZuYShZ9RM^i17l_sHhRfEwfWytORYv0E8E-U=AdtqRJH5jg#>gl$|VoSfuU^ zPM4_M48_gqP6bL|3pL(^y8K+>JCmB)$NFNCOUVJkf=>9bvE*Iph}sF8JA6xQay;L7 zGA-Y^WM?17ZPcdvGZ?qAcWQw> z_4V~RJ|cYY(PuQ|)plcGNlnQSLsF8ytXh>V#N~X~`Ah~L<+38lI?vEb)6dmJ8dm@c zqp;2*_mc*z(Z?%()A|qkAMl@g;?>`J;+j9U$^R`+U)wK5mO&Je(D`obdtCbb8z}w_ z{rX(>p5i3)=nO&>WfZI;nv!DROL#3NrZ~g;>cbPan;l7nS`LlPTi8C#L~C~$LX+k; zkMXX&!hZKr2c)WTGzgaLPV7`eF-Tq8JPiwI9xw5HhV2J<^A1i43JyBs)szYdmX?c=)q&+ zh?veV5xIxp!st)YZpn)XDidlbIAyrVv7G#v_>tciz0Vw2_-C}f(XnApIJiBW_v9>E67?u(oGIJftVdjewO@vAwj*WAW2=l#^5Yhf zGhIb!&1Q=wh|k$-W4;^F0!sB} zSj)O49Y2{1A&9IbJkD@%9|9ea;X%`LS;|uu;o4xF)C_bh#emIO%VkHfN+-{}U4VR< z?L{S=DS6HG^!xo=)6FaLb51I)Jd1LG)P(ED`s4C~F(?KdmWsu;w(*K75o1eET&i8S zAonr2L5Xn%og~@sOM_W@lS3VTg+pigrq&3s(Q9Q?)u(-{}eO`kP<8|N*=~xDHc$Nm!N7n%n zr$XoWrCEiR?%w9p`t0V(rVr4@*8IYZ|7$>@`R>_i4JMgja*tRhc>4)4q#*ph@K|tV zv(&;4?(yOaEw77Mgv0wl)nzbq-B38M}!H{ z@6PA^(y&*yb_wFqL{n3k=cupaq!J`~-yv*>NSJ(j93DY?r<$F+q4HuNl&3;pkG1<^ z|7gD@o8MN2x)4PoHO5U%r(N#tB%Bw2h|rR9ss5TKR{QHlqd46rG-#tGHM-Ay^(@-v zSL96kKr`p1b(J+`(i}w>r5(U%n%4pif~v{nXu?TCXRNBx47C1qrn(JZWV7#I>z*L;Z>z8td-l)$QV1=0M8K2vFM!;kV*HiM{X}vN*v`+0Wj<7(JgA($ z0?Y=Gj_l29lSvGo#-~Yo-x3e6srG2PWUpna@A&b<@N|^9vn7l4F{1xRILQo7NHipD z?%*49&-)^C^irR(I+mbNEV_Yzy|8Z(uW%g;P!p=Yg#3{ho>=_W8cW7oeU_O6@k8Sa zG~q?G`k*U^ae*DaJ47Fen|Ta(Z?}?_TGJ=8^Ss`750*b~ zRyNRBp#OEA&1W|Z!!dHyh>G2}Jnu2X;oA9Dj74q%N00s!Q_{%n|62stz&om*XU3&H z+)V}uVNLz*eX@5TWSoCZfW zx>p&S0hlY^R(NJtrH$aeZkHg_ZpCTo?xgMl!(VYPP1g{2Q*aFsDc{~AhjN`jVNFge zC*Te#y9qYIO`RBq?l&zxm98!>icWy2A*yu#!xBqB#vM5kRUzKSD3ZQ9J}ClGK=JJC z`7s>|@m6kAf2f~yj0U%-)_%doCOmh-oLc&*L~m-}Gruvxwh8dztaxR%s9?#^1sw;E zXz*C)kPTjRj>vZ9D(OctMJAl}fWX_k%N&`)QCg}pA{0U=FS1wuwJ25pzXVa)Tw!Cg zaj!Uf`xYBSgR^7UkWrlPrF=auDGx~N>TV2gH0~=#?(os^naDGI&@3V3JEvGN7I>o( zU#Xak$jIQnnw=bp9;>H{p%||o4<2J$`-Bn$+}Vipy$vf1VXUT$E5G|bBoQ^H@~K}; z(2dODs11>t)A@0Z>tq}*2yiFrj$)glY!n&3HZd9MYa=CQ7meCfbdDZq7c6oLp*lP56vFxf7HVfzoO~7qP7(mZd)6($S3LWE;Xi;abP{&{ogJ zsJhJg>yQ8iYa7CWSbe~b)`;`Lrl%oPr1b|5IuWTczXWtq@S#ZML$MQBNwt>@>Ngbv zQok$9jJ#lTM0_C7tu*Zqm{*v^j{gDt7dfTvyTdA3L4y5%y0L!%2)N4dUs5%^BASDY zB`ZbaD{xt*ODLwleqGSv4?$Yx4gTU@~Mr2e`&8#)2A^RJ5?#8A&d(FWpK51Gr0 zMyflHm8v?|G_*7#i5kxP-;ow=fHoH&KO}AnB~>3Y=lAU%A9-naeMme?9^{@ygr-Ty z{#XC?uWjxGLwMw@uphGG1c}*Lq}IDdTY-D;Hc#b1PDP|&jM^=9Cuq$kHPqY(jV5#r zRjmB#FhT+$1sjZy`6+=(QM|oa0xcRmQ%Q&`b6sEEn|A*md^8mv8^Cu~>&yh%FmA$% zV2TLV>OuZ6&hR@ntk?Z78Ejv?<%xZ%j2K$S;!ukxi8?6yT&S21ige97e`jL7tHNoG zBbm&?R}jrNYb6O1&dE=iv~m4_422S0Z!+-b6I&u`t+xb4njSfh{jO6;Q!Ja6ZzLM# z>AMK>l3z0^I0sf#GIyK^3O=q~m#_D)`03)bRfW@VmEpWVX|yFiQvgd*O8E)BU?&{L zB91xd901WvX(W&3U%G;m+<#ty` z(7J8r>I=pjxMchT^grgH6MZi7VT->n>yu=dbhdS|_^BqEK6zVF@FeL39t^FoAVN=D z-feYVI}`x5xW@O%mGcYBobB-up!|@#nKykF;iNr`)^9C@Ih3J*GAUU60FukCyP7aQ zK1TqG@o?6mulCXm#r*&tXiF8_X!AwRs9cJ?oFT>tC3z%@XPedRYOSUD2~%Pd|F3?x z8+bcL&MJ^v?{URyv$-PtO6>AFrfW|u1(J~;c6O04zi#%F*dBr<7L(H*k-qChCDgw; z43!N+Y1Jt=h94|SiYgf!WnBF{Pro1du4iT$bP|%hvbWg|;eBadRkiPqG3rFE0p}Nf z#YlhTy1CIL7~1v7FY-uy(TfwJhw)PJGIPLx0$_b*ds$XWE<3Qin}NAA)%vLj8{_At z!pyo!woUBnhw_c=NzvG#JmTIr)B(%A#JLAd(6*lc4MmSVH#F9qD2h7iV=DzsxGb9X0i~@|69dbn;>iUGBE<^C(qzY=J## za%{;#YuQQ8OrHQ0Koz&76>0*5KYcPtmv&m5`iymaQ&ce8rPzyIK1}zUf#VRW#l6zYLyY#vxQ(Zwk=Imj>0$s2rbJ2@EX++KE)C>hX{JXaCH`XN)Q>)}ZnZ^W z%ayJ>8FXLmJaqBT(qaJY?{p+TrM>{&nGJ{q+J=7DzryHG0BOFEM%6Xqc3oA^AeB@f zXYTuHvswD*)mDgr+x{SCN~*<-h<^>Z>|nWUePIGD4yA~ty5;c6nttMj>x%dLuUBtT zrNe+6xuEY7Z_n7O6Mlyp|LPX}IOxjnxj;@N}Uu(>+Pu)@vg2%ny zowp}R4p`V~A%|;+2ygQpJEOhm%#ViqqUrtv;I+Ey$%bqrpSE&E*cVSwEB&4gLzy8S z3KYp(W%m>J*P>O94xl!XKlOhsqOoE=(WIwXXn8I)y$nNH8%k@5^oL;*bQk{q*!tZE z<)XML^t-eXu4 zh~;Y_l9gOx@x0TMDe4Fb<4oDrZ%2U`{M7u@eUn9X-s_TQ+{Z8)5c7!#ONhw+f}564 zzYr3KGk8VOMKDR54tGDrcVFn&do+(0Jz4IC5ing2bIyupH~b5r|+B+I3}Q`D(SyBy~V$e7xYz-oO{Ni0dd&JV$M%a zQY-CTHK2;ud@O}0Ha7@`JbaS^cLEizFt*>k^c8gL^3g09F>im!meL+e$WS`6lgDTa zzbob~Y9Fu8$F$5*Z`}tyDx9gQO%L25Vsicgw3)XLH8C29=)U3{EE1o<%Px8PTxx4x zH9+M*#Pa?*N`l$gui}hqUg~u?j!w5IHI1wq=9xZ1LhHV?6LDqcES$zn15$ zL-Cg|(<%^S>+bjeI9HuIwZn9LWi~AvTIO<=n2XpraKh;jEnNquB%M_w5@Z zRzwAKH}2}iFq@tIGO=ZjeC(k7R28i9BHZ^+?P!Rxz;=9wLmN zMoG%(A}5rzFB`%WOhj3ZuE>y>oFv$iM(&qk#&50w?jrTo%`LuP^@PKu&gNc*z@vCM zjDH0kqWpB3_L)HVV*Ee6y>(R7f42rYgwieDEfNCK-7QE;heJwtcS{cq(k0yu(%l^b z(%mWP{TkghJRdBwQ_1dC7S@Q+9FH4-s3>l_M@V@ zr~RE#1~7LOBH3pFlFRaYy(@7V%IeHCR)=>}``D?kSiY&YKN@#@?Yx;rxZ-Fxjippr zZ3TP=RNZY}RmZ?}zv6Cm9U>b-tQ@cE0z@dWosaT+i5nbqnsaRP+cj|R3ROS=sRPqf zou#R@Par{MvWg`s+q=A;kX{M<+ky$Kv~fbXw1XekmF0!O??ICNf$fHu7E7NYv$LlN z=FVL1T4Y|tbLAS!mgsBb0I4Crp$Xxf@6|@)z$YcHehT;R;!nF|x@*M~dkoJer9cRL zNop5;y9^0;J~j6q9`QjHY``&_^qKv0!H*Q27TgH^(yo!E@u9I%^~8`t+eO$$^gIhD#2RkDcJU;;z>L=*zs*` zUKu7DSvCrx7K<(UP(_~{abGSFDjHGVV4P81Tej5E(0~q$MY4Oc8gY+V^<8ekpv=pc z(!#}-SJ{XmSIWCW?;nOTgI5Hg6Z*L6^cY7b&EPGiO8#% zr;$&4x)P=)tqi*nd7^W6fYWKgNwThv5L`@hEb(oE@mwSpT>L_n`0M_LyydTMv%=rV zzm5W$qL22o=Lohz_qS7zfB{}>xLy->4-;@m{iZ=EN?w5+!TY#3rq}E@^oWv6TtH;lZB3*i;oUNNn4J{dhI(Q?~ zo-Q}aHle3bu1$YE;F$DlFz#`{nQXJipXau;_cR@)+3&T0a&231uL=vf|N43vaU;?B zZJIZElzqEln`qY471V4MjOM%rTmP>o$_hM-4sE65z4W$dU5g-TLiymRRm0zzl>i27%cx;Z{M>445tJzr3x;LjZC?kCKtpP|up(DTX`2A{+eGVF-MjSZQ z$pHJgH$1bVn%h3}&OELUs<8d{cx?{!kvhw`j!VJp5#$x4Y=SGF9S?nUg$&!$&~8em zA=fO|T0Kn1b)2&nEyi~i$WhGRtr|UdGl`bNrJb#1HqQxMXxA$+Du|xH1xUYbS298% z>oh$Cw#Hp{E9~FQ-}~z*lcF*vg5+Fjd(}^&!Xv0_S>)gJ zyL3!*TI^rWMcOSlu*yNRdk1)^%BRMQ-?-hV_Zjl;GIJ68aghLyz=G_FH5`jT#3!-j zrs!4imy@ytwn%trm26v3grz}WYzQF{oo(gvv@Yv8oBnLkJ=zxUtzL|IVA|B3f0ef8 zDHKMZtEMK6C|N&)#Xv{b*GH8!wmiB$4a6b5Wg;O#DiH?_#yyu-&7vy1VzkPcnpi43 z+Db8JdMfz|obfig;hr{(_*n3FeKS(AFm3sxB~#+>9XfxF7XX*TT!9jYWw>m%x!A{j z!C%IR-T=I;hSl+0*rykW+RAwI*OciC{hurY#e{Ar_zO}n$DJ`PBrD8(<;0a%8jz0y z1{B7z9roH%+nQ)MSye%5hE%vz0Q8|OOL6cl04DQk3yi&412IttNZGt3(r>6`ntDFK z^-%MAtDZegK(yLX%ze)mz{Eh8%9#8fV^S<$mfsJGhJrQE_1LoGN1MA^dQcKQFmts& z32JcnGB3kN#`VYG+SjJb z&*6v8@82=GlkPu{Enb9raMbC2qq}`v0nuL94+%eV>le^+-?%ujQ$~9)coyAoX8c*bU5;9y#26k3#T3OddG@`54I1gQfNYI*4X9XgsxUK{?IUlo zwB1r;CmO~wH*K#2Kqx6?`Trk*i1_~&fyjd}MJtYs5an0u{H`pgX8#0~s8}<2f*^2Me0iDXtHWGc4_WqDI znyKKy#Vylan5RrvcSjoUd2Uy_5)Bqf!*Qq>BBimK=3)mE8L0S8;q=bYG-_W}-+@NC zIjIdn9gOf9720}3cvJ~DS2BzNGyD>5^25sAOM#16s{USnmgU&R5K#ZVp8D1E$yLK= zNvOIuS9m``;<1bvg(O~x{I9ye+Wo+iNGgJl_mwNDdGGurh=Gh{#P%i%CF7ZQLPj&{ ztL(|`*F?oR0$J05#lF)IG_*ybW6ibB?R@sb+NL00ANO+1>k2$l;lMEh&aq?-J|Vtz zl}YHSPfBr~Iz&5Hetii($e1*0fz~VSyMP_&aCPqd4R^aQjr)&Mo(?dKbP`AgOtGu+ zJ_Sz?>jy=qv5NJV3UmbiNoBRjB1;$JaZbGC_?!h}#VJy)uB|5g3A1Zy1^$0?a5Df7 z?m^%`X(hi8yYM|0PfB-#dDCD01vh{muY$Eat)*N?aLBS;VjsMzTJ=U?sxrdJCye04 zo@fH9Yk&-Kp{tKOsvs1Z@K@}dp z`-8qE<4*6YjS0H38c$M^8Sr1BS8$h^8hi=eMQ6(gj14jqhrb-)srKF<{>rl{qck z`0`~$e8QMsmvWQgf5p!HhnznAcp!jii=^>%H}t3ZASWzu8-J&|dcD zodC;F?Fcrl?o2QTiJJA#3-r{V9ak`8%?scEP<^u<2OsckP>Umn1RyRfs?L~JwDVlU ziCb`?!}DNo>AiPbzRf1_9C6C#M%DEnuR=OtPjl)frO56YmfPa0LWG!v!-tAb(?C(3 ze%ZXzv>pAPYx0g4mZUbZ@@uTIPT>#lFS-V4SLNi%qd5|jeF?klK}`bQuy)4%#s(qg z#Dh6_CzNV;R~o9zm+&u(!}hqN(9fkASv}^Av~Fs6yJ2n$&X@XH;3@K67zRI6W`h9X z=Ps|yeuAd@fEZBvM1dx>f86kYxzOtSh)e&(*miprO8xIks>J;N9#%(>_TOdpx!q0< zfVS)tx}g%I!N+a4zM0AdL1{86*!l(?O)zG$(bfjHt-sRo08tQ+6))e8*j?3V`s82- zGSiZ5c*DcxgoCB2scazCp*lEn7b3r9k*g4!IL)KCC`|@UMCRA{>1*D!-P^JySy`QW zA^z6pe)`6@%Mz~YXIPkE_aEi>D2jv@vmM>{ymIHRuR2O|{lsEA6lkz5BC{lT{Vgj? zX)DR-xz0kwBzRe5gi>Qi9q+!sjpE?$R$r^k3DJKzX$3R&9S~~Td_JPWfZJ|3fm%<0 zJLfkq8Gx}YO}()RK?44}TPBtE@?LjP#a-Mya{f`>jRC5=tIjO%slU?qf2?N?-X&GM z*c;cbKqm(@+b+jpj%z9nJ5(}ZTD30zrrg>?yY?-BxRnijru6cB? zdWRv3&j2pd@50E8O;RE#F-5v&7Ikl1EDS?QoQ%vqPlXplL@L~nPBPnm>f-$*{=U=aqQJ;u;8|uo@rL=c+?;4h_`2h|O*D$JZR4(6^gO6#d>6+@$CvJL8O7KEG zzD*0M$X)hRx|H$y4L+02w}Oq%LpLk@-ZJ9LP>5>(sq7CL(Zq0*-nT(v4cFQ? zig*1iQcGZGeRd?1!s&xoymAu7K6gW*?jup9xxq&fO`|^E&yt4?lZRQpBl7~3Jey=I zcYbTByFOi)1TOBZZ_+1#VX+l+fBcT1a+A>|lAgZ3bM*LB7Oyw&SyZbVu>~Ul$fBw| zv~0@d01n+fhVA1=t5f^L3WuAum6;F)DE+ysx;hT7-ogj+hSr9)IQe1;KotR zN%u0urGZd5S*@J*Er^-BfV(d170* zo#E?vHH((C$ggu^o>Kt>OBbad))VxkQNCU46F7fdmt*$25bG`#P%>8U zNO-)jv2PDendV-WWQkwreO9HTo9mxx^%G>hwxBQfv9`LGo#|`JRykA&%uVgm4(W-; zD_2AT(;oVWSSysdwrLww8%zgC-7ZxHreQR@C>L7`M4~`Ru^k9f5Mo0pDK1JAYEY6j z#3!Qv2yC7u=0Zsj=z4l;w`cvdg((c<8+?X&%x&zoVDSm!9DwTfUs0ETlXd{Rc{;^M zn{c(Ut=AjQh7)QJ?w0NyR_V)P2lGzS5@HTczARt4FN8ivRPE9I?_jA#Czx-TATfg1klb*q!;6 zv-4sJ71B_`X?6uF2U7NLjfpz>+pkFl25i!1?_-*%r{ZR%&HPEsISEVd5)exZuW(H# z&p;W^vM-KUsaf@XtgC+X6N`rIr_o-H0Iw3{r?fDosokUEiNU(UUENeC0)uU2h zn_3N21!~8l^o8ao-j;!KYJ5OP*tK)(FrjFGg`nVIeNJ7ZNB{FJhwooYie(8~)W)f| zT4GnJY=F1W%urERGT+nS9ig^Fl{Z^AO#OgtiIGtSYrfh=0}QyBFig^^mNak0^UYfa zfrQE?Bv@$gxw!o-3uB@FZuPP+p6~05j?2kfyX%A(HAO?x;W9og!AgXsG2$y&I<6DQ zpYEt{*JeIXW?6YJ!j(QywGfLzvyhumNK;J*v_UyF!P$15sZy8_Sl|zU(;0SP-eDf# z-~ZBIVs?~Ik;TKNg|3?MkWdJnFR{1{1|y}Zs6GAYQO z=Na`{s{)%}mrZ=`6HA^(fWM!(-fijAW}7jP$CO?EFbkOTSoSy{@R8EoJEp@N%O1_T zpf$RU9WLoz$^jt~ZA*!VOA3E4;{#M4{(hepF=ytLK<4Z`!Q#GC*4Sxi(vIpbX|=8Q z#GBv0vtn?uonRb91l2B8Mx>+bo%g6}{JNQZB_~WwXc5m4pI~_Gnfb=$BFmCR32`?_ znz|S2A`Sb?B&Nt4ByNoC^G$vS1 zcPY4N@bUw0o&&)U-cCA#&kH6KN+T(2-;fZqp^C2a+ntj(bMix5U4n`Sjnj8nP#htgb>-4EA1ZP`EvmAm2W-Go4+N<+f#KmH|$sPv;#5IQWYKt^S?jo1eYHYEqN+G%85kR*j7R18@^-wVtEI%(k(%MaT6E^%=q zR5)8I7f>U#ArOY4msU%`0=?xu-W} zC_cf{;LtI$Vqmb~E?p*+&Jft`6Rj;7WPoy9pXu6nO)x~Xu!kB?NZ`+nqSLysQSW1L zq*Y^?mnAq|zhSFE39v1owm^Lz(!WwG)%{X6*(Je%$Dq@p*SJpEpWZzU^gPW2$eAbA zvMF{9Y<^~$yiP`64vl4NRD!JFutn#4WAP04GKRx2jrF^-n|{%_)7>h6L zqT?(q2H=x+wd++O(gHc&bDOCX13y7B0tDW6UoOHL`d#0YWpNxTF5I~WhV~HN-B>A> zrhmM>A_m;H%STXTc2~f{Gy+%H^~AxkD#y9MTEMR?b>QMpp_fZz{WEg^D*sdv!++lc zw7EYmfDrTTRfm6r@I~AU3m1+mN5X)|y<`F9%_iR9%1c)7$@)hxskrySr}|Tzuy>LLl#Fp;OE&Ot0OwDHR3T>x)cY(+zU+A33zc ziOVQu83EbSTwOw}3Wl#PimIY&YDV0h72@c2chv_-)w=WJc_S~YH3n9GKq!>Fc= zl{6^0mL#%;Lbe+-Et8X>xx}FTxgu*0YF^^@y$UkX>FsnWn>4K95|yoqUFC}xEJ?O( z%h$XkBAS90eo}viK}az>Ls{ljGsft!=}#M5QlPX6mVUcp@;Vrcz4 zn0{t7D#}wtKhLGl~?+) z^Jy}5!D`~pXa;brA!$$;1d&71oJGKgoZ?qX-+xry)L zr2{)3_4n(*EkQ}xM^3>?asYi4Ipx_f!?BBY+xxpJ)(-QI8#_&I^lI*;eq+q&^}dDb z@JP((fdhAWvsG{2`ke!{4iz;7#(Dc1X`H^=9VQr7X1fV(#&_yqe%YBvTW5ewayfe+ zgo0?LP8jF2=6fp)?w3e8nAyI&1{b4MeAHxvlb6z#e?QCD;^8x!i~>Z5EN5Py8o0ey z-t#u2YG>s0Ys~kQDZQnbJ7$4pi!x*U3HtDb!hqY|r#~&(YMtw?+KKc;71H+CZYxj- zggg$jgjHQ6n3XpE`&A};PFy5QOV3LK*JB7u?pgpp2XQ!&M?tBrx1j0+WUfEl!hoUs`njnG!^)F+wp)w#)mH;NSd#SMsLl^xUjl~EH1igFV$ z)pH~MN72rO$o+;d{vtO2Wvc&=>My5QX)>!%bd14g=T{)+7M@1fApNi5zL!< zEH8kjBI6SWG@1g^awEip7brb*T+GiyS#G~yzT@F9H9zVi@5oK8EwfqxH~NkSnk@tj zy|xk!rS|b*^Zj9$Z%faK)PGZ(mwC$-b*#`z7tkr;p{J1J(*-Uzk+EIUG5`;(PL6q7 zQRiiSbU2*@&(8^y^BlQ>}x^n+8^nY@D$FCRGnw;ke1dDkaV?WIf;WE#_G2d z(ZQ=pPkLbG{c%_QtH?H!BKXJUS%3zSgBYa_?&02)%==oJDLHk0{DfrxI!hU{`Z#8Q zf&vw}NM8N8pE%1WbH-y-`b8)qBmFcD6WMQ}Q=6uDS&wH+uMbEjL(DAS_?4pijQMym zN-UY3ZM~_JdZe<{I@;c1`4+k`2;P-tL5u$Z&9G)03wnzRB2}0by-<rT)P;WAJV3N^z zd@Xg5DF~I!N#aryybqVhbR#Mo1!d!{&2u!*PPhkZm?z+rgMzzHbThCgRre~XFRYn8 zeJkG4UelGbtKypJk}cKZor`~lAx4ZQ8FSZsdf$~Hr@onclCA^?inoKaV!dD}ZgurktnUF?RSji?qA;`-% zaO?IbNb97WeOkzp!4(`eNkdGSSZuORloBRM*023q=`LtE+}8%xqM>mWdiCPXM(%#3 zdX&RwpFt`&4-B+8n-ofC$y}4x=yew!-wvc|YiL*P9ogNnr75sJ3>C?Hi3t$eGg?ag zUfMA8amHa`9ws1OeE9XVtM5v@k1HHaTY7>Phs)D;Gl=QZpc5cWv3j+ z_7c2Jo^lySgCGAttl%g$WIqPZAtvd%Ai?n-ys=F?CWB8RJ1ySYCJ#AHlivNx99N4b zT7dAeqDf&^=FVSs-kGIrQTzEg;xcM(MLPTMQe~6GIp*i~U=tdkP6H?Mp!gNwGOh%_sH|OUiTi(D#XC7xm7LM7 zCgOb9E*D#jqcr7QzG%BGp7m%O{it{GzU0>X^UQ8V4vk{vJY%7=$H~)2#|)?^d!?VC zlvb0DwjFjiqT9UY0VLx1PWP`?Cx!fS5Lr#>oh9tAVOO$(XdESBn#;x6cJ+?hnUfbz}fT^a#kcgDcK>`}{;!&S?~y+9NIl0}v4IGd0o z`t(x#mLog9s6eD?{|c^YfNjgaK;-{a82{qUe}>;rGDIlyZuzlo#Y*iqTFO{%vhgc$jSdE7AfZ)o4 zI5P5h7vYBCsXF0-Pxf_5#7GF0S)r=!-P^bX9i0;nQ*9#IBuN)*qjC5>r-?Td+GM<} zN=s|2YLd#m=ASJGTV~WLP0i~*O4=_g=P#8+rdiD$Aur*bBY&-{Pg9`nVM1Xv8x#vS z+j|kcJ6ku#E4eB5))*Nzl;Np7(TP#7IJ9;Y#gtO|;`U|amz~weJRjU>SX7vSke?uK z5|WZ%K?+|SLIY~myN-SwgICt?0R$!#;^ZvVt6+H>Y1A^~*l9WP8mRdR1pXNAY#m3Hi}QyMSe0VG&&xpzz{!E`E{CI-GrNc&lSg-8^RixCwH6%SJ!HSx84oM%6`D`T&Ldm?w*RcDL2xPm*u z#uu~cXoWLb8W*9{m~OWpub5e<`BkVYo2+&2g;8AKoKdZj3%NJB=kjsz0D4=AP zLg8ZQA}KQ2VA%7LLgsY}rO%gfcN9v1S-|Kh)<>#a}oeOLw{ zsR(_~*JQ1q4rNa6KBy{A(j~KcgsN@~ZT4{6AYmHbPzZgE&<&KGr`~L+&B~1-3}?(E z*th&ZKt@;GV0&+aaWE5Px6YBfk7{GhgZ5&j7zoo@lc{wWb1^O>gIy`6jkzfz*1}Gc zh!u9hB6vWgbfc$|g6-Bg{BGXWUE_!LOl4oe{UdaB?Wnz$0AUCsKRreg*KlqNueB>t z!9McU{x{_m5+b67bxk8iD8*{R11)Cjx0l*;GvENz)pcDW0TYp`yZ7&^n$y39vM-pY zOv;Bd3QFGV03An}X|95Isx$>xw4QYT^u?<^IVb+48}2eR_jGtZ1yZ|c42gMad@NgR zy-&!gOT%tQHO&)fUXRr*4Smn`U1|4R+4U0Zv5zmWF}K^CS-F4$5aCn zr6(3Mn&T`K!R1$0?4!%2=F&WXAtq|Tt0;8p8EZrtlqG&$XsHc@|@PHyX zrMU3A!IRNXNcpk+eWo3|Z-bQ9 z?a~WIY#+O7K}KlTyRtQOwrBQJS?OiNBk8;6CxQbrW`b%DYMdH#^q6Qkr2Td9yxyOT z-*I_#K8P*A<@a>E%WvRqhVH0*LlZQ4b7n`mY>_clzHEID`!4t#(~o z-LG@Goa-K$!TyYQXWC)knOL$%*i!QEdhs{Ztpd!x|5I1^2OrYJNv zx$FmpMm$`Qb#r}m|Ck)RB_NyA;5HKcw2{%0{h|7@G=);va5wAg_sc_I@i!kDW#re< zv>ih!B+=&A*QYHyQehPtaa`#erjMk|Ls8SBzG_7~DG8j9jp^`b(}MUAc# z(R*W%m$(ku#H^L-(*!oh_MIiI8~F_PWKjvJz7>Srr1X86>;qBZ(VkKh#>Y=J`JYr& zb+WDVmZ}o5BIkVKUyzeyV|uOBH8478Zth-WBx+-0&BRKngBtQ;bz5cVY{^rYu`-Hz ze8*~Od#^Xk0TyQP-HRoOq9pz-kN3r4o{jh%GrJsv+r;`dKI1Er6RbKcS*pxUP7(so zIA{9@3S1W@%FOIr+XO`RoM6M0u! z`?V`R8H_~go_xet8*XE6($%PAJPsi3y}nbHPh*ej^5LzrtfG@|4{x*Eq8{ddU<>Q@ z-NCG?7^U;V)@3LYPoog&&Y{7BwFT+pKfYvd@{;M8VUahaU=ugQk{ z?F}nxQ&ZOZ?X`h!^K3@{vg_^WK;!n%=jCcbA>+NMR)I_XH9ik{U)U(Kqbyz9s{_P) z+t0nwJFM+HlE$K6pN!mu)>H=ft_-DZf+ZwmnK-381j`5rMHl=^lZ{a;geb&_G{slF}D3P+!4SNzcNCTTlq?Q2*bGi4|H znSIli6+0Y?5Ua>JYWdU!{`BXg$YoY4!ObAe;v7BBt?$; zISfpQLcc2+C~M0Vy3M>Ie5;z)k_2<2{aWXMbKUx~(E?pQH%+irX=J$H5O0;Cs*4o8 zx_Xy=WeB#yF9h&mRy?RTu6!y?oL!X;NoewJD7&jaF||3SmJVh~W=Sd(b&w}wlT=f% zAxaX$uW4wv$@tWDuuyJ%Q-7fkwL^J7hZTR7$P^y)g#i|G5|-EIewt;wfLlrk6|an| z9n^me03wv4ugq~Xw~4D^iv&R}y~(Q?=&kk5o)Q@_%lmjV%$ zv|q*%1mzg+z5f~?m3j{X@WC8>pJOaw3n}^*t7Oix#mUe!zNd2lW;ZEzr*oW1CJ`ES zI2MQ}5`Oh-N=EwJ%LdHXVs=%wK}fnpy?AC!77)Nfzp1lrx+?;8@dNBb0zVcId$2>* z+C}o2ZZ#A~qAcRDtKX(_^*Ex6ldW$M(=*8{YN7uT4;>aSP(ci7s%kUNHW{WwA~cdK z+4cB9e;tfieS+XL&?>Vc)rz$}xUA<;lml#@2+vD>KmutxeY!W?E0Lsm*=eLpE$5 ziV&SgZ>)A~Gg^hMes=)Ye;o&Yx4=K(_J1HD|2Q8g{^PJ9X98u8)Y@eeb0I3$o1u~F zm9R$Y_LpFCz;B^%= zu~NkRrYV7i@(fEAaa}6GjxaO)%Z6LkO=c}+|9KZmziKz1p1c{AZSH2ktGG7}u(-g4 zHD6-zE>1@4D5^S_7Z4_|&MZ8#ow_$$2ZbR^vV?yL&Z{m0zb7m)eU{a!z~BtGUH!F2 z^V^+KWQpILr5|s^nxKS!#q$;^u7*3OhpWWtj$%@Q1df;BeMXSYjwKUm^uuHb4xN>B zES5X&r}vdlKDR}$t_8<|aM_$#eGAOv`ziA!5%-0=>M~eAlHQPYBGSjfeD-qp)?SA= z--^Z>h{FJ#QsSnnRpX&6C~4|Utk>0DnkPA`gPNBZhVh51@S*Xl78-xjz_YQZVW##g zwAmnTgJ3xK90h&rwd2sU2|K;_$CjK6jd_zJSxx4xM;7L!?9(4M7R<~lc(P)`iMmds{seiGXcm5*okg(q;g+tgjeyD~0Qqo1 z*#NkP+rjB8$5mXeX0X`qr0|`dU2z7TFGk4Kka0J8H~a2&{PvWA&o;ybGl~a|eM?X6 zYsI&e^KT*1#+sC(YZtnK6RgBW#`XA&A%fUA0>+ZGwb3ex3fPjLsHZkmbq@!NnQxwF zI(o#a3YRkLWYP~c)z2Y+s(z~~`muDYEKPfT>R8av;X)z23$GfZh!W#HW%EHvZ30MB zIGr5B){~y4W4()H>Ny;1GfTTgPVz}Q2U5=?6kubLhb0A2~P}x;_+f& z=`kdXtA82SP+T1`bEh}}fel6hCu_H6D5ZL?Pqm=5bK&f+QI5Lk`Xr2J-+x(W4J~7w zbI<=0mY*o!#kC4lGO5l)rR=3oI;vFcqmy#QJ~TFJmF-~Ab2_)flx_47o%&hpU9=y+l4PiP#z6$nv-WEFdwLC%d5Yx-L8hzl09^4(v@T&0@Ky7` zz?6O~bLZ&Tz$I7HKcflIC%6Eb`d_&ZVDA_QF!(p1v#kH++FO1rOZwNPcdu1S)SR-l z7Vz?YX2+&-moZ-c#L)(*fdV4?38Ne2lc{jaAclK%gbNMmj&N1zU3vMUVsQdF)A&>S zSLp~KUyw9JRokj*s04dKCmz>y3hdw=Cng(^=FUUn&lU98@w$5EzVzO2 zbm$pyZ&(<_uc_R^Jvj<-Kub{7A* zG(TJQcIzVLoU69#;R~E->B9t{h>8KIwHR@q@R_Jq%se~lzBER+!**& zR>AT2H)toyy+$jU%gR8I1SsZ5iMBV_T)FXZRBp4dw79fY?F-<+^6BWPAfFG9qlf2$ zn}+a&Lcn!58VhM`Yt!41`?JsRm1RylHE5&OT@5V%XoR8c%s?)wY9xs4vy*` zf(_M(7)ppUVZOa%-y%p4Q)c!yS(IIXRe(KcG*uO)Gd0p@&+!3)%vVF7@+c`{KJkl& zABWm7UcPqJdSzrxfP9!Fpk4InjnUxwYP+H#5ipERrb-MP?~9@*{g06D#c)GuBvv5_ zs)+AzewWZERdHQl^4+=p;}hfhx@6KT)Lz@eDC_%5pbkn&e>yq#-@%vgZ2p4Au<*Tl ziO`QiDoE-hW{Wv%%2EM3w^hOW{wJg_WiLmKTt@BZuleSY>YqQys9hX@NRu9`3#zfa z8X54^KtZ$46~lPQ2Ud;;Hg3iOFdX0tW)g7h#b$vmVzB`;pVRZSZ=Q*CSBd*`M>st7 z{uK?}xps3*)d4w;s^{zYFa4DWNk)=}{I9<{k9(E0!4g*i9Q@dt>!%&2Z+Ra)Q_7tu zZ6RfcP&`q%j9qUalAnxN2R;<}D-?bJP-3nAH5-1>eNj~X0ji3`VD8GsGKZn&CuhIQ zvhk!EXHZTo6xASYK-x6G4sxuX0Gb_ATL-28Su5eKj8i=!k+hZ85{gp~ueeww zB$^Xo72L&D=C^7;;W7y@((ta zhxcJ?-oM8oF}F)?Y{KYQOQ01szrp2IH$^2wNBWAXw%9x*uR%u5I8OtLhod~;4W8FU z`xU*to;l2vdZ27kBNd}#vr#Z@-X200x|)AZHzIwNYX`ag7K_x-ttyePnO>mO@Bz4HV? zAU1@zG-FWj?SAlr-h-w0m4UWOby=SU$2~#6jSU3$h&6Y#IMe{eXr>_@WG_ueoZtt= zQ?K;PvhWj7YU9=)&7Ok1O8_-yju*J&qt((J$~C5pH}Jg@+FuG(GGRaT#`BZgL&_Y0jC?=l7IMgj4(+V0;1 z9-Rx22mf=u{tGYtF5ogiUH#AZrt`Ul$HM$lkoeKiIhXWfiUz^hlJnxm*bn zH22qDsobh~b3dh*#%CzKIRe`+tdZS2z)H;Z;$xSx97M*fQ+{R%lo;8O?|WUGh0MKkTT zmnLkLKu7Qsvdjp|QtlEsGKt)UF;5MR%@>!kjf(2w$%?-me&HeME+gZnSC}zH;zE)P z_$htdJLQRRyVve6$23J&7c|{Jq)0O-~3F#g?bO;^N)f5KGcJZ6swe z)jBe4Q5wVN1TgdbG4#%bSG{VKe%>gUwNgX0>&k_;h<47uQeL^F^e5dYW(@k=vASY zQufLCeEDR8saS?~bg;$mML(B3VK%5B;QhYVB;y z`+3t?VWm{*cf-v?nXY50ps;corHRLbRH+V830tVe8Pw&xhdD-$U&zM0V6c7M#$|#cY zi3UVL1Ean^oj#cltZlX<^^3T*Z-3Gv#_xcslMeh7)cY*s&um&O`n#_FWkqKi=}F)y zmk$`AFq&GXBKd5K`AEfGdK$L(yMHV^ze$2I?`^ZA*8yKxFu7v0L|nIrIvg~o5BlS~ zXXtvDtdih z3Lx@ojS&M{(F8auWZo++e0Z5f9qh;#$>Ug=@4sKlS8iud5qYS5FO~~E7hzuQrJR46 zPH9C~+(&~J@Do(~S~g==^83>?ZDp1Bd)tddZ#&D<2-|dzJU}B-**DC#zp#4_v>bB* zfJP@Qf0BPhx#V#Bg|VaJ{A1d5GF8lPZrs1%1Ay-EZ{jq-#Q66OV8Z+}=we}4JGvd+ zptwYMNtq^1>{q{Cx!&d72k>M%!r<~t@8h}0*VozWb2v5O5sUk@89geD){niex6Su4 zXE2_|Prf5>vZxomkVx^bB6#YIs&mq&i`q>J&6wrKK}=L}1a~=U=H^w2vkWA2JY*R# zHA%Nc-!;5b3XdmB8zZSsL43E2w41Yi?0N0DNv4z1fz6@;1j}RVe1=Gq7$L6r2xVpSTQB+zc>&Wu9$rLJ?IwVlcC^kOa-HS@Uj? zfS?2P@_npo6MK6f8L<(8M=Z;SK0@Z{vbbD8&y$x}QMs<^8lUJrv(0yp>>*Zab39Q* zoUE!Ms=JD?idCe+0#2`fp^7hMG2Od|oHgP~)mY^bN^bhHa&28xm_$bU#~P9Cmk1n) z^bF7_W6=Ij_z@^fSuxjcVGXpxXY|1bt#%JWkHKo)u_dv9aIt0BHb%o*04d@?@BPR{ z3(oOG_psTr(FMmFe`a}((bsWv!(sdNv%K~n(+Hs_pEJRQ_QbOW}D~T)tWHe&-BZR+E zrTx*c_nq~nhI7a&`|{x(iL!;6KCkZ$G?!n^QT>#evp!Xk4n6YZ!j79e!ToyY=K9xiPMb?E~)nIX7bt zCZr5i3v*v|QL&2+%toPwSHmH^Ea32fk8e4zc;?sPS&^NduFQhnMO(y5ikU5$Y(c`T zz(@7tbO{bi<~azVBz*j&wuZTN(RVeZcw6oMmAyI@1XCIDF3_ok9f0oqsuzqW{Ow7I z)lO^1>onB@{ps#?Ji zrK@8_FkYeLLp_tf*62hQTun_8-Yqw$y1Mv-g%w9MBL}cs0nDnw@0Z*p8l<>lFwXyfPxJ#5Z13&omyInTv~0pq?!+n}3CoKEyH>hK(F<=*aP0 zGWizM&@-*bH&R3$jf#?x>VeJ2r=Zt-&jn&((UYY_rz1zPrbXbepiqT7!b>m z+D_XJ62$)jR1~#r|1*s8OHuqUj>|tWY{-9YMsj9X#r|+Me)9e*bYgu`in$q9Yb;}Q z$pT7A$0S`|3U_XO!`^l@jEQ&tj>8m=(CiP;d3Oa5w2v>eg~P2(jnDUDF7+8K?D)VV z)nqT5$G{dOtMGh&xV#5*!M1pp(_Pe=wORQB@0vu|-W2V;-m51JHFsE&n)Y^^95(6$ zAz<)U2uXxe>V_X(R#9J1$(HhQ52HqH{3sZz(FWBOt-wKzpnVhcqhz)cOfB#Bb|d~# zr`D-WhAJ0GM_V}6G>Wl6E5#NjWvM#5N@iiMsc@#1mVhgnSEp{tuUa4@5T&KP^%3v z_5k!tYSVDTxU#neBiM)5f7{eopW7#uu4XnT1YHg_E1t?Sb2VA#Q7;pK?=o$Q`Ny25qv z=BnI;U z^dhq8?NI!h6SK-)&^OyAB9h@OKdSyEpGULp`q7d$EyKyVgLXPSCi9~v!>ivQpY*nu z4uJcWa4E(2UX6|Iasf9-$et)n$D!cqDr4C21u7t%94>Y)u^ZocDt-Lemd_U+C~6f{ zf@r>eCXD2PA~nc6qqE6sExQ4Tp=X`86O{7Lk0xefj93=|r&N-La%&PV8NKJe6}GfY$(MfO{kw$dvK>_-`i`Pc($yUI|tZe6efF1B=y>{zWQLSc~u(sp|~YA z&)mXg7VjY$Cd=SRl*P#ZtrhJvjYJC(>bhq4fUO)akGQ$$FXH3qfC^&N@Tft|)XPt; z)xN+*w_S-kpyeE-t1U}RHGJog%H4oCK!Sb^zc^>GHl|@_0Gho|&|6?cQNuz6PANWM zmGCceUM>6&ZHXql`qxELBY64`ZVp=~`h)ODj1E<6Uwi4?EP|j%L}QyvH@8UdiZg4Q zIc8ITW{zM$QpSYdxjK;gSc!qzc!H09_Fm~y%1v2u-+fUze7I??)s5bJ(^C1kzM976 zUs|wf+I$c;a(v;2ogMQ}AxhC#l)&_-BVgf#bJCbv)X_N--C`8WW-p~7vRH%bEH(1( zVjSy9T+PNTK`7&Ya29DMdQ&E`PW%ds68caR@rAS zC(WW@Nv0OSoG7rDg2C!SkS1qB0qlAvs{&(?d0l^-b4z=vN&`J7%efO&QGqJoKK3j5 z^n1U^hRy~K7{=Y^Tmr)ly~r=NAMsF~Yw5dBiQ}>|tjgtw{uadCgIGY2|2`(QydNll0>>`_(-EIY$*z)N_% zBrhnqf3)IdpLx~vkFkeV`_Gza?>kIP?--uC-5@R~iSQ#}@%JC#Jb1s8dK<|)ct2$R zg4>gF7c1kJn)-hX9&e5Z$pZ|p7-*7d2XlcjFdr4bsGNODK12*vqNR2A*jlAHquAd@ zZHempfE6!ot}-A;KFiqMOS-*CC0#lmWmhM0pFjbp4>0HxN?;p@8YuhVPJVO62U z+*flT0cP7?@t)n&cuTczdAHq%kuaMd&T`eoD_Ju|UCaE3xO>-r!eAra-)a%9>R9yI&uOja3m8!LF4b04l0rKme1h=F;jC|QsWT57m8qS^=S8n- zdf($2dYXUmi~yCNw^pl1oz5QFo@CV@v9Uk31!!|Zg5qX7lp~@lT(A9mLT5~|bjxUh zV)4Fn9)Y=YGol>&SZOT~Nf?2P5BcB1YRsKYTQ0i$ zN)?gi1ohHPoYa|`=6*(QIQ0l<0!dw908!c~jkw*Rz{5TDRVirZna_}}=Pu!-J%Z_9 z`XdeiZ17rIxt&J4>{%q0aa3iz#{8^iu9DZVmGGB$h@fS5$W4;Et z8Vzcr=0)x6<33m`=^Q+YYL8jtqK&iyaSvMIb+8f8-bpmUA9}IdtzgT>n2Nn8Fx^b0 zBANxR9F>gnLULAT(bKCrwpFfqXIiq}RL`|Srd7j8HoJ46yw>)3Dj)yFo z@i5$#ylgBFgv!w5Un%+rM!)BtMwV#$mG%y7~k~x^{p>Huj0WaC*@BzPrAmh_Ptf&PR)k0 zb=Fg@SPiQyk!Die_oAkw<`(P?OT9mFDj5nTQ~NZmEr=B}mcX%mCg~Wm5fGChA$roo z*4$B--Sm;o6UC?4(CY+F)6b|k0$m#=N8k@n6R{Tes)9y7;+T3VmZe1dJ5AXeFbx6I z%ryko%@YZ7dO~k%(Wv9j!qSnEn7i=t*9 zhT=W9A$&22{p`|_^KDU$yuP65Lm|&Ta4j!d4!<_&K`8nTcI!UQ=gp z5~#WC>lq)rM*p;!egGOv_#!`VMy`j8JLR{ZEDChHDEbV?&ydCDs}_j#5Sx;23paB% z9@FNlmMHO9H-woq_K0~X7SX*2zFXWg;FSIbt;G&PZy0gHsDXFAFEgw5&u;44_<&*+FW#8}C-O7P9#QUq|lfRuI=8t zsDAgR=wN=hh~K4@bb4MzbU;SZ!73Eczuiz^nusWD@Qr22?XyJx^q#)Vg0kuNQ;j|~ zeqTkR;gut6p$Gh{fPrEI2p# z;a3Smd6x%Yt}1?zk{aw}fmnYB%igE_SF4_g$cfy)(Ky^z(f#T{7JCQ z-;;oVAsCJKl!MvqE8Wk@PelkM*l;jfEyipMR>Q&Lysn;`XXii7G-bUZR1%hTR^6+8 zi^?z$YlbnlT>rAUJSc8kqPMUL${Jm3jCg+7I%}%8{AGAi7d>ERq-xQz`c?!j8CDUf zXsc|~9y3D-PqrQ7%G>^Be%#+cpzcj`Qi$Wq8K6pux04hmc33t~RGC8?5pJ%$XO}j2 z7cMO|w-_UoMtzCwlg*b4Ci<={|yl|K2 z{E2G@(-&Z(`5YAnOyV>20slS$IZ^8W2K~!X;eXPYCy0FPu;uIHE0pd|!uNe#YI<+^ zk-D5$nq0VGK06$!tS9v6mIc4I-Avg<^yVQ^KjX4?G2Gk|l96QW!RkI0%inqlyYy94 zUsjUnNF@s+xkh+ZlXI(l9QuSu{5+ml_x0&`%WQg{0rq07ZkiSuDp@FCk6h6B<0$*c zd`8fil@&-LO@tT+5tM77$zZ$MfaPqn)SqqVFyKV-5*=&a>%c3X6n^ghnmEqc;!x$X zPU?SzTe`hfN0O+w30Fe%0ACXXVHOX>6g`>~A{#AsN3VN6!&TjoQwcXEgBGb-x{u$Q z$Q`w8(@q%jhO$jjWWA9QjPar}5@Zg|g=Izapc!+Otwt=Wt}QJ9er`F~vt?Nnj-053EP02KJs$y1fP4koX9 zJOUPeH3gky3w){$kTS*?q=CvH?E}U69})y0(Z8Z*0#$l=pIp!w{@VQP20wJK8Ek~& zinHu&|K(ce23B#rq2b~qZ5$KtbUcjS^D5<$7iVs|DLp+ghB}NsqLQ_DIF5_MvNF&A1WI=lfIgFxSF1Y7N|CZ)y z`q4AzoRs>tauLasmFN{ zHx_l-W5Y}LcfhBrr8h^WIXw28EXL`I^jhg{e3ZGacE16Gvi6*@E~^1UVt|aE2zgwk zG^+lUu#iJ8{C!6ly(#_uZ;p*XPX%K8xHC+A(-O<(E zb_H@QcYJ$~=vhgQW_-CH9{HL0)w6UwibTcb7^4_>r7vkqJ$6O`T#ic2!bmzIYrUSV zcr@lZZB-Ual*m%a%Dyt0huj?)bn^J_fJw4<9N>}6Ht&35<5y4c3_H?o#_4{D#XOOrD8p*|4Q3Q& zrk{78Ul^2KtzQ|#(2+W#9Ie@)-gy_!KyIYq`NkW+jm)xuEDTmD*BLmADIe5X+6n%w zROAm;mSy+0^R8i@eRBVoIuKI zec;oJL{U?9bsKnB>fzc*2c2s!%6B;F| zhymnHY8=R2%IBHIxfrjpx<7P{zC8;wk@ zp)^Nh2f5*-czGKuLh_yz){uxL^!jg48_T7Xua%+_Iuhu_L8*D(g+;?}2|dn-yB3Hf zTcwlJKg`XLT=yNCNafXr9o3YuIiK@3w)5gFmXrFRMZpfPRdDC^}#98Is`n;h!+lqizX~4(cC#tC2xZ-T)9p3(TEeL{y_t4M@Px3y=$3+#a_hk-@~+o3 z$XKK70^vWT+8Iywt~p@)BLh5dk|AX6%OiFaXG?Cb>KseLY``e1t2=`hecayE zc4m+CwlS-1IfSnr^-*j!*Pi~E$~@q$NuY5ufqZzmqPU@Z#{(kFA?sC@W>!3EGj@=|7~A2@v+(%nA^Bzm(ZMqzK%<8}7fv3!ZM)A6=rMn^`)m7#1efh;>}^T$spY_{A7nR? zy;9uW$rRwkeoPHjcDj#!{LI@RTo4Ho=LIKoPHu{rEln^=h83hOJoqzhaB|Y3H~My^ z9$L!&_REUw{*jk_a8aZWcO_Z7P_2`{O+k$ z&n7n-mA_-h`(?`X7@M%NrUFI^bes%8rziVgjh+lGR}o%@-GjQ}l?J1)F3KIp-}?E* zrEPE4_ow=Akow0wzzrR#c} z`x1-g+nAz_=0xJ8Pz^Z}chEOFTy%2|L>C!Hu6`P5iaPJfs^)Hyfve%nl(A2duhlpUn zgAhdE1r1^dLSRGp-N@q7QN1zsZ6$`9VDmSVti^=3n10UpJtKsI?GbA>c#yF zq&^N3+s}DzW^ndsMvxbq}6;E@3tqXdz;YRU_p&q9(x%@eBCb z-oWAqy#=(^(O0NMU`C$Jw_o<0P&^<`wvmu8tmsgVG#a}=3s+sfF_bpRRx=e-)>!&- zG|neyl|>{)W~>-Av7jU0xS>KrE!_`SbfzGXD{k{m{Og1Ny zJ1>{!bvSn4Fm@MSe(ddr>@UkukqzY1J)?7f+A(j(DSJXs~yunrF>SG=% z*d{T4z=5iUyZ+}8W_bRULg^3Tg>@DEOY;f%&kk_;_8{&a*U|UM?Gbx_YWBnsP0-rz zaLrxrsJidFa^`1oBg9vZ*DTRqWba#XXpu!EegnDPyIPMxvX`K9VU zMe4$ALo?W_s~6M$24O4unb8fc2dPlH;BlJ<+OqD#4nZ|vke|%bE>6B`=Yje!K{YMd zAyv#GiHR2nVS&kG=^~k^O+aH;DvDHX$5WeCj9R~ zSor0GZ~J1;1FMSBLlu+L5cla8puiClpJbo@vJ=qA0S{Au@|)hs$ezmmWJ7FLQ8j#3 zWnx=wQI8{xTkbPFMI1K7ucfs=df~rbVsEk8yA13c8!HJi7st9P`j%;X#|!M0LvJ|m z6rQM$l|lvHY=yM4ZQ*`EEAHc+H_S!g@sratHM=lzC%m1TEp7B2uTp|uKj%Q2e>vS~ z>8$(NL7+ja#W8nnYb({Rx3o6~d566e9P-{|kW9^ZFpMTFsw~Yn%#GYR?+Fkc$FL}! zjX(0faJ>YCW@=5Wp_BF%=MqVm>bmXJjzlw*?MP+^6v(U!#V!i?3u5wwHKmbW9rE`> zuZJk*=;0c@JokChU9mGR1Ec*7#$#=gh}oJZoCARD+;X`(-U}r^VL|4o^a*>${*q~k z+AQ}3*~}Y)jF?|mCbOn4atDNsWfI?OieF|Y`ZzYk{(7$WmO5d`<-;%@2+JVSI`7vG zdy>o4y1l2~j&I-s=1Df9`#a9Fa|g~Q zoG}2hd;2iO!Ok??MmIDo;LJanZ`;#73h#XO)l7%7agtv3et8+)^1_B&)sv`aN|Y7F z-e)zduKpq(Gc!EpdNEp#2v$lHoMu0|mZg3%xrh4vGRF;AT_Qy<4dj;rr5i!GaP-#jl{(E4{e@ZrXIU-Z%D91mwZ7lu< zWoSXQ{_U}hhi$_n$0&dwOnqq>`why}d@O_gD2MG_4uS!Bj|#z#*wYuo>$d$cc_}kd zz`6a|VM#4l%|hTne;=$#Kil#d89baWBhnEv>TIVP@te*5;+~dSNfpw!w=D#XM(H1) zk)fhUWFv?AqXYm{Dvn?NVc;uQ3sa|s^QawZcHI1`{R5K6_G)6N$n1%(-fKIHwfvmH zb&g7IrXCqM%^!mDk`P`S$cAUUP3FtIn*t^r(DSGvGyNss5)kIM+cUez_j+J&O~pv zy8k{)R{RG2l@C$^L1)#%rM^JGa}V!%7WoX|v7Kw|$1qr1+L^3YzI6WVt4OHX}!RIRv}$Bf_Me4t{ch zm4-wuYNetb%)E5Cx4FWWs^%!#_O0%|bmm!Mei==dhn T-KgZpVwC4f#H~;o~i>! zgB*JdCWrJ3tV{-eeto`D%1Zrf{7Z(RhhqmQfL>w9o$`uFDQ`LSDxe|;$ zO$jZDju{FnPbzxCEYSu(tm=C%3V23`T0_i|){pmu>RaSz?DTC>%+T7>p9LGOrF{1<87P*s>h2 z+Q>VO(hgfiuRib20Y^i5N{OzmCjFx$=~CwPE^0EXZWwE?9+3Ge2T9NrzxRAGZw`2C z;4qbS#N(@#Z}KS-<5C)ghGhs~5+24G6C7UN`6maDZ;LT`XHm)>W%QmK#&x`m`r^}l zCN%r7z8Cj!fOFQkO(6D@OKX&1Z)mbYAzmIS@RsiAK))d4EABqK^C zoHSAwu!CIp>W88qN_F!6bU%H_EgNP1yLni{RpSG5(B`%_-|?hlR55sFD>}-b78~|X zCTUHFY*{KS<@Fz`?C-h*(E9vG{{y^`o9;V#^cxgb^i=p$>A>utcOwpWUpbpzx$r*+>U=WCh~F2F3$%l?lBb7qh5BqB?La8igiN*hXN2k zsb@p=GXDr?QW7h+J4M(jo+W(`!d9LD(kI@n3}t%D^N@(TTbVgnAc}cOFTZg=Z1eyR z5dXN)O&M|#u=++eJnH2@MP!ahe764aQ699-=cWO9*e)FrmRZtaPx7cQ+b!)d-F7lH zu5Tt{g_nY$DR4zSxV|^;MGu@2F8&_lWY6AO8sjUiBe=k=>o#}PV^orcxv#tM^bE`` z%oQTv6-e<)9yzaYPgd$(AT@adIx`*IqYmq?2+xesskJ0@8awHbrGde6nP=6AaZeRNKvax#JeOfW1fNbNo$?Ero;FKS_8s z5JEX%wQT|%WwgmP$6nmi%_!Ver20MMH>DeYoJj&-Y2sX2?QfPN%yrQ9qPhl3-B>n- z&5?|aXEQYDHk|$j@xpkjsK#9P*PKgp_tWHL7Uq&RW9k=u>h(htbk;Cf?4k23aFj$R z>o=d8SQ{qEP1nX;_~h#<(4cMm$%7T8tie!T0;oxwleD^ca0A$h^pU`3gHO23eS(wR zdgg-0K00~YqLam>JGi+^1gZN01TDPOLx@@i_(ix9Y!Dp%aUR&AxS^K2gaCw&!!J-z z;l)DnUT%@hvxbvII3aolvq<8$tk)`}YT>BVDrp0uErIlwRZ&y>D)*YqQ3etkBX)gH zTz29>`^l01emWpzpI1dTJzZ|n!2;Aloq=Q#wK8^0Ba3Pbm3Ak9$+JdwOU9uxypm1# z7N0v^PBnwd*8jJX^Zx~3YWyiX^V1F%4yA)uR>4&D@OZq%AL8I)p+bQRd1mt6HH(Ki zQ4U)P)zC_xipxW5uXnH_2zf({m@Zh_o!%c;WIF6qKTfyhx6k%?xrcc9^HYDm$#e{p zFXDmP41|6DY5Wv6(s{#VA!|iu9GluNY%m20@$#06cVn%Sk7Gm$7zVPk$#^S=RK^i( z{GxLOyr*0DSIlRa%n4}#o$)>UDX-JXU9iIC>Ag~?Z5*;sv5?64f}nVwi9M;%g% z$j@hwhJB4*X+7%iYtd35{-uSf{L>=I#gXnfg(JhSJ%i{(+;|;`pUn}Fg~Muv1;k1S z-jKsNYx4N+z8;@puQXQP7mQR~D0cE5{yJe|w0T96!e+XCjuH@rCG&G{EzQ(sc_gR% z@jUOoRT!QObP@r6S^A?bVIF_3%{Px1Xw6_b>O! z^*YviS4!5mIcdlPtsVJazZoDiLPb~`Oi*F3B#rx_c-z_MJM+A2&@%TxOx_m4%OjOm z#7C}>Jb|FEyEsU(Tsj+wCej@#CvO}Pjit%|aF|9Y@B(_k4F2xu7ofDAE;SA~Y-`x= z&1$PM`H0QU)Gcjict%H^U>z2o?D1^#52a;{ud=_VSZklms-9h5*7H$>ko2acnC+EQ zI^EWE`}t6YIS3_*@Sh!xmrbz1FTO6^F;<%iZ0L1I>2gJp=yRsr18P+1EM}^q=Xr@) zE}DxU#DQ`k;N3=J^kpjbO<0)Wh+&{G8#ElaITZDB3a%h9PhljJ5~U);$z&ksH-k~~ z!~;05u(Qz*10y98yHnn~Zuypr#1`)X#jOhz0WgiY|K zu&at8XUyIN&%A9}gmGWAj$s?uPS+x(#+)sRZRFYPSEL#ct!D2aN3}x}B?#x93b(-2 zIb-+Sv;j)}R>jBuL2t?}v$U*4@NlbDNRhdL)2!f6Int3?^WG!GS&$JKsJNQCDVJNA z5ILh_w)RSFW}h;x9gM*3Jv4WXuEsceP==rTC5($OhN~tPaj@9&aTz-499hS7crPbn z&K=1Ucy}cH+WAVb$o|+{R}U8MSJNvM6rJ<^l_zbx#{GCDMWBHcs@3B_f^%t4mv;#V z?7#ujrLiV&Puy!=y&;(|pb6vHsU^};j~G#CJufJ5RY3*LJ)ILoJ|M3JxO4g;&C6jWJExjTYB>rPGIg%r#*yr4U zxiHZ=X4IszzAlVb*}kuKg-q%&vdujvk5laKztLbRa+8`91_ZcxzJOW*a)6_Ak;Sb*ptxo-sz=-uZ~YC z*?ttbv3wS#W}Ib=(rzQ)QT$9QtOq=i+rvN8Y8$0AT~>TYeC(P(Qysu;+T9&5Ey9KU+PDt| zv~dT$60C!$$UVanWaB#k8&GN(X1-sU;j8WCBi9!``XPnwe%wM@4DqNwhL>{&u?h6U z(z=ok^Xd}_Pq^z{9Wqi?&->7;Zc2;BaNzdH1g<=y5~o+5%`RZha#=Q3q5iO!Vn$snPQ+aNp};BV3XH<&;GyCmu<2#349&8_UvVs-E%Py{UQ z%}F0K{W=`eNS4*!a%=|0y&CDTkBA;P0pP0Lfq{)^)8=h4|U;fKX{pwp03)j6|FN;;+H({2sFE6S-;${^*Bt9Docja3* zG1jy-l`G_V`&DBGIzyiGv-|v5WU{g;G-)Uoy3+H!3)X2}II8>=8$smi%W!t2Pjtqx zPPceF``qE|katD?h3)|XTo%rZrcEl19EEGG+0XO!bEdC5(MVa&H`@^KtA#^H8iKid zE|h319`0PPfDx80S{4l3G^r>aBrZ&Z8$#)+k*Z~VqagpaoD~((SZQ~BQY#h`S(=<> zS}w~@XIo^+u=A*I&qZnZ0O|VwMF3VEJ=y;TX+5cDUO7IgWIeIBef=xG*P?|yn$sVe z`g*7Q2AQ+So!FqWIlz6#m0364HVa;l2%a)*vt1Y>rn&cWAIl;|o;x28jclC!uA==Y zAasZ6t;soA*-}Ywe}lPz70`+&$E*-;44B-|%7i)nq-9O*;I~7J2R0}E;)HfD;>!Sx z5P%A4-!@z>8R}2@>Gcv@2nIWreaxGZ7|ov*v2d)W?Oi}PAiBHgk%LE|N3xIz z;uk}utp7@03CCoT)Wq3CgV*8%6)_^85`)ShWx|2paxuo-Bvqo-Ez-A<+$BK=$MpSq zlNhmdM7b={1woMJYcJE52+uS$gm9T9BC``*0VZ+vgf?s%%#lFd&6`{p=J{*?kIp=3 zReQOQ=8p1QkML)`-FH;nh79i;muKmv*Bz57RhPY^s%Aj-aimI5(o8~j2&8yKixToC z!-3imG5uDjke#)hj8t!fWTf^4_!B#y$9Qd}ItqUJekY8+_7rp9p*-I_yd@j(9nEjh z1;hBmZ3af$9kk-hR9WRr#m`^ay$x-L`e;v~kz5Kv2Cw79HJ!J)MBJskWqr4oFdG9V zAAjlXWoTe2)f?^~hfZ4i;v0+<_-tUrz-@>C8;xzjQ!GB*b%b_~2;cW`QTin?jZ89- zaIEqWh>`_3xAANzmEwVHvTuu41j38VjzJsikOl6?u}Pe*;7MCYPPT5^(bDo+uKGk` zuczlHm`8Sw$`Dr*PQT>iG<&EOQ?IuJEREmK#ef+th1<^T%Z_{fTQ{98jqOl)>FfR{ zO|<0?$V>b-FeAK;RB_h2F7uATL33w(t?M|rVT<`ES9n6#E2(|mGv<3mWvg@&b0c33 z_sM>jnl)uD5SK-FRy&!?yJ$7s?;sE+ywj5VJBjfJys^LcG|)n3Yue5!7}*6Po-v^? zJzwe(b7(;iFkV1Ip1kYPIqE&0c6y&_GL$dZhcHaRiep6^VazI#^r24cz#($6wriv6 z?z@kp|HBLR)6%Tx+hHU3a^aR1)*58OQRTu+nn!ax7aftWRGV0H&3F7)V>`{-aCx6y zGi4bvBy6v@mQU&)mJDGyP|PEuF4lWb6d9UoXcYtnYr-Vo{u=p!j{fpEGCL6un~Q9q z<@(U}nk#l2pQ0@uR&Ma%DiO_sP7T#NCf)exc1PdokPJ^t4CluoL^?9sOW?s;;eU=i zA-Q*y#~3}+FT^wVB=x8zws)J(54iYpP0S<=iRpnZ97r;VkE<%vW}PB}H0vi8d1k@& zv%Jv+L%mS1kIdLV-^Sr$Smo!1YO+58i*V}l|0?7W$8%8~+AkVAsm>nWS-$qRg?hza z%HrxZ8bf6iJRS6Iju9HMz$^aS+d?o61OF6RT+l%#Zqq8jxEcPq- zK4=5;HCkT#lPmQTpYKlYD;_N$qa~T?8bFYVa3-rRSej?>mW4kS7dcOzK&F%Z>TrPh z@LRJ^5ex&~J!-e&Tr0^}$Gm^KNpBurYwe8ITGf*cfI9<{!|C3_Q|AR3(+HdT2z$Jo z4_A*dn1S-ee7jGTLt8OIii^97DwGph#1s%^#h5L>$heB<*y<&xFF4Hake{&4~1W^6!$^V#k5-RiJ z?Xt;<4NX<_$k~@2pa2|HJ|0>_L5qUV;58&0=~ zgS4U;cjtNmJLq%@>V5rW{Ms>Njajb1CBchDeeU~a&Ajm@h^u%{MdwJ@u6$<4F@GQx z24aa8&RXuTv(YeZ8a{xyl!*F-2;vt^H1w`A#wE`d-z7wtVrp&9bT)$S)J;;^pHWD) z7R@?(KsciIsJdMOs?1?E6+JF~N>rAGy##uyF*qBz`vbJNNGYWeFobV<#&9f1^YkDT~TBr^03ztDK|Hn-sKnIP%4w8MzKyIZ*{6FuKVlihb+36Xtp_ zOTaV2wPT~rrNNTM4WVPW%sjvOTT2r|G~VowCYzeXi5{iwB;s&4N<%C(^R|O!k_|nT&d%d4;3JPu`O>spq)#ehw`2KypzmIn zgKWUE7bB-BNv~Jh?i`}jU~RfS?>TRxG0jY54Hpu|`z z8Z$Vq$5OBEQD^2~{~nO0VBn&3;CB8=V9CJe0gZ!1$PnYJ&9jPzQoEt<>FO(qF^}Ed z#{vZy`f&w-WUEr>7BD5(%dbgeZRMn-|zS{x|gMLlfL)9xaykWlWg z{~dP)Fp6F(?F(RBaN7{?FCjhpwyoh}`@vRUs|2E_&qlihTE*hd>KxctpBOx7nl{m_ z#-7*}NPW~sIhH3)HSVv8+Ve;-@BO~iQ?pSAzvhm!{_wr%3Z8|zT%JH0neVmBWhxS@ zsiMfG!O;xv*lGx7lKGud3ajTlv(+=dF+_?!ML!TdacnGoZJz@ZMU^m0l^gd-h7-8&(~C!r z$uCZ|NAGo)zzSlmL#~$2t}Karhu5Qcg>@4SH`=CFX}ztx6kQGe#TOGwVdWCY&1T&q zQj%{csK4v9*tT{Fta|(p}pFq&$`y*ZkncJ>_-{YG!^) zc`BGJc)^P|6^tjGrQ3hYlrFop$bNvY+;B|Q(9}L(9qdKkO&_~2l!SQv>bR?tPX>je zcV1WD%7xEQ&dg=<>^QusOKtF87s2YIfpM?#{q4!AHo>nsw$|a_prI<+O|hfphUJGQ z#%fX|t$ClSz+*>OTbFI@Q+b>8toC=Vm=VIeE#D$duGSO~lt$2$5vYliSr(0A&dCCe!fe8*sXu*+wpXBpOC*>-yT>i&B48{c$_S;ZGcK0DLWEO z8xN1AVPO`^#(he{Qk=FKIH@QugN`jAGp0m-rvZjQeD>}K0I3RFr!&6h{=G|(v|S== z%(E>fy+js4PCB!9UBkwB{_9IzoTn+X>JsW2c8#tJmKF%+H(;d^nvirsb=v~(8K>s3 z6Sx_*>+)ETfJQ^9{~Kv)2{J2Kg3$Wx*g+{X6`qX^sm}IsP|bJL*bPtFC?os5x9An$ z(j%+w61lq@MgU8>H(Wlh0n-RZ+i^y**MdqN|4+R8J;9+1YEy4WvfNtmSI4ALdGWU$ zlXqR_;Gle>GB#JC9NOXPxLg@oIdzqrWW+LM8u) zW2pyVuo-nOh3p$%0xI{P8L;n-URXPyz?;EOo+UVyT^Goc^-Lo^EvudmhUKx1!?oC0 zV^k0Az~b(@hwR|!9!8&oCpw{cV8=_f?U$UdN2tStFLjBwkOF5v-X%^8lI}W|RM{tj zNY+H(2#stGdnk!|*1pXX;kzxI`wh}WBd0f~pjJh*!oo?a3F44G6D+)SFiC(wAz!?< z5&0xrSy-FeCNbc36kFdkdlpWorcNCqm9bfM={SeYVO8%UVy->R)){Zqz5Eirc0*RI z7hPmarzJ`f%a6lwCn@hv%O@2bZiiIP&JId(fPHW_#wozW7ErojT~9+j)ppazsOHmp zlKhTI@WYip%x%LBc8HVkPD)}zhO4Mp3FY6CC3c0IeuI?$$fPQt zu(89_9Z}>u(Duj?7P;RHmh*z9>2)yXvt*^-)QA`<^sIXEtS+f0);&8rP;`rtb7}rQ z*>-@K7B_VRQ;h2@%BCsFk@=k77zHZQ_oo}qU%df-7vg7*<(Tov1T+|ZhLHQ`kK6ja@iPHB6es`arY-W8%%RY&hd$z!jNngmTs#dp&;q`_;aO6-~lZ71I4`b&E>saBZ5{f~^N-oj;?1tPHIz)*lAAQ&d%1M!JW^n#v#X zl|6t5_BQQhggyWcTsuGz`vb(6arD2x!2U;#n_<#aLIex_;1o3`byJA2zsv8PNB^`0 zxPjN%J90>LlSh`cx3hAxJd79IF>G8hd;O45vPyeveINX@IXcBTwu(5y*xOK22%P5~ zfwMnv-Fp$W{CvwV6VmsAWs4cSn0SqGAAM7pe3$5Lj+PHq;P>Uq2Y)#G^IcaV=KgTHTDxSFgV zDYyKhpCc=7x|-W_eP#}0>Vp)O+gg3bc6+Iuh4*S<5pr&g=_vZLq!|gPdk!&?Ix10V zd>~Q*QGD_r#iQ}BOm1$Y0{pnP$(U2to3P?hAJkLIOG;BIP9>ViNZ@wF)9x|Nf10X) zS(Hly6-wbeVKsV`ww7iusah373fY2#FkF3UGkM4wKKpZUl=!P%gi029limB8NRT23 z$6SGsKY}}5VY)skP2&iXl4AK!VbbVS_w@RUW|3Z8vI`h*Z88&^NlA8yY(7!lX@2K$ zW^|hO)|aU7nbqo2cE?0dPC6VbAs4mmR`VBHuK3e&DD#r}q=wtlNvt0|l1DlFukG^s zSOs$~lhRLBy~@>?(CTU#6||RJXR;s{0zxU?_2y}hN zqW7I_3I(}q&I$YA+3oxYHBk;h%_{pr*|H+50%vdq-y5zb`*eDx_T0Tk-J@t`78XGC zb&M7U_@l1seQIQNcDwyi7pUbJ7?>uy`ZxErc-i61G8s9udNin`qmytau%bA1f zDd|Rw0CR<|kgrz7Q8jnApX7I3kRZ^(`^ul_uF(Uj7LF>edna38y zCm-~GXnV_`xbk&xv~d_50>Rw^gy62hJwR|zqiHmay95Zq-GaNjySuvu4X(j8@0!WX zKKtzR-l|*Y*8M=$>h+!&0%fLX+*<2> z;qqU6FSOF@KZ(TLke}-E#`7=ff@^7;3M(#nFNlI9B~LADPFXK-WN?-nsGl(n_w*fOc7Yd*7)aJcAg!wa@Oc`1fif();`3y(Cay5>Z-CC5@7#Mqq+5@g<3N2*5zq zkS6+@0np^D6I%(f^dGV``R@OhoyG0L{~gCJoeo2!gZb8E7=c~ zAQ8H3_sFPh?XXCb^rJnn#Pfr~QkDu%K!=&?Zh3}_djbl)VK2X3rI*|E4{~Zu8-c$7 zIYX!^fFs5?%=>%jMH)3S#w(bjY}A=6PZ=zU&xi5*`r1EvaBC7N27RA9ntV*C{dtE_ zV82LY7-`DkC^B~S``@2F3I11f&Og`xpEOyg63;<@YqHGl0e*l;NSXNfMI;wcMQE^asFwIp{Fh zPs6eG2NfeOo@rBPIMXb40sCVX{FI?`;`M<(9>yAiIX#2@j7W~pdlaxN-%f%8_F(sx zCbM%M@={_Dwu=F|4sk*@1Aa~r(8_&!wo`mAT z?dF56(c?O%FQuFZ+Fd~O{n(B2*V%G_uvMulOKgFm@ev$yAn8z)@W4?OgP{0`act(U zSM3>Ll5rFS6sW32I8Te<{x{F)f82QgS9!PUZe3nNlMI{|!V(Mk%^%;3qYsO7wB-}w z^o^k)4vvSzVxNL5K>oORnd0vpx$`BpUFNpSL6!)n5IhV+`H2$_jYQyl56b?UyJZAp z^k7blR%n7Lb|4vkcekAtYpv_%sT? zKyXJeyL=3@4LjjNZ(wYuuQFCy+S}{IEp^=2wdx06JvBK2O3@cqRd$Ns)W9;4pwpkr zjT|+}lREKUj@T?*fK#4QlOMm%|KOFP>@0EPdmL!I4|9f|@?NdkwWHrOjz@01a|z3C z-1$SZZpaOKVEqPh^ElLE1%g4O>^J3Qp!WS-QNgZsSq!=|rGRSCC3A!t20<)NU|h?%1sYdGgfhc2(1N(t4tw3^1p;%l;_KP12`MO5sPY zZ$3|IJmgd~J6CBqd^h{F3w51R9j6>DEfGTT*&NH^Q& zo(l?$;XQh|SDr5`+8DXJ(&p5sEqzwJ0sDj{6Y;gmzr-(zuMj>!?aRxq1oBy#B=g2n z)YzVfx^%iI;$wupPH_s?0umhiXB;JSQxxX*_yLnLla@>U=EN)RpcbWh{6x5luqp8U zKs3bRZ9{OrNa4oDq^UxR#R@mloD&=g7a-zBC=8e`=qa8oK&Dm|O}J|oqg5H{7#?(& z3@;rCg1hGc@j9n)-7&R_;IHTi(J!`k8TSytou>DqkRldmAYo6IMkNJL66#Cv)wV9{ zI8vq)@?-ij@vD=C6dBv$4?^?wWS>FOxLwSZzBCXOU|B zO#LkvIydSa`bTj%i4N-Mze-?dEEdjG*cEyzuz2x#SLRh$VDUmCwso{fh11ft@}kJ- z;2Un673H!Me3PPgP)XHNPLM9mD7(97H2KYF7vkJB7GC>jF4rLi(ZYF9=|wD>vJH6I=R*IE?CVhY&DKy=Qnq%9%>~ma@DYnIJf42 zR&&HIJP0)I&6VoW#}jHQC&YES`f6?>?0@j?W0w_Edu?!K8x}e|3R*$IH>_N}4-2vt zFG-b-l|5DNZ58v<=ZL{&(3I@&$2~lEg2?a2dq*1sGOwZnP+t*JWbAO?}HS2`*IgwHa>LM6N^1D#N3sEEJ=MdnhX`Qd=G0+ z8XAxt!@rArv_w{)L(58l-jFGzE8Ij`>otZ^bJjY$!i5*EghJ1vdt*r*SSF1+TaczLI!IZMP!OAo_N{tgIw#&D4>qOVXBqoQ^wU zXEJ^I(>RO59edgop!k!wC>D~=bySN=(#+Q`JWd^Ps#=59%-OxX zF~!;uuq0hCxO`5t*VAtxTX9mekN((J%p?!xZ__NaG>V)s`ZD$2P5sogGlsHXWqG^g z3L_PK!jk*he=rT$ipVgP2g-JYjw#>@C&6g0t!FaRAx`rzgqoCXB^~eZbq-6*U9p&) zCPXc^rC)(B8TVUE-R6Zx;oVm=$?YBsoXiZvVjRa(*gDanqO<-+0zqHqRW2mQ+SjBM zHN*|h29v&hfb$>cXIfn%#A6%<0EZl&`_)%UHKZ52y>y9zx%rK{kgk_HqCQm#2+ ziIPz9xYST~?MTV+jTG(uAmzz>fy=FZ2KEnxMjw;{Do@-$z2N#7o)l@m0M<^Fazd0; zWG<{yW=VV=synUG_s+9+q^+&((X8xi7VYd2S z4?W3USOTXkYkMdc$j;lOOF&LeTvUIqBz7sI=q4myhQG-!H@rM-|E<@2|Ce4<_bKAO zqICX=f9hp&WzRe*cqhWLgmJOr+h--ZeA1+B7LeG%-MI7~^ZqEa#Y6+|2}QJ* zc)-%7g7f=saHI3Krf#r2T5Ty(4=7?$JSdJWX^;cTlpBfN1(!a1o*JdKSZJAO;O;z2 zhc<6|;@#9~wu(3pS9W4_DxR;lnk1XV?Uj*+YCJM#vzMDinkM=SmnEb`Bb z|5rJSZuFPHJON0+_Zlz%$yr?8OP@G=o_|sSau(MB*h(BpkoBd2s6ffL^b)T3FcuTd z7A%w*ap01Kx^#0W;DkG!!`F^Ect1^pcud-iCoaN984C{HIML1wB}fwX51TD+2uz0r z`(Q$!o0!&5KmkA2)DR_M=Y-18;Q4ZfJprHhv_9x`dDP7jM+*fD{4TdMHc1YL8$4^Z zCHg|!^=ivv>m(Si*Iex?ES~pEBqys$kc&X4nd6PtypF_J6lnrXdPMX{-l_~u0&EwI zgHU!CMw7F8_J}fzEXYN|iF8q@!AH>0q<7d%Ue@-5^opA456|TMB_l*XS$25LsK<~u z8N&TIBp@sl96gxuennw<@gfbY-pVi>c^SAq_3t|7G|aUZ7&xMz_@5f_jT#n~x=C~q z>iDJ$p2fWxw-Z$6*=)Ldpo|n-y#6(bmm|-%A+pCeEc!~$VBgE50x}dDplU--&=Jt< z|M?U3zC8zWS;lS{W1R8W18x96{z_yLvxL?cK;gsbUc zNvQzgN`30o$mKO%M?X2FWO{s`Y@D1@v?CB00plr3lPi*?s@H`J*KTL)p7`BCSFKrMw!){44rsY?73>v6 zlN}(@x5fEe?~ku$`kH%7Ena2#cmO9Z&NoV>d3G;ifpccbh+K5A>Th2YUdX%^)T$qx z^ZpRznuvW@eW5EaQfyKcA1D;&;`CX-|MtbA2|@phIx*h?Ua4b}6+Mq(YbUjDOgWr2 zN)ufYCsSPF<4vcFjxCMfHz#hek&O8hTr5}LHrw-nR^-Ie#C7x6@%y|)`*XBJIWmzt zp7twuGNiGd@HvLZ-#N#m{sM9Hzel?FT8MP!r#@Bd$z9Z9$;+4L!Ri;d5xc&gRlTws zuIc7&N%$7>^c`Ga1Ew;s; z98~cu+6|4Fy~`^SQRgbw);27XFB<#e8%xbvKGJY~mqcIWE%(~}pz0x?JhlG|#0B}F zubc7M;Y-dbg8FB}#Oq(#13f_QBKsnhbCPYC&`BtPxdGTF37GRro#>8n>kunj$7je zMT^Bte*S>Y7q?iMu7F*Ks1azM6blEinED`LaJ*I(u=@7NmiYk-(~)5B3)H zrjHYjNp;MfG3Xz5sT_gA^9=78OR4m6HA-Qd>LjtH+E_<)qBt?Ah_=3A;pKK+~*8pn}&+Dv%YPP9z2 ze7^7#O*Z&Cogi)K8Bw17Xl=i?3}VDj+u=elo%}i2XVrXl2bn&9^MHBeTYWWqi``CT z&PxdUPZ3V13y~;G=J4_qMQPL9@zzS3K%@%k&^TtvkG%>oAMeW$lIh)F5h)qt{ z=s~LMf-?tM@{^`eZkGW82=}{Syp!h>|B#+lS6yC5LR~zkuP|fKJOyc#3=T|*#|ODc zQFIw&KGWA!Zgr&3tf(lqi?`FjXT9^vdn@P{$PuV#Qhw}(9vK<=c14Fj5|hw3x><>o z)tN24$|iZ4uYh9B}+D^vK51CaA<)>{s_qgV( zzAkuJ4-rtk_@@KvarZ=SBUxH&5bmP?CU;bTHvSyJbuM>Co*v1}=l+ z^|UtSD2qK=TA>NT3S*uyJkE{U<7S7AR*3T#*;ygUWAGV;`O$uW=JD>kF7Yi6cu`8+54K2q*0-dkr0R&UI;}HIr&$cZ#P@q z56g<7eKh#$_1{VF`YqP++F(A{K^}3o@T$T&%ngsA{Iuis7&hIQT+s7+JAc!TW%}OstK`7Q_$S5j$_U9nXz&1sG?|j`~AUw?{^{@GJ<9`SH z1lXkS&;1=tPzVGQ{B~vXdUTpR%ep3v%#Qq35TW*aD|Vy~S zfLoY$tESLZ>Iv8yN+d%Yitap^t(n1}w@5A4sir&PLz$DF zw4!@)SQfHVnqb-LH7N`Rry##bglxnF$KEXtbnPh?k^JPOoZyWwwWE;!p+q@2UHKu9VMe$hHkiNhu9dA(q5+2#ds{=@ zTSJeW-U89Y&%G?-ea^=hYCqWIG#s9a17&?RW;;3we3u68(K<|G26>4P== zrIJ75K;e85bUuT_eTdiwqA{K04?U>@jY+cfO~0>Az2qI+dZ;2M+G`AZsXlv@ndnL| z!!>%DHi#&bKzMy%RhI4)Zz)w;V>xG7>Z5Yu?dI8 zEc_ogerLMPFdPvT!neHB>#tB{6!MGu@mD>K!Ut7Vl~=C}UcF8D`c>{d4WP^ZkOR<> zU!%YN_Npb8i9CLAyuM^CtepvImB#OMn&7;}TX@6s3q)#uC8)AC;~aF7Au3SV3p@=3 zSF4_mq1^S*r3vxdXpzX5o>$Ao&wcyp9~}(}FfEpp)^a?Io+UT*neI)=&qL=Q#N6p` z7aT5ez$uX3O*IQ01K@2*fO&m_75)p9Lw<*_uMaLnQ~Gi=tz~De=ruTnOr$_Q(B_JL z#`N8*n*8BKwfGZZlZT%(@==h_FHk>s`-9(FP=Uy0@?~0t-9amUOQ2@;tl4qK2xKek z?o|CWU2XkIw|8Z8avvn`N))^Jma77#D&z?7)*}Zv9MAS+^mNodm~fTZ;SRuh%&J>H z=uVg8Y~Ayu+piys_*sCWChh6XhuhCbOWUu5^Pe03{7r@hPezVuiR=MyGYoc)F;*|V z#5|r&-Ggp((ifMdBS(IL2+{JXhMs$-Yfr51zjcZX=$GHNAGX)qvoyxJ zQPx?9E$=R2`kWik&&ocS0tGY?fKHKV*1KmiJSEcO4RAOyE+mlpvfRrJ8SFn;GfbK0 zVCnIq);lPHqI~CiEixk#=LpmzmGhK=b)l9o0=4Vl>XmD}XKCV0Sz1 zqdixLF3ZnZPk%azo*t4D+@mXQyudRZJY3s?NfjwD`S4AuWfq*$JhN;0oV6#?dpc+4 z_)z{8K{!Q6LBQ!404``;-mu(no0ppPleMN_$pdRSj`#Qu#sg%2XWK>EWO4DzLj3~b zc%`jo^pdkindplKzLGxk;OJ$UyAK2|d9I;a+b)&j`PD`UW#�cS~C4S2j}d;x{m5 z&~IIHPh&AR%x}sR7USe)qY2<2hh}>YxIgf|Y|suiEdv`iM2~uAIj&4^F>xH$UoHjx zkhG8rB?~KM~mp7!PhJ9&UYpGY#m5gqWr-m2c;hb?QqVtfEr~Za5dd%1PwC1 zq&ZJ6dr??i0?w)oTmMS&WwSUt{IlJXFr=hB&#eT5WwKj^{P_KaSv+&Lp|a_^mdhjN zK9^K_j!pX#YViSIl9uKl8;w-Eyj-6VvEjm&{4Y?ym6J~#P{qR@*ZFYH1U5Q0lCrmD zT2@nagLNCY!r~DJf?#zEMMR<}eTn1ij1-a6)i9!xJ|B`w34JK;IklOX;!ej8%Rklp z`ExO_nEr&rxNf#jHn2DT!r6E}SXBtAX0HTx5(x%ufmn(Wj$5|Z7*HmLH7ez^o z(w@XK*-s9D+(*VRc8<5kKP%-mJ_@oXxb<`ec^2pEs5QlH4#1V=Nop-Bd5%M13WDv? zA2)vLbMS|w+c@Vt>XtZd^3=)t2ztP7x0U}`40BKG3qdLQa%11%wPkSHX>E8FaC?l= zmNz%`LI1F)Kb2CLS_&;@gJlO{Y_P9r7YjWU5^B!^+TSkNy;O+jb%bjt_xis>D_cpF^EohlER8BaoIRI3pDT8iT%$NFj2lY`RKg zlSmJ;3<(O>2}*5poiwS%UNU^DT#oG44OK=k-uBdk4$PK?aZO83N=4nNZjEopE9sJn ze_DMv$goF}mOhDqf$3q{LGs$94HigP>qb*4a8!wknw8Dvf0wIuX88XE57wqJeWO2OM88ZqZ1?510SoR@eo1+T!{>2Y$}m{Em( z)-C$wqs1N;x;C@j+40iyaY%Y6*k4}u4Xf9WC8TJWO--aL_e?ZcZ54ZNEVPHZ8s&uK zlcJLM9esNf6bRtBxFpRB@2vjFcQ4yDUzT2(zmu^HtbvdWZKq#-U$Kf&^a-@FZMMIz z@V%=L3)X+5w?8J}Z^CwFUcnUGelRdpLCnK>CNFb?*--S&tC6I|fDCON4!irx{Uj>w zC@}emeWQR42R~7;#3mqUU%mt2;6#Z)bsicK%qI5Xua_1pGF)^& zIGLo#y~A~y(3n#v)!lKH-uo%1Sd}8>%(#b!3cf`XXg$^}WWap|Y?fK(pJF4(*JB!G zl_b*@9+iLSkZX$kU=-ORzSHphj~lL!44Z8YVqPLgb}bG#OC4wnVGTgV^x6y z2q4`-QdW|dA(xgmD(Wf$j%Z%Z^s`V)SUKD6TMGTs*lbc0%lTOv#wtR0VrvO}Y>IH? zcV?!xH4|tH6DU{?NMn?LylN-CwvyHI)~-1JnW8mN81ExXyhKXw!enr`sD^rEXF(E^ zfl|~|5|0X@9HAB`V)%(daIZ*q==-v)=6u${;2RmL{VNQ3EL~k9sdj|+xpT&W9=vU? zx+<}y>mbgkp8P{D5Wj9NI-SN1*a zqdU>TFo@X>A;$v`koqSllG~{+VMJxU3)B%*tDf#eRGF3zAjJi0j928q&#%*0n&b{m z^*J@w#0iLDN{L11xADt!#$j&Y1FS(EL>X+R*}Y6hF%0`+J3@BKj`_Zr-7a?VsZ+=k z0r`9E&Y};ot=zYmer)~mClXfK440Uw55M2r`?$_~TT_05jj^wnIWw#@T4}C^6LJRg z(T({G_>&+&(VM6o!B4nPai4LCUXYQUgiVJGI=u!y4!Sy7jx$|vO*Xc3%gTnUstkP^ zR<7#&=;h0l^`y=U@hptUcLYDZzMZ^c5BEVgVEi8AYaMV6R^5%3WdWnmZ=u>Ad?zIs zvFj_XG zh%q$u5kGzQ8;O3{;E?`={LG`YDw6BMH)*bTQ2uCzJ6(^Rb|0emy*J-ZB#w2^$U8@G^_;Sb z2m34yuT*Np>v76(5Iojnp|N{9p;Z4PEE)9V573dGV9`a$9V zMoMl#8cl}C;-~8`kXo8GOD`0`+~?;$(g}O{Wm-bYbP;EizMl!{iIw++ZnM|6KRBXM znpc{l5LLf!TqI$_>HtVkreUkC5krH1Q)-{Z3bCDKhV5c927j6C9GiGLv=-~PCAlDb zHAw=Ju=3aJF<>7r(vF2Qf|3&XtoHT^>y?%zco|4>kTDv;i>~Z)?yuQ&kN3*uneAwN zB6iN(eJD2Jtib48vJtjZfx0#Gdn)oFWNpH;@_tnQ++XJ&ySN^xgM+X2G=^p35JF-Q zpy>3inV1iuw?7NyR{7y2()aAhf)AoAt6VdM5)h?fg{%+gwzHM;97<|R;yPM= z4M-Ii4fTro{`p@i4{w!PHd91o=B>lZ!q(=(+P~IEiMHj>DA-uqe#b|rS|Ji1jQUFc z1@H@7!fK1iPtQ)TJng?ryh6wsCWIAmcDQgWd#Pw=CbqEa9*QK;9?7t9x3K8&lvRap z=DAcK5sFn^_4AYE@HaV6v*cvV7IqM{MXIP?4$yJkiF_>K?zdWdj|}=a-C}Xt`Nj;d z%Jc60ozs{kS;UfeC;sUw#yuOyR#%2_y+bFqG3fl*8~04ID9Ge8Pjw0|J$%m31ch0h zSXyQO5;YY}vP4)amJeC#@D|y(S^j~$$j}AC)yabnf^RIROP*WpkqqU4XaN3R%|n&6 z6x*PB!{Y$3K;{R>mm%!hjUA%w8~Fnfc;bhMcv?bqLl(qZs}(f+r{pj8=CO2zDPfT( zFKT~BY`p&)9+0MUIl{GwrEMO3Ep3wU>J^aVp`a@JT2(D`(!W*Hx&DhUp>HEIpwpqvZ!8Vn3X$Zv-yWf- zKl-?dj;YAFvJ16=G*f(dn|(w|;Chi=Dg)&%g;bn%bG})Y7I-SP?;qK0xHL$O;e$|^ zVMc)tc(yxA=TvjTx#{B>f2MKFSu@QS|9QvabcR&Lnr$9C~!hYpM>xh6W?Oa>V}Hwu5Zg3A0zAJ#n^K;{;PYqC2V zmOYju0~cLbU;bXH)D9Qv$-Ur5401wIXae$34Mv_1-D-Js03TYT?+804D&4Zx$Us%S z;B1(J4KXp;C3Z?b`L0RRwt zd>Z_9FIhX0i|{pGTUxH)(&UvAYP5Fn_4s+jO^*-4B!(Kp<7V;8ePX^h-~8{{!PQ6q z<5uXOhNTi_?^2?TNIGYZ=YrR+0m^hu9LWc-0Z%1Dm&^?0xha>F7Q!CRU{`N0np0qW zW#uuCulh6w`+90zejsH=Ghv73V8D3=ykVE~+hmVu#=AqVJH0>BCYvXE2Vskjg0 z%>~GAF{sNCMRm6MCxz#q0?rCmg$A(LOIOJf$Wju@{LlH*A+xH7p)p+Yz zn>UP_)V>6#e+O9R<+D}1=-*YQ=oo0BhrfXa$6U5W2&_vPut_wz& zBi5NTGvKx!qf^š|oW3Vfjx`nN1a=f8kv#D!3F0IlU-Nr|m=^J3VjWaJWzrUKb z;iD|aL5`1gzI1Y5){iKwPl6KY){I}9C`U;Q?km=Y76AeV3J}fP($QdfQt_QS13kNu z@2iHd0dOVNPymU_H!RB0sIup{@;F8WZ!o>o(`XO+3EVwb{V<>NWDiI?lb+lTO8Tne z>+0fDPh4nXw!0dCfga788py}N-l^u#F{*D1*k9K64AwSWHO>hpu;nE1jl}@kw8XOH zB@a=phIY-PvUqjy@@ue;5e_FgZM{4Mq%!;@ycj1}&UAK#Mg{$Lqwdt}E_HRglSOX>L>9Sk>(8IJvpC^8 zg{*s<$?A~dWY-VyT3)x;yz&Ksv2XZvoF%Kq^dxx|k5@g7&+h9VAg`N>#1N8H?&7^H_ph0O+)*3Jo6865YFW#3Uz zS9{~tPv4umycW>gZCz?S6-Wa|IQyu2p$bo5S1Fy1Mp03DkraPWH}=yy>eCln9Np)v zE6Y!)7ZoR6OMs)b8Nu`$>)*%Q+HQYc?&|rirorklHJ*GPEu?4w{IT-NZ;&$lHPVXf zXZo_?b65njQ?UmKfrTvmCqVb{$*``{+vTa(b?3o*YVp}#-m!fj(9H1`edBxRt={v* zyq@_3G~@Evj$7pY+UaUP9ITxf7{;a+cG_9`Vhu z>Me~0S#o%$6S{S;6IYqL)Wi-*3moATDNJb*%KwvK{>Qv-sV_TR#vKl=*{+$s{#aRl zNBWx+MFTS}OT-RYEEJpUb2-6|)Wol#1C3A`L+p0MYci~!vTk)N>wvLkkQk1Wf|GVz zc2hv;@|XK6xMY2BN)0FHL+fL+BIvXH1^W?^cD?eb?SmYkEz>lzo_ooeNQ8SL60sTD z7>;{D&X!5zj*mbDcd5TSvkZfQgY1V1&wRS@8tpP*?yI*Q{&55#Iuog>#ZmQ>8e$8c z35zl|6cD#2*oITVpk>VEOVKYA=y)m<$xN3BbN3`}pH`dA_fD4EZEbV=zAQX} z$tg!6C<%VL%7tS!S^|~RxGFbNlhmvXC4O@?*xx}Kup{MVs`|AZ^|I0U0UEzKj%j;k!b;eeGGrw! zk>vj3s|~eP4jXtU5HV;d%+Pps*-SU+B}`6z|Cu~<x&55qP8TR#Oe79*VWuHdc+7fx>7m&OSm1)N`X#P{Q@-C)RJJ>)_K7D#m zW4^=@BYLGupDjoFLp9TV%{9NM#hh%kx=IM$tKsS1-q~0=cy!A*v&+m*5!kO0x}2@q zwz|^Q_Ayzij@(mqt#FOd@K2^YN|~AQH|vN@(quKV)ruy6fSKQAUvojF_)z<FGEtaQ*`M^OJhSWX`aeB8g8In;0uu zD;e39lYWMku3fHy+r%I0+jniO*WO>%I0(XzgwQKr+T1`_t>9@rHNj=%O2dT=g>+Sg zz_DCT1;9-PXGlt2k;z5o-`aa-tpGAbN>_;P|$Me+&}b8G#YZopUqTW=QU zy-{&#>i?xKi2hlGaQYV6xI1sH9>iQBI!w^zCNXXnDaeD%=4b{_D%Gi-?CoQkg zJb1LPtvuXPqt9doh>wsizJ2g+mFFS9_TtjI;_a4NJlC)fr&mlasrB0>w1@h*heRaB zD&>EE%$U?BA~jXp=?P`zUhICWr^?@Ec{P9dMs-gcj|cWfgRDztsb4moRg+t!kH_%F ztLD@xf7$Sf+_rwZ*}F_+^P%8s`C~QV6{kkmbn6&WI$fNPch9^Q+}#TJsTklzqCv>M z^5Lh}A#v{eg{QSAv|;u%H`LorBd%OEF(nA&lM_|1%9_F#!9-K$vM>&uuziSWqA(hr zglN@V`BJjjT})o3N|lk13c4&sJhfFQxJ8=>_rtS<0!OAkev}>3PgpO_=Md8TTd9$> z`R&OOcBe}1;p`u@TpTw=q;?HOLU-1ecQ|{~^*wmYyBj1;-E-TI(&6*}Bjmo?>b2Ehi@4qFn3Mc9wN)a%5L9_&k7N<|6GfvW!z)d+Rt$*LKoR zBs=y`@k0zai9T|X`>iOV$dj0XM6!z}Af{8Yw2UvR-S#c|1bQkOeS3OfTA=g+If3`f zMz8JQNs-Ty!;Y;2zCxRFFKg!YuNrDvQ}mC0O&&hTI6NYqYqetZJFLi6>4{r39J|gh zJdG_q(N;KE9(Tso22T|9Rp_98*Ug4oZO{-T+_+Q!dT)vc{wBr!isDO5cfNDF!!rc} z*BIYGlJ#Qt*ITGDmmxpJecd>j_16x6yRA+7YG`@<>N~UJpWc89Td^slz$G*uZcU(BsP(N4E>N_IFS+ z(!JV~(j3ksu#VS0TRF5p`r4yJ1>i}V!!<=>t{k5;?1&!}`hxEQ0UIE5s-amsx`~N9n@+d#hO{)O@+sTT~gYv943*CPna~*hIPn8Nu>+-xK}s*YeZm;=D560^36}@=0n4@8E|bl@&&njag~&b0t2lRP&-{ z(jL|$h*#8ZqF%^z3yD>XZud1@alO)KuOruj2#!x|KAWXO8xit=?>W|QY+w6i0HF>` zkC$yg2dCu2AW7wB_=pKXGEnCIuL>gEFZ2N`PnPrf?KOb1c^0Aj753(jc=>S zFK4o|6(hdo(P^nQvT~v$Q-%|u(9sYgjtM)%PJpQ|E z;kOR-x8XS^NgtuKqO-EIbmG95fvN9{kgwu^aGkN>eKg^pN^5+7lYrM$*C-6YU$sIR%u$Gf)x_LtuEq>CZv zyz^wf=b99~)}XNAFM0$-P4B+nv#$q-E4@l(D(>`jyWgK}5~``jI8Is8Q|jYQb(zhV z9QNKyy$ZcZ0CEebf#w5!&H3>{eZ%54wX=dNqCWhX*07oMA6SjHk5*ol=hudhIO&hc z9#Xz>mq!MNH$bpENgBBMNGL4f6UV6w3^MP}1EfRat72um!0_dQ#>6pT!^4+El zwLsogFJ5`hAb0TP%rm?&Vz3vU*Kr z1}Ap~g#@~s{RSI}$a_%}+yuhP3Ep6u=&)3|KBPaVA2IM%f#+ZahzPv(o1k}t=$7yk zv?tO!)|q2@#mrz7;$&j@`Yt_`JA{uVb=q1jSWI@2%5|_K_jTtQ*pLB8A`pocVcYQZ z2K~i-8fsH7*HhYtz7&b5g&kl^H5j~PN&NhyvxiG4X47%RW5{YCA6i_HL;nE(yIb@e`bCPj55#(wY z--fmKfe)mNH={Cy%y3P?oGWF^(q=R#;-0IjaL>d7rzO>WI(V0iZBzA79=+?z7Wpq0 zu|XzUD|rBEsq6EUV)qnyFZSoB7# zuyKm-9Q+{vJK$60ui^yKMd}LagaN-4tl^QtNo{7!Z8#J}PCLrqE?Q-Zhp`sw%_rP( zhJY|S8636R3}jdwwTb^lFvx)|O#;V!TNO`!?RDz|lWmRkl>M1)`u%y4KZ|jAQ%r?f zsI7F~aXy(PwCLir_!mgea7IrC6Pzqc(I80XEuajSb?jzlJXEGn9K=rt-ht0El16)= z(;6UA92+F((iCCdoYDia91F^=yWPyMReiTGS=bP$OZ5%9aiNJ?>)y)Z7*$<|`>I~< zM~ymfJ|+AvdY9i;*K30glA6Qsj4ZS2*i#K>Bd#3lY%%g-^@3;uI$u{ZoH;5;@5ob zJzMvq1!(eZ5@oT36@BP5$hcoR$H2j4K<~&PTv+9uu)JmLj~$><;(HfE-+~MZ`!*I3 zs)b%74RD zk#l8dmg!{Y^Z0uC&)cU4J9K5IJWG2Snd8Ob`)+Urfj#P~spd!Y&(U2|0zY-UXUbe1 zSKV54S&xS@YEN7t^1iDAdP3E#!l+TKFM_ftkdgE;hGaGQMlb;F-!@)glc+EQ`zxRu zsN((V7!ggLCF9i&dpC&8RRc#Y%KSgwxBqeDxBFJB|9is&VL+Ia+NTtifh+PFta)Kl zVvmQiL9;85ybV>B1!l@o5DvTz8%Gfv|1_D!ey^jF88Msn!3$kKCUi7t8ex^xI+fE+ zbd$b&L2X6=4T?VUS=fy#AOl1T1yr!He}e6=XddzzgP-y(OHekyIsP+>%2!8>WV1)4Ufb0_QEEI54E+U@_DTJg~f z#qLl{oHEOVR0zet+|TH|Cm?L{u$(c(zU=#%!Ex`gyKH*oLmd630(B%qR{>ImKlny4 z&;`TM{!IR}BLg3;sBd%myo?Rs%G0;Qk-Y}=>7q-Pnrn4HzXm$C7)M=@7@X^ z3G|e*z+`jar?ePC7`xmicV=q!94X9b@!_56x_AzO9u6B@V9@CzZQQa%P;)fEFMyYS0?;v{)-7%shp-DD|`ZvCAB7x<&7^qD{OE4SL=qA8i z7MQRguEWQ|&!T*o)`*vsR04U*DtC0_V5y$1EWOmaKC-k=?OeBEo#t59$HOfBv2!2h zgJgvRLj%`vGyRi75~gTRW=sNG3CKrC9>@j5-76ZNhXLxzKbk6ipXkbO`@Co2W3O5z z)`?{X`tb#^WYH|0&lqa24t%iH{T~3cIP-P!j z;~4j%kBuj9S%^Uv=)9WhrA48Mj`t~W6f`qw3l#D_xqVXb+xfd;IUQ;0lGTY}3+`V5IlO zt#t%sC@dy_ZM}hyfo#1wq%RhYDr97p#s42A@9BR_-jn}~&HC%K==OoyG-wAs9|h?| zPkGMf%gSBWlqkjuat>VEV?$MSa1HaIBrH z7H`#z^I?TY&Gf}9vv{*_*{x%afgPO7OV)3XTbjr&-^dk7^n&VhiCq;srnDmOmfyC%Zfx(^wP12k+NmnsZ)8*3i`@-rSp~r zt{jzJ{Cr6QJvXBVH~)r_@Gc20n?%u>`K%AkC1j8D%FQ~MbyE~{G1Sa7(pIROIC^P7 zjz&>AMz16t-eL)N`$jgi_Xwd2g(!@ZQIF7+PY!3Mzi6-rgnZCV&z9Jcf(!=;%cUHL z{pf3j6H}fk)u@`L1_=VS(j^wtf=E@(Ds2Q1hDY`=svs{eASB#3os)BsrpQ zk3#|syZR0+5zIFHy$b*|*_u)spcpt5%RN`C_yv`Jq9xWTK7V}@DRqA&;`hVPb z4{lmKGSmW=RUPll|HIo`M#Z(a+n$Alg5aSPxFons3U_xWKnQ`tC3w)_ z?oM!bcXzi!(rfQ?&->wL|*pO=cPzy2i|Tu{~7RXDcMFbV2Dt?~T$*iC)wIk#)I$PZ(Vv#iANn zv`JQaR0Iji*qh#j@b^UDN(AyIV zt48ff`cD23`mGIQ>>{b3&9f7t78Iw>INno-6*EmXU+Ud?V{klGu#Xa&>MItox%jEP ztsquZDRieb6N^hFK64i1!t)1DJx?91Vr|QIOSpfjbr<`*+}x`bq+qDt((DPT83D6MX!nVB`b8oF>@6=JkM>7OnV3st_U_Vh=g-Wa##p4=*jUh6=l|%DW^;Wp^tB zh?uD_y@VNBrk_ivGotmjc#_KN8*+!9mI)G>ONks@oh~#7AqevZrU$&gXJIB}U#Y9- zNSm?a5Np`eN!lk3%L9JBae1iL^id3fHY8mK63v7}c=@WnJS&V8W{4E*=rd-hr_s0W zB1AXIj*Ii1z-?SATq@d~YQd1kQ>#l9_X_{NzMeGtx#X+<{zY!iGQeBxK)=3q%!DGdPDx6wA2)7 z&xhuOqRHVsWc_&ZL;kq&`ivev3N$Px?k8xO=mMYNZBgj84nE$@$|N*(S;PScdx;># z_6^4yY&JpXZ7nSyFImad28|tK6b@r1S8Ea7U~{hu(zn1|i*^=8qjV!eGABF?;JYu{ z&XaYliioO3S-dYxBXlw1?m!~%1QPegCm0>x-dG6vlm9L-TVM03`9|m-;p@AuZVjeq;)40D#)t$K6du{PN zuiQMu296m9K(gx>@{oLW33$#UqSteyk!G*Dj(LNIM*r-lZK>&doW!mK+`gDlC2C>O!>k*#f|!>`RY2cfX)&RZ6U*EnsMlnh9^a_QY%=ZxIj6=uIMVLD8kZw16XF-o zdD6#?Zo)oFWoJBh)mSGN@~snQF5?)Z{0ZQZ?|H3Kn16Z)O`0GP9Osmw5d!}Yh~xmZ z>lLt+>NcQ)G<#s%Bcp}QK8)+%AqP;qqK`h;@0iR+-hK}CEc2ZZp&7DmljJ>9d%wfR z{)Hz|f9NcDp4G%aJNJYla0R(3OyjUzb8IL1g@@F%uJw0y!Zv#>_isZH6rp})F;|dK zuhd?)gPAi~7$H009*o{NH()Z9kmiSZX`-hZWhZOh>)FJM7_NBTy}KTiF5pC}=~dZk zF8dXkJLQzR>f=0Xgh{E{gCel+h~Vu#(f`BL6qk}xAilys)A#{}=VKA${ZH&~<@K#8 zUL9EW)HO?^-;RnLyu`l-icr&CGN?DrWO^QblGaJXhLid&02={%cG8r6>X>)p(IPFuk*A%z?;)i^Bgp>G z?GYF(Y25zLnrc;!V*~Dyp-t2rvTt~kgf*UcS3yp7qe`&AB}{+N8ld}*QR)gIf_^$| zkjOE1apF&uwpFR)MxaC$no%IvV8Vv87x(kCWbdT9!D0fv)A?ji%JN;hnEdn7!Ae9C z6bGCA{w1DJE##n<47>i2EZOwLCD)2+=NvT>nLCb-B3`B>o6Rt%thKTkX*Sdv`K zic@tdD6Pd+Z1zJ~aJwIdC{?;Z7=^-(%XaeBKpOx@i99gzsL5Lg0?Ig1vl%H}`7yZf zHEigA8|dZ_NhqBL;}=XvC+c{5ty;;4iw`c%z*cT=Gj87=yO`d#QxM!94SMVB{(9aP z(TXrA-`M7jpfd`(`+1FS?JJ%%+fssqH7kZ{Qcip5H_A|qCFq~r)6UN^B(H%{ueq~) z^DPAii}3o~)3nqDLb}xBbqGUGuB?kLsl{E>RfW5(1P8S4qD9E(Z|zXQ0zs;-l7h;W zG8;6rL;J-8Z%3$cGf7?mf8FurHXuHlrNS%O-byaS-`vQf-i=K6&VMM$x>FwX3Pj zi(?!c55TRj<@vx;0RzolQ2Wr~g|fff{EA0_rivgynx5NCL-T2L&{s(G2o$@?$goo9 z+ly2!Cy#>>ftSG(`5s4@BZLq4_wmk#MzU7vv9{M8`Keg%zVk<5MQ-a7jWFtzE^-%R z^QVyy~Yw`%vh!18Q8feq`Wqd zhic+gB6(JGTDu>8D>^_%>Jje}{sTe)l3c@DTYQWTgh=a8yIPJ|S(Z5M1;o8Yb@QKj zS2cMEeF_G@kefJ=>Ccf19bB-#}QMt*tiV{2pc3lJKEvRpO2;U=f> zg<*dSWTg(rWx@jEEyGVfwGE>c5l-K40fAbHT}SOslfVSsggII1ig?F zw*}^EW($G2&QH*~kCTP?gwsc~k%goft6SPN8$2A6@}S`2GwJ4 zWWN8pbh5mTNusejS^S!JBs3BMheX(IEn=F#W!ZAbw$F*s6Fa~i zi926(BYk>DbE72Oq5m^<Th8cR41bygJMrbRI!icHY>VX9qp^ zi*+Z3(xHL#^9WaU_|nA14r#X8Mg99N4f(jk56vy(ZCQXgUG^`VMD#-%o4TW6dn{8XcEp1eJ`U&egL?lH5rQ&@b;m>*m*b>m;7&kUALFj~E1Hbf|?9Rq<7;8Fn9L`QgQ z(68bTNc~mhx3fnNELMaY&^}ATsq3;!hP7qUlkGpnq24D=5$_J~;Li{sC@szh;qnoP zpOzXU7amB``nxa`>XTPV)%MMj)g=VOfuFj8K~|QYUWQ^mYDcgd0r9Vb^0|4z!%kUG zrT;=cI1^6m`vZz3zuyl}f+s(50rq9H=U+wtN?G||BvK~Z+;N4i)_UiQmkVE3=|7rw zU{oOL@mKap(n-a4!&~g#*`!jwmj)qW7**L(Ux?M5;YB^FusM$Xwzl*tO&zL!_nuu+ zO|lM;F0f%}B-o0K969E*)+&5~r4am}YV2@FlMx@HVkW-)u@9O#-@X5YIo(j^4Z=7+ zXLO+Lmyrp_un!`7Du^43ECgo0BC`Kq79Cb5Ti-ngN9_jcpKGquha?GQyhRr#Yms48 zccn!|(3D^7_j%B62U0nNndTTCAo-2*^IMZkEt-?BeN)2RBkz_JY!9xmON6T>cL)h; zI!duXq*DBf8SyUdec-Sojf^Xnz$Lo+=_Z#isB|L02&V7X;+Ayvt;hx%;t%du7#JD) zV!iqF20Fk{CdF8E?e=k_2M0+q*RdFWxNp*!;#M8X2`0qM5xC9c4!G3u@xvT-T5NYm z#_xR~WH+1M*=btoLT9NxlD$Ge#2z|V@FYGrtO{&kWKup8R&>#@c~#Gu^Im)P*axo z%sfy~Cx=~}s}oZo4E?R<51xicFek-x#weqFwx$?GeVUl;VA-edulg4zJ^Mc}>Hqr; z>^ef>`?c5n3>DKt?R?)?Ohpzq1sUpBH5vNK*(wKs#bD-cb+zCh5ZzX#XNh%HL9-^B zS-zID(l`M&raEkf5dZt@Pfzq-+#nI^6EaIhgv^uKTUzS~WIsZ4QapJsv?+yu!~uWT z?SaNfOkCkLiJP(V!JJWVpkz!5?U#UQWQUiIE&92pGsN?%8BRgredN;%e0N2+W6aQ$ zrRo4dOWe%2l{<<2waLt3WOxxbTAe5{+!Mncl}DBbh88X+IbfItv)b`~!|P-lD?khC8Lr%m>q4j9*0<9#7INLx+nwly=Vi7|=a!MR3W#K41Ux)zchWb@C_u)-?n;3WV?xyCfwgXZaPbAFG2xs$Vv*kkx*Z`T zHH)*J7fS?9iNr)#kNYE?w$<)cSHjua8Y&q+V*q^enF*euJ>sfHpgcnm;(sehZr2g) zNvr7N-fQzE2#-_MZ`V%FszsoZ@z)B0Py~g~e*N8BN~%jam!3X?`&yp6jDxF%L52F? zTpho`^K96U+E=d5QYY9>{z1R-lG}9o-}mg8D$B6HS**`ExKQhHNj2N+lsQIV>LMvH zKK)7cxlblz?`3;m)GGb9$;wJPqrIW?G5b|B0ZgsORn3siUo@Hqx;lRH3cv~=2;O_Y zV(QVqwvQw`8Y{)TKDzi7BV$ps5}WxH^*PA(c)K?&xNfp_u=y$7lq*IZ`@{Z?F*KYQ z$l|v2o2&ISQ*aeZIihF}0DnkEV)d>6U@$bt)zRSkN--#gdWt`b^m~H1q9m&BAvPE9+@FX~RrL}*nrCT(%ne_3 z8DtMQ%{DgwVuV76lz#?zFj3NRlaIcYtXOTT< z>+8+=dvdOnkw_fsrSOs6+5MHBuf#*xNYCAR*4IY}`U=)BGF#eYRysy^Ekk3+x?NJ( zOHCfQytLl@fZ&_kfndJvwT=V(Mgl)KMx^oks7?HOi zdutdeDO?kgvQvH*^tBA-R21y=xiOBwRU)5k%TarB_3*XV5Afnhy|DDLA$t+&@JL3; zH3=z$ECDI6)ze0S9$r8EC}&Hib*8KdgnAa*=Z43SNX8q+_!6BMWr@QLlM#cc0ul}v zqYpU=zB=jXWNmh7WJIIu+BXcH79%&rZw~6(VSsISgknz?_7*Ra^@#ZCq)E{v-{XH4 zB2;KVd^}+9yDl~{Ja6czOf^;)aoveJ{V9s0l+c(ZRYiw0t;IXNRW(@+=8Q5tXXX%o zrBrD6+0?p-Jzz0ad&Sf>b|gAeBMqu4LXEfy!tW2(p>4d>Ct8%V&h1#~Tpk8`@Wy1K z^eHD+Y{~>Rb7pUwt99U?Po((28zZ!~N zz^y*}B;)kAB3rt+cV%OLw_owcuuY^G<+s0uj(!S$u)I@vUTHRx+|ZCw!xxcH(D^HhfYc`iJPt^@%pg3qWfdtM5vu>{V@((zfob0eoO3 zwO8l_v!s$*abpk8jGpbD5WP`vSKNZzPz5uh0EZ48CxQEd2C{~Sy1u-@5oX7@+jP?@ z-}BQ|^h(=5wXmvL#l=c%c?h_TpTBw>t{fpf%bsd!m{#a@>x5; zXG#CJ&r%Qk@8kd1^pKyFKVW!!KQPoeFR*az9F!0u3}i*m&=-laxcQ&OW2t;AqG5p% z?$uiUF3iXTLEaL-vbN1um#|?|f{woIv2j4XfG7^k6O5%8%rW z6ze4FQR<647RK^#zxe$cNzZQ<$n`VCyPm~6?;Rh<0EytFi4~<-iT@A4;UPpW z6CI>xl=xjZJu?HvBlItKj!kXrE7jCiSG(ObD z*@67#KriXVpzS06z|i6Hhh>4+GnMF9n8>$`U;MO2Y8KO?jqiD@eL4|S2w9l|dxlw{ zX(4F#(I!_dk9XMA52NsldRS457qxL^_{t&CW!;htMLO5E<&(|9%-YO=xr5azb-g1P z9tUG(j}2;E9}(H$^TdKlHM_}rbLlHyvaA@ly4lj|(YOe!@sE7C^+}}JK4zwAs&R%1 zhko-_)cy#2Rlauj#c?#G@Wu-PSalciGpMq|4z-Zr8P^Q(Uv>(GyD7T*=s#UsjyB;r zl-xRu<>G?h3cZA7XBx8gL?{4KK7Tm*)CobkO?UALiqMSk9jE)P2GhQ(&ZpdmrCvu; z=;&sn%Iw6!ua05XNq_5d*rl5omB|AALm7WU-~;+De6M-W9(&8`R++G{ zTsHM8bPAGnrJrxn#!Bw<1wr7*HfU`v>x;WQ%=NPYC19n@xTtbjhegm;UXKLM!cV`3 zaR{nhoff1K<}Gosv)^bUAgts@jy>v%EftY9BCCV$922A+`p5>rZrCM*b{5nU7J_BK zd5Fv&i%*_=d_NrBzh-VYdD0(zVO(c_wc(xH{)`@b*rv)atl5xUD?r`42i4Ijv@IdM ztrNdg=m^x@=J1o=tJTUBnKkP#$Jm+{Ze4|EwlrO~&qkw@V?U}pS6J!2E?E!{aM}w4 z-&4d-&c-U(aC3xP7vrBUj4a>uIgrmQ?AXh_L!|q}DF0rJ+wDc&^pD#yBo}^pG)9yq zw`0gGDvFH7nV!Z1(MkHFT;o&zj*VcI}2~v4)e7XULE&R4@iV&W{ zFD{+GhfWJYIAeKYO}mu6x{X!?DI;Q8Wr#j789sx3CS72aw^oBl8ppAY4T2&5)sS`4-cF0R9^WeZ{62rId&XyU zb8ULJbld0kG$jA3w3PV0#cHMNL;76CK@_lpIxmMe0KjkIGo7{zOKB_|ut~lf`N8R9 zeE8o*=e}C@BOk4)CaYpdP$rLc&*?S}!3>>5SJ$uVPnxgFL1Qw`2Pwfsxz%4gii%yRB zAS5i%_)tgU?P=vJ_XUV=lQwkQ<-JSqYU{963E!{gmJH-Y)q9_x`Z64CWtX?Usewi4 zo}&yz)%_ungkvmf{s$;R@q~P_%JHZoNi1_9RZ}4)Cwje31ZS8~&+7Fw{m=GGOecf{ zKr*SxKCf5!t%Adva;B!tqGCE-!iNsiR8aMeD~q}uldZVE)L2QGZRzZC*6c#DCZhki zzeJj`^)sgBMT>(wm+HIUEST0ZEQV2%QLFVop>5nLj8$)sD-ubY#9fcr^o~!~^IZ%m z*7*lr=e>05!-K8M%M&iC*?N;LUe1_)ULt8mlK4q4lN)}*Tv3vS zoo|f0YV}hLCedRm{BhXiGswkuD)F_KjBB1^PSNdE&wRA{M+fY(==o04(JqIe9aVlm zg}OJCODRlNEjE=%=q`o<_uY(tiH*NLM-(Hrf7jneIXJh7u0IpeWe-xH6-noEU?jdL zWo2n|uyH#wq;7%|@7N%kiPVL>xYE2Gx6)>E-I%RzMgn;m4M*a#dFrrRxF|lo^>8Yt zM)g|0YH9Y4#>*u!Rm;cvao4I|`i~;Fs7<@k;Ap5*8#S-1p`7!U-2Ut)qw^J$-%fA) zv)UVzw|DfSY8Qh6II8UFay2wG+*7K6Qzb4-rR4;h0w00!)mC-vuei9YjQ_$hUtoFv zZ`gSgC(yb$pLY)pLrsa|cyE=d5F!j4Y=qH)$lAIoNIPkrQ$2@j8Xwi#+s8mSV8eo{ zqRo~u($TLPL}wxtLwTDwg%uj#HoK>z%_*_6hgGU_p>xM0wym$}H49qI;Ju*!XKHW$4=amCai9@&PapO9PDPu-~h`~ryiOfEkr4R9d*21}06W8rao zv=zGTlnXVy-Kyyr^17b#VKLiApLpltW7t7#@1f~Vif|132%1L@DvobO1_}Q4SZr3@ zw+=S1*rF2Q@=$Duc^a)Y*TDqcBRsMk3VQ@b<`F)JkfrOJE@e}rAKg%}{aNR#GFe&%=8Husf(P-&;*x$Xf^ zjek|0pY5O_X8|p*QrCqUc_d;?GJixPFF5#GU{Z*?u3U0smtO|%=ocEeLPDJjWcyi- z8poCNGu*$fzuWSh;b_=&3Nky_nqSpsD_?|UmZu{Lt;UL8D&o=^_A-_GQzr@>c9&co z=J|AYw@U#~OUo_J(|ba#@W~^1ui?nh%><{3vrG^0`ayW$AXbhChC6%o9*vJT99gY+p8GNBS&nk#WGXKz-=P+bTD(%F(tHzpL7-ML{ACXEn0My`=0qx>H3T46VG&xqx z*@mR&T+tJnhb|?HH-_|-vlq0U#w(n z(e3;J{V}^q+USMWqiorvj*A8kzZZRJAGVWzSN^rgIu?9hC-nesW0zaS^7cEO z-GwgQT8j2v4!Lw${Pc)<@y8kSaj3-W^n-jYSj~oC4^g0>i)O`LLz37aD$#aUdvu-o z@!4B2(vf~SS7PJFJj$wygWZLX68TmRr*T4FsOsG-4Tamw$|4t-v!6B`IDUQ1|#UNTf`p;L^VB^!rz+`V3+#dEVw&x^NwSh-Xpf;_06?^3^4 z6+FGW%dlKTFuPhj_veHnqPsBLJCUD<`fr|VzvY(PY9cC_T>u6-ln>NDRC03v*0cgv zsazUXG%?_kC3y&(=8c~h7YBfsJarJAW0c46J1rDr(*5vy4ULTjXq(U~E@o>YE47Hx z3-AWFC2m)YpUMYohkjl)j~5_Z{%)5j5saUFzs)npWKRdMT}agG`3H7%m(Z zRV<>qTj@?B_sxxAlTLlfC{m};xYj*<6CL>Y-A-o4CwIh&Y;0rZbaM50g72h6#b-W2 zO{c)cH?~f&ZLiOQZ1R5TB0p5>BX*+5llOO4zj zqD(-MJ9XdjQs??RWOamHgMk(`kKS40Uwc}Ze?^^YIMtdjM`ZNL_oT&qv`FB*K=|Ts zz9!Z$tO=%UAQNbt%k-^8ct(Ft1cny@2083!r#~P-moov3Lu0S`wgYc zPOc{lekGL7vtLS7cL_LS03%C?KLx6u#$zA(E!A`AvvJ}hVB>5ezaxJ(j``8{U#8Ca zV*Q9~`>UeimC~~vf}Z7;-sCeK8T#<67b~QRNY_om96yHZ4Z|}GZ6jFhaUa?!S`dt` zAt6VhgsAqkhL;R5ZW@Ou5)nHBU9|$*Cc;p7i@q%%w+Wzn3aN>7a9{8>_F%cqT-s%y zzCg*0PlS!JU`^)<$0I8(HIiTmSIkx)DCQJ+m~W-4aPUf*%7+MYxoSVzb`H2kq9JWH zcR`-ZrZ|R~SPFlvXrs#*GB5coMZn!zwMKrwR!bnoHW@Lv_Ut@Xd#hR8O;cIl&Tay zS-QTFTaiblDFH=6ENk^Y^KQM>RTg?pg~Y7i*7}3)QG;$AQbU$Gs+9w`@tq(631TBk zpy}zz!+7Iq1-mGyEHFDU++7SdRJhtl8jB-~X0X_qi~T_{r|ajs3w;|gD z$#w!fF(u(wBjS-|EaIRswjEk7@V2F++8t)&2Cj&t7 z7J4b(w#P4sy(J;9^Al_W5xO45?VQ>6g@*KV=8%15qG~Mb<@c6gRt&%PdSBdh1wxT0tnV^AkF!zw z3FI4zDakv~8K{llzL*Kl7;A)js*}2Zr|F0Yql%FolXxqeuRQQTE0h>O6G00>&=wi# zc^%i9h=;VGLlylNS6BR8D`rSK@`;?Sc6a4g$0)JBpDrFuPJta$Gu7x)Pe^Qof-wG@ zG>0K8_YyQ}QcgoWcEai5^!l8PaaE&c*rR0fy5DH%+w9*})rz;OwX38Bn?zvd-krf&_f^t6A(3r9tv{PK;&ns&NB<^5mrD z(#2yG3@qO(k02~ezYd-LUW!4eC|pTkmT2M9)=C7#+m4`ob588`vd89RxX-0srsB81 z@XROrz2q4|wvXpRgL>88&dOnu>;#fSc)fh`XGpCuwQEM2na_>+xUZV5yp0x0Bh~Fh z_mT3T--g~`l?v7QqAQpfQw&AdNo8;U4&e>+o-PDt+8#A(fDjH$yRsg|a+U9z*zc}u zJDH{k6VinX#bQ6W8o}?mA>@W$Ey8D}zIdP$^}~0imAhOeFM4D^h)NmXGMC_K3bUTZ z>Sz(dsls8qALw4CAQW|UzRSo?m89Ww#!B6Z*~K->6Av@H$WHT$^UcnXR2NuSd;&+b zS0~i`h7H@hHUboC*tZO+{*qc^>mFO?5wfu{tQ!E1$B7-&;!5yl`9Md{q$`oHB>M6# znk+jcR}wEyLA@z*n6#u0p9oT0PFSfe8F7zOU1mZv?2-kPu$RXv?)@sbu0CaGQF*!is#c^=5Q;M5+?7ckCpb8gP7N%0`k>?+M;)XFWnj{SB=pr z(sWPK9iL|;4f04B#xxqK+%6Ip)pYeU6yJv*g1vs~?(%H~>}<$ZO54#_QXI6A$U}8X zWBhA!+Nn;Zn-S=gzL`f+9!JNxpfn2vVmQ4JII`lSaF^8;E4`AVj^*m;6h(Fq#&?pU ze)}6`xBoAf@gK%HSgJmf=M023B_pyt6H`2H9UE}$zK}s>c{d!#ssDBK6%e1xvm4~Nt}Xhqt6CT%=MgeUCeY( zE*c@fmOcryJgNUy93@yLl;LnrM<*Or;dljVxZI8xT z2i)k6wY$}p8_4eQ$w~^p65!L?J`~3t5sT#z?9nz*CWqKHe+hfiz2`Gl{rWp;`ya|C zdhcX7b8^?;DbPlcD-wRElNse^%wO5Vz8<|A2K|kFK&)^V|8etl;c(5V4&@jGK z&uM>XPAq?t?sBE&dSywOxeInAxom|805R(lJ4$!O>)>Dthku&Sm% z5z*f@Y-QgVb9US9U>&IUjlN#HCQO)ZQ#{2C6SUXR3rONPT*VO$#Am-mbY!Cnv5Z3{ zQ7D+~W?5Y++}-l|@$-p?48jWuZ&j4I3sNiO89f!n$i)|{eVvqDzabP5{r)O(H5r5^Br468fN_1^KF`QQ_Ny0-6y_&ljfB8jWe2MYx1Nkzl8il_>kno+1f+It*?+qR2h}NH z6+sW;o+k{S9 zJ(Dqw^=kS_VGT3{sT=;Dpn!kQzxOEAdDwQoe?Z^59=I*c=E5~CuqNi;;|ojm)-l?w zWt`}?1m%5Ko~^BlArXBeTIG5g;D&Z?I3Pl$?J^&DyF)SbXL#zb8ZL4Q0&Fg#^ zOdlg%4E;-2G{Q`|bcmpC62so9$gY}vn_w@#Ew^G;?-(jCLfrUr_%3bg!|5Hj2gkb~ z6Vu~Kt2Ic7hW!!Bhg#T#37FgE)K)J?mAYT8EHcdGBawYz$!oWx>!WqN3+Ps%bF~=A zcd)!l4NVQHB(M7lGuLB!5i$9i5RmxDE@(K2@penZ2x`(WGKltPD6ArW501mm+nZf* zmSXLbKFX^1D8}B$c&?l|TpbE}%uNY`88$>R+aoL_u~owtbq`R+(z)z&j z0j%&GGx}|{nY=Oy#noXoIBasS+?Xw)9^^v(*W-r%4F^J2<6B*nWwNHn_UCdnPwYvm za@a!=#jCc-xepk~q9AFhXk_EGpwgM*B|-MQPUrraBCTEi6_t69Vz778Rxb zn^ejOYbEI=kv7eIJw$JQolTpy{PfWlYUh=HntW8(mmXc=XyHv^rwX!#edNW9k>?om z7IW7*pU=tZpZF42sho}nTuLCFoyh?3)yu|r72Q{Rn|((`Sg`0-i@W%S<#!5fYSrxg zP^-5yqOMT_jE^)3KsQQOMv(Ty-xo6S21UNtoloZb7(*7l<@F(R3EzC+k}bO?M-B!EYgm-g++$m<+%~u5 z)bF_|nLldeCj_EWiXQ!%pI|={2zR-Q0*sn;xC!qsZEN3?a7vE8pFCfZCJx-hU29^S zLqPdX3=H1b-}n>bw?`=KoEkZlzW)OJ14;kq{(=9_o=&SrxJ9+D2s&jnx|#MeRI_0k znwAqNFRe?bRrw%SP}rrDDT@54M)Ek69R-c>sO%zQ=U~nHl%gma!i(QWIvv0`0x2>! z`PMfWbFkb{>JTIG^ccM7TtC;oM=8;PC0I@cKRF1I^F+e$utIwB}?b{gFhfKMr&(Z^zd916kDKW9bT_Ncwe?5>0Qb49oQnK3i z3{ycLKRMOzI=Vo?RntlNTstLinqT1P-4`0(NSuXj=}e z_$b;WI4wzmPgNl;`U7VljE|jGxF6&D@FW}A3A5#tTHLpCa>S2x-faDZFRWt)@&+Qa zlFPg#ty4L|D2e}SXikRofjMGVMxQ@MRe=764YbbPbI(dD!|>7vRxN82vHM~37nP_| z1rCNhku-buS8|%oUo^5l0aF|uSfHRj3dX&efzVd}mT3~JR z_^}-BQet1(X950{^~}&lSQHXbR)XWQ+cbrdMhPAM)a`(6ZBYPTQ?FCmzuB52Yv;s8 zJ}4X?zd-u23j$F@DK;`SCvlKGs#@M!OFG~b?Oh@H$K{jIpxbj1#LoG(u)CAtmHU=I z89t@FQbsDHG#;4=JAH1o3LhD6%|eVV6PAicb&KolHct2 zOV0h`VK(N7G?d)&qX50{j#o|FM9{5)){0>3)kAOMN^`8?g`DAq)QQw>{Bs<6BYfn1 z`}UU|nStkpLQp?*P(Nz}`HB?$qwnFN4)E{<;NCKtIl&m<=OL~s|LL8u%XQ1<;Hg5h zhtFZZD>ij4Hg)TkSJ6LrR05AKIK^6vaMza?xzNL&8cDV8<72O>k;m;yU6Wd0-OA2j zKJNXRkaV4z5|;P*2}Pms$tgz*XmO8`56mxba*az^ zs#?k~Oe?hq`u!{Hhhp_xSGhTj>53!Qo+g!^(7}i4%r%K1#=+Lo??*l(!(L0fQAoPS zI}{HM!Uu#NV|FaiBL0BlM^#OaWRiT6g1g|0?oS(7br$J-YD@>!s>hLT^^PD~VZ?x$ zGA>mjtkSVpJ85Dgzoy=!Gp_1$*>M4dhI%lz8$|}SqgmqXFVZlqt&%S0-o?fk?D3;-2B+BailnAx)XZl9B3JY1UWg(Mg)cR`B2~5cn4IF5_1E2p zDHvU03P6JjYQf5}xmK1#RU5|m(Z3fLhZ930#u}Kul*In`QS6_V#$~OKX&re%6TwdP zM-pN(T5VlnerIh`w_jS56^b83bK4_G*?-+Lg*xwCqdOHj1d>e5BAkFNNBR!2hxZdc zZy~Z4)XKr?9~xZ!QSXXKaH&5o?qK<~@JfV{DkLRVb+yb+>P7nRR^yY%pvFjbJ3KjY z9Jw03wDuA&!XuUw9WSywWQOJAH0JY_u8cGDAFO5b4#P;3*E3{@XI}ZTR7XGUX$T(C zv`HhqFuc~OniLG14ZCJ8LZ9RoC!Sgc$VedWX zeEstMl};u?OYAmm%1i(I#~lXt3e)12k<0DfLY;w4CrHI|bod7s-dUS`tJLu|=we}0 z^7!I}L!>(6%3{&))QxrpX8~*)sV-zNG1uH3To?ND=io zGs!+~gcD$+Mg5Bg?~X0S&$r)do?w`U^yz^P#r@&i2X1V2me}6awTfQFAty=ZNW)Bi zxfFrE$uei7k(sgW(QD0=+U@A@Qo zK_pkqUGB*%m)<;HGO9uNJgDjDs`oUbudzXQb=LJcu!R!F6oiF_4#L^| z0~&^;JcmEW{sFbH-sevWkNZvf-TvJ1G3xB}D13Ok8AZH{E9issv2E84csH_s!+y6^ z9$pFj+u8Y92EdB~+jro-0I#ZX2KOO)1=l>ruwxU**eF_aS%Un$)EBrFMBHO`a?W5A zDEX^jR%(*cw|H(ne8->6a)FDC5vlM>N_&^jpCAod&*<}xJ%3njG>|V_V%o)8QtI{i z7JDOeZo9t>=@OONg!7|Pr8@Dkt_xeq{-ummP<#kgx2uNI+l(L$Vf^cSENycZw9>g*?)U=jL-UU`#v+2wC}gPI`YyzujU*gH6B|8>{S3u-o5qVyo(7h7Y0!=**S~q*DfhY!*JD2aI==evg?;?1s-&6rF9?erAfo>d zv>5aXrD)*G;#eUp`aa;*^`qocROOT-%{O^UJG9ox>wu4||#&AlgXE+NUn$Jk(RGdGi;=~NCCotL^4H)vN=D<$G9F9tc7&?GI^AW z&+E&#IagzxzuxJ!hE7(E$Vt10R(C|cnhqX&=elX`z>H)U&FEs`Sd}1eIOzJ?pISs& zhSnkSpdEV7n3GH$*C-S75CZ`FWd3#>1O43?3t45A#L07}B36dp>X5meV}4PDicLn= zLmrVT`pzZE!L(d|akt0`V@wEo{+)Tci!w&c_xc|f9T?GTLSzQTS5_Ae6{|X?3)*8^ zl%K%^qG(ko2c)0N2j023R9B~+&Bh9%#Bg2;mi|N@_zZ@3LiV%PE3sMe6!4Ji&OKIY zCNEzn3_Y^V;&9g!Z5rU?m~B+EH%2694cl<5BPrJM7-ul6M}zVaI0xD*3Ut}+V?-x( zLVxVHyxg4B3ys3GV`TwLNl32Z&TIWX(BeI|g{TXaRg1f^*+Sdm8zq!HbnJcUZGZ?F z+|8!)tpAI*w~UIT>$*i7hu{!2xF%>IxHSY17Tn#_xJ!T_3GTryxVyW%YvUf=-E-@C z-uHXn@5mkFj&a7h|8{j(t=fB6_pY_qnrqI0S8SYVrmcOoPV@6Jp-rul&kf1&zw z>Sw_c=ribFGZ?i@>`-;W%Y5z9H*rQnjGTt$c!o#|Q(FmD1Hf8>B%m`geLQ1U0`}(c z26>Yf&lC$b=JoKVLNv7Y>Ticq14#DNd*df(f`ud73n zPhG8ztvt52OQs3EP0k9kw?{?lte;_W*7!a0UU<@RY;@Ef!@2?q;1FW*F9fs|d^#Pk zp9xi1?)~`e>U~5H5>2nkuSy>SU&O&ne31`o&)t7ORB5!FaW(ZzO$q-#4m2}YBv};N z_ydX-SFA|7r*W>C8(ob}@)~EZohC<6IBdV<^wD_{^A3JGY}1c}`}eUp!CUF?u^#yp zuqtFCf9>;u2B*pCK z2=xF7dcgjD8AH6f;)p3vT}2HlNncBU!^s(T*AGP96^7~n`;*FzG0IWfioxlXr4zZ? zFLkN1THkFe=5BbqDx0_pg-P_#ML%@A*cO-1oHV7nE`-;6YI#RfOFb$0)oTYE(8s_q z@)w$BR1#DbqX0G9KZg4@?njSzO0J&#qeb;J9!4af;bm`>86`Rrioe%L>p5S?3N|)I zI2zQZ&)vDdFxDIY~OWQDy2YM+?Nrax88dUI83r=%Oolp>WNc%zgQX=5X#Hun>i<%lB}VnN z4u|LLq7ym+&oH#KIYv&i{;Y3oQ(k>G5wab#Wr!ysb@+>`34 zqEZU6x*1oyZ8T}5kFRqU&SgX8Fa~OWevs5ypA>-IjHZB95ECPc#%}Z&FVvuo12cD! zD=)73yU%$xU$BIJpfH*`Oe-)3gzeE$Dk_PBW!{xbRQ|#MLsiGUoDLA17J{bex>=x~ z=YU+kOnb}_K?-Y<$2)cAVW`1{J1^^CEp(wceP7^tIgOw4a2eos6W8qSaWTE#;_{JN zM?;*OdV^+LM-&`lU0SFU> zt;Vr!P9-yA)W;?)?4?ri&_0K_&Ur$Es3tf@e@5nWqRr)ZgYOR?j;zByM;3e2xwpb{ zwMt?TOa(+Fe7NI3vqemRIZ}8w(PI|&+I9LBX=ZkD(5xLLvimIsq+H!QbX2oHB z)iz%~G~URBo2VzS!58gKD7P2ss(FWx)0LM^J+Z&zJ@ zOc!FoPV=QB@baNR_~jDr|A#j+D*jknB&FiO{%b7`g#6yh=UzRQ;ImhyD<6}c+~Im0 zevPn^?=l`V3Fv1Hljo~OB4jJBXnxl#IVkmmq61lxpI*x6VP834mBZsm&ywEFZgbRi zQYxE^Wk#_2i4DN-;VrRP8|ev?eQEZ{MOYGv@%;KznGh|I91~|R$v3wSQd(ONfyV^p zB}HQ32ock(A*iL{JJ&J@s*ub5B0!&ekAq_Ax3Phsr_z)^QU1c!{!o#ISADO-C$8V> z`rK;!A`LzYY4<*T^Z+<^8e-H`JIO{_7x28F*KV!>Zk~3N*+;0)(wxtB+)PYW8S;&# z_dxOO$sbUCDL@56{~WD;y7C8Ps|m33sN;MZYM@@oeWWU1YkpY;I9q8F{%v~gDW>Uv zV_Nm`7BCGs!vAIa1+ZQAZ`*XwvFfLQV%ooKE6D`is>5sPS1~+4wjUn?1VaD4AB=^x zJ*u7f2}jB89>7R|+v!)m`};)j#is*lO?7xrj&>8}zi;QW9rHi*8aKDcv)E5CS-FKc@dRNq?LEuReS_FexeaV+Q~C>H2SD8vN_NJgtId#f7@Uo})%}Q6#%U zD16UUYGa+}nuHk_tpM)d>Z#b2$flZL=<8fS9eiwFRV`n*O+B~3VNCx=jmsUI?+ zgY{X3JW@BgvDE&Y0*|0Zusd2nFWLPM2vZ1WW%p9yhVOzet!ANttpxH7lG=d=%zVI{ zZ@7fGyvv571?JNk)Z5aP@0~oeM2XN%Ky=;E{mA(%E(dIjM^I(i0z^v}-EsLj;A!Rl zBkm8z4Xw=`c`UBz|lBaweAzOYVkO8XL=D4qRJ-CM}2X{CP zg|NrFPoSc!>c&>Un@0rX$3y8Jw0}?igqN|y>(s71W^LobS>kBFNSoUc^x?jGDNZt} zmpqw%@5n)?=g2sbbE4_lG3P_!gyydbX+tUlU_W-*|Gu)f3?9qCKNG>Dx*>fPb;P6m zYWNRmiyYw9R&IQkW;_|WnV5x~xO_6BOFuJ$>mpi}Di{}ph&k>0`{wdo=OjajiXiJ* zf4{xDVnLDY)-$beBKjp$dUV{6L{J8^yW)I%lV5y_4t^lww|l+zxb5fRs+$a}V_SES z{c({}o#pJLhZp_3HyGo^R)g759bIARYy?J_G1rF83$QJ0CYf78GCSaFWG3SgaD_L4p4&EcwdfmY(&-%J7xU2`9S~RJvbPb9B<6&CFmX$WD^j( z;?(K;3uZxXFxX>T5>39lYGn2oar!AMw3?*9arp-bbgtun(G;#}v>5DVhGMlh7u$8( z1jGU{SS;YN3p6yIaYoNzD99*PqEgwVJ_$%@<69&~y`8R~V3)Qpi4mDm=(H|*i3>O~ zOVE518EBeS)2J~-*b#sHHLf=+j-~L5@ee4x{H27kC_&``51^(2Vi9V1nayZ7%z*d% zZ|S7}DeLjizn%f-zrK0Y{8-ZD(%wy;7l53fV{=+a@;>HhsstYkz|Qei14dL}3)qdpD8wYZvWXw}orl z*{Qw6$nO3n!#B&jJihY{XO%CFrfM5{JRgkZH#sLhvPyVmSXxkV@QwU>c4%$SF1C!4 zrnd}=?8)Cuy?{02Q83-1!ox0bcZ#{o$@%ALyaTdp|E$6PEXw~)-UiS4Ke9KpLO=D8 z_{aw9Bk{tofAk}oeh-JoqLFX=Qd9LCClfXNWPqBu`9aX^+0#9j??xld z;mB^iQ(NC)QO70N7zVdlp_8e-!rFG>yI!RyoXd}s2hk3x2|U|Cqgmd!(NCc*6ag_v zVzHV4TTM=krF5uB2Hd@J&S`(8w7je4fz^J)Sj{sFG4)5;W7IJw&k}pjYkQj59d+lV zYt^JN);55A8pUR^-y&kD{i(0_4@l|{=mET3wmuyBS}si{cJ$)@J~)Idx_aD8cYmwE ztgad%>`f}>E(&>1xj41-ndUQf=JZtZhNfd^P|RK$&zTlmmcNjKi|cf@V_fHv@do%q zmzT{rk!E@*W>sG2CU?dSvIc5H^?@2^1dDSWj!Bw!q2QfT|3gZ!O!u;`0r8I}DyIwR z_b&o{0(RK=yQbUKzX!}IkZ^;qwX?zOKt72oWQEFyw>#(p!8OQvW+<7kgBS^r!xmGy z*yhE=%^zNmKo08nnPLF`F4o`qgO3_~N}gq?>RRtc*DU#k6Bjw5`hF(_{Ig9TjvP}v znS}Pv7$W(5_oMI;H&W0w;h{zsUP#ouwS9*6c3)9~gYNIjPP4XCG$5|bd|wF!gQu)n zL2&d#u=@{YM13WJnD&4mM?unv2@;woyJ;D5^V^3p>7rk6GF^P#`&`VGM@Fs=lFyUx z=)~F$`R)!kx~@(#gKv^U;T4S68+FpdAy$0&=~A#FGD#o$qhfdh+VtU#vawhq)x5ZQ zkKDc{V%$pl9l=C1rtG&;KKk1Ikg`ud%prOALQaHC#tg%U&^Tf%4oD$+`L4e-`zVl~ z%RQCpJnRYmr;V0vc7t@KQ+`+X2edm!6aU}ZF?uQg>!MsD-}uZvegqI_eXpPR1Iqln zdMOrrp(RF|>U*r^(s#7bUNlxd-vviOu zMHAbh!Rh5}zKFr=(-Jj7GL6CVI3t-9h#f>CX5-01xGmlq;;fKwUeBE43s3s7AwR6A zgKfc(gf8U&ZJB)?ttlAlOcNvG@W0K-{PP$7pB*jv|E#MT^Z)pr=1orZXc}$GJARJ{ zR*v_JDAawh14NqcqyoQZ?mS!95&di1;ClK)7Cqq>wT?w5{JT2G_MHs;jZ11i>(J$q z+qCH+_q7!}oZCJyAJH$epgTuYmX!#=5+lcM!=A5|d3hEXJEs;|4pf#{2O!q=fxflY z{ZP7VE1;;ipzd_*hhi4BDtst0Srf-_wylVd(k}4bNp>~ud+UmEdzW>P_#PDbWY|pK)A^iEy_R(>grCIebG(=!3WuLIfVlTjV>{soiR0c)wM^ zsz)LDUDcsxW2 z+TiZi3go1%jJ(!orA^O9rMQcI9;$7ndPvofF~Pyee~jU+458U``5X+E@RPCp15$M) z>^7+ar2|Ovnk&euTWPatA157{N|H$g6z#C^k!Im&H>dUj=+$QZzk4Ox`_LX6FI7?} z863Hu(2T)?O0e+Sb#I5J=E4I*g1Xy^Id)y)mcHkqIWB(c;KssW5WJkw8a5n2Dk*Lk z#nVK384C5n&P_;?Yg^Gsz$5hEdB<3<>5kh@)cCaimT_sp%sVsPP zHH@COwUt%#0KKz{n^ZXcqmN?dk~EG8+bYt-_awD9mIpA8savKlI67vCHx>e*pVu29 z9#Iv%V_fQnekL49>izM#9|BxWaidH5>TO2z60Ga`DxxWPz8QXK`oXUSeM1bB$R9ws zBVcHL{SyDQxbx%vvRCukfZ+~@+;<6lc`oQo2ix)u)~TJLBnnV2n!o*Aa*xzTNM4lPi??a_~yZ4&IL<9N-t3G&HSd zsWh1GaeFG)2aIXS3`$I0*~b?Px_z& z2bG&b!QET2G#8I{KN)hQvbqbnzCdX*Mnf>ZhA9C?8kUifvl0*i*ZRMXkPyw7D{}rB zv)U(ht^-!;XRS!UG_Jv26M0h4ZuMRFqrpq@5zU*XRtR7^F^^9gJQ1l3r4cR^h?Lk5 z>tVS{LF}Tz&567%yCltx`?f`cOjC5X!cgKs0^i`uu>FqL3a8&;z(z?k-T;a*P7keI z);AWuME!QsufL7Oj_#Fc#O+$JhDlpoxsrvoV03teNG;!Z+S&qSr@_#@LH_szLY>M_#dhPb_ z6KU;f8UjsKnrr@m{&PjbF}=^Sr}qvJyX%SBB#l)%nG}?Jt~>_Q`E19&O!+*Z3he;F zx7gnrJKLWp40Fejw!2hV0D?wK2%#+Tvha}<6?Qp7zyPeZ&!Ww-csnu>ER?y4ZJzv_ zkGr$Kzq9(P5wkLhwzJH!9{KAN*H@geY_gLq$S|wp8vxqp6x)0c0}jx}Z0191Zd%RR zBL7vd4^)8ub#vDTRPVoT9>?fy9Lek-!CT2Svo2!0421t|7j1VZ>V(k$GV+oTXWHl+;TY;Y z(l5eiLmyWe9WfHJqWlsXKsYUi4k!K(D+p_6xDsG0ai z=cL>>E|Oz_pG%pTmIcd_a7}TukbV36*~L8PoIXa{{zZY_5MzsVG^}(o4SaNk z|NPfD-lpdP=>^N0BHw>(bo!nU|lu=umeT5C2J7IB$cZ916b8HV&HU+N9$XoMV(-@$i;W(j|N?X;Uohco_7i_4~-?y=NQ9Eq}A-_w) z4W@fax>|l?w|cS5F?TFVjO@SGC>K2?SH^{eC1082EuQ2b7}C|xc3S-YK&HF3|1!V} z_d=IP@pm7cv&rvmV`6kBp_Cg*0$AC*E_`#DN6}H=9vlu(DaS-MkazDkO$a*K-E^vy z=?#bx{yerHNV(G&Ln4v9SBv|RYy=Xha-LGpjhfpOh)O1HM;tNK=E+`IxfAlNrUQC^ zoEw0A|GfsFh3Ll)Q*qXevOlrx?b>JUxFXdnw^%SFhMiu^7}dI|X#Jiojg^n`k^Ndj zP!Tx@gfuzWzxNW?yek3^dFgfJMmGIm9E@cy{)I#SS0ro1`}d`^&8&@#ZKY^r$?unC zq}f5xLD2%IwVD;jZy$6YgqSExzt{{gL?05d0_C(m*@8;zz~aEuDG-|FQjP3 zO$&a~%OLWCkf-?H%6~j8dh?F8d^%kWPli03D=-v>#-9<;m~Psyj1%ZSqTwLh8-}N) zq~eSib{lxJr(tf0*7s^K;-dI!HT6i}on3myotb4&SWci#2<&*}cS5ZrNKBOW-n2zS z8Xg%d*w_C?4c|{TX;yoZMgq-9!oVX^)&5h_l>^IOTW(6{M2v1Zx!+WO@kELGJhHen zlN;bx^q1}Z9H{{|q?xj{`DxQX3@uj>s<8^Ut^y;yejrnPLz87j3tOy|0UV9hBs=LhF3%hv`%10ElUaoyK28fR&ln+R%AiA z-_Y+}RU?(`0(|pEgI%Ii*kQY5Tnwp94sWS}CH2Ef+|f&Mq%R!pqpOrn!1(%CRS)kf zr^jW6vI(6F;z-;xrzBs!{2o~|~{Z(KxKu(&EmBM*7=UmjxjSO!cV&`Uj~ zUaEmrDEi~*LBl*VS@Yt;x_tg!uK#Q$pD%O2o4qX+TEHSx0(yzQ36U;8tGhStOGbe! z4}Kt|23_bkKcCbiQMd6jw-PeVST~wz+7Rj=0dWMqR}y5;n5t3_o4yJ49}_ zQKZpMQW^rapHn0ITa?%H998+SP)w9t?se^~qLWBZCcCU#b=Ktgd9lYPQno) z&Nq*Cu?#3%YcA2#1HB%#5Q(od{bpHe|@1+c|vqPAkm2l zH+^>4XHZUJjoaKv6Sv@m&%-uG1v3H2vNRzuImI^IcTMKy%}n$K!H5q#EFda4QavTX zgoAdS`vk9HQNB5o$@n#AZJ}lVyurG-Sl5x>-9?=)DXrtEUG%Fi-BX$0hmWMGzPNWA zInPMRs@(prxOcfCR8Ig}K~3d~ki9D#O~v#p4xVP{qa4NA=w#T8jq7A$qw^fj8j0QF z0%~#?OB9f<4?|ENUxAGnUuKVrLW{}$W*ONnqhM*20A>{mQb z$Ne1GO-|8+1xex9d*GbN~S*PE+;? zR1&m1B5^&>YgaJ4^x)*k*V^ttSOEerxvZh?et1}vOQrSk6I>$o*NQxAtwa+u+o>L7N)=DTZMv2x)jw(BJUQ;x!xB%SK<358S_l&YmAv zC{2kjqri+hntbihY~&@9IFDx(`p#mvD3lU_rAwyk_r=;(ajcj&t~IQ%9D|G739Db+mWh~9n%v38v8 z0`axxY~|`rm6T%`Xs5CI_M6|tL$!{Kv}vgY26?h3pSx`CjGzotQ9MU3%V?>x z<$6)j`YCGz-roF0Z-g%k5QwAJ7Qz%#dWh{}hx7!x;wHb++83IY6bu_$mM;A5?GEP% z_`13T7!o_03_P>4V!43#w##2N05qW}sX5X4i5()y9+JG`69=L)aeh^~QoAt)5}IpV zi+YV2^qB$#Gx;T%4ZTih=LbL$NiWRh`-H_h)L(T_YF*n+BAvh;p5uduHkSL{ng!qA zSOm^E*_D7iiz|vw&0Mmt%hZLNuj#OPhK6gj3a-|+e>*2k9G9`~oxB<~1`f9~`}+tz zU0&7rf+F3^rzr}@Us84HxZB`C;K0%iF1I=i5W4sKXX`YwTq zt7N)kyPq5O;dX&JC^01T-*GKJWhizLg~Q6|O*fX2)D#D2pG&>QHcBngVM@?qXCIbX zMAe!*gZaIN^JDpIr$`@O6-B+h`+Dqsvrou8g|uQ`pWeg`k>v(|(5bBV{EX|$aCzR^ zkHrW!49;p}|1cZeEn*_EVgiPKp+}uLfqDp>VP}@-094hOnlIJdsv@mN7O`>htFJ<2 zioB>yu^lra+MWm*do=q|86m8A4Quc4aEU5}5uP`vg(pqR9bzncsl~OcC|b8*O#Bt~ zo9fc+xt;`+kPe2~Wy+`Yjhd_eBU98Dd_7?niZ63;S0NOdup*WT$aFL~N8E+RIH1aa z^re7e{u-Tn<-RUQhz+X7Vd$c88MoLAtbn@w=tmq7Dr)7B#ND^)0!bTHCz0Aw3jqSG z8Sr95HCd_8F8AZaL%g`g2u6hs3%}L`0ILE^4D@&RRVIm))RsGj>cT%pZe2)8Y+1yA zr0ve00JG%1*V38j?hbzAef43MP4Z67Cw1*qrP!47>LzS1{F^i+;6OfuQ#2nstuu_F zsycIaA!-HU!5odep@N5Ki&e>DAz@?}=gxYxFW9%uN-PnR(D*lq-M=;UGO#9c+WLlS zjU)YDTY#aJ6>erfUTLQTAj1X6Y#nQ;OxnnEHr8EA%!PM6){#dJN)w8OYu!8i_I=IL z3*EV@cOHfrxH^m$6M5dujl1@1B99=3OK~TT5OzgC`eoEK!mVw8yN$Cc$+mQ&bIs%W z8|v1s9MaJzMQr_HV*uuD7fpJn(r`S5kG4L2L3=50!it<#w4CQ~B+Mkm*H?(@b1uf4 z%5inNR-Wdc!TdV{uZ4;~%;ha7fY8TjT-kOi-|pj^{?-oI+){m0=l?kN0QPw&fnDvB zeOILE&_@yOb_0lMtjPTVS(`Buqv~`U(j35NIm_oi3ROHeFYhAOzzsU|J`}do-k7cw zFCrM+-h_K!d1ueEeK;4CWSdhxKx~eW{{wo*(U*f@Is4Njy3f>^y51ij5U6;~L(*bh z+t^`axv4OwYEz$(a`Pbb&T?_rL+hE>)(4&>HKamUv17n1C4HsVW*QRFexR1GS)m*k z?3_Nwd4fUzO=ktS2C-x1$8Vk}6Q}r-Sr@Q@V+SH983bpwH7RP-!;37}n#Pu15__G7 z`nR)=RSKrO@y;;eI`WMD)@Gp-S+=A?KTneU)p1Ef)CRG_vb!91rU_&r*|8BhSOucA zY&-YqWx#<1iV9apygpv|*uvoAWi;ON%%#V*Xs*F7mJxZTctF%&V1$-8vokZixNfY@ zmcLK!>{CKm)*}>rO>V&qkz{uggIN;2V~YQ1lY4ReZ04nK_~x9YEY+iTwHPi1Nt6B@ z8U0~ALEJB_#I3eVgV-Iq6OVwA4JIo_YHX+wKF1(OfE4*YN@6OE`81lEBI^=r{p~#7VGow?BFJsEX6j&jMT3`9DR{L-v0^J6Wd|bzPeSFNp zGKfPWevl+4D3R_?4sIpuDLTpXA+Cb05}@2@+kLquy;HWG!^pY``?2XSrZ9zzeg1+f zd35cxjH_W@(D#18m-U4lZ=C-~Rq3dNBtc2m6J^@&xISY&_3f2W2HA(U=J?$?w8!#d zvSk-I?PVy+uFp<=`d-4b(MY$K%8ID2bvQjlclV_#f40mk4NOkg*9V=u{l@dEO+r`G zOCNtohh%EH;N1U~>TS5hTrd#|4vkxmQNoME@6DzUmBXSrYHtc@T==q}(CROh?N1;1; z456zd5tSPKuxyK4kU+8xbaL{WyLZmsCA%w+{G?s=X;zgz9BQKi?sPuT+7`qOLzTCA zeK^iE@h6@DW}YFc_@L%2iR=~VP4u}V+e?kPSw%Z8v9L={v(yvkhBhG7Xnv$-()dkV zrrXL;fV6{rcnEW*kcXAVC&0CjtJYYfpRX;CnEonkdO+YsUU|ew8l=i}%PuS-wno@= zbmJxZc5`dNQ!II22j;W}Pl3LyuE^4naCgO1G_i9{SYd^sTOXkIrY60Dx8o0(^ux=!d$VWgLpm;bvv;aXjn%6yRbS+Dp8&sVc1d z$g+pKMWrW@1#5Qjbnc(4KxA190cc09{tjR4LA*KXQ{fvsEzoQ;Gem-2a(g}y;L+kDCt5lHZgcf&T|G)n7$OQsvd39MH!ZUvaCX52fFe{ zmAcGV`;btb%i5lA?ibU5%n`fT2$hiTpbDVeMDIF%*ccyd2=D%mMKsTuer|o!0PY0N zk3fe<$zi#_1?8D?5;w3;U798?p8Nlh0rEdN)Jnd87$E;CO_r53yO4g{I{Abx{%f@F zQBFJ=cWIzZnLlfuya|~;20qZD-i|L%&6#YN1_?C5ByamI-L|3JQ_cp)C2c00_6zQfs=pzeKG>MI*-q{zngey z_sApj3Fs$8NbkP{Etz=HBh0V2b;9q#P66p%kF2MXK8}W|QTS)@Q!j4b4#|_tcHhcY z@Ft2n+a}>J(ER&h`bH{@@4wd6>B$ZSl}L86COFXMrO3QZRXPS8FYL+sTdH<=fI4cs z_{iXs6qX}bP2*86G*`l^zJ?kcaO~PQMv&d`j^a5i(Xm6i%)J(eaTV4b=H4(PTd zKRn2TuK{q-XVsJ~O*FBRAi(80r{Ut4x5KZ)s9AFB9Wc^pAcWd z^nOW|VycyCq=%XGe8zl+KRQ5c@vuMdJ6zE=AOkH5j$OzLm3fNW3^dLLy^#sP0&{=& zPJLBWKW7nmgqAdIAr`G&*~i{0i763#o;F>`Vt{X=apSjp%ffaGkgC)JIM5Xn571 zvSgInNV+L9BDRy%Qk$vAmx<1Ftp!|zqC}k6u-Uv1SxGUzh~Ro}!EE7}x-K8sMJbxQo03lCWf*D40!iku zLpoy+6ve&%bzpN3(g-TcAEczV= z)@hE;N={>TZ%$1?w?Q&m>Q={Yr$9+tT8PZ*<|D>1$t0^i$m@Veg_!X1;?ylRuS)}T zL_zw=6}icHYdR#&p~xxrp_qRBrJ&FWNF>i6apShs>rOHRJ+$l$*vK8@NWpZ$XZXQ} z`>DwKB2pb!`Q#1$UEk)d!q@9m3r%mU7ExAFjJ2ONtgdEI_Vwswst? zgt5}EIjjRt5@w}XziPk?oyhs~k!!{zznv@nz4Ff2xt5=UHscY8>a4{(eA&939bRRN zP*NAd-BHD2R_a%~+&p!Qz7|}FKjH~6$H>SaqQ%OhhnS6htq}<2B>ulMfqAi#6e=!p zJgSiiHm*>3g?iUh zX+FkyWw}IZ>oN!-T#6xIcnd=sW#a9ELEWh~RUhco(!Bbi0y|tSQ+bp9wRgm&Ff&5_ zM~+B%aMc`)6?&dD7R8W;6F*t}%vM8<*iX|pX1=i9_$qT8oLL|&&^l!`PM!P&;#T8? zP_%@+UxACHE?Qw`7}ne#XhS@4wsL2#2C0dgkCTEbUs{FZOl-vC5UT@36H7W|W<1vy zUjsskq5k-ZLFX*)t|-tfSCAe*&?8Qzuf4Yv2LDLw)X?-7Z$1zgvBr@Y_5ZRFj;%!& zuJvFeXoF#DjgW0Yfex!l%Ke4~{knGB)eb>RnsM%!1iPq|(1UrY1_Z)_x5HxGZq-e|-h1EN(;i#sfbgFWh zOq|K(d(JVE{DwK##?SFO=T}FZgpS(T#l~RwZl`8B>F>p9De{8W%odfWQ+ai?J75~u z4f4-ZGiY){Szz}0-e%H`(S9cC7-A8>edtcmh)3V%oNvo;&2~{MH_R21wmnAOJWFI2 zpf5PNO;d0kcF3lwpA9oNzu3v=+wjcFF;p#FrGbSgLhD73QFwJ=5g%okt(I}B#*`WV zer_EV*^l}orHZM$vu1H`9R7h6x}(B?2%wDIRDHqLTmM_IPJ{*BfHK?uMLHbG>41N6 z`mN-&cAyVAVwgnyR9_7)4F^n73R^KmMr*Pri3%P^Rr}ezHa#x0_D9SkWlox4j*EYx zYLkms#E=VRg-*xBxdGgw8CSDS?0Ot-X&E9#(A*>Ud6Ns*JGzj?F84)1PApC!m6(0; zjVJLL+BdO-h#2dX=GoXEoZVWK**GOGuMR9ANFZe7i5Mvw5CQ0y8R|G;L}bJv`z^jjct~cdaPo}67+9v> zElPU224UK%g*sfnc^@ZHI~m-eUj9V?VwC^4qoZ&q3OGHA2HRU9I%4P2U#rhUvIq_| z0_h5fqfA(|UZRc3DX=`#oprg((_VhGKiUDwRUEFJG`YWS3BG(?ePPVhP!@+_{7n^S z>#8j3Vxz@VB(^#BLYL9)RRVC0Z^jK!{x(3L&2qc^wyCZnJ^>bLGlBgSy_wrNjD}6| zrnY*->@S4#CntgbGr5)jiiqO{L&15P&lwL<|BYo$$%vo(CkY1_fn#j_>6Ku-j5+4P z%5ip%%B{<)d1y(_^yI9I9jlviEjh2sq|XoS0(6HKeF ziBV&QU)jh*!Hgigp6g%Nf~<$knxz==@v-%Gn?A_et!)ASiPrISzjg;}35GV$T=P}o4xNc9l@VfxlgZ5{=+H}Fw3sdF zXG1s%47__+`87l@MWN$xlq@EH4#E#5YaXVxZL+jY9vvx|fEW{R)HK^WVP1YLo%@iXxf7T&H5kEcxw5T74%^cP%O{5vbuY0Z~bCs3MPiCYCtsRLDsf@h~m5S1eA4% ziKPJ1Cnrk9ZiNDU4W(o={Joyh`^{V1&LtOqzC32L$!vc;;d{cncVwYI+zSJ@{nhjr z-hcTjg=PUJd{yo7n6HK$&N(X@oAiU1kaWqew}&UFdUigWtmW^;=1m6)yci$TH6b}R zl_9n)`axQay@QAQz);n}py?=tO9)(=pC~x1bClwkysR&M+#(o|*4A0$pWQ;iER;JF z@y6d}WN-(I?EzYJQ*9y*7l*x58ElvKz?QBj!G)3b*_8K==yZ^?t#7`swi&*YJQ`#8 zWXPhoDKMoTuEDnga#fFzpOJARHDw;BndX#$_~4PoD|MOJxdCPm1YVX%Q%4xMc_i?; zpSVosIqfZ-5eFk3hXXqeb#0uJ=nn054GgL30=bYfl5{B|)XcSOuWOqzR-I9j96jHE zpbm~)yp;;#*fY|4WQ3I1^kdVubg}Px*r?u^z%(?t!tXT^p(K6?o7{v=GAFnFXuT`c zP&KSAr!ojg`GR`(8YAZ$tBa)spGRM==dUyN-R%2|OngjL1-YrZ^d>1{5x>qXxVI!y z6K(|(9ZZwt@CGNzn^EWTq|qZW;&S=hF&loxwEK|XQ`S#+6!l!I(vL~N13+nJ;`|t$ zHF=KgW^~K%i~2PNJy|&Yj@w|3RNUQ@2qozet5zu!5`Oo%ougP_j;KzZJHB(YE)0i| zC=@^iI-&d4OW6rhh@q)_3;3FDhRYx%(f3WfpNPCBP3+*}M*kYYY!x-u4bXTsI+6 z`W_*CZ~`9O1523%vp-^8W6FxQ|1f{llDQ* zJ#_|TpN0iLz0i&Ka-TY2lf3^~ex~@__#K2{!@~+y*BZ^s9}+Y}{@OWdl3m|ftc54a z-Jv?|=aHEoh$hWchKNWqq`1@m^`d-LJ4Exb^hxQt)cmCWTtU1kcuxHo0(Nc>(XTCe zo_l#aRSKlNG!EyCR`%53{W$N6+iUgL*LqENH`P-wt^H6=@NCS8Xt9seG%4SD$5u{x zBrMHKPj>1#{=(fT>guR4;0WpXuv{#EP))u;5^P>8mE|3x($JdAN`xp63*fhU!nDy2eovZf5Mynv<#vi`mAFn$TgF%15oEiW^?M_p`y}l~pZDW4 zOOXugO>IWgS}*J3ocEy*o>}7YjI`;?T=lK?UGAgS_=@dj+NXL&+Jt|#6OPR<(SxBs zfgR{j&!gx89aTL6_7;<)PY5Dd&W7`}sT{(D{c-zrw5mUC zX&@F(mlM4DYcyG7PmD;*_{Rq>S0~+xs=8E<3*=rZF8n~A58bZYY6bgnp=2Z<*ighDw-PF!|SiRjI*QGj?*yd+95#yuY zvZ169uiVbe6c_A)G!b0By(-%S8&>|%06yX8saMl`ykmo&{-J5;QMwE2fge6Fyr*!L ztpM`5L%In@lX8fe5J9l~1aH*pbf%@>E6h)wb*c3$%7P?D6I{5%SGU$Uh{+E1)cQj) zwY}NceJD8e)(O%yv6?CXu0EeYVJU$8F&um~`4FgKM&nxuD=h$1gb?E~?2krXwf_fX z!{T~~0W)l#8-wbvN3w~rDso?xdSeVnxPpTIsZqm^M&Xn|Iq%up%#bdhYXVJFVqO=6 zMVTFP%{ap<)X`%mpe_uO;&Y{RA%)jG0k2;JKSWgY2ckgZ}uII{s+v+uc}i9_SkqmeOl&uGk3h;kZKGap}PFz2g548UA zx7c7+h%SZ;qGlT%Y7@B%W=^iFY8@HYLDp>@>EQxAL>nm;KgO z<>HO-G^mZBczm;6+ukck#3QKMwV0++m9^j~<7Owg)%i~K%dZE_&;7<1dV9E33WIR@ z6N{Gz=pew?+QtKDW_DN3UtYCQhCO&=JOw9|(r&f)NzO%NPBvBa_qajO<(%+q4rE$d z;#dK-4sFM#e6{>H?0w0pI#OshW&ZW`A(3~`j={l0%9)wlNO3AWZBTYe4=MFM%swLnG%6X_B330nr9Kl1*9*X- zz}>h+WCDnd2CGe-RW1(w1;?Uf-57MWnqGRHYx|~^1L_0hD?^@kjtjnN9Gh>v%%C-m zam!Ydjp{8f%i6y*6&N7lFY0|yc}q6ye|SKg22{TMB~QPjxnJ2?ilj_$-cjb}WxO|T z2Sn5?oGxo8;d6-88Leu7es zz99!RarB8 zTrI+CVHUPCTI{?FNSvcGN>9r~*(G~s28P_WJM{2R5MlCifMAsI=7)8GJnTEGC#ScL zW)C^Fq~hOerXV#Ad}!%*&y#>^#)N!@VK!7Gf+)pK#g`BY*{LS(!r$ zTSye(N@H)GJWubwGqo@=snA>NvcRteMN7Puc#{E|U@}s%FyM}_D2d77w&+MQR|4IcrzVWbpXhbi*oWj@knOvfLMYe)X~LdoN!Su_3^+xjvxH|I0@8FB>*efYRr`jM@A> z1&B*I)H|f?g3iVfR`5a{0DnnMis<=<`jh%1w7pf?bK}`ME4++4yNN9ojP~E@`!zAE%s`bjczK`c_%`<6 z%dBY!9gKQd8LcW=np(Zgi|BIwAS@+w+9-5H!ed+yB{Wr?m)L+4(2od;(civbD>>JA z);|E^Z)zq{q0~8Zn>oHMFFjAZh`8_yl7XGm1{Qotf8ZMIFOLy6K0iV9=|mM?%acVz z2`VxTzHZlfExD==%O*B<=BTsu6wR}-C<7mCXgFpqGQ(ig$9V5X`a_<3hbCrHzlZg? z6`don^egL{5{3yns>=To%cQF24GT9IQG>yvt@-c-jUIQ#B4Tfcs*%j2#3wjVFnB>`!&ycR)*sOatl=C%>IDfVqs0RsvFh3EeLhpJ14AzEzO2XZlT+-T5R zP!l)#UURENJE_2QH>sFAF>nhv=rBkrlDtn;mqV!ey-q^j5ZASs(Qb`mh+&lXCD$4} z^V1k=gRBLB4-EcyKL8%D%}W!Z)TPS5QhTrYR+s9B-gsWXu137h_vR#+HD!W=vT|wj z3mAI6_(n{!{sAKBWEOGq&Pd!LEHKd#$4p;xxhy`{OZ04q16Y7(sG$T^ylWSuRf&)aN4 z>5j)l`_z+Ta}0N4)jZP?S=tzbylf!sBJB+5_wU8Oj2c6|N=mQe*t-5w*GwIHNuZUM z8wM@V^8ta*!uUk+eQ1!%ZZS?0<$-PX;739gG(m%c-i zKsRyd)rxnAckL1%2@Pw++IwfeOnfV@Tb=ZFH?GeQ8#}g3))|36d_BWmiRvNV(?w%v zQrSU($Onhn6RyzA4;;Agi5D~%`?LdJg3Lu_kjlPxvIsZ8KF6%mZ5j-ZKV`zevk6BM z9?BqE7GGT<+aIh)*(8U0Ael~>8Jeq2^_Z|obJnMg=$~o5DtPjUKInxb7D@Rx;R%xD z7M_U|*dxGyV~bi=)*vVgmt*A(n+eH_{Z2rNe`q04H&RyLBHwMP%TOF@3Y8OKV?vm~ zH=IvM7!Iqniyz@uN5sC1GxB|g7Dn6~Bml+ux&@@)OUAXy!Ut;|FKO*f_n`y*CcsO{ zQ`7^va^FS()gD7Y0OJ!W?k@!g!gPi7#NMutFx^&7wlLuxn4&^;m0e+e@7)ztyw!QB zt^QO+EYwYlVu-o)W2umbxk~~_K3O*Nvp0p1e#$42moNLCJ>QEfC5QbZXS=-5NKNEC4mzbJy`DJw2WJ>*Fcjx;{Hn>yk*FZQmqh$45!$_iygTo}&IP;Cl#dKMDve`7YQju(`uvVokhJ;+BfAiaT|*v2O8{qQghs%P2$_2HeKmR`WoRt1_}b*^5b;^1A$8xybdi< z{d90&xM)W}gcGuvS#OuF+e;61UP;Fkm9%%VO_$hjK$v`2;^#b`aNZ#^{phe9NMm7a zvP?Z(LHguROqvOFljMr_Hdb^El&w9YV1|`TQa&P8&{lPY+1J`)28A=q?l~Her<%r# zAkSzZkbzIt0&@)po?H(l(+gxtdoU6y*Q28<>r{}@VxUrX2-+&N9YvaV1#PY%NY9o9 zhTMK-uXPKJBKT186J!W%g{W2q4^-@cyi6(-uVR=zyOxZntavLVyyEDp3AAX=#_NeWI#^;ePXt!+92Rq_fgE1E_0 z)#u7T>CaC<^4QfMkqDmMb)MG8bB&@TMZdi+rU>&7GkU47whw4-7H`}J2+`XKo=NMs zVM0ZWyGwUA4Sqge)e^)&^Cr{UHe6(X@mdBn2S($iTtI;{353c=m)s9}q<*hxsiInIx>Y`;~X zeT=15h-S)KbZMVM(zFO+s960Jk-Bxn04}G~cS@D`%j>Ud-5O)lZDs)(C&EwsinCsK zX=(~mE*K%dDp~;hLZK21pZ-v5ufMG}F$ zJpKZ+7V%Cdw=pWZT^I*R#0}oBjt>K>c$!{$5|~6}x79WRIDriW7fx3GIxjX>nXFi57zS*_1OA7&4+SC+m zxrSsimcNINeN|OSIV&#f8nO$f1e5PcS*Z*!IV~yPqMt|~93SD{iF|a_obn33`>s=Q zFAudNsGx0+(a5L zuNy<(r@w)S$!hHij%oh553=397}bv1V3ekodXscdwEBG)CUw+h>M0^?$*1%_dP1op zajml+_RV#-%JKO{O_QgF_;XiWu5vbLHue}>*C(Ob?R07JT?Ex1TBK5g`R~38INT+$ zxGr}71aV`|ey}(1*$hlbf+iDFC1O32l=v9Xc^ZXfVJ>yXqGoZiG;8N=Vuk`=8@`I4 zZJ|z@LdOB!!@Gb_?8^r_Rp)j&*VEL??N1zBTI*&XK!c13`cAZU8HPVkD=ejG9(xbs z>jdGnKf!hUAnGd=zV4`P47wn2(yy9F4D*CD_MGXutx4DKX|}7uappl`CJ7T)HJwzcyzZ)0 zyV|{Gc`GWD!?{IFc0zVS*rdSB?ONJzhrrFFNU3)B{%H0BGr@orXRydt%cJLhGTl&H zDv`seEaOBPp8_E-6l)$$*1V+kOynKbR8P%`!Y*zNc3#3v&-1c*eBlI3f#s%mS@^K0 zFRJj2FiYR$082yqQuu(t*UMu|{U>~wntCejd)J9oNGv^hc1CI%;E7!XKp*MAlT*Ib zzHG5=<3m!sF@cPC{Z7ME_}6d{xXPv8Z%{WyEpwKDJsS5L z%^TH&_6y;ON(^O5JKI<=QwdSmHy7(yIzK_a?zBbK-z=?iAy3F{!}WZ@-rN+nBKf>k zCFz7JU9;%MQXZ{G0!iDAUp^&X83+gak(Zr7iO)E2@a&tFm)fB_-UZSc*nB^GtKBMO z1Jf?0$?&iav5^nJ3|EM|-!x6Y0G)g2t-DDQZtB|~NWZFWI>V>Z>9T&o7?CS+8KRTA z+~|20`%QwpGk8|ZotZJuc;r60Cu@b^iJg2R1j&!-haF?dz_4ss{(SN?F!}xX`My6P zWgcJBEcRA~ykf-zZSz@xj>%dAC;~5J)Z6d^Tdo?%WpYF9ZeFdVcLLAyatDK_8rM2sQuU-$idBmF?`*LCecS4Too5)k z^kuxB*R!uhw^ZQ?r6BKhv4ZY?E0)xtr5qZU%iL<+kXuCVgFMv_MSpXZektbVe0iU^ zunF()dDgdZe;?AR~;k@nx` z!MMkH(D*vN@yoto=fkF825_&b3E`T!#N(_J)4UC;cWbG zo=x#?3BBe3ztGgogeiT1XH(N{`>ieRFP%Y&{;CkGimQl~stgJ!)dboQTb9Uj)zIj0 z?>fOB9_fvT0?7TI4GzZVje%?HJamliQN5t3GYU6>Q|IELm#l}M|&_V1s*c*lQfZU^Hs5rte(L!hs(D*YZG zG~)E}>D2>FZVhX$eqCpfnRf&yjuXU6^{(ec_CiX~Q2)1p8mIIZZHUt*q)yK~Czqfqu)JJwzd;) z^Zd(xGedb@H<`L|gvB{~c}^9L6(|}I_DHjeSGT1(;5}V_;rLi548m~xrO6oTriQ)9 z#zhx3F#u{!KI9}pChov%&2x5FzEGCl&inZ52jC`9>fvH6IC3$uhb{cvakxc#KOhf+Fbq22aDxeFNc}fM{ZO-{DQ+D+;@{6H9{<%-6{#Go=nr zDqD#phkieV#oOtP#KhKw%A)zcX!f6Bx(m?Rut}(XeJGB0b{c{n>+63|uXc4iAcwD7 zu%apJZxvj*Ia6gVFub1s)WlIuFb&gYg;Yw)FTUBGrhCiwbZfJ&xG6hdQ9G1A`m*wl z{YNTJb77nzYC))WF(SOAi}|U9XHb>-*Ie|BI_4!JPAo1WB`AHzBmd zK=;X%#jx?EEv0{99@=}v(u>->DIf7ADF{1^&GeWS>!~S-~0Hf3GfY*V-GM|yBcy6+h`#xZi zXJPpq2R){x$+fr|`If4ngX981@`wMA)_20y$BG$&k`Tizgiu(vvPH&cfHV6A_t7=N z`_Yx0mJqN!k*`n~``M{5tnbTpxUSS^0#NgcpP|+?kj}jB?d)1cCa-w!ri+)lSI?bT z?4QC75YU{AYAwjNlNnu?hkkU>fTOVfX2n-9pLh+raWYp;&Jf9O0j;1(<} zf=rBkdVt$-KHZ_9N}?mKqFHuuu`q4$0t~ZLIe9L=wB7{L^T~)RXQ7C!tJ!}Sp%d$50GHP*GGRqt|)c9$t_2?~o3(?hw+KNfrd6T{iM3*0jteC4C4W9Xdmj`ClCM>Im z*OkL3T7Jz%#(@VjPoj=s#alw8+|s|+NffKA*GNbwOJWwPdHEnW{RE{PJZyU+2LZzO zMczwCS+*EPiX)ptfh6Q{C2nqa7xbt8acj2FKDJ)EtiX&~s<#>{4meFmOrmKAizM`f zF2LbD?+u}xc=XBnzo2l(_@`UbM}<4!)cZ}j{o)uL9Br3NiwYzu>L&;=m3EueL=f4y z4%~#6ZGiyl5*K1P>^L$FRWs;FgO~73*KwbJf*P+2-5VJvw3giXp~9&KgK1#%Xy7z$ z+iN0MRx^=RvB?fmCib}1VG9YlJ2gNnel#f8ZDAKyXGtpa3TrDPGNN#{p#@fBd1V`pW z8f~NwVm37SRM?OvNfT?K$iGh8(F4|iC4y*Pi%srTXz%v-?vzxwU)F+Te2^pd&I#Nb zXelMh-bLz!l{$P%z0e4qUvyhK+1?WhT3^ZT@qHE?YtORi@;T>KLZC#)*ZC-Ai_KvZ zBG)~yEgjBVX~7*pfAdYbtC$B|AW!NPzn^$wm?unmY){1KV(5TAyiSlD2V`z&{;2qwqbwt2MpzX$nt6g)! zP-e$n2EI8NY!Im?l)rfYIzUjS*8)egT3fs18`I9(v?o@*iAed>o5f*kGq(hck>b;D zD8wJ6qZyk@tGm}56An=qtJw%27D&~{U)q>u1k@dFc}66Ul>CXN%39rZi?O%d$QU}Dq2|ZA z)Uul-P&jaY^gc{mIF)VJFp0M+pgHH|CZ88Y>I=W%?yWN1)nwd*e~PG$G+ouEN4X zz*vfF6K6nzZQ!RdI2F7W(^R2w9J%Ouli>!!spf8YHs2qVS}IqFV;vap88>DzdJPZ@ zj{GQnJPaeB@Iim;dF^$?`rs*TMW5Tvo$`s?gL3EfwyP}11(jwE&0$<4dk$|D5$v(? zr^&ANa`gdnVzN%@D*vE8n?VK;HWLCy`QoUBDa@E&ItVvH#-cd)`(U`;+!Y)D(ptGG zzuZ9~GrXcvHQ?FX%3k2e5u3CH$74O9gtP0Kmn-q8vzv~XEm&X)kLrS9dlt|o!rCzt zBEO6qTO;u9-~H}fHURy^#4;Q?^)^Xlf1kU=dUVhfp@xR0sGS@V?jMOw=Ol0bH1>6L zdFOv=WAfitFBxR-m_^$~MT#So3R=X28EIi$cyBr;X9#URxn#_ltc6U~muirPDCSx! zwwU>fA%2re2gInwIPVbnXlJ_>BsZYbfaj;n!4kp8N8C7kk{`MXEJ}b^4U=dsDTS@( z7B8Vv2z$!~x;D+@gYfSMX)!(vkU4CaXqHoYG$E0Y`%e%J^C$)1)s$!TfyzU?A1)WP z);L_2GZ9XAOi6uVsv_0lbCnswTJA0MPsZ|XieRbOi&89OfKelGWZNWCsQY;|C=j7?9^_?hSa36%ISCv^Pw)d8qyb z#TG!8A4C$Ig2qyxay{5?Pi&c! z%fukG`vNT`iDrLd$!**HArkASNDz4E*F#NJyD zZxRQao_3H6LDKbxf!11pN?06w$D90RaM2B^unaj8j&WXawHs7HQ5BQP`F>1)mGY18 znX*SJ@GejXv)2WH0)~*gmygN?WUavX0qPV2XWYQ3Ic`~AlJcpn6S{{G{VarPz7!Ci z95<=7AzV6AAC@g&h{@V541rN{pzY}oYzWANQVtU!cg+`8xdxhshi0E8Su<(5|B%fE zH#URaMkn@)A_-u}$6o{ff<`Nq0^OX-I%41v`Y;(3p78kMC$b@x?VDn(bo;#|hU2_I z`OwB#mHa`-n%oNqtw4*J-VPBB#U8VF)a9hpS2}n)I%-nrjjLSUbdrUrJ|70U08Q!S z!MvufML0S3!&`(tJ^oNs^ynitGC8GLO>!1fw9XCZ}ofREcbt)hu4qXk~-n8g_B$fD4jEkJERJBXHC-5OQTZGGF*y@`dMF&4`8ZJsPdVm5|)y9Ca!*{8$k^27>IWPX&}!G&se6oz^tB%mFMb z-4$J5*G5y}7kI$n6~8x#u<*tp_dE1A=V_RGLT}B7i3aDAT^MF?KTtBh=R*ZD>sWv~ zi?e6Or`^~H|9qET&1pgJy@uirALOORqUlLXFdL!l-AjLe%!E65ebDqJ0t=nQ$ioN{ zK8&uMejz1^A!)V|jKQ-zn>X3ZO{KnyjFaIjIr*aC&2c67fLUmF2H~5p#gl%u7<_2{ zdU{xQ1Hmum^Jp&HWqs)V+IXbFMbrHtCZYQkf6dI+bc~32M3aamtV}UT$?93K)GS451onh{=ahGW=weg=-3s}h9KKGC_472l@sLDmW zf1Rhoz+l2L@=md*sx7CiUC?c%3Tb$-)7hoJ%g4^1c<1HvqF`$dy0p?7C+T!gR<3?? zGICO7Egn?K(a|x5Qv2>q=>r2!xcb65spI#J9#}J zgmi_trlQBM#Ye8b%uL1{5%b~G`uj59xsJj3dgMWc`^uWu3p(S)Yu4Bzc? zqxY5XSJ!zTVywbz;6~(*IEgn+x~w(&I#tk7@>giu8$^dh$Gr!>?Ep*K;D0(?_)h^$ z*07i}yU3JN=kaV!OX0pU80!z49~#^I`=;G2%k$RP-@tWuytVV_7{j+bNpAyVnyVYP z)5_|kKAue@Z521a1b6{x9C-hh#|$04|yt24}bFpT9@z^ z3o8R|BSI}`9ol^)J;uV9VEg5LZnMY&I&bL1j#*+nc=m#D5d+RV<WK50nA_o z8bbG5zBP&H1=D7miL!1E*-CFAvjq_U$DM+bn0?P@1zQ_6_r!rNxTjZDcBa$@0F@X8 z1Cgt5^Cvt`*W)|dLb{vmN4ID%v^UVb5~!)qOA@bCqsPW!Ehp^G zr4~Gut3d>7ZfDpYv3D1eI zw9XcHUNmt!OKE-Fq^nOERd2=oMkpE$wy6By7n6+u?$X|hq)dJ@|8D2OHReJqcu6U7 z=6Nv+j?Fp6FL2?eb0Igd96HEm%M{{U-KoR8X>r|f^+R>xU|y&?A__UbB8s(b>;X0g zGJk9g-d$T!qbO1`yz8=)S;yqX0vzJS&kue5s;yR*18i@J+F;6el1V@Bz2Z=N=A8QW zc3J5mLC8)-x(_NN0!|;zcW~+@nE9&Uo!YJ9kJGjS)KE&;52DuPcKzYXv%B0f?p&Sq z32CMKFCB1^Ummtbu06qoIb+Bjh&NoHb`$uta*tLRnwM<^9B)kQ67>2!^pCirOK=Ll zfOXULU1vQPZMgpW!c$&#oX7Ce{@$n+mZ_JjBADz%{Na-q(Cv{5A!sk}QH6Aha9b8m zV@I{ENOb-?JhB@>R}KW}hL@-MSqMIwwh5b31OcxkCAIwt#z;e=rDNl!C|&_3XBqgb z6cK9HDqJJWb5l@g?dG`!l3F=&v9K@_wbNUi1h8zifWzPkOMu64FZXL zcUfF3o(J4JvbC0)fhQ7?lS`(O&;#m4{qp!IMaTh73VZDik{@vT4-j81QEKM8D14MD^{6>o`pZ zsx`&x&6*(91e_CrR&g=gCRt(dvBeutkAnbRmu8vi;Kaq`mb zvMv_LEU?lYY0f;r8`y$9)r)4i5Sr@~iqvOXBS0%6|C~#7JM+4Db=y)!+sg4U3m@OO zBd#LprGN5=XUDyTZv!KYXwB^K)zqLcH_|il19+GtR|U;<20nanoM+IN_X|q@p7t46 z2eD+T*12XukOuC7k-E;R+_$wyWeR#lOMH2w@Y&U-qHI-NSovNSM~BFU>50&K7!u9% zJmVmf_M^`$D|1I?7WkEzMP4e3C}@R5V<~{DoqwG7LD?j&r>vYsgjtQW59U4SMB8gE zG=oIMAyVLkg@aatHrKxJ^tghGvQ7W<$l{A?5;IEaa@eJ!(;)AR?TexpcBVKZj{fjyu>^EH-}Z47NLqr$`G}n=y+uKfCm_-Pxx3!~bjoKA9D^zk-APx*|Uu?`zpmkbhT5>Ye>Qv#_D+SKz|&&_mnA(o3R zu_a9~jD&S;%?WbTRfEg}T-4TQkzEX2S?Y$&VrMTiCx&G^@V>$5S(^#3fdyM}`dRnU zJUDK1`xf8>RiaLA7*a~@%~)*0m~UORbX_VtQXtLJF;?P(ZvAj_@dLf%@&ZUzB?t0v z)4DIx680j#RPuq)$4z*Xm$uuiAEtBc8(ICrwBe~-|Vg{E9_c=rwg(&gf&~bR@1{E4a)rzwXXo^DK z*zXaWK77I}PlBuzY;TgpYbj99lEroi{a7xu6^!N!)<~trnVG~Dj5Zdt$8y13NmrLf zr;d19o9&on$O_yT2Gh#?&&?I;XQ_<`ji4NoV{WtF;0kI%l8i6C_Fk7=c+n~A=eu}A zsDgijK1ibt3q|X-N7E84KCiAJiLV+HpVc!- zA0&9muENvJ)iAdFu29oFfdgt>KM>(Yl!og%!+7FegHYtz^a}&{z(8~>c=TE+=K?dy z;gA5|o|MdShTf{H&%P9;sHj#T3$&Ao{epGRO8XzdiQ6arU^|&JFK$O|?n~Ecys0gY z9t7x}b^RA>4v~r%I>|#{MDo8O`c-ykj%F&n?>`*6#ihIEKTtw1;B{`c*vhKpNf+zg zJtl3Hrjqc=AJ#)D+vdJ8VF{-ommrHHYaM2rh9#|8ZTVr^KLi<51IK;heu%Uam_nuW>hm6#8a?3#)Ecz96V z-|ejOr0yQC%K<8&k!ae&ckdc~~ zqilif#l+UzP?-WIi8og)A^9bNw~@~oU`*)o(~Qf*_+KqLs@00n+aZIGt6Ey~*`^?2 zwubs2e}Y`f@6PBAA;BhY=5vS#9DuA9NB`wMU6)}7f|}a&2VJb!ncj?9pZo{y4wl5z zQx8p2_0+n`xAdxt^(=~XP0ZF6M)(j%+5Fd3oOWxBQg2+ihn;_XC!#|M5>S&DF#{6EUxIl> ztPxSnSB@&ZD;~YQjvh~AC&Ki5{B2@+0-$R5OFkCpf+MgC#vK`R7YDCUxs-nxd^CU^ z&bcxO1>+-#>`j(jTs971K?#^;HBWPr7R>4L+TZ(bLyAY#-D{tdr>ZO~c*F`c1~AP$ zlUv~qMAJi)>Fn0xwK1vT4{0eN_?!@W`F?mOUGuXjsxr%f8rRxBp^#&-nHFF|Th-c> z`G+W-r|}!STPri#;z4U`thHlQNoDh{23&!@%Yf7lGs4O#^s}l&)g9AflTq@$4_!4C zp2OP3ZuAb0@rO`;He`d}oUciB)gu(?8UcR>fCzxUHDaSRqRx2xyfHi8_RDICnJ`-< z!4snI8}5_ov#{?qMz)(S52+@|tg$zIpab%Q(=qu3WOGB!i`pD4DZkHcwVKYB@^pIA z$|8kooX*e|fu@2$q+k2YMc)W87%L6b5RtvCA3)a8IE2r_JhLUzo-F&!#TB(Cr0hCG zKh^wc*Ybz-7486bmZP?jjwWL9fuUY!LhEG5njvq7enqE*UAD^ShO_4_hxCSBok+cw zQ%Sd!;%gC}vd!6M)vLmE3qmu(_JB5bLA4y2rCG-*<(Ukh5bRL+B5&YASX>B^8DW%g zGwqx%`^e4oE=HFMG@**Utq6A_irMw{~8c-tLcU+zuM|Y(5A$6%gST% zWdaYLI|H}&=!(;q_mrjbT|L?;)whHEvby+r4vUg+=#_+r7jaAKDSLQ3XZ5Ufi2eF}$VI|J+K)z+mbN-{bX6>C{zu<|d_tp(#bKr?#&M;&ih_ zB1Kd4VPkEL4ehRu5IaNPfdJ6Cko-2ZRaqlMAn~!p?B3WzyY!i&5iOWb?}EUAq9XI; z^2YfRxps$ZJ8xw1dKhnyV5`bIQp+puM77>e43eHNR2@SxNQ}omnuCUJ?I87znGo-F zC)EDrO=FNuLrYQm+A=HQ<);1K=leH?MdU9)^R=h_5-{poY=lo`{bT(cZloxlO&K&Q zOKV-F;g4vopCOMxvb{cO+R|A%sTGy`R1M^WnMbkw1i{}B$|DR?Pu~V!Slg$CyJolD zY3_Rz382h5K3RcWT_#ARPNaWqb9fGYg@9OOPSKhw;uxH&5^qFogqk>W=uKlsYl4X} zWA?gxk4t%8trY$Fq|P>K#N5;&@lg`Icyx|8f@8BjvArXGDfJb`JU@@nxCxq#ATtPd zk&0v%r?zSSlCP2vwOnvoOf|oOjI=HE*=a=o!eTja_Hah*9G4femE4Mx+&aQOLMi~8 z89=~- zsS3yaw{G>6cz=RQX?}wK{Qk&@UJ8dGXj??-h$5uIG~g8V1lHI|l9j;=YpLGGk?7BO z~Bb&JqskO3xzzWyq`=|9#-@zU!Mh^f`6G-FFZh z>C1TeTRNsZCWbNTE+zL*HSLi zRDWexkVl^FuAqi@DPesCJvLh5A@2`_nC+Ktd}DNR-Jav$e}beQ8~N_Bf|mQWXlI>a zqw>J0kbwYmFXV{7inN$+{=!QN>jSIyChP^=!k!0Za#^v5?aL`F_G9FjQXjt7ILD>T z7=2_y{eDSbL<&V?>aw0^?pd+mJ&R;8d0N?T(K~P%DA0IRRHR}jCpYP+zNL_m<8veN zm9N|tn(8aJ!bL$r(vVNIz)iwLP@+s4*8miWeLZ>Drv?4<0-J@Gtp+ZInrw`kZ@=|{JZdnRkvsgX_H8IJj6Rq)?f9|2v$`HKIZbR z)wyJk%>;`5e*RTz7(kf~lKXtlW^!heEygM;AxsC04D_g8m)cb$jocfD;fHdykZcyb4;=BUH#|m~ypUmbY z?aW+Y9ZAY-1?Sb5cb6eHLghjNVTclU)1M);Lq9?C#sL5Pkt7`Bf9`xufkh^^O;lac zG7fYq<#NjD*6ER9RFQWP895)SQX#3o_|(GKE3e{yEv(T0{9{52Wd5(ZT$Xna9tr(n z)q~8kJVh6~losfm#r99oWB!E0qT};pAU&f5>sFKk+E@(u=J*3y_MMgB1AqGVQwuBP z-FNJ&WI3ywQy-cOmU=`3*)UYoA@cyyem59!R{I-^>8jfSTA2U1j}akV{+Id8Fw`md zf&(*_#_`K7uUj`k;N@HRqZF+?@~)fv*qqxl9pgVSwOAVeZkI2Y^lpkfggSfpE+N_T z&)y(G^5P;#<}KX3ClI(|EwmW3jU4#gDP!E`#@LC|?THvv(Wjfs)h8))-KY_SZ-&(= zjwr#bYnhM@JP@!{Q4AiS@6^3JwE(Ps6oKjf7N_9n)c#|8lu>%Iyc_Rj49THEq<=xp zV&C`c@|c3bF8LQ=_YIa&PW3DWhJdzJqx=QqQ}Nu zOShT#7cG-Sak|CF1%ktx4{vW2UKAyKzW^B3PW%7Lt^Z)(C^=e?X;Zq^SQK+22)^Kjy1x{`wQdZ*?KDsYdIz7x4qQ$f@M1RR8}5@!tK7 zcrhIGu}M4zNlHNQn`UBQvEO|J2KSMzez)mA&=lY}D_t)!U}gAZ1@U+f{(GEyxBmFN zUl6$aqJRVERRT<4zdYE!0h`Q%{~U`)3jFG3R!-L6X!3Y|@F$}`o+#8A{71+yw)h8B z;m;v>?8mPz&;cdC&>t5N{bD#3tfkv8_ZR6CL~+FBZv0YGECB08gFnRQQ-diA!kZDP zqosJRDN20-nMw#4c%UZF1;5IPP9o2W{tuw!Mb-bvhE9Gtz{uQ2H?DHX{Qr^62HpH{;LXgQ;H9(gsJj3gXwqc_W&tv-~C7t{8hzf zY_v)V=IkV}%G5143l3Uc0ZyGh1E;vZ;&heKRo3A{dG}kx52p|_uR6wmRnZ5gRef@> z?=@up6SPdURdW~p_kyv16x{i%;OL*YzyB(@2Yj1_{;Oo_^FLAkE?IN`XGzZc-94h; zt;zXSY3W}83c%QgYv6AJko+cq)s)P>+Fsg;##Ve(RU?->t_GMqgZ9^)^8MGl_W0WW z^*;QXYW{o|{+-7;zX@h-dUe(O1PPRp|2-aizUXvom=2IBAIZ06+UvLFv#;cp=6}sY z03Ipz$64&{KUR=O^nboW%)o=zuNU<1kY5wrKcLkAoGpM-e@%psYtUcw-?cy!udu3Fz{`!CDf zw~*pXzdtig(1@0DA^%(WG(ii1;NZ+qg5`;$_s78UFQX5z{tA;Esmb%g!H;1m*wK_c z?-STD7zkw=l=`UG#F{83{feTfcWna@mCxOfjqxMD2inu{wquu1iM0msef!FWROSy`7n_Hc)3pJ z2fJbcDHHu8Kp)a5b%LU$+z%;sfW{6@sAd5fc)_luK!(cxQ3bGkDy2>+kYSTzrw_=W zq?!X{-~_wA1~S<9k13Mp4UXwVMoT$s{p}0IY4QQ@9$^zq-tu!6$}Fe%VQ=+MSEKZef!@)J7QL5Mg=Q-J$pl9CPrC915>@f6=z^!`Bj5}z@HuZ zjrflqDLTBjf9!*dsihgCsG*&`CNs?5|!izP7S8 zFtq*EL?&&Z@A~$dY@A#S++4)0oGc9N9K`HQTnx-?#H?Jb44hor|3c2n^w`TkkP8DO zRb-T~QnF$ceI?7mz|A0|X9x7k*ig>Ff?7a;@gJ@GMeF|(Jv%ce12@oBE(SJYb`~Jc zM$8Sw|Bn0j!2C6o=2o_f)_VGekK<|ww3zjgvH(&sXL||7M`{8I5{k^k?7xN@NO)sw zrLSmcugUmERGd-C(Ai#_Q5;|hAe)jB2Qf3}Z@MWd0S)*w%0dj#;&H&lfqH(8|L=ON z4Q=gB4efxUvLY-joWQvLf&E{U`psg0v{u~I+}_X@kemU{5;fGfG5|)*(9+o61gMpb zSwP?qmNi*w)YP2_ot=UL=um%qXC!fne2S}}Evf|*UY}X;J zvkkLODjRbevw$Hlsoo~0tRIgMO{EUi8^6ujUcC7+<96FPliFz)sPp;L>veCfz4lHf zFI@>!E0nWWui@d6w6#6D{Xe%@_IH$gfOmjE;+XSaQ(wc>Pwdf6X8H+dJwr@Exh|fX z^XF(&zrRfV;H`$ckAb^syOO3MjVRbLEjTpfRZ>l?{jNauu=;RMo88_apC`iuQXsE1 z+fmu^DuUgHSuR`jg2Mh|+-gYW_a7s(v0IUpWi(DoExej3Pqw0Hp9&OLD-3-#&qu)? zRrzkF?^7S3fJWP?01s1wsst@-@;M+0?ma$_jn&tnFYVl7h6&c(tQF;mWX1f5`J-&& zNZ7eq%nKyJhiU<6_~a~U8m$@l^{wI&9vKH&vG5MumxH=Y3=-U7`64MjA5w4C=P_ES zi0zo1^xL_zls{-epUZ_^itP~Q@|=q8pp3om>CXI=kFlvV5{}d=Kf;{a@hr11>M*kn zQ%FT|M&LbX@7Z-osLxM$Cn%$5YYY1icdcV z#iMtTGwWk&P-V(qsFi7}L>hF9&Zv%rphQPty|;<~!WNP%+g84A`~4k#0?P%QdW0F9 zdV5hs@CE!$aqxwuyT1j=QVhIzDCl@RrZK`>pj?2N74=gsx}&^!xln}8TTdJQGec=H zJmWD8ir9c~@K)P9E`?@MRzEPMbALu5vfYTD^A4TSF8j66#e?s?D1HW=u49JT=aJ^< z65|Z2WT&J{=>DmM8l~{yN$PL}ik^4HX$hb0<4BJf*YQvl*u#{R+yV3 zo2@BCTT_$ff5KL9QCVh^0>=x7*l>~s-ht#}25Pnk?^I8IroZ3o!fa{Q`c>~n4^v+2_E`2;Dt|W42D^#4xE}yi`>JQT=MlghmVnrppv$%xH zR=pJs8R|YH$Q%oG#>oyADKC-Xdv&nDQzyA15LZ_sa86HPr1gZjy zcEVahHqwdvG#noUBeC=rjyV!RyZ@_Mm_};f3`#+-U*AkAL*?z$`#k|qjkY&D&QK>v z0q_e?wM6_;n~O(8;Xky{f7P$sH^^;_UJETr8u zTS6{Fvd`Q=KY({9uD$yp*c1Pp3!j*_5UFJ5t6CN3UXQeN7anm???F}|s>$&SjMokt zzUNxL(LLm^;Ju@vkv^Th^`%tviAODivExxI!=L);;5DQ71WdE7nbA7BvXFHuI*FRQwD2CXEsveP92ZQ9nwub`=z*wPW&9 z4v-m#nXqHKPl8xi2a-~whj1z3Rf$`bG13(uw5FKr;#8}z&4d|c-}*x3SC%2XNiO1o z3)3y~;REF@@pue8g9vLQ@JM96Z=&OQhU6g}4F+mj>xz?w;MacD0*kNEiDlc>hY7A7 zqPKCU$YV-AZ}BRFgqS>pN4J<$in89Dt5Rzu#(pIiPBZi3-h8SrIg})N5=|rfk|4qUjc2y57Yy)D}gJ?G+ z@iQ9WOIYsuv^O_kb`PJ&Ib<6u?@BbmRM3V6IDQx?nAX#$s(>K9f^bq$7RL=1I_C)5N4$CkYs9fMyR=qC zxZPVpz&Q0NyO*OY2_b2!pEeegkfN{|uR8?0D7I0?o1pnfTq!i^8+DTGh0_Zve{I=` z=j@VAkZo1Y6R`!ZGhBf}>U`X=AGMqNvkq8WXJ+rPTJdeP{AxVkS@Pq_k_D-V_UZ?8 z6McOW`&^d#sdALUeKzaFCng_a#=r)u$b;Ffw-)`3QBWKdtr+S%;WqQ4`?@LyXbMy% znY7l5vF6Jy!EY0H3zwvVVQ4YoR)brE#~|TA+DL4;BdSjZsI4Ma?rPWHp}d_wy-MP^ zZl;WqwrVCPcC;M8fNil45ibAH8Llg2@1$@x|0;BK8NG>Q@MSQYbBbQ=R|Z%b{*{0p zBbloYoIm1Q3zxV=hdAex-w~W;oOq1T@ku3SZOfm#mp98tC?dL#fMB87ntLLIS(t|J z@Gou5;fpVRC^A^yb+%?72|%NaHwgwV%rr39N5@w)qlrxzAmfHVDJIPnF zYlYB?FGZ0w885VjP-@<$46TYWzh+V1yKPsGeK3>0DHIEz0?Qqmqu+ zWIX6ZMKo6I|&0g}1eh>zF7xP^r=j&H5 zV5UF8kiPQATYwR$>@Yzx8~Rl2E=tSS4UMG5VC5uzNX0~D_U3UWqey~BQjJ0_bo{MAwT9uu@Z3NKl5Mp272 zFM3u8OcHTNy8rH}&y_lo9uudoMZc?#bF^tpg_^9lit`zVyPDpixen3VSDF;&nAa&O zmFbI=ckh@Ii%Ec@>GEw$s^_G{#oatkp)V&afd?Wg9T~5#aD(awZ6aQRjsjin79C0! zz2|b6>ygQsb1l*=uB%m;3igHAvr(3JJzq%37gwLd1S2~b!ACePG~HEDtw823NIcNC zdB=Ah_6!8^U`OzpwLi?pV{i~FBz^S`ny=_$4?Tfjg?U~{Cc{WIQ9-J)ega`WBS%Al zivCf%AMwVoJXnQqyTq;hIdN;5-|>W3T>K=Z5jEqJf#+l*lwW2CRzn56fR5t&Gb$tGU?Y3unRP3+uozHL97Lkmwz+6RltifgnVBGOkZW9yjrznc=mZJ7mp>rq zMSfr;O!J*m!X}0`M(DYX?sBZt4Z!xWu_)V=k&V+P7W}GIM@~&k)m-zu?870*8_V)# z@9<$)LdJZGa>-YruS_VjJ%G8yHksL>Xpuc#P9vZ5Suo{i+2j*Oc4+W-Qy_jQ{|WKc*S zC(HMA%x1NgTj*Mb6lu*-REd|V_Sm&Q7t}g9Y$CNRyy7vH88xa$c-rTrh4xHKOkAiR zC#nIyW!21CJc={aWJ^n9)K#h3Qa>WjR1+ijYjr6Flid|LngwXsB(6Wt(>EL1`828~t-*6tx$uzgv8s1aIi0KAAE$Cvv`z3F1@ zUT71+k2k(+{sT{Lyn6W(D$cjDW4*$NnH_Qz;^v3Eh2dU}LbTl1S2@wvOh8E_t~~wn z7zZ884qd*>geyKuE!CCip(P#t#zoeapYpgcbJIT)Vy<~w(Tb2W`_v~u6V63~Tgq?) zZq)mgEQ!npvVO!&xG{ezbJdQ}!O}NtuFfjGgK?~3%|xzAnrE37q= zSr7}5QaJCW(m>|PJV;)bLFG8^kf-IIR;ibWfY7h5#MIKj;h8RLwiy0#glLftT_bf& zDTr5J0#)MLk)++su80E6+17!BvO=3<6rolh3Sp#E%HlTsRW(I-H>3cfC;ND z;brub9yfpu^$Cekcc(d%vn@sO4@2clpBQaHs-iC0(OIsWpQ^<8WRG?~gcyULTz&H| z?@K~hvZqd8k1>v&)tR0B>dsh}^+_(+Z5C;NZv9+o(Xjz|6$baEZ)u|>0Miivd2lZF zha+Dlsh3+8816}V_TXe`^DIA772bN<`3h6Yfq-bNp*t(3MFF1qn1=dvfk7x!0I~rX zk#Ju~JIW6e*OFFVw^vI02Syy3_^2n%f8NHD_G=+nAz;-TVRY0j?)vu@b=`QtW z>toeil(5=zy#$NiAczpsy92PNKrmm{KoW~r&@gX{d=x&C2p#UycrTHkBX7g{#%)i@ z8zL^LqD)n$>uPhp)>=QgHVsFz_CWowNQy@CEoljyJ5#pk?b_tDe6PILs7OYIw;k4_+L+*S z^>~r-wzRjBh~z4s@Vip4>wOf*et2zR_2|AgC)zBejr$uKg6Wrt?&KCwoKGX@0$FWD zUNOJ}Rf!mls1S$3)g7)1!735FVhzq>FWb`QgQ?5bUn`Nl+oERu#3LsDw$<8PVA+ew znU6_&n<0yY`nvX5Z8e&|Nas>}SSp7~-s{3iDx^_WamHbH0@3RGdVv8-zQ2f?J5unh z6UB`vD@LD&(a@{CZvuxseKCF46bTJWZ!aga7P$f`Yks`@?h;nq=)-#iJ934-ddght zY5;R_dY4WC%wHX%#2_L?VAL8{YH#>xuU)mh?|`Kb&`-$+xAOR`~DRxUs#~&XOW}kK&Lk~ zfhM42VPBrnZSxD;*O!f{Or!{5+-E;#saXW|EbK+Y<0W&%{6qM8--FiIP*I?K8H?Kl ziuRAgUZx7=Y6Wiv2*I^s<;#|n%3j2T6F+%Z;i&0#89!;vUA_sb5-<@72`vJjzx-11 zO@ckfJ4d>6?mc`~!4G~+KJICnt>%gxy^p*ma>TFip0$xj>$M2EY=1Qt%>9UX6|)G~ zVP-;oJ^6twt|KAZMZ`!mc`dbMZOSR2QDWi%vw@*qe{jLsp>EaI8%so$!i<(Ur8VnB zI|0@G=4m7l+hFZ;i~mh)uEBD8u{@XI0$A{(LVHDfcBC(8EF$8`*iDT%EU*l}r0j4( zF!1|SFZS}fp5nm;qmVw1|7N{X*#XcNxIA*T?@*{QGD5uU^#OlwAxS&69sdjPeev3u zEyMJ==bTIH2*V7bidlhB-m*BqyVLs22-lXsJt;LXg8zjE6$5tpk{N;IGOAo-y)&{k;8hPbK+S)TwK=?1WKv>2;)4 zXTRV5XTepy?_mp{oG-K+_U{u%Q^2GhOZ#*|e6E@tvJDxa^l^2+s z&2%uQa{5f>D0USqT{0}c@1RR9_Q{aUk1T>CLXM}awVbUjzsmHQ~ei36AvjD+Sll@l(O1xCh1^HfvqKsSu zIG1{~8N83Qu!xoG3yQDwLg&8L=+NBSq?uH!)k8NE_!i*!gncV_e)>_iJ0lrg%C+b7 znnRQ|qP&z^i}~SL1ndxW?J333eKlquE0CF+SY!p+*P8NKT#O`?Rp?S)K2kG)29_+7 zo~X>==GOP@I*;wex>l=F@783lYU_Ne8(y&4FGry>8$;+f>(a*?*7GOD_ z8u6{C_fE);%~yI{7O&J?q$G3B*Y@=m&EDaJ3O^nG@@g#d%rZk@sCgm{IgmmzhUlR6 zqVv4Tb9XxYLWic+0z^wv8W0M9Kg+0^IsaD7TFVcgCG`tCU?vk^X~J1?=hG61*RJ^1 zxEcFwBr;yszga{(*Giy%N~M?58gOb10PM)}QQBj3wAhiw1=0mUTax&_WZdg?GFQSR zx>f5W6wsYMLQjMUO!r$oP(D~ky&&<#B(SSn0Q1S)#!a8rBO%wyqY$Eb4n( zbxScfItOgvHnOvBAh~LTXbW|Tj9lf9O|uX;XY+j|vES?v7YZgfvJElW8S;+?Fv_Yd|W%A z-^MgCa^uYNxo{|%?Btk{<&^mbSSqD_oe|@U*)hM?3KSk{AkqkW!TpJC7)-I-#`eJL zM#{P2Z+@Xqr9eE6s~LI0q~0ceI?J@^i9Pr1olvZ&H|u{NDggn0E|vd>$bzjZt~o+c~)Mc?r@$9-=npd=Kw`{+XSI z>X(U|tssr)&kv}y6_lyO9bL?+xY+=#rT_pp6%Q{PCl7$v#De1~6$gL=$PVCQ=K!z* z0DK@YA18q7_ZN*23YCD1nFXJ!gw*d>dpHuLv2t^B;$vs`^z>x&1hF}~Sh53od3o6Z z9PAt%tPd8fuHFuA5HD5-SK6OV{MN=pq{Y<5+R4q@(Shn`n-CL6cQ-*AnupJ+{OkQvz20$^eS2K~{yhx26}T-_iJrsjX14>sWjLO?ta zRxWN1PF7Ac9v~~k#Ds&D*PMqF1m=Kn@Nn|{I^WEc&%)8g9`f*9Ax=(q)~1l3kDL8L zuU{WO{N{UzD4DsNn!EflI3EH_RPxp)E)W-QD&WI2{xx8z1Rh#)vv#vH|2ceqA3&mZ zZoeJG>_5k^o%Qd1`*rk3=LFdQy63-H{?*8TIOVs$J$$1czRT=? zewly2v!6Hnf9Ul~=>J34{&m^M4m>LGsKBEFj|x00@TdUFU#15SRF>cq&!5i!!|U&0 zt=~AB-)A6yIExQ-&F=`$9|+WMjMJYa(C<(e2=F_$^ADgd(8EOGC&%+U)CB_k26KS` zzhJKa7PQ6v??79>UFi27|8H_r53?U0&fl;p@WWf~zoVvpyYoM_`uC`*2Y`x$iUary zTKk_8R6iT~gVB0$oc{))0s|f%@-Lq5Cs4)x08{~gQFT9$cpjiM;4ilB*Aef-(eM5F z3vBhm2@B}aLedb0;1?WYo26U08JBd$nh~&-dYt-+Y&c-Er*$sn$2X zH}rEq>FYxtBy+<(Qhv4bMwW@I9i6Ff)57~?m(EfAriSvSmfqm~}(RJ>@)S&*x%6 z0_jeNqO!FZ@)$&vleP!)^L{@)K|whkIkEG9)6wGBwz#a%mQA`UB)D*8@d~>5hFYi3 zt_gB9Y7rb|On0ozrMMu3AtrJ3R_EPUj3ZS{Dmt!SwP}5GFozux0{yUA^CZGHenAPW zI5vw1NnB@Cmof&d8A^&7H;J8tQ!QlHJGi*kcnMPuAFoC7m;@RQRQ^W(XeJiGP>$3$ z8`oKcqDGbHjA6_JXE;TlP5LUcQ+%CE!!g;ufF;XjQtkco^bn64U;ZODe0%7|P)&Hc z1=L|etQ6n6QE;^XFFGNUNqRh=wKLH!dT z=3Num`+RQ56IZl{L)=O=gifE{GBXtSm2T0*na=hscZtlm-86T&5|P&=M|e3SC(i_T zrto`~foR|0x=N5}>}c^2bGA@&@h&4ovH?dwpndA3v5Ng2Lr6$#U!qrMr(Ke%kKQ z(V2(t=4PQEXy5`Q&|>f8nC1D01+~!lNKZvnRSg##=6g{2-U`=+^;HwlaLV`H089nc zUl(;|QVqkqJdYlDI&|Lob}5(W18#)ioci)~iTITbF zO1M{Z$E|sD#UN!P=)~pPAe#*jhY&h~kJv~({gB=5kaK)yCE9xd2k;1XRu>laqHTrt$=H$yEMwXXz{a1^LJDp@r3&c*W$+nY(WU(Q&Eg>f5Do`bFw z6rJub*7h4E^-ts>Xl;?6+e>*{r_#Z*B53iPyAsypiUL<49cW9$B~Kj$jahln_moO7 z$j4mfxeQ~lC{z7;XbM)jGd`-Dr0&G*}PL) zbf*F_*es!>BRhEYx9uHY2MAD)M#!<6ctWtVP`@IO1It^k^gWDOzQe}hq0KiHIb!gl z^ndPI!_CUE+#~LL?(ru1$p>K5VgaMFz0Np-=}S~yR-#&VrtpX*doRYK*sgIKGk~a6 z2h`@OD1p}&ZeBTm2)zYp(#W-{n1mipJpmwOrgyGc74EpnXr&2)1ITOmTa8#GeY(iA z81fP%kQ8dXy3Q>&N@+xrHD)_?dQkT8_YNxB;8<? ztXStZ1!P5fl zx0|i6xb7{rSvl4QZ)-r8;NFsKG5^+%m27c-iPT!RHk(jqhTs0pcxR+4IbWm#`t1!f zpOA(wPr+#;uub7d1&KVS(b zg4AI(a$B~L@?vN1Y1+V}73|^Lp9b*Xn>8BUba&kor4H^BXKC8?eJ$1OnyV?VxME^g zM1FIYS4WzbLB_ZT!8FxkmCJuImIByZ41k)h9MrPZp*{l7OEYsIXyEZO7&eYlIY7MH+5B z*OZX?CQBvKRLPhHR@&Ap`78BEj;!+2G6b7sV!^V{(<7UAOwHS!JtAvT)U+X^_lFtk zG~wLBX8j5Kyy-8sr&NZ&=rS0;>7ayfG)NHL&TcH=x__V3#kBarID3%9$YnC2@p+09 z;)2!FIc@Xrq0E*|v?H+Q`mU-r3izpOZaIF+xV{aT&q%G`8$2WAFr~;!G2JeS-w+A= z@ku{g!g2cukNRp$ua>o~lbK(3N`+qJmQ|Z`sCL)sZkm;Kw?YU%rt}-Ix8(Td+NE(Y zF5|QM_BZngf1LN|MbhXH>?ZXu-QT-1alel9eNKC`HmM`6a&@>A^Ac$?2Ji;ywBvA^ zC}lMSeX2!{9Z}7GcjwZMeGAuNyI}B8g?P7;*0WT~rh)R>S*q2yEttbC_ZaV-&RDz` zKhTHO-uB~ssb6c_OHu~oSJl8pSty@SB)A`(es=Zto21OQhnnXyU_Cy*<`zHJ8b`0- z>KXEh<7UEl7i!a#)NNX)da_b=Z(omJxSaFtop(xWrb#3!Z(T#sn8FbysBFYtFlMPz zn%3N=oYH0&A;K_nmT$P$NDq~y7DvH>E46#b-ery@_P5WodoO25+#F$FAg#VFrMeKQ zDMXakqUIY1O3>f83z)Kh{i-TA--({I`pgW!H@yfp-am?&gi4>dG42D_+G2y*F#oGV z7ZL*BJj8S)+Es*?jTwmu$n*_dF`BXC?L%NcnFo-)J+Vz%oOM6D?;L~tW*Z8$KMFGmi z;@+pbRX0TDxB#o5@jyQ)`=|yK6ZRtJZe0s@e7JY?lmq)c289jP7s4M25T=me+Dk#< zSDiOA2p49zXo=@>pWSjS<##VFEN^IDXk{EM8LTw(G6d=iDg`VO&z^N)sZU*o4+E#& z@CivJo1hd`;EifgS*=mf3tZ{Z3QmXP6%oGCI^vB4zg!pQ8!ur|2z%d8rO6MLt~O2y z#bgwKwO?mP5He9>vN~pq@e}g#$g7k5IM&f6)X}{#hJI0)-NwK@mP7d?Iz7W}u4boU zp>?V%sK5%bm1Xi>Q3^inN^IU~p+B+G^JJrV%Ve4Lnp{end^xhpZYc^tUnQi9bjvb1jXyF7!XP zdx@KDB}ok7DMlTe8C6bw$GE&#ywi2C8;#*1h=PcVSY5H##sc=h>``-pM7bdbi(Cp_ z!8}duII=Gbioa5^Ko-#go=DZaOgbjQ2tZ->Hp(4jhCz>8EkB$W(ng&YWv%9+*zlO? z+(MLbT;wGWPSzHsnqZ$MEj?-5+&k=x5=m$GHh;ZW49cWcYMrmjZu9Zuk({2cax=G{ zyN*DIFtfDEaSwG0FK47dbm-Fu23KpHGQAv8WyFBy=}?lI46a7~pH0|RGc-7j4g=_P zsEgkyi=}L%;@l@zpDAiu&{Qf%F`KKDR+OuMv*HMXU;FSIL?$SGQNU!Aq@-9lleHWu8#TxG9NcRz;zt24n<-J^ zW>LDEOrHuA6(xrou1W`=aw-k(m+D1CnYLqSP1N2xeO0u!yQ|6&}tmSYtr44+4l%xJo+8AL};Vi1cUYQ)t3=F%Vpb;X; zWm7p!sUn~s;;p^EhVwzYwd#4^#FA{U5E&lhr)&==#Ag6Wqvt-?P>M`^B*kMIPYIpE z;PF~6rwvW#(r&s))Ye@5U~F*{X9h7c3=n0J&(heWl*%Z}-{qkGJ zwbOMW^iq(Wcs6}UPg^|&c7Vo?3wDE{-LF@c3OO+@PiPQNWRb32_8}qU8eeg;r%TG~ zXc2J=|1p(-$eW7LU7n>TJ9+0Qy=&RJJ|%{#xzpx5MR<1;E!o69M2t($`BTJN@qmW9 zk^}(!x836*umw8Ta&MZr8;W(Jg@!?udnHzPOKs0T$mSkB0+x?uxKG*&*Z2Hy$4I=?NyGUV;bH_&Z#J035@rf5V#c03a>Okb8ZUa-%M;x(Z^rYZ zjhm^qU5umU>ICC!)O2|_*--3(SY*ueX!a(Sjzr!nqW&9nc&hLHPvI0yJY__8Xlt6@ zzhy2?ogrtgbWwSUyHv7o!(sn~E5nVlZm2w8sEWk4fg?~iwGX133g2ool^1X@*(>7a zF@syw9;T1j^6gf^ra?qlN)%!cAoTn@C_%;iO8)G)`x^l3X+GZ8a~tvU`PjC;N{QP|Na|8xmf9i$&9LYuD_6+9+2gx!v|ucqxw)gr>M$ zqS0^KEJvL7E~U7k)O0YEMOe~s3%AG{gbvT(!dGd(oi>B1s)x&8npV-sRpCK5eUMV= znYtUT>>t^b4^!xicAlLaWW?&P_Us-zHQRKeOfB1#SuZ6zw-lXOZm$^V>MdD4R3I^GzwP zp>Wq9RiRAMt75Oz#cd`c|fc{a{wMr|&dtFMHUWS*{}~g(4K{~xn(%P4LV&y`tejxT15;tjZT6F{0CND$02UmaypK%8 zFRbB_iTH106_0VSM+F`gcvRp~fky=%75LYgh+m=b-)A6yIExQK@ZV$Ue=rfChjcCf z4BqD8ec+;h0a?v~U{h93ZW9nI1k4R$H3b4d7GNN!2^Z%-ov84dgH0h8 zATw4j3v+W;PQcG83jhLOg_xOg@d9}`%*?^y|CNaf*8{xG{s=h#=f3{qKk!Ed9u;_0 z;8B4`1s)anH=Oyu%Afd$L-pXy{|CSs1pJ9I|L0MMM`!+F%>CNU`B(eV{$JmT`M2&i z2jJgv=Ks$6kFockIP?F}{vSE>JUr$gkQtX5E64=+;LMvp?5TusfdLPp19MJ@g{cLv zxrymNi#q%~8St=im(_#|{NT)+Joxb@AT9u_8TUiWToxc+9^T*fnf_0AVm>*4>!ZpB|4(f)pA{?|6dzuKGz{JHn=(V2fttMXTA zRsL^t<}J*@ToynJPF6EB-Usl*RX zQbg$C^%sKb=TrQ>xBo1O2>7p)h~)Cmxh%*eAKpa!z_m&uxx+ZQ$xG;Y9QyQMc+J1& zGwDBtO2P_vNG^b$Xjs0O0ejhz9DNFUmi2;;ik}`3( zL&LQexD_~X9%m#xOy&s9!WNZ^D{DgY;)KoEpyoS5s?q%mG}b!ekEsfcoE%;Eo98EN zb*MKqGuMI|l|4eQg9njk*&wD}MKDyzZ+X7%DnIv1B)f%5x3a9;vaCneW)2p6Nu$a) z!hxMdH=?RS_)w-PAmwhU89Y37>`8>VrHIfo2~O`>yK5m`3ZzgOcr#lV{l>ZEseH!l zAX7i4a;jA^mNbxtQN|s7kBjMYT&YXO-RHs1wro(Tj=T}V4k*F1jEa5w6o)idyEMoV zn8H1Q;dwluWP+MfDkb%>zZ?3Z_k;KqaYq1e+f}HSO3na50y835eE0w#}A&%@a`o z(N~L^1NcI99oyKg$PBf6n=z>t9s+QRIpC9?!NRhHlX(WUifblsQ7=`(A>mu%1iv~B zHz>W0V0cg&Npz(l13wE1Q;*+qboMx%oO>VPw%G{NqGpe1Hk0&9UL!PTDyfV&mn1TB z7ADRNdk|-_5+SvFPT;x$iPuJ5kFg%xD11L3;0*?iLjAV=0s;*%3rlxcE zo*J`1Mb1x&HC{(%AVzzmg1~_mE|2b7*A3Hm$+C{S1qFeA11la!+nQWmM)f4QLjJXg zcGFR|v3R+nlVH%(z-bpG9pR}^8T%kkS2)(1`5TxDYjs3ick^ry|226u-T`HKeM_<^ zffz@;C%q$`eKMo7G~@;94l;S4582i(jSQ!K#gQ^UXood3h^`0oMTy1%-wsYJh_6DX zF7vZp+HkbW&(zfA!H60qus`G_i<~psdG}fSaxTH!UBk$cMZ`5|Jt86A(=%u>l>~MB zX@jKD1k(uDns_T0l1K#f<>Gi-#yPmJ3EFmR%OzcB_h&9^vLv7C`z>|>oV1q>I=tyk zF4X#e3=IlfCBfXV$K2wUHglYmtXaSU#9M^i;W<{Y{#$ z3ODtz9#yt~i_{cPN8vj|LK7ooJ~kqn7uby-j9y$1c@tw{>TZsU94?6YQ)jmO zpZ=iS?(dr72|#UY)t$b!2t|uj0E0-Lln!+lQ9Sz&qx%+>s>LaozS*Gf96CUY<*d^w zo>e_ava<`=)zXZx4P|Ine~9^ndv!FJ9Z~qYqcXT_VwEBxTmT`i(+9_(^E;~|do9bi z!KL)|mm9jU9V{PxL_UdP9>Za7BU1oy)bSHp6a#}0KfqxUIWcg8^BJRHDP;5r7f*Tk z8lDCWLZ^c}*Rx_+18kxMCd>0u&LZ&|UMSw~5CnO~t*eS$1$}Wuep>%y=gTvf9M@F} z8+iTOlNlbr=Gb0R!z%)$-n+Drlh~B__Y}$Z7&~4{joKE5)pnSqMao@aMMv;>112hX zBb#JCTZ1%#T+OHpDp^VkwY*@~A%!Y-(TY#QeN9-^=;QB2x|BuRzj8=huk9M#%5qM3 zX}tn;6-B=E?o&*h-ecXGNLp4USL9fpBa4S6WfAF|+1ssM#A!D9F5BuhgEw{Ywezco zN+fw;B|)q=Dh3XK0YZThlk8$_M_}S=rJb()8U>Fvco$&hfy92%cM_f{l;^wdrHPqP z#`k9NBrD8-P84ormxy<(Vcwx4>I%c-d@Hzfw6-AGjJW*n5;NP_+2Gq@bjU!YK?^)- z66m!=;({~Hw3Z`tUQ8@L(kNG5q}m?TOH(Hn4|OK-aBX}72E&Uzu(~%CO&N_(L5kv1 z;^eyFK^;S%P}Vs8gj_5vx%Mt%>qaVxY(7<_i+G4zi19mQEfJK^VVp$l;xo96roMId z)CNH+gCdzwkX zJ4ia9LkH}))%zcWRYxa=4E3t_Qp%LeDojB<1}+@ zmfe)ww{!gPWe_%&nu_m;>RbnKxe;skJ@ji2o%1$&iO=yAyT+g$DD#}D%1yFmEL_Cu z;Vn3+T^jb)Uzp$@#bnOFOeh|wMO-0oatn8BstxOq#&%GA1KWm=lS&?=u4`A~$|%0n zbi(2*6As#7IxoeQ7pHL4@yv6|iF!xF9yI$6Sy(8i+iSDw2Q8!4aVjC9UL;P!2;altQ!*}?OM$fSxDiE>sp6faIhi?j_lZh{X z+{X;4zC`TWaL>OV^7RP}QQwSmZ3FUnMxJYqC*ewKDxc1!?bI00T+7%YgEp@*GZw0HG9yv;$j+SFR=vd8job`ZsnP9nv=mcfL z(OPXM+UDG?@~^xF#w)Lf_vm}QF9V3$-eB$6j5pegbbZDFQR=RCe}*T$o4I&KN7V+k zab$9yhl?9VY4@E>c|d6uOc&gKLuL{=`)#cKePI$lop+wnCQ53~IFP_^N#2sU;N$nm z^eojj&E!chi9}PiUJO`YZ!%mlPnHBzv1ul){WO+l0xMspuF%vSF&sOCs8+ilMaH?X zPGUlg>n3gG80t$0Q7Fdu8SIM2`^bbdl}U$;ZHclsiLx$WNU)}(Lt+wD$(*{oXkpQ~ zv!BU6(|ZjF-*W6sK)TEHv6;;igwF@tPg{%C_Yxcf&{+Xn>sT}^pScY5*1n@lO?Ub) zByuezKVzGB!l=9~7XV|5L}6MDdnbS84Ic)hbh{518VAzp@gOQ8`run_@>gG-;^;(j z6>5pJ>xuUcd$?pko;(8Sh*_nx(nX6x{SrgH6w zLdz59k1_Y6xd9lQ~y{RWoEnBkvC2FfdEK;X}l|9NFPq9PYb&lb) z5Sm+{Yq79uaKy7#>zT@{=Q>PpB3Em!$T;b7vb%L1mF08KiIt~yIQCVzWzv<2b{nzp z@AjL<5%R?yiL>Pr=iUS-fMYyz_!ya}?ENH`JZw)(sE`!1k%xBfLb4@SQJ*1`HBTeB z=MN@!$kcdx0=oB`L17 z+A+F_-OIa3nrtvGiu5X%^lby%QL%DNFw-2cqN?s|!x3)1*Y&7?d8hHd-c%``nvYuV zj4frBCfYg#a-|yRTZX12^(sslXQpWPnUTL9x=&mf< zwW6l62hLYBWs3(Gw}k7Kg|s1DH+DNaVXfW=f(c2|6;a&9NG_9xX9YuJiS_Eq6kFNx zR}EtMvqL2hE<93q(%Q|KnrjO21m4q4tB&Au(wsNPPwVI6%^S>b4b$}$Qj-W#8%E_l z_G%a|b=ia6ujgwlkc9~S994auSE~_^Qq<{3tijsCo&ZiZ885)b`Vx1MN~bUA9wQ4B9ff z{sD#RMDP|G{!V$=6}3d3Uiz~9D?@Q{_LHQD5(oPd7|l5)xNZqgCOkpblYSN<4^JnF zQ9hSG+|$+E;L!*wI_7ipCCuXXn|Br|ExOll?#R|(4nFsJsGm__`bKdu`~J)-8!#|S zY&SAvd3r%}9}R;vQ>wqU7@;Mj&MR#ma*^qaSM-c@!o9dJ1|#>tKJw-WJ$YXc_ZuZy z)hW38R=`A3@G`fu_wG@w1wY#g7GMcFH*1jQkF* zwtX&42XcXGR=nq%Wj*(P5Wb*Cl!u-uuo)CaIa9O01ZYT+0}QenP)^Lq1=sji}d z&Cu`t(49gaKziOHf%^0wE32LUR7>31_xo(4^fz4n!F6Eyw>iBHsnD+br_diAzPEyQ@u&Z#WjVB=Up&N947cRytcDn!J9AAlpk@GqCRJ2T2Zs>Dp zdn3;g1dksuEQ~XK750(LNN+VItyfQtFc_6>JPDf8f>o^4EtWY$P}eCe)<0G*`amaS zd8`7%S9xR-r#Nr#V>#MNB{d=1@|%;GrmiY{$vREddmG8)Dt!E_vYMr;G$l%-JmIut z4mC%@)Tm-29=LSg9|ujSYEg>jjP@>WADY{)6DFl8>PyTpz+>|aP=P{8*gPI57X1<~ zudhz=mN(jwn+bPdiv(l+Y9$UKX8r|g=%b9K`nW#$`*9A3yd@i}zFaMX{>?rHk)cgL zo(a=A-ayIl`{B&_vfLljx3N3_AFVMI*(1h`O zM)A%tJ|(g_R30NupCgj|_c>7_p<5^(_tD9r}cuB?`ZMhGmVp3>m#fo*uhN&9^SY4IyDy zeqJT;Y=3?ytRUvwaV_PdX7NPn>p}BKN8FXNT)8!Ud0G22D%Q0O7ojI#z(LPu>63og zIBp$shLv<56XtsyS6E&7kl%Qs?}Nw3p8e>(&gNoWP>0+eLE~L~87dS4N??+XcdgQ; zj_ttmC|mMnhb_#U1J3TFj#;~yj- zc_;w?=c?3W0+Pp~ERRK59*eU4Sx)A$D9gVAQanb(9u;_0;8B4`1s)Z6RN!AHBYuU- ze~*j*v2W}lfc|?Gjz7ZZTt9(^KV$uW)MVlKm8Rq$lMx)hc0K+{MsWP%rXL#qgQxSBr6dLHb_;V?E1|6 znQ4lITt%}w{n_64{b#4!x0O1q4LYTq4!Cwg7#d>t@6Pzs&J-9x*1eObErNgKAk;z>hD8A>3DHz-?$0QE}F@l8pRK^hlY zM!2vBx2);*_aUwNozfC~YrDJq#dkUHIUKGI1LyBFrSjZH%UK-8vcs+)_ITT{^lnM-bR<=4K!U1pG8Z2Qcu({$Y z)Ad&I>MCjN>Hygdqc$(Bkj(JK^R}GJ9%;+3c1=SuQe*~sHpOrp7YR{#EI^<&9-UYO z0&fM5abc!hfqvLCVSic_V+#{ADE0aZ^wdl~r00;cgrQFZe&{f+8TsjZ4Z8LxFLg&H z;C(4y%p_$_iDL&HU6N(%8bv#5}-ah%P1?Zjb4p5b>(ss?kWne4BI z87lx5C2SCt(jxZhMJl3^s3q*UfY zWDS`L0z66>M8}!sN&*}iQ4_94KsWG~yq_~W9^DwgE*1rS(Joc+T2+cnOrL2#^q z9dHvNZI0YPDS3rd0$kRBRe9lkvLlZ7RtKd<@|Os%mnTPB zJ4RlhAhShXytRcfio7a;p`sJH^I|_1t(ugeIi}@OZb;NxLr`e~ev?tagY*u@RU^M< zaK=@U*>Ms#!PFd2{vnwxD{s;zA6n`pR1WkrGtmyh4^R$0Oe|_f+(yl$;Ecs~PM;El z)=2tbxsK4?8vYrMl*S`=3);+EeT3R|BzG}(z!_*|lB znh3FEe%z#)Mg&BN{6uU36m?8)P+fEcnvcIX%6%Z&tya`6Xr=tCehM&|r+(p+heiYX#n*UQc)=}_TIKRE2$ zd2-`!@71@`n!zapULuDmT~?$L`o z(_TG40-ujSHp)qjT8E%M5+18FILO*D2@u=+oX0WX9P$DpaO8mf1>1XlIDu>Rw4GfL zb!+mqZJ>>y0QSa03e)Jo-s)~_K}c;$Ir+L`Jr9}qF(u(f1P{y;k!x9sFl6 z;c&V#A~UWoEAcEDh5m-IbrFe$%9H*9UMp7QVVj zf@&iRhwenFtD``I8-|gELGGHCMKi9a6g@YAGrh)vJBPq&G%=GSb(rC?7!`NYXo(Ws z{vhYFrCcIo3NxFcFJ3N0Cx`7Vze%b{RB?#H*xPynBAyBs|VVZ4>jlL7qAOD_9`@b@7zJX0hJUOj|B#9Tog| zJt;G)JKkN^Z7Q)klJ&cxZbZsdFVtL=&xNwyZE#!M_D?>72aa@orC;A7BGgkl_^x#- zbx3}33+mkLb1B`AoJtbc;~nvGw#aNPqhu>AY=0 z`gt^cY#8EOMBCRJKXQegA_y`1ItHqpDD zVL8-1&GoT0!ev#_LfzF#J*(g*_6HW@&9S~=8VhCPG+>Nh#=B^RdP%o@eH+NvgXUZp z2W0c<=NubG*BM05`C!m>;oPtJ7Q)}`(yul(S{^73wL^K7E5kij;n;QRu@_;WvQaZq zc?m@Y#8=8ioN^ZJ%o66xgV?SdZQ>i1OHCW_ndZ4tvW6Ythq^Yq!QS2Fa>qA(%O82 zRH)*GHh+Nr3zN-d9|lrdU-qCHY2m60Kl%f$QA>5+dCWom+RtAFeL$N&ZMT_w)D?;{ zGtD1!mM*-Az6j{`h-moJVp>%y$d^@6Q+)mIXt&ozvq!h<9I#3ga9j(G>fC0C{~osM zQzrWhMDaJGSA){tu)gp!fD3{Fm@IjLu20F9uq{!&d`RxE^LwZ9+>j$ZbJ&NO355;v zgOF;nHQpM2<DhpD2pGeiw_*kd|mG)oeXGc?x)G{8rCj&F?Cw+Cf)7qZ%ucmOCDb zP!e23L>-Tcve22IBJfmGV-zXmb?K+h2FWF+kM!W0Mv6XRl6J~7J8rmdCYNI~CvR_q0y;d;4^saqsE#p+4o=8Ea%` z&GltJ`}d!726cdpG7r&&TJfF`y5(nbXs$BY;~PdR?WNE9QX5Dml`N!G7Qq24y6nkE zbCcg5b$XN+VCS<(55F_)r)SNBtQkF!*na+wFz?pI3^I-iNie#Bbt;q22n{Sbk#d` z$zW^#B95+xs+jb&NO3EkxxvZcP%{mZM0Cw@(c^eZGnB)^-HDi5>{^Q<#8TqWzVuxr z;kskA4QXlIWY8m*#q>m@lC57a$M0@#Det?F5I$#Zeug^d@jbISk-QU2pMeObUm?T< zGp=kYJIXM`P=q1FroB%i)OIR!i}a*TFkteUdu_Y7ul+q#PjPIMFX1%KB?DBDQso)v22O&dp!>>`UdJ2S zLh{Yx1U#8VdHPQ*UwsWH)Cdj+kD6#h=S;;<=4!`q(2DKgl^jF)dA7*4BM5_6<99PG zCQjLlDL9;(ZIO~vp#o0UO2{Q#Di;UowPVF4BgFXVhR7 zK8Ac`!e2>F!WfEQnD}FXnZIlluLFD>GmeKtOC4?Lw9!@pIdeDX?1bqQ|iS{EqFRWFnks-Z*m%s13U5+ z_2U{S6{D49)8yxF=iuwz_X?#p&b);ptscb}!V{Lhc#@qSh7(GYj^ z%|R-+=cVuzVWg<2*%2oJ0|~FMP5b?Ih4ApCxa0-xO-Uw#j!n-F z_Nb2&;V?MBKc{FR)JxbF;r8JzFN8d>T>Qy31rY~cnm?Qs2w{jbtw2d2&+zzM=K_=v z2|+FJL!~8jx7G%bibGDaYd`S8fXYY_Ce1+T7tk zQl57Ly=-))b=T+XrCOA&*{Ivc9r7bYQV53Fjjbc`h_=aYZ$w_xmGha-%1Rrf(?Vxj zXOWDt5cWJ1U_})|@Q%ZB@Dj_aQ?H0PpLO)RN42=T%2OC&HyLEpmL6)mO8y3!Zr=W= z_oORx1Bq({OoKm(4jP^uy!eXi*NZhZJ~!G!RrZ?f8&^E0x`gi0X{D}mnlH}IGH7bn zDonI$Nn?ap0kArij;gnTp@(|?Dg$)&lbmz1;biT)v0o^!ukY_IQRVj74|w7Fk*}}{ zM`eM*q#*A0)2SEE9*Y8}XzJx5v;?=}m%$%8#lj`vWCQLw(u>}mv82+VQg~4rMg9}gz(+7s$PX1gr zOylK;O-M9Yd>X~PCBel51GjewVye5U)iEn~sJ8f>QYB6+j>|gLcoC`SA z)>U%OjZXGiBE%*@6T@j9Lmmecv*7bJuI)4ZmwKNh!bwmM^_Nr?kT*R05zQWr6B>4765f!Xv-<13{>w`k%2%!Mn(oOYA5Z&9N20 zmi$B_+gPQHTDVVH#>#}FB6|l5r#hRwWHV%03At?R@ciJF<@>>$sUi!!4L?Y zoQ1CXdW%~eKVi5yW@LGJD?ap{HiXd7jgPO^R(k(P&H`)CE3dA)Rdq_AZ=>@YBkDY2YzrSu@9uX2f*N870rNNFHQx(J0Q{_!JSf>M5lRw8yQ z=IEj5tLqbY*B+SB$?hnk6bf&a-T+S@jJo_gOQrVoAG)fC~Fc zcwZZhw`fh2bjl=RuYD;qK3a5!mP9<&Op|{ntQ9Q0G9Q-u5xP&tE5PKwVx?-P8h-`- z4*c z;9z6cl~ERw5|PzqU}pZgM%T*L)K-^~o{?VHz{=TJ*Tz=YN#E4U+{Rc}N>GH>(Z%#X zV7Qg}Z$%yW|8XT?<@Bq!<6mIpzq9lgD*2_rF9m)n@JoST3j9*wU%=*nAgF(j&42U% z|HXCA@>hYte+d*|`Yj#c_pv$CZ_f2UV{@k8IQ)MLlQaEGnEbaJ{c%74FERN)6D084 zp8xlOa<-qKoav86EPpvtrr%EQzXausKOb@b3Y530YdWs7qIxe@b`dRwk$=MHXPiBj zG8nXP_h8sAxuf2ze?VQ!0(~^u=>TgqQ7_0CsbhXylLYJ-00wm0;!};g=guo> zaElu(Y13*bn;dP}L8?~gy~^ddi~DLY++_pOZL|z9v}dEeiL(-ltUiOI7DnPxo5BxX zHF72299mBiF4$+Tg4}FYHtdcVcz0MLxg*HO1IBwdAv1MLEMIi={-uu>m+vMTtGpM= zGC@r8yXV9U@brff4{Y>kk!K>`wTj(j?N}AEc0;*@cd#qQYn_Jl`TuG`{zyfKuS!hha z<@+wPJ`G9;2dNG;W<%q*%{x{ZNY2vBzb(|a$f$!JMf)`2Eo81Bz0>WnEKIol9i-Gur@7|aLfPfJw6pI^cP?5z zG*%uH0Da(AS#P=LNK<69Twd||VS@xtZ1EsGIts7xyxT^-+0wUmafFHLMcB7TXh{i^ z6t;TN5SNVED^2{cyLmTrVwHt(1(#$sWYhdL*=GPO&S$C<_tH!RyAwMj*W`OJs#)9v^cikDI^Lue=Z@6S_1 z>jYF8YSx(dJDXFxnWg05=8D(YWuuIQOY{{q4lVLg%J`)%PtB)Sh- z^tWsW1cZQyLOr<+YraZi(On&RUe*n&QyPGwP_kb6_Oo^O+Ly$q@JgD zN2f!QAHH%8zoDigT^13&VBnc@SH8UPmy;1h)bY>L{V^HSwE1FuTAhT|;;p;6&cuHH z@ZO~1*0u#4Z_5b|4k$-_gI~xv`0$~lv(e#(*A6WN;BmxeD&(*j^vq)0>~yChIJV~# z+RflStqt!j)_dITKu~jFx|qM8W>TTWs%hUEO06I%)9J^S?&*48|AkI{+tEd;{$YT_ z>iH3YPB(sexL!YSjx04HCLQ5W2tSp`@+RmjSgxLNC*NJJdhKpIdRkvL7P07hm=GEw z_<19HPDXTHfW{sLk*Y9TZ}xFO$;S1?dso&57d<#FvEl`BvQ z3XRT>R_Dt@XGRc;x(XkoF%+TEZDuq_x+Rk1%RSlmFRpDGtYZ45Gm=YLu_sU16g_DE zrXl>yDMN@z29zA4P2h28uY5QcJQEO776FwCwgcZHj9eU3rMAB+DXQ%#Cv_6*!jCwon*K=yikvksN0Z_gqcny1%wP)t^i#qyQG zZRiBiL10QyBbK_L7;!p&BSI5mV`Z;|#t%A@3l_JP;W9r2xWjFIGnr*I{I&QZrYBA? zT-@lGm*?9SgvqfcM4{XO%}jzxH3SMC23CwxMq)jab%EEB`g+zUI;XcyM`=y7< zg2M%jXa34?n7$8sQCDO@!TG?GzkAxLYaf&{w@Oa>IMbOGt#!?q$XV*$(7UY%T_t&~ zrx{lUMj?Od3F%zHW+a@_`qz zn;#o2G%~9`zY*&sKtf1GDu(YuX^>Ujo>C!ly3aT^+IyXd=u)W2SadF1uj_!RuyQvg zAId#~#|FX>`2;>_pQrG18!Jh0-bm$1=!xy(qVc1`p8QFGqxaJ+6x=x-p@^g3T}gIz zvYZ6M3s2IjTIBP-C=OYO!I@|ddFQP%9!^660b%kQt3&g;yItdrZuF6mYFODNjIG%T zd>T4X748=g-hGo;k644Cn8R7U82kw&59pjqp`*^HcUB1 zgVCbVbw7bL%D2*cCb|Bu5jYKGU_9Ku`PR`>D*Ja6Z=oo$QPnsiivGn#+m8vw?Pcdz z|Bg*z4CxT4(!9f}e3_6AP8qPAL6};c8nU`&d4Ce1H4~;y6PiYKo$N*L;!L(h`e_ij z{i3(X0bfns<0&+n`&E*9po;`ps$S)fd@#k}J)z(wMGfIVcedQaI<^@&$(!f8`u8+? zQ|2`Gs(MDlg*Cu@_Ph)&rz3v{q48m?zyZ-qcnaRdw|4Pe@Pu~eJLq_{xe^-LC=~}h zGmYriCd>sdWmAEHrcOuMuSZ_U<=#>W$f#WQGQc^}?RzQ5{-a`T-kzVOyzTs0*wituNI> z=cgF}NS}_kcQn0FzeRepx~I=gQo?L!X?KfYKz~;orBA=~EGYSW!%4c5PNs1(h#mh0 zlVIb*Jhy;)cQnLhcr%ku;cbi=+qkjOXi5aeo%8Y5Q<=He zipnIiWK5lkZS@yNHCdg0W&XV>*q#rq*5`oIkJ_xPVE;0&`@=`nH>GVP5JAY{TNEBTBwht(MoRygmgz@dh{0|6v00(kqqu=36On(?& z{^K+LFTV7PFa0fFV&G(A_{R+`f6bSEXZ1hiOMk!q_xKVU6C*RL5u*`}KC3aqAKKi1 z_PVn%7}1!pFd4BM{w$3*VE>O$=Ko8mGrIvRgFe&GUKbWtM&_TP&}6%boqZw_!CF`W~%%Pj9~ez_?W-qOTT$7|1W&$H~-~d_|kvh zhROV|@uh#84U_4w3VMWW|JT?s*;s!b=il2fnf`Eg|0P}e$)#BTiZ0Emt0$}uAb1~E zrigWs7%+f=`i|~XnyX?_%vc!HoEH=B`$_t@MoRdD3Q(LDy@tPTc&_uX7x#)O>nN1( zNKH=9&bq=);If^&v*^~Hv|dh_z`dND-M`wje``E-e|&qCrJW!%^=Y0yxuADr{FXj$ zB4f^?DLs;ZN7*04#VZ@B>UM#snXfY5kf5|K9nV}ZGme@-;SRgXE3+Xoc`(j65l{Hc zZo9)>x?>(MX2CR=5`}w0G+B>ML&UN!FsE*<&GvRt&+$g z>JteQt7E<)e?a>_cvhKER0}aA6*V$_BuFxlWL$!noNSzvW>J$CXgSn&Yp#%%;I{1x zfgO7q(wc0(ldhFvWBIv-jZ?j;;kpSZl#@z}d%l3mdWZjzSqwov?dcp}SOz)MFyttSQ?4PSban@0_iijm@gWOS+^Q-{NW!pKas}LfIaV@@LBqNu=$9WW?YGwk^Nka;L7U7<^K-%)xAEhUsX6;fm z^wR;Nl%A0DLn;Cd=nCtXgM~7}{AR=ks)atp*l%|LLOTY|DpBejLKtbrO9a*pjY)*n zR?8C!)8cCYO=VX+fErq~3B)ex$jeM+ZtK?zEF;OQNY>y4*@9Ty05R@iMq$QAYEQAL zFpE;f#nu8e{NQlT1^*4n)~b_z7#qlZ*mnXQfG?-Dr4J*FBJ4aY2Ps>hV{h;*81zBg z0Qwz90uY&$_#n|IV)*3SFdUhGu<=1NrKC6^)-c9FY?vC3ymoTs zv_-d~7VS`_lz0&<^h>0^9vL{P2)zDj4xzJtWmmz$GB=sD_|rQ;I~z~vJa2XL1sH1) zPVbDa-UJ&4kp%B>TNMWjt+q0}qGw zRVnj=fx+L|@k}B`(PWU9(SZq?4k2WCXKiImYBscNe1Yxc4GzOFmV;# z0vIz|-lLE7EC9r}0jf_!@UA$$feg>4;+j026||6wN=gKoAJP;>NrprxLt z!~LR6!+O7C>dZbcOTBW*2B$5Y<2Y&aaEl}IUUqwkM)}p(2lGJYQ+x2G01zBd6p97; z$`kE~Ce_^7g&`PaDQHv_CCeq}cPZwtV`VY7ApsVa_56Cen>faZ2#h&%z5vm0=UxC0 zr|W>0#_C;eOHgf^6|QT|d2edab>E(M@2cQJhOZp>snT;cUPA5L6G_-)HwU48JbKDD z#}h;Clb;)ZBt2)^Qe0D$xQKj2n|@#q<4!E2*15TKb0l6gYl6F0x(->@n7L~bS%;?; zl){;zoxvWPH4iBXbB=mge82z3>9eQ zycVjB%5wf)nV$K;v)+wIP!Ou9A$f?h-PMOiSx#6qWbK0F!<&O+wa-(x39(Xf*9B+2 zGEJu8nO@!9)KtwBjJ6i8eSlhN)~qr9!l0{&xF$Go&AN1-fSB~NNkDHN4q}TBX>7Id zl!yYX4&CZRHbycE&PoOVBGUyn+@Wjmwae$q8k6+%a2nZSil}pz*%Ek#e88U7UJ}x= zblbi>JW{mqx<5aVO5;g>gu@DTz}k+EMluJSUd5rDLN0BERP$~nrV2K^zMd_Ljliuw zCfg}E1}E3Z(HwYSsFkli$+$NuVkpOaiAawdIZ={3Z(bf6#YTu(qfS-RECqG}iRaprv` z(Sf3Dp@%9ewjp>@)DKwB5}oP@FE^q2yok&mA-N+>R`#g-NAIWcZ0&op`{xeG=s`DE zoVwtFXK@{&linzJp0^O328tV}F-XzpbcTuQQzobocLo>+AtC~n&A?|Iunsun-!vH$Z}jLDz$4#cyaeNI!O+dWxPWi;%KBT>8{q8j(?( z7C&PKf>%RAU=)V{mAucpnB$l)+jPiRPO{kA??CfP5*~?=V+9rRhJXvGf5#NiVhOat zPG`SFss|B@*eSa{*PfdjZ1s>}94Zj}CcUuS|3o!yhI3d&%glOga6e~M3|OM@ny~~H zkN~el!>^GZXHLv7Tn+1zOR_mGK}U@3JZwe#P7xtq&Y0IQ7$DrDxy*E+^*P_D*jNbG z(Eao0oIO6ZjD6HnPoke;kmHxS6D1t3W;V?xVT?@->H()D z>#I9yc=l1L%i%7((WErGkigvLW<*&G@k^wF1cKWetq`Z3k70}198xcjB$ zLbOn^mk}MHA2?Qc7#f>R0A+^JY2*mfoE1iV8D?NZ1<>Rp`t$ICP1O#q_bk!=5}=1e z7yX0GA z4Rhbx%i+OdmKw{NwCmO zvYnZ6^-1@4V?uSs2(_!Lkg=g-6ZOLL|G%r@becf-FQ64OwT@G$=+ zjEcwE5R`V4QWIL|ECQ4{!qH8VFr#%{X^A>|{su{VN|m$tMXE5){4l6yBdg8Og3k!N zvIH`RER48T1(*0JLMU0ZO{izM;H?3&UZD_CmX4Z$<_str&V0MTQ+kc2Pj11EgOd<0 zrNA7v;EkeiQmkr}!fp0}d~vz>)>6l<;K4aS?!+;|3dBAZb@G`m{*GCm?ZpGPnB-Fr z*Hsvojei6@6J|7@wB8ZRn#VhM?6-@0u?t4`8$(xX&$Me(p;*aaGXOOD%2P5e;Tu8u`H475zk|EVi2yMQkBV`9#8i3u`&t zX3iGLXWCQp`}epJa2I@3bd#j3C*MmL`3PqzKfKAixfcFpm^lj#jz|y);*s4MosL`!I9xRDuwb&BaT(I6{mB8{%(_h8v`EqtWXy5X zI~;rVuY4RaaIi}IB-XAad8yaQ$2NYqcN~n={Qj<-lDJ*at09xUd73fTa8RN4A1HbN zaA7Q%zsK=@`NSMd>3(MRaL~~+(*5Fif6MWH`NVAK?2Jr)!+bw~a>_Z_8aW#pJMa(+ z7}**a<+w&+&fOC&u(QKCz#jrGKVAf8lt{|G+24{GWYdKSMp~@fH4CJ~5VmiR1ltqd)Sg z|7oAtZ+rgZz5f@HJa#sGCg#8QiT!qZ|0T&|W?^RfE0R~PvHFL?S+7OaIie+N!D1@k z=&-OVlGRg;Q%2PNu%icFIb>q{G+<_8^6#4uR1Y4HMkav3=8ESVOLBo~XiCZ|I-9;-UjFK6nWzW?|OfF z$tXKdDc@6r#-MYnW1km%n)P9Dz`!+1$K2rph274IK9uL$E)x~?n>ls-Ji48Imq))B zUcPRMz-E5x!aAzG@GS-h_(26j4LQ1J(fR`fc4;-R|HWeVWoZh*Nb#R0{E|}Ai>`hy%;vVEq zxG;+&jSzSrt!^8-nWldE^0Q2`%@oQv+V}BEV8e7NOAT+VtA|ltXjG_^=3$rgm~NeH zg1YHc8v&0PW)>)1*9gwW(@`Fw9?jHF?5epDt()K;GKVrCq3#_q541H_kBr#z3-0S4X1f(u0t-SnZWBBuDO_mo*Os(&b>>LU%`MZ0;xr?*%{@d2l0!xJNhhqsz_gHOPZLl1Qe}iSZf5mBxEM)-x%XJ>N;?&#^>aYt~e+J^GWaK z)N(+PxmhPstj&<>y)zqY!OlFs!-#s`80Yg#jGtR9sH`<9Tj%lOsQ|5)32c}XuxaVQ z^A0od0UOb7`bV)Xsv=l>ww4{ct}9chGENQEdKN2W>g&Xp2D~ii)CBkbU_j<-u?Wu$ z(oy@eQ8!N)3;hWvd&`J9_?_EVo+BT0TODEO>3(>y5pDNp+@!V1lb`NpDV7cM&0Zo` zd1{}@j49?9BR`Lsy@wn`5vG(Wb!3{jgk!T|UP?@Jv_!1v!76Xb2)R?PnLO_1T*q)> zf%z{M*UpJR^6<0RZyh?+c9~eSUyEnC%<_m4HTGB?hOlfvuU)_j=fqHuD zQQRlRttyjfOZT6GBXQbphShFk9AA6e+O|!Yw6_;Y8&Az%xu1WKkE+m2%Y6SVLYH(= ze1>Pjj<714j3OuwxVpt7@fAX(r}YE9WgkcB3ReIVA2kKbF-?!h@a_U2H3rP8=#l#+ zlkXl>TofdNzlMcE@qrVww%jM08WH*k?WIkbr}8q@bxPA3YTY9@SnNX#H-IXwS~d`@ zxQW4X-)}xtn8A)O_RUJ;R%^ZPL>`x@6Ol8g{uO;L7+UE5Q^Rmdzdvz4bAN|{6Q{L7 z11b*RUHR=>Nm}GhdT8H7rn230V5*5X6(kX#)2)#NVn_0J65U-_1f{%I7)xa_Q?faW zZo;GPjQst%66k*R{GxoPq$~5f;mxeP(}R3oz1)P3ic-zdC7-CQ;sR7AyGihFLrclU zmvEQhHpowvB9%?V2G4eI?U^;UT)H;hlJ z{V|h9P8(NxFpJ0x00+5nes32Vqz*14ydRfI#}u?UtWv4(9oiQfdBa;9*wHgWmdJzY z5Vm~SF#}G$5zeKsVsejp{39Ml5c-xs+EMU zzOsSb(ul?SuMpmCiBfXK-(IiNVJtZ<#pXmEVc&NWr!<2`LWQwj>1Rf2Yo}AW7=lhG zBTo)}^3VE_L6c87XBQcA-GkSBp2QX)L4hbwENjy!%grV{c}XN_PoLtWp0D!VO|O0;g%;sbouBbMwa2ExqD7-Ba8(kX6}k!o4(A;@OaE(cy66&7CBp!Q*h|fNyQtQ=Bi|cSAwi z_9+{DiJOIDtkck{3imlA3<$TX)Uf0v?~`dHk4c2-r3Xc}R3q%o!&JB)W=f>-ElCW6 zD_4^snjPHHojFBa<;ELU9DP(E3U|*r?%7dZ@2m4iP z^1BxptCPO#S1%QIsL}y!ZLD*4j-NC|c4KTC1vYixLl0wxm4h9B*Yp-#x86hPkA%WH z=kBQPs(lavney41q1L<04!o6yG(|TpLg0UeDGJ#`WX}Wk*0ot!vn@EQ8b2-#%|G@( zL`L{hwNdCDzsCTSk*xzaM+Jc!=})Olr#sggU_XdztS6AbbtTO)x%afW&4lZq1gbiUcLZ=Esus5QnRR*IN0Af?s1^$7-A zzD-Ik*0%R(`M1XWl*kj@ry_NNQ20}+G-mNa0u{9LhD)x2!VI@mZuXC8 zx8V|=yLTkgw{CGIV5QTM1ou`F&(}n>jRnSXx>rs!2qb%vhkl(wHS>f1mX03U;fbfy zZFAt;!VSr}g0iY1#A;|KDkGOL!gwW-mi4*BXg411Q(Hp_Au0gLTrZ)4^TCY}f=+7y z7U$>cU@TbuqFZ^8j|yBi=*#S|YN>?~q)p|Ggot`#i&OK4VEE&wzyOfXN%Vyk*sPj3vQh22g^IhB+QMgSS z`O7yYwL2!c90R}>-mx5CNN>&X3|t6$Ko4xOjFi}NKi#grWDuT35hI^FlEB2x7U_{c zNOs&%sG%gFEnqL3@hN`9-KjiQ>ast@rx@_$dV9`J&^ZSO0T%GXDNQR_PI+EKfdNed zcc=|kFX13x8VPsBkB+h~$N3$YkTQyMU~*5K)+0(NigvHyXR#4yB-0uw%zIr5oa`sE zh?8i^2IC?>(D|uIcp$7FqtHt%+(De%LQHW2<`%SRLY6FwAI!#XPphrF6mUPm5=7}p z0Zv3hAs(hbW1G39umK3d-Q{vju`*1b3aTX^X569my>XOK#*bUrb(=!lXO7J0PdwfW-7^ zY6ikhu^1I!6Q0V>JA6(Llznbz3J6^up#3=lxKYT;7^&;Oha(=kJh)HZIBc0spNt&p zHV`YK8$*uDPpXP`nJwA^fG~tKz)3}24LCl-2~#`GNtD=F82``)8qKKA*gUtY>LpS= zKVAM&H)IP}r0SkWO=15<#bj%P#ZV9Xo#Ui5Or4|xwPvo@bXE-9K(x*}4zB4LltG;7 zo(t6Ms0=Yr3f5uWrR1TV>`3R)Twm`mX9KBGH{NZA@{5t6q$du*`&5 z;FiYxkQ9&B8i5{_W9r+z_1!K3jDD6xi(&ja<1l@~Kw9UGLn1I5wgYea4zOzMgma)} zHZtrU&v4pdo7Bjl0nU1$HNRUbeM^!7QZN6qL5N*D3HH%v^|TH^iWsiR28v&-dqay=aFfn`r~p{(k8GtH^+h9tFi;9l5LTScE%HV=}O?Wk6** zeq^L8ba5}OBD-X*;E^beE?NfwdB{zKDKOU(f~!S}_KDOT9n`b8W-8ZauReG1S{zFgewEOz zQE?}e>RG+9bduaoh0n97dk!u-f^Mmxz> zHK~#kaT>gs*U7(wjgd?6UGZ-AP}l>(JFAneXV7G2o&Q-FaCC+2l2aY+#sA`zSUb?Y z9lk&_)X|wbGv1)-uxXgiYTk|d!6A8M>qHb+j}RPcdUOq3zC%9tebx5@W%C}zryP^- zUC#1O@J6amF+=YGKX+>n!QzJS`HlT;#Y#h<0a z0uVHiT^;of#FA||s}uH6T0K7N1Z_4d_!jl{3FnBDECEo z*) z=VwrDcN*=|?+hR?|1uK)8bFY?H8MAG``swnzqk7SqoCT~S^Xz($Uphle;J8?8HxV} ztp9VD|9<51&;6hO|5p7{;Fkiw6!@jUF9m)n@b6dPpB_N?jZFU$L;vYv@h7kT8zV9M zANcj3(fXhIU77!Zk(l{E8;P0!`$l5ce{BHa-)1EKZO4Ds=zr2k%*#vnb28V?*ulx% z*pZu?PFjeWf&S+q{=I$pw-fuXhYpzN+5Xee!Kvd~Q`|>I=ci3Un{&Co0Q{8C1tUpD zl{lj+)NZzu8%h@3x%tD<;z7ODJFoYTr#4p%HGAXQ+HR`CdDmult$rMy3-_mG`=zQr z&$HY8i>=RBR&MP!NpCII@2`i4gJNJIbd&Wh8@Sw^?Cfn#cAv{4$^t0M+D5KpusX&f zRgI4su^h^1ZQvZ7y<+#9olgv$tN2ItSKhjd_KJ*MQ&H8$FOs!2NS#}TMue@Cj%tS& zT?U(Q+m&;ZqM((Jd&sD8r&(2hoEUkHr)W2xf!KU=(hryWdh`~HN$pSF*X3R)FsVIXY`)C)5vIevBPR{T z>E>zjooeYW4E{NNyJJDsikeiQj0i+L_z`xw(v8KL_gsbNBhRb{-wBLkg30@ICxMtm z*`wZFm-^Q4-*j*?aXB)m5YPDF>NLuLRG+BHGq~dOA>eZ~%zoO3=RTeVSl~_UIDyc@ zONSygghQvg-fy?AyxRSGJWFC$q*uS&o_1(fDuvX;#Wd)AZ_rapQk7v^)lT)O*VZRQ zm*Ac&rKg2zhL?9QQfZ9*X&;6ar2Nf3yxmo0jGkzQwH;KgrUO(eH7wT^4HY0$9@Z@f z8_~~9I&9_Cv?PxlzTE?bJqFFHUU6R~d^X)C2H}svEK2&tR16)-$=5)NsH$WDIn*>A zsA))YqpsM@rpx5hAFyTS`#-`*G& z7wiP&AioYnHWX-=>`_HUm6!>ofrp71n3)>H6GLl`pJ4)eKxc4103;Kl)mV*^GKd5+6GtyLBtjVxr8R0$w4qRVUxiewzi%nA2F;i7UXdqdjN`dreO>y< zc?f?A1mUtMxuKtc%dP-JGbtZ}BMUG4(FB-b#k670aL}YPKza7MM+huyXzHff6gNcf zhBmE0(2l^4LXApJPB-+?NffE=YJ=ethCz$zGNKxynf`VeIGb3{`-%8ge_r_y_F)sz zq)}>(t{5mo5u13(*)T$rUcGHWZ1K+{-?&sfzI6MaPg?3Tlm&sqC|2{0q2uAClUL=y zsb8V(kbejC@H-_KJW{W*x&SQ96`7!h@jnN!MRyrHi+=!80$(`cQ0gl|Aa(<)$wg|b z$5^5I8MjF^b~8^9X=qRC*M>%J+U}V7U5A=|RC2*290(YN7{W6u3+ia^F7$(syHk@D zIY>4}2d7NS|5EoFPT!Po&EgAyXL$S%3W1PU!%zmWlWvB?y;rq$XKUR`@)V+MV%O0M z)g$i%pM}X7Zs+ff78!(FB#oh2>Tzq<7JU%WoL5{cX`fnku<;@VqO`v!<2-W)Es!Nc zj{30sOxQn1w`vGCj}hYl$ZliHP|9Z+|F9U&T5nJp9Y5&qV=-uyNTYGBUz;D&2oKYM zR+86+<%z6&iesg!%g{|XXpp7`uCbb;CXV?rHlDU13>Vs@Bzdt?XjB{a>;~Sv2`LgG zhaVgN2_GU5Yrvg6pRx@X312Rrsndaw%-(-HIL*;FO999uS1lM#TOJ@g9B-B6LwG{zz&<&e9w+Q&6+R(t#)j?r7-NO8Amd_ zovJPvmP9ZuXg5}QKF>z_6CT6X<)y67>)X+|ZVj1`-ZdU5A{g!1m~0HEIc^v+3brrE zQ>uH!=Wl^-cL#wZmKkjUjy1zOLF4CxNcJ{ACk%$O*~l(Y>C##8g3iIgJE(EV37E>_ zX>{L1?_)Nq8m5#~n2KmzJztOI%WwUoJm^GX=t9)|Jj&<1WRHdBP-?3ho+8_LNc}izc;sgjO^7U0v<?h*pG=iB1mUVWwY{L zGaM6f<*guTcNq*E^p;t!8AK;1^XDVcxydC`#dnF6cjG9J*L!9wu!iGH_jKsBOOIR3 zyGOM}m6)VkjD4*i;-RJAu6h`R}63bnKEpl}dYb zZtY*Lyl6Z`A7U33&qsI5OgZ1Rq_*G}Zgol$l$Ve_^E%#^!DoZYdWqEBJGDy4aZ}z| z$B-FTF)%EJ!U_T%+h(P0k0f$n2iKi(sKr|?QaR7D@{mMn6{H#oB}daX>)0aXklP@c zNThgg5i|5|!9C!A>K-^(+5Z@Ew96DNJ3Q4nMm`ypNX|*$fi{)77;fWm#WN}0edxd_ zv`^bC<-_L^e~&G>J-kYz#ekz~^hUf5Q2^{G@;DYXTeZ^kBT{VE9;~2cwK+Dl*C1a; z`yvg^f65q5NXDw?_VtwgJDwa{$q^mg=FBI_ldw_P(C5#hy8Y40A3mSG3?E7c$C5Dv z0{BUoj5;E|v~r6A7x1@_OJqExN%h%jYOARG>7970M6%s8l!O;dEsw_U6g6HGt`irN zHg$O3t5`r3n*?D`$q!=*B{0AVAvGgK=jQ@~*Y&N$kohFShj1=C`U;U#hfrHgof5X3 zFPe&Lq`N;ph$%#EB~rgcC(Jj>5eNlA%nG}TCjlLZC*W36NwrmEi$Eg6dOo(I(HW6K zhG>aXK#o`$mgDrc8TJFwL?7Y@XvcDVW78F~6Q+xGdVqI3!IobkO>PkF?#uCkQ;bqd2w8DXADfs zv8ANq5ontu4kv>%qPSbeW6oKaYM`uz?j$;MDwh=N?S!W7^p1uI@Dvhv=9Es2LdI>N za~eQFMhUpZ1w}MD_RzB85)VJByIHFBd)SXFKSS>VfWd~NbEq;pWr_BmX!#1p^;n26 z$$6V@p_eHG6)XNEzLO=REVZywyjZJXe14a3Q1lygjSzyLj|3K{>N+o*mz4w+^M6=g zz1+_Why7TgaAR~Fg53s|JQl@=_LR1eYZ78MZpGb7+T0UWw4J9yamt9fzde=3G{0ZI z*F8;(?l;DWMQ8jGiB} zpO#5Eu{(X>lm3OIXln~W`|@(B%L^Wp!^Zgi;xbuMVG{DlYaW&?-;|aR)mc)s*X@o+ z4b!V=jkAtzn#%(F0490z-P-4REZBnfMF`BlbqohW?oM5tWAQY}^$!eBfwHVDN=%lhYF^O<3#4DJL*ZThvGKdZM%ml zPrf)&klDJp^HRFCZsSA+sI30E&Ag`9Xi60v#5hTf`6@PIbVd8zx$KfpX8AH_JFVbjzM7caGYKXPC!F3R9D)8 z+KKw(H#?gzqJB0#?UKRLXU7j7zNA0YaEZi-c3aw`g*fd_8sfhTU$|T(zhrw28hUJR z;)yHnM_zV@xf_skqZ+d_{N_tAM#6Qs~sTOV@L7e;%9`C9$HIGEwYT1kj zA8bDaWNd1XPKGxSAMw0H@-!BpfkuoSGt|Hfi#V& zw4fuWz#Q4}bfw7kEIZf;Fh=18udQ>A`3(1=>03zfp1+rUuHZDc|Y6Bq~84Ed@84Tk+A zTAJ1&HxX3T#5@V^4!BtVq*|2#7(Lc;x3}>l8o!^E=^ziGWld=nAKZbw?P?V zRE$MY^4d_YUhbfWi^!IfJfsw%DQp+yvloc?4nJ(JWQ5^QM7d$-EF_soj~#R@9tLP# z>Ln!p){QhUtDnZNSlf+?x9;nvA}x__vQ;x(W#O(9-SUphdHFStYAAYqzFpX{Q%gZ5 zpG8x7$dHU)(IXkH(a;r&u%e zct?ZB3Yfh8X=cgI(5w53>Em`s`jdGK-{=6sR4J1y+00txffq9uM?9aP-lf(R_LswC za4Eda?)UDnWqtO44l@7qXa3(u5C8la z|7RcZOMzbs{8HeT0>2darNIBb0)HOF|HCE#hQDtD_=92i-e#=p8AXY&1N=_Mh)d7-A2a{I zpQnG0-|1Lb|A60fRE{l{MdIJi^9wTc977FOMYU*n%t%_32RR&^& zV`9g|PwCGb#|rVul*jZO;Cproj~mwuwo#5xUmQ3Vi`rjyANH2JPabUCm^CBnuV=5y zJvmv~IoaNBRf?NOCkIC-FGs^=R9088Ym55Y6_Yl|PvupW#%2{)S8!&J&Wz+|YpstA zZ2VAAW=7|;*F*1jN#)Hi%W58q!D$3B(wdS7pHUP7z9KhK2{+k8LnkTbMPMks&5y1h zcqE*aFX56-hzI=u|0<-kC{)qWI!6rrt?50Ds&z#+&VFS?Vx0xM-4NW|>MgcjW6&c9=S5`_jN={ifwrsdEt$y|atZdwYG3h|o3&p@&bwRc26^ z1;n3`!YM7^QovZbR3)&_4TnqFJRFb0ehd8f#5b~qG+j01IM`JfcUUq`wN-gnJe-o$ z_GpJsBL!ViHslL|XBm&YT^~G{)Ok6$C#97k7vH{k)Txh?n1okHN-^_FF!3}Ospcnz zQqA$26EA=b1>u)2)m<^s!O*fWHtt_OXGa%$3m1z#)~FCRXfMz~3Dihj417;51FR*c zo7w1!?!+DI+4|0i9B6D7vx;hE{E_#sfCXYU07xmCW5bCSVpxawrt$3?2-q+K)%6V! zYVrV~nq2_mb#w)IX1mI5%WZ|GLTIpflvH1wE0h`ez8tdm$quFgL#{Eoa7F;gK{%T} zXjNeb&vyaJymEDGi2d_XJm4uB0JpYoQ!myJ~8KeQ~ec#nkzkU4OCgFW$pcPN@GTN8T~|7e1xxnYoeuVwaARbw@k^W z3P8F$>BFYMeaP{HB#(dQIT)9BlaH(czplO2#d59C6`)nHzCgZ{?#HajgS50CU30*mV!T z4!-S#q9}>ytl_ll-{SBfdL?q18u8&hPp*k#h%0v}xeh`}52rTLraATtf>L##?FzV@ z2uC<&yurPG<$KAY*P^guUpN99{$bwgon{nGH|(R8vI){1p^SCN#iLVL2W+H!GadG}HWc5#yC##N z=av%DB7D|q++ijG?gh1muTFXpb!x~flg2HHOrL})D(n5T^PBGiLy~s3#TZM+5mm>V zhFcd}F|8zVOc56G`!EF*=6qVtA<^YxKk_|zhO*~F*E(dbJZn{u*A0`?uBz=_fL}+^ zM8iO>!Ukml?5t*QNWBz=zYWK1NL&~5>^ggVGT92C+?QD~@b zSXUoyhH&Q3x(27)5E-v>0!2pXE#U9HyzC@qOzW=r_iPW|TSYlL@Qw*ASoZ|J0&rd6 zy{5LW{h{2F>i~GCc9-?T1qXLbe7UV&hg17k!r556a+67thG?0@c!;rMYjKm(drC3B zJ}j43y+Cn*?MYBE={!Um2&DbF(PFKBTNhI`2CXZA^%K@ctKFEu8~Tl8tc4rdT*z^N z$2$1pO2+$&Li;xM=x!8vK6ZQZ0bKFTR{>J8@Xj);ss^j3M%Ju>gSg-VZut)qMP@FK z-${yUF%hz8;?Z!hH)Q3J7?K{8hw6HOIQ^Y^Pat7U5^ zz>1~(fqJU0MN1^JcF`xVE$ha~P4)`af5s(&y5_mth5?6Tqj3Ynj($vy~YD#d- z$!TaBed82AlT}?dF`_8ad3Di(E7VJJx-yRHud%Wp_4=9y&Hx7y_}ar-dN?$;^Dcj{e#84w|wd>s4%-Z8wiH0Cu`RSS)^X*QJ zsX{Ou?UrWvKt5hC1(WHpKhZ6Sii^@f%=Wo+fJZRm=LTj_plCQ&4A7X56L8a#T{>>F zO!y6BR*BGxoo|Ej=O;US;jpOB+UC}+AP_rspM)XUps?d2v-5euu?nU8)ywWj6fqBl z1-?x6aT;2<6Q(T)^9wK9Gc8+9T=crURgAiaaG7GDUC^qGiJGo2x6ZLbs5&6blL)y} zcnF;+;=DDuIfGDa(0m3by%K`hQaJ7zhkFJ!2l3NU&Nw6LEo8?S!CTLbDsOm{LrI_y zwmN3zOxfDB#YP>r&BRvJyhatJN8Ex0lM|93We(wR(}K;2m#nKUY#BT{6?=uELQ?!3 zJWw?&?en!i{-8IU>C{WuT!@|MbDWkmR>wnN{Fk(0Wt;GC-Utv*S`_2oc&{?@=mJT< zm(|g+4W2o&BH)7!e4j%?dNt_x294!38bPby`TSF1-alr>N3{MMje@-Ml`n;Vg>(3l zct%u)(N=#X#H!-tjqH3QqfxA7yVD96hsErXqR4BN%Ff7uEEwXr8?$eBgDavIZGftx z<+vHS)#>r@eK1Fgz&KyN=!LjO9#JsztuMa)UNcvmRVg0*6mjeVf@6drs}YIyv3CP( zG!J?$Wpmghw5XLUVZ%2db1}lG6Ty=*k)8&8$aW=}BV`#YTT)tf;~CcJjS!w598X^3 z9oehv%7@!8NrI#rnuPsTy3^?#ZV!$pjd_e9`;jxHOA_Z*a@I__cZL!P)SjBmt#X=GTXN$3C1bht{`vb> zmC-hL+Gza;?_4}E!{5Y?@Dx|Bo)qyymqu8eVLKcf7x98l(SzHdxP~@_e8$a^!I1pU z>BYviTh^i;TC(VvD=oZ^eufP>NIf=zaTPrGOI#5Y1d6)buW~84esPUyzyPieRU(?a z6QlYT+8pMYFKffT1f6ZZNug-#gol}&;?lUMp z2a+UdqW9VI{Jy2odAX+AkC{X&3$A#n7K$QSh*BEb^#r(gOVt(X+KtZzA#S`T#-fPg z!QZ14gE8s$(8#;Vc9FG|9m2ApTJVl|66LEbo4~1x)^b0MkW*6Ea{llqF%~>1QA5_l zLe&^4n)TiG>%Xa3cn+(u<+zau)&ZX&;$i7EVT!V{H}bVsCZ&NcOH3 zsXm-MOQi}BLNT>Gc^i-$OSN%>`j8*kJU)fk6!Qps1WDCn7+R-FH)+JZj~u z?^Qq5-vz<_ad$$;m@i1E>tm%IBfQ(|tc6O+a^HC7SWAFv1d)p=Y>HV(jg!6g#>R#M z9$VzX8${NDDO&`w1_0MduGhzBWISR>@q#K6YBm-veR+R4yMy(=459Z125?Z z(7&owbjuD;?k4v>jb!CK=+H!~#N(tZiihX&xtyyUViOCgx4J3B2){em>lE1|jl?d) zBI2ca$l~~3tskd2l3L2CkRi}Z@*Yq&7pTc|2reFqV3qr(eo=z4kij9=K9VkHulFq+ zsM)$py}U8^5ohK+XwH3G>9k6_RgG{~jFZM!9rouY6@z|d{$#+UzC3VS^-bd@iXs~O zT#Y>ADj+N8i4eIweQ1iib=Cfj%TzYOJnC5szA7vxP$l~Q@N0=a`e+srYkQD?>a^%U2B zKp}C9dihy&3zfppsofinF51?0+ymKE-6>X&g)J$QhDV(g9%Jogofei3)MZ>jAYBtg zp}g~NFJAKMSV_6H>!-#iC|W(f)Ym-(TF-|mxX)WhC$GoXp(Ln}O~h2+30s$-PegNW zxcTv%S56Q5QTPc7e{97EVNd|^K^Q)v zJUv3TE@@sRp7$lMe5?^^@Hbf^rFC9+(xhzlKG)B@T!AnO6*}7$1l)HEyggb~D7BqC z1mS)gY&R?@i-sAU(=?dYoU!Y@J$^M|Ykw75mw>8Wc36!55;f`3IZ5AR*V7z^hK&nL!*6%0t5SCcac|;<*l^~LGpx%pHsN4KcbE7!je8f3}Oi9=4XXd z*2@Ui8ERB5KHaM|5w;{wOjRbqZ3W|nTa{yC8%f{%q$3q_1CTpwY4U!7D1YoDgFR(@ ztnyV)x|q_?#hQrpk4GgU`wNjW;Kk`!0qH2YX+fp97;)KDcF>eZ^(O6>gN21cC1fMc zlB9)r<=o46c4hO7h>*Hl(ZlrThO@+3XEQ+~z#xuVXG%kwLaT>Ehc5`*i=^Yk#-7|v!tYVwr2x%5=%+;{o~ zaBn*zk=|d2NXF*W17kiXU@TgVI}Tq_Dz(^Zq$}dFhr5gNaYj|Fcb3?4>e-h+-i)G$ z@Fl-5_wuR=9Xz%(X4`J}G_D%l+*;1yw@FXb5-A0QmW|i%w%O5A$Ym7>^0L0|g13K3 zrZ}YX#Eh?FpOu2M7au|vUG!*{zTW+$l(c+apL^d#Qz&5!iY%TZtx1W%e`d@hxt>4^ znmmr@h{r$g54Gs{x-d^GtRFFdQ|Zg)#Tv94c;j4hxl(wsx=-8hEc*l?R4a46Qc}rc|&8t1&fJO6`Y-F*{(L`xTY630=M!UWblAiwr?5n5Ec zVYN1$YJ3@#`()>oiplN?aOKM5dG#0z(Njd#(__gJ-nh-S-QFVTE%vxHczxL-c`xaB z+N}Ti{{acXfPd@%v>it*h{lCSe|M&62f9tUSg}?Mm zfnN&zQs9>YzZCeT!2iAie;T&`&Sw7#V*l;K;s>$)yVN`V@2K~0k?wzsz5j*!{^R{@ z#@}=9|4!R~k6{0~-hEk1yN{2!xHQxf`g+FNe3nkCzfpZgRz@m1I$Rb8I`x0w^`>Y1 zgIVBT3D=ofshAmXSy-q(Za(fZ;j(_*W%<8e`49Q}e{sqFpF`{PbRQ?`udawcD)NUJ zZy{qdJAE5!A+z7UGU)4C>giK|)3-3RGs0z{`TJzM1x6#%TY3J*c+wm?EOqxLfS~t+ zO_TBV@Y0flw*)+CRjPuicp+i_DwljliG&Qn|t*lC62*$ z+S90|WPZDwa_ZU$7qwFLs!i+DspUBFe)jbGs`0HeJ6lSGWWHQ}O)^(la@J*054XpK zy>?5HsnUY93ZpyvkE81XQt{&%J1+F?LG9&rVQ&5PQKoxm1;3N?Y6%U)P&c)iB$rg} zF@yG!3}^GeMPj+?A1y^!mE(IaeJ;0N?QE(Zdq4I^%}BOyzv85PWneV$9DokeFjflK!NO zss5}xNvfQmvNQYIp?$@$DnFp5_$S*J)gm|Q9LHp|7r6>6#xM!&8uuZHeSVzMwG zNdz=8F9LNp3q{ax6g|<(I;#jVlAL;5%SBf%PKD%)wa_&hBkEozZB-}xazrIBD5}u? zXP6I+ep};8Q#OB{p(#y^9L@(mmKiA?N!aw)T>@R({utdN!z7>rD|W`X3uP;TcD z_2eQs?vNsR(}b+Z(BW$x5XDgLhZ-hZROa=-iH0LH@AI&>%rzHO>JcKl!I>ob{icD% z^@7|E(YE1CxCE;ejnoDk;uXlVf3|(OBg_WZsBjEx#p__y)vS%2fb<=w0w4f8Ig?q=yCsW?Q1zoFXob0AW$i%>?*c}IJf&n-GE4%X4^F31PzIb$A(HpQP5TGg6s z1=Z8eN~a^>Eeh0=NFez03rJ=lP`2S{RWoQq2r_3ilablxBI><|X;_P%>0 zJ8S2N_xAjg7#oN#imacoL64c@UumpGzY>ecHnLAUhbz&iJ$v~TM&EzSG` zFtK>Ldc$AF5^i^hVFHQ078WI^j7g(i6>yLsz~0<8l<#?{&)xzycA+79j!U5XV=Lin z3o_7%_79d4|L$tWk7!hDLv!LDnCigI^6viZ2|R}et!~VEf-0kCx#CF9-9&ErE(0+- zz6?17%Ju4&#_3!P-g%z0plAR2y80_1nQ`+1eCeveYr$HYM(D=2fL%!^{TuNVXE3+k zbYzztZ&&4{%H7J>&F)RXFZKK3@|o=!r{6?n`QJP0cgA??~VaD@gFP60dx zL?%T<;00Pzj-OgzGAHyie2~vvX)2RSY(vzNaEXm%wg{`WYO*d=`mgOda5nbzT;X(v z{E@T!rQpC2L;X0fQjiFJPqpPq!jioim6Ga6iZEC(FV3FswkQkE&?+VJKLN z2K6m%OU)f(5|Ww>63f0M87;-%B}4im7XM*R! z+P|P9FjZd(brv(#=WKKDg?B@J;vWR^)*OkB2(xf^xA&ne@GL(RV5+IS&sps8ms0a0 zyxvsdN_OW;z1U2QB!+%Vl-qrT|CV&Jx5Z>5Km@)xl3oqNS5VdLy9 zsbKoUJv9#J3SpA{>bAp6EFtPtm^ua`^NW09<{(kEhx40t7VND#+37q)Z>S~jbEFS4 zWHdu49lkNLzZ3YeKIj7)7q2Xj=twsT8pUMDfmy9W^(dbYqEzhuAi|@jCypDySSZa4 zVm@>9)cEn{+pQ22je~a=<6fqB0Ypx5>xJ!111Mg>315n2=0czJHyt6oL19%q zO#K#3i~X5zlWtHnuqn54B&jHqM()>wen)F(kRZ_o{x@#1Agj8Btxy4yNe-#5HWDC8kx%x@z zPn%o?&5q~$>^ZO|b@TAryOW4VH##OB0U~0f3llYg)Oqbdea7p@O_k(C79!CKWB_e ztmb(Zax%pn9=@OqNMZF;9sWREsV1&;qzqNGR2Z3D8FL1{!2Nxwf}I*A(uBuig(hgH zzBvJ7`S2P7XTcigy{eoOIY_r>2De?2k{SI7j$w&hEGPBMwL_&0i*jp5VqF2299ryG zMzmgBd&P5*=ghNOsaxXioJWCmX`U$h+e-REzi<0ZiTMYIZNry$U}feHRSwb-yzk!p znOOUAbEw+ihpg=)=XKUaMh^8113T7Y!qShD(!=T^O{a%G-so5J1eMs)+F`ERR0x*x z0`E#mAHWFQD~jWjieMeO-{5>-#TO%{U{`?*QhmY>=@vnIDq9@T%CU9I4yP<8dS9iS z3s5c_J}e+;H%y@Zy?!hN*kp@xTB?}#8lS;5>zO=TD-ETpg45v8ath=?ew7EL6xjQ~yZf%cdIDtNrLkuYvsq+^#!zuX?rWG- zaOrWQGp@hH$Vq(SJU573fqOpLn94#N7X*Op-@4aOSW#|ZZD^XP)HxID@@28IE$dBC zmyF5C>7pA`@`6XzW);o= z8kfiR`zLHo-I^%q9VhU5uyH(>S=t)`ojXQ`l5dXy1KGw0{0^qQk0uZ*H;iJrNpQ}h zjI)yNDg~ok#;*!BYtjsxQ$?oy4@?1c$>;hRzR4LYBt0sa)|=*J}b4MM1rg7C5kJRE#o1ZQQyiKWRaA#I@hsKVhVYVc4`r)VH+8Upge=Mei1_d={ z%OMrpcWSHx}hL$Epi>W zW249GAd9rp5_t%SFK$}GJ?=&KQ0UfICms;%1CVUK9b+_^=(4y604yiRn6mf86)m~y zzwPPhjIqhkTJ}P}j{L~VhIVhCr8StKhTFX#L1oF;_~yPba}}40_tdUTK?ncD0Oc@h65k4CI}3+Q~i=OTF2LZRoI2I(clBa2t3_Jsnel-<{T zyVkO8b@uheJ;axNx<-vtrv^1nezF^fFnjaWP>)*0+QQEXJ^I|0X_KOHD??2=KIvRm z4QBlrz9^t5GXW<2upttz{Hsheh>!3gp(YuSsE|vy$;yLal0r_qnb~x zXs=&4Z#L?^&kaAm13F$c^T7IonB8pC`q}Ls-ir%)Smf~wY6yoOnCc;Ej}8`jS7(p8 zYS&f~O^W(G&O5p6HNx(4eS zUu;l;Qgx0(i!EX=b@8)^Ut>-hq%ykv-(lyi2Ak@@%Hhy+VurXzE|{yfQ9qu z^J%6fSYud+BRCCj1>e&)MVN=Fu{NEl9f|&4eD3#pfKLDurWr8*03b8{c4g$R*JXYI z|Y6x8EKiRSU+&}Zv>f5Ueozf!q+xD22YxVbr z;ANN1B`j`>`?vdN_WdY2B6hSD-pTKXgWcC2GW*ob&AkbW-I5=(?EBN}o+IOyhMFkF zix!m{ueaqWN(qMhmS;6jO`Oe%>1k5=LdJ}*HgkpO?`-<$n#TD}O*Un9CQq*=ikjoZ z3hEg7d9a2~R^iES9M826-zAfa$k!5(JsF|DP1Z`fCk|g*7MT^*P1v(era|5e_37_- z{&?qnmHKU%y|@e>@gUQfe7c~{{s*)V$XG2CzSh>`x&7hph>ech0pD&&ef8+}_@coW zy$S1c8qVCa`XMCacIOd%5E85@)RNAv{-u38S#T6uDPRI>wbC>_u6MS|%fZjDy3J*B zKTMmc3PxW}hLr_m_tfQ-($L0rxeqL=PczHx+tl-(R|5~d?UH-2JTBVG!ay75l)~ZP zc(N!k_&JJ#c?BzWZ#;veu8VKG-=5uS<@V31({4j*HZm5fqzy~UIA98~0GR+^6cJ9z zm*J4#A7WJizgsl{$+UgCpCODeLa%=3V1K94;w7|Hn z09jI4WgS(sGhZz@{lw%$mnBkMR@`Ea7FcI}w8`H7?!iRMcyWM8mZ3Pz0>e?q@fm-q z@3QAR&pfJq-mXlCH-c}1S8%U65{1`qklAT-3-TN((w$&itE#flq!c0O;R7;L!ud&!`@<@LbH79v+p-N8gh`7Sn{haHEQ!719a5=szm=I zS)}7^?tr1^tY}sOU5CD=_b!zD+)3JzVeT(X##ay)S^7GJDbF9&L+L~)Il_!(t*6Y^u4 z-D?^$ZvgJ0NgFwE;)*QUpHG*1ThX92%;zcmyA(t61lTcF^%`6|WA)m7B;3cq9wh)9 z&|1CJRoiDb9d}(i$YOn1b4!;Bul~rKb^)nW<*SKiIFy)xtH}s^?8~m|OUA!0vny)a z|F+D&A>a!A8uj=l^g@XG+cLYEJ@iLloAa;B?7As^;@Ip4_Tu~6JNk1+Zado_jM-RM zPmtg@#*8D9Ja(fSUj~z@37M=n!$4Q0e5}?@OueT%OL}%fdNz9zIXDIZRp7udst z9%#(BJv$uWOt7{jqMpkY@~IWa47beKC1t?I90kY4_RB{Nu@Z(Fg&#*V!Q#zEow^B8 ze%52-QkFE;Bz^HqW|9G7(&p9Tv@K^(_0Uv180>FW!Z{nx1nIz#77>`z-eSE7>EcM+ zad^!l{2>d6yP)18$!f_EZiGsL=9%g5*foShw?OY&zfPQS-@NJ~xB?ohWSayMySo{k z0N-v&ZI7ss`(PC!k7)bSVRZa$9CKo337LARsbRCb+?m9@1f9}kh}3a#!Y;xlMS5-6 z$gi{|jHTZ1TDL9KiYhubV2h~e^F!CW|1B8&yLc&4#l4MOgvxW4%FB5vZ^G8Zr(J zcu2Hwm8NdQ$;c6O4x#9q0PZy@l^+WK+mM##)FEr6(($UTTSNh#mT4dKV<0D(f_z5z zH$oW}7fl|DfF9XyxPV*?IzZtaEYMH1nIr^VqK>1zI_ee3c3B|UDsT$wZZ{l7E^<*y z(IlG?J0(fN8OXl(pUz=uebalzk|J8rsT<;Ot=0Kp*d~LjmkB$lrrk2^$|ZmZ!RgK} zmH@g(=zLn?PXsAsaGB7G^>u5_O8i{A?h!gw>h^!=w}>Ac;K$Ak3u`UlVl<2+WWyp^>@DZrP^ z3yn71WwMF0G){{bB6(;=q_Nn|jhgAv`aS+!nm)zDkH4WBQdxuD@`1X?IWjlLKfbhE6<9q>iBy6tNCZD*bX-f_b)NOv0zVeCc} ztSQJV+kRj|A#rOCcBvw%m}3tV=uAHoO+Q1WX&CIWe+xG5K zms=2*o16D4dAitJ32=dhQAmj5P55DoE79*VlJ3LItN4gphJ3pu3;UKLkj2jjX#uRP z(rE-QnP;^GSJw7$BQ&}b{C!B8ABWyGQCQ$fBqw%+5HVv7`x$^>@W(sBt$&WUTE4U` z$e>u-s1m5?@6$v-*oacHd}IjwCLJbT1bPmS}f_qEE6Yzo<5lEGk-m0bet=!|^91{5TiV zDC?HdZH+*}$(i1pWeuO*_%0=p=v%)2WU@E`x*#I`YUjAuw)L}_{l*x-fLGg9B4&|R zfMF!tA^c~ZeC%1)7Q8Z?y;OH3vn6j-%ASI3MDPq~*NH^Jf<&gDY-B88{c1czU$pVT zXXOrvGq3W%$Pi3Y8xmHEo%sq{gc2yx(&F)g8L^9=pomcNh_usV;zg5$5K;DN-H~mb zS99&k1V^QaB?LW}%q7lY4z1J|F0vAmi22r%hJ!$D`g3MDpKlkE?XTd8A4P=CR%L$954VQ`I&2J%iquqFtTTx`w5L{b~>yjQSS3*=tGU5DhLnmLjJ?N!A7 z+yI*>ZH6tIBWxg#RDs;FfO;J(_o=w1w6{h(c;b72CcIRw+|GKZpphI@3PFaG)Wba< z#aV*P{-y+15|<0l#%Q)@u{P&#=KL7gphO5ZXlSN3Cz?8k4QQqkBoLS7h$N*LBq-Z) zM=CxG{lkf>(l(~1lX%aEKUDhl0uHkiBKa6O@f#0|L*%T~)C@_5MHfZg@#%w^4LG^D z0=Lm%f+sy($g2nB2PP0f*|^@SOA-?ZPg5EqkG{m%*obz@Y(h`50N}aJiANi%6D`yzYEiItwSdmQFiVi9ZcO5_u0&LYkJn%r05&? zaM=UX`WR91mh`pm`=imj$VtQy%qaK{4d>5E6{)a)l*5^~e-j|bCg_D0w>@@D9Y|BK+Ct)+0*)7Y&o9JNQnjP8D31UIw z-i;uT3sJ6u%-#_wH~W^Xue3D>Q{EBQv`qRph|7Dba+9sy2K1IIS`VJ((3i+5Xu;AB zU{UewO*k55Qd}8mpid?&*JlyBS4FzT4O81_3O_#M&B>f((g+^aEBD&D=PTk&agLHf zLce=dTc`5oSZvnu#g)1lh(izZ~&||1Kk62_kOgJg<5LFTtKwc8sLt)5ow*j#uU` zj)^@mfPkby2$7iPQ>0k(p2IG%$$KfHyS*BQthlmzf?XybHMY?s<}4nw3>pIeyk+*$ zKBie5k2hNhq z&1bP{@y(vSO+7cIN@Z#!*=0MA%SrcO0j6(9pRAHn0D?p4X4HI(+SiMzA zmk9W+tDaIK_i_-iFnW@*;_2FB;kTs|3#;=ZmA95L7J>egwz(VDgh=c}AXLWnfsMkN zYR)<8gd3N^a$N_#0|#dlwoBkXT(<+>o$yDXJKnDRs-P( z*1m>Ord0=gl|;#|M_jbiW^d)tp5!*lu6bq{Q9Cz~u?Ku{aX{KW&;7_2fwg&kX)NEb z7!aRGWXF=ZQmZN~&SA|fr&}edLXJtwGau54chQ)}=}T6K!mprQ2k6V*C>Tu?msN_) z%ZX_pgDiK_gE2b>p9_XfjlZZE$&{x_8OeGAT0M;56~?ee<3`$SF90o$Y|F)yj8Byi zO8I0(kEPh1kcfs8TGI(uxyRb%eNA>l$r|0xw4QOB4?kDs^F6nb4VzM6J){7C6?0*> z6}xO3xf@PHlxTY3u3a5a@le+g&{Km^eQdvUjHfUQ7DsFP)SdC8xOwRls-kLEd?CI z!>1H@^ekwx6A?5G2Q)Rj>E!z`uG@q<-qlqzRh~7?O=M{wNBFcgAW*-*Zm; ziJ+L=7Q|;|GsM;~f{>EJ^V*J3v3>WMp5?{KHJN1R>5#`IgFhcuanyF>aJewQ^7;mE zLi-ueZRIRebX6;*-+zChzaHto(p>P*)9Flqux0#L)9KX0a&)+Kw7+{Z{$dr-mD9IV zrIr>DqL$ZpvQwuPvb3=Km{*sV{|8BRY9V@DdgkBk9v}XX|1ih?u?ftG)n-X?5^ zKF>|kkJIBPuXNTYLOq|F2+t$8?M2hlwAoccupoj=!6+mM_#}0OBzDKM=iSZrHka3z z#n|fkZtnN%Zp*jJ*T?Sn+nwco>Wmf-kH;&`x7~G*+k>6Wc5ayNdL@$gyV1dJ%eFy& z)HY{_sXB9Pq31~7Mzd{>xS0CF2;+yn-a!^O*D9?3xIoJ>NhYSDyG2+MPqx=clJaz} z#Jby?K$3Foxan)q6mwQAs`LfR!yB9g%ZHQN*Cok766)Kd3oZJL^vm$!gz*zg7WX&G zj27qHo!Y$wY8SVIyID9-Wg2J_2}MjAM*Pi$=&t>Me5_I9aEOsUJCV{zC8*?j?TEA~KBCTzr) z&8#d!UTJwAM{uz`Jj*WE13@1U`uG=$UmHMOu=*=to3N>)&at^)W_K4;Q1+=cVPV@{ z;^eTbDm~f3yRSD1>z!2tX$)5MN*w&ViBb0q+@3t&jGc@Vlx-K#k@J|$w<|8uu$QOX z(>PvUAN}D+*2i(wHPqT%-nL$Di}ww?$yJ{>vx}Wsn&|}0`{O@NrVq2gDjD8o;(cL( z>PEb-ivY?Rab|Jt1PvHwLB}-*knFbFydHAiTEPV$+)qg~d(^5%hBTaYSP^)hoU2I) zXNjRiJ|S>7=hl1IPX0WWuY8Suzh})JFp+Sf33N~TnI@n1$d@%=-vY0s5etml_Miu4EheW-g$@YQ-qdg0_qcDi&EE0 z{@tZRgt4{K^5PPwEf>N;t_7ChYio+TPB}3yM2%gkcDev5=DaYOjKDWX$7)uCYD{kCrfew1a#J1{q6l zeSLQ%GZa7(51(M(*l9u-!!*C$@X(C4C|9{MDuOo|u6^Ds9H(X(7jWqGU`n}R@PA$0 z$#_D%H?Jm4-k7V59Jk6~rLtYKEU zIZ@v3(({hP_4YO>ZnRmB?fzJ-9e4Fg{X9N1me~YN40s|n_!EN+>mYT>zH}1w$5^|D z8dP~ZI}X)p1}L$zlqR%N0*VwXhbQBUV0#kRl4SmPhDY%_twg?3_kFn;S6aFzuW6z~ zFaOuAHdKR>g4`UFI#QrGsj!x1V;N_q&aA1A*yGfU+N!Tj}vv;Vd2`3AeGmKR*_epmZm|dOG(07!5#eYk%pCaJ}7o zKBs=`#A){&+x4O3L|YAWZ(R7Ot?t;>(10GN(nK?(Zes?yPuNEp*EIDXHdO1R;)t(O z{YiN-@_ob3$>DA1HbrS)>C>ju+ttZvX&B{Pa1s=4Jl;ek#|h1ID)cSE#J6 zd)W<^GdV4livbvNPT6E_-~FM&T1V0b7nnpYgq={x}{IW~ikb>Q?l+R@X~ZC$iK z2VnaOn9E3}56Qo5J|3UH5McJn8yHnmfki<2#1ed$=P*Xt4=J?m&EX8O1~FV;0zr4@ za(z2ZdCgbZEJQsB-5gw8%*8$~-luNI3ahZ!#LB8aGX*R@@tsX2AE4a5S@zK4aE5*p z$?qcd*y!~b-^^X}EM?BH2=q;9ot@&YxcEev$^a@{MYC_rAk)0kFv!TxvoJRR$;}v@ z9-#TYKEF?0o~{`(p?l)T)g*ywgpkn&ET);B(c0!_@2^x&)X@N|+%k4=C7YT(+J{Bf zw(az?7jxE8ys6gKx9Awa#|E#NWF4UyWGib3WIwe2)3XZC*gw_r{8cbAqvZ>d53eI^ z#>YFFQ8Nyq@rW6t{hY(iLqv&3W3#a;aNOMPxz#OJhWeXUiips$2Kmg9#i(QBd5DH= zMQta+R%s|`R2kuZ!q#Z@{JvCR`%Ct8VK76o4yYY^zW!-JE$^1{QrFn?&S4JWVBzg9_hI<8|sHZjw zEw(3czcJgZO6q)@*SKFs``q~+nzk9zFP74#1 z&XXL3VwPDR=Fx1D*#`8xZS~Xt_g;R=?-S@{B9o8PJ{P^MUr&cWyu2L#ciQf+|6yX~ zt!+oM?uV&{1KxF(_zjrgd@wh@o(4%hlW4}Gf6#qA`p;kgZu6TsmOuXc7sJ;N%xgdW zgMQ1gbKMB@Y~hgHC6F^n`{S4PrF}#>@aqQ<>h?KjIW=-HUg4%%n$Jp}_3Yojcwjc~ z?#j(ZOmTXfd3rFQ8!3D189&UYzoC#RlTGUB%+m;_M3|z(lupZB_|6}{q&=})=08g2 zgY?HQI~g6i{N^t0x%^>*;WA9KiOwOG8P5JDnR9RUcz60G@27b-Yo8$n*x`9JI{%Sz zf$sYoZO(8U5B?nb|De8L7ZuH(nD+hun2k9?w(-SDoXpoKu-4*a*Kfue{ED{BjNJnK z<>l#wSOGumKTL2|`#Q;x5b(Y4(dXdKK9*aTcMr`+K%l~xT4vmd&IRUzb>hSGtLUkH1T9s@b{-{VJL+s*yfMt>cmxh#43VMxPQ!=I{Az0Hi$19nR0 z#HZ_Le;$XYh~-7Z@^UhW%@o>XTcDVq`QjwlO=r96<)@32#|EPI$945@6M`Hj8FX1? z$aX%Prn(JA`V2Syh;s=K`{y!XYd_YX2SAIRs@=>6Tji{+GC~5j*GN(Yh+689qEa$N zW7Hgjk?}a~JG&;~%uK#G$>v~B@62L?vuDoXd^ky97`!%4dGqKSmvq`x^HjC^f>V}E9pxf>_A=!TArpao7cGfd_2F$8*y zoQP%PX~PksO_QB{J0_lt@wsuq@(9k~CjL7{y%AGgo)AylFl#@|8GU&#cWJ*$vk1yvL~p0ZLMm-P%l2n7|*2JX;%RtI{4M08TVu zHqs;lSh&-uH(H;OwMtzcn*1;1*w`4aoe|Qef;&Ut)XWTQZ1-ssM65)WKGuc#EGSy1 zZxHzH%f)T(VM@e&Bh{FoND5{Jq)0R-4~5X5Fixv4jH>FgmfZ~Y0Ea;~+TMmjiODj} z#5TwFGOxziJn7Mvj|~>Y7@e`PYG+$Vh=JM|za~DQOo+LPW7&#C*~AI10w>^$nBWMA z=IM#;3>3nrHI}EuguvyEQRL!QJDWoch|gi5HV|SkUI9C6M5&$d`-D&ayb)> zZ4ok2hp@-R5hqM97lKvd?hy`W-{{w z;u(DD%R*S-fmj@Zu?2|9sbb4QsQ|ES;VJnT3nwWdK5>CjJCHVJk8+ zO?a7F#-f2a85bUP>H-5~s!`1CCedNGXbkhs7@p$c!~m|aC(UEpp>>BmUHwk_gB$u2 z|K~K#q+j655T9{uHT)rfD+e9`?v_ zlDffPWE5*3CnjKxM>vLH6}GyOV9P0IZKzb4M0P}xz)hQu!elB4pqPA|{myJMvA#s2 zSu-)@oc5l=RKbU{3Nxx!O>rl|uw~)XSwgx=Zk5brbMX>_sj$v~^#yIz*`~70qVtcY zqhw+_9Cp;~rfuHIOVfRmW`P*;IvP4>K$wabAXNa>M3GsAzB;)unsH3oh0Z-2a%bRx zLI7_7!FifG=3P|Nw>#_NM2_M}O~t={!gq`;)Q?1?3DCy0PZKkEWs0N)3TSE(Kumq^ z`Wb@8wf~0pi-`m><^&l@#;mC=2BnEEvsUb+5@R!kH;98{SR`Q#K7%B#{)OE&`Mt_) z;Z;*9+C@*v6N-+tIf^o{gCpD8B8^Cf<`ADWxgX)Grf*7YphyD+8 z)B|-s+yE+_<%G}#`6XhHGnB^_DbHF z^*p5}^fi(P_-5XT782V<5&)jlLaneL1I=CBj7;a`s#>OVbOSpFdMLC)bs>Nu&;YKy zZ|dtpD_F+SlxCW^ON>OvHk1UsI#F2@0V0yXim?6wvPd70ifw^PFQ;;CxGSXksNyXQ z0|UBeCq4mRZwn(%V0fZcmI?zcaiTZE8^sN$kw@a*ag2*g5_!qN^QATcAuu2cZ%MNoC$s z$?~osgc-bxX&H;D`z$0HJM`*ua)A|TXp{IU#AXt4QCNd+HDZE0dM_lE2qJDRFfus# zet}vA`$h2pp-L3Ma#S^j7et=qY@~~l;2YH1eO_8xgq+5KJQstB+ycK(ly~NCDkHGq zusYBza9?AbxgxiH}XSM{FMWmejm# z00of6`$`JIgYzMHz=sz?0Br>!Jcv zf3yH7&*kJnd_-zw*$f(=i7;fHT$3~?#yjSo+1KeOTQqTJ(aftwvsyGCV$qb($)K55 zgXS0pO|JBRrwo*YeS@ZJjLn`Z>9alaW>vrBBx-xTm*q`hHP;9NdtZDkJF|%Tegm(dGhRS*~03qz*0AV9=w~zY+ zq&V7JGezQign$Y~nGl~`8{7tEmLHE0vE_wZb9?)@xM#AKC@r1%41qwwR-0f0?M=&C zTW}?!{~TTm<-{fe6fY%{#(PnCM#mtF9B~R;nkdVz$a>h&qwu6-+dhE>vqu+`2Vpg4 ztRs!fqrhekaL7FELe5xQVPHToE1W(lCZ47~p&iWEFq6fqZ)Df{a$TMHUa=<&BJGQ; z&??2KwBN?FXqdVBgnueENu5KP2vdgaZA>vT+)lTSY~SP5!5bTxJ`q|xEyZ4)W zbMf0!z`9C!vEQjM&%(Abjv01ktW-}jt*H`c9#S`mcStT2>l+Wt#`+#vH_s-xhYXg6 zQrZ`FCF}}5iZrM~^eRNsYYgKOTml7L!6&oL^*qGiG z8)Z*ylmoF*_Qb~Y6U4?OgrnlqUit{+27S4=!BHk;R7#71k=dKz8od~%S`086?oqbo zoS@Y~$hxc~Q+hAoM(<~%k`2#L$WUjDiK$uoIZStZRm#NlDGfl9!KlGCM@e!k+o)*9 z!te0M#_mi5JoledQzw|-Z;H*^X9V0uq&|*qTx<@!MANA^D61&i zRkcU-dUdgc0({DV=`0%eWA+(+1!p2|n51J=WuaXdDqv6rI2K5b`n@~ZyQ*=C#=ONF zG0w)S=09X(cZ6D3UHHrCLNt)A+R*8;7)a(xrbY(%g|%T!h!0g`6GW=wzBWXuH`U9A zhON^2A|GX4HjMObvqGW>YLTQhL};I79f753l$e>cM3(5G#=J*X2;18Us{+(?l6mjj z#*mik-C}=Jku_gJCb8C5R9<^}gkHe(a6Q9e^TaSHnaa+n3<=Ep!D%@$_A_^-I4;3F z2?Vc{3CH_p~7R7e~s$(9h1yd2Q~GNb+BH^4QX3dua@eLy+aovB&v?pZJH?d)k zcsph$rk7e-@O3XUd9ln2mXzj${$dt>?p_^&KvF9^+U(9Dh z@SmwAgh^G3>@KG`oW0P36T|`-BM5R+mFpjsnX8#?I$31u=n2fJ#=Shaeor8}##k&! zv@g<6iq$&?66MqmH_rEBGFBDOY!Xk+*d94GJEj3(f0F6epHNf`6de{7&rzAt)Mn}% z>;cQu|9Vle!;?p(PTMiyF%N+yP`AKSnM>b?C*2i1=ux>Dnvkg<7HHaJBzrf|*jA8M zJ9yeOg}32B5&B!$xvSfXBVEj!@na@duIVVZ1#2$eMm{A3i#-GZLnsD_V0KDO0d-$%}2PfQyc!=9LKsHwXXL{(GEabHaH z!rs9Y;n|7lJBMc{rf-C&i|HLa>8{|Bn7#>`MNB(1cfe*Hnng@^cn;Z2@GN5b?r&=m z(>LK~5z}{nTZ@>!37$nv-#va7F?}OET}#^xfm9i|L!tvxw=tC!a-3 zUkA^wNAIl!F*?U5MRRu{=w8I7k^C#BXDbVovw~R+s<7p>*FE-FL!ze!_m=tC;Jylv znZ1s46zhBjp-# z7)2|MUUaCt+9S;XRP*9&6~L>YpHYQg>8!+q;(~pg(~^-;YStceBW6>O^I$PB-DXf? zVShkj#)qzT4NH%MZ8>_>28WwH_`^{cm8)qC9N}2@DPuUIIb~6t<}w3#3Y|?o)9y^5 z@?mem=I=~x5HO{W)i3Rh-OM?tZx{ub1_Z&KVJC9;-R=0IQzQb}@AA$J;XW2Ddx^SF zcm~Fsozoc9Y5X9vTVqrxFnO$L37D$Qh#&6-i%CQ5lVQ{i9b(>W!(sGhn^DO+wlXpQ zsGMVAg-716@pCekxU6ICHzun03+C@xF=nJKJl-~jUAzscP>;9WPH1U1JhX$tMcf~7 z32+Z5f#_oZa>E@um4bElhJ#J#>2a4yg3MMX$_xR?!j05xAA20u>rgPe zIO)~$4GdSc7&?t(HcOb3p+k~P>MbsceQrI4jGmfI0gK{HDQQqUM`tcA z_$%szn2(~^@2Zl4>oWH&gEV0ut9z@@s6C{x87Nib9>f4rgepS=^Qw!CsZln>r7?6+ zHR-Wj@9B|f&JrOtF1htaDS=dw#*5HzJqfGVmFVg%R^1@Uv_hmONp>;;YV2u6c8U3~ zO4H4~>1or2jS_?is`H$Zsy%ESmq7oEWtJsFh%OokA*(H_E_H6wdoFHB?o~%gxf@&l z`^;BRp{C*k-X|%5r3n+s1=fZSbzMGTUYLTDg;Fy$Kn+VW1EpLvT$OI9O@p4pmZqb5 zRg|4A{d*M;x;ECamB8I0@3I> zug=cu?0kOC&U7!Goi2q&*)8{43Qdfw=Kwb%td83!@3`e(%5ih188h3FKMAH8=PlZX zKYJ%sSDRY36Mq8o(74{r29qJ}({re&)uCP;>f<}q1;?J4q(VjEp2Xa^l-zX9<$ z6c;@kUAp{}jT@1oJQTZ9`*|U9^Og!h42=Z}ZmP>K`YK$sJGm6do^JqytNGWJmqtXjnArNZJx3esMisGb!04mr_8wRKuLCtPAd;=7>7 z9rUVk)0<7r4jZ|ifX@3yy~uVWRoWX0V48}uTInR5t)j_n@ufm*$%4*YOhI)XTgUg(A=AIR36Xj;$A6_xB+ycW)R^1 z0TfNw=bNaiepyvPO|$AIA`V*U;~nhXXkndq?7bDUI#^orSG}jHghk$YgHe}$-p|^n zQI;V-qlfHEy4|;Nr-^O2E)9uR2ql;Gl4JfmOU2m?uw^en_NLhEKDrw`I}6`CExZZm z#oio|4Hsn_@7R!G(^a7hz)kOl=$5QJ4hhBHcU8RWS0Y<4LWM3(Sl%k9-j6wS$*@t| z#4^$CE>m_$>npY&^~Nn1GphKPoGH9yH9a(Scf~G62vyN#$;0J09%-xonqqBDeKC%t zQSa@c@LHjh>@p1fYGK*yFT}NYrv-SG1Rw`o2Bsn<&IwGUWFCXVIXV?%QW*yEeubbo z^&MMBjXHna+^$TSZ=+lYhCS#YItgUrlH~oxi{$bp>DR%TALh3zanR7 z`>krRQCCkVs)({?v}a7?GDZN;i4#xsy`)PJ6L=%1w{)|+XCc$P(DTw2s+rnF*&gnC zIVs)}B@UAZjC*qqe3#x}h-;tNQpX{C2T4&&*IDmMi->Om`Enp)@Vn;-)@Jn|sU7%Y zp%BvN@WQiXJ<))1hU9n|mh*LE^6jlP?l@|6F~9 z>=q=|W21idGeut2^YNlj&rWw7<>4)KPj@f7_%w+ zD^)5^ZozO_oqd2f6rMX(`iqQ8%8&HUP4_SB;8F_&CO~>b&doFY?ro;cyZT5czz)v| zcJsqCEWbm_wc-fp%CQ*FmHX}Jmq>kTYcC~}B`6;B0Zs_2_UT3vMepl=u+%s$7B6;T z@Dzr-Z|{@EP0-Z!U0qyV;d0NC8vEe|!{~xz^iHc6C%wy0UCr(%OW2T1rzjmni%FIl z53`*W#1CPw!7x!$w-7x(?BT9uGP!r)(>hPCuQu+~XuZX$(N>*kWVDfo9J<(BAO(1& z%jqYI2*Hj1x624lmIhUJD7Ug($Hd;Uh4+$E&Q!qBs!sxDi5#DPoACp4V6tSU>s%R zjz?-j;@@`wvfs@@*vC-1 z8-TDCp5B6?0B3(X#+6~KsnlBmDim%<0jQWOaVrhQWb!o}gNCqG%(v1|Y$g}dQ7{xy z82mmO8uuhpH5|n~iB#b;-U(>c434%hkqFW|m*)U266x*%S|rj<0PQ4_19S(}v;fp4 zQU~aenmRyTBJD7g?gpTpM7j|}i$uEfE89t=n*r()=?DOIiF6}Ci$uC}8rn&u8);~f zNOzB+MIv2?A@gcnBJKQ!7$=%!sC__K`zHn!^FdHCA2+BNW2Og~?M$WAwU72A>7y-c zAMG*wX!AYnqb2Lk&?e1J&_1%>)A*j=QwDzd%G!JSp}nWmFVlOvBQ(;z^8;ES+K+32X!|V??L%51+Iw0c+BFiNjEFEhibccP zHn6r0tZf57W7|OedD{jcbw*_PLgvP6sr}DcYCo-|_G_vA&r)hXe=Mc;^PQI3$21x? zQK($D>3%nu!w|wr&rbhQ5j?kdmPTDbYyF zV2v~U_&CGb3-ocs8N`jBM3&2RY$?~A<@T6K{c$mqx*s#C9}+XE?}?ez>yF`9@+n08 zDIk+wiLp;v!#`{IXAS>+Y~i2ropv~TXY0)~cidWqoubjeE!}YAXmHnK0EDf6M0?&i zo9vB8>4rmBNv^kaGNpjrd_GFQU_dJURt$w=lIA!am9|y6m4sq4PH9Jh2TdhE0-(lP zhhvZsno7o7NhqO4=r~MfTc!C{63US5M-fBVDvs~#U%aMYI2Th)M`;|yR%5!AnxSNs5BX{2| zyx1c*Vra2PjsTF`BR9gc*duoj&ti|<3{ba6?w*7ed*ntETI`X#XR^f}xe-H)J#zN| zE%wOG0Cg+m?rCVTLT&_Tu|n>ih88R2MjBeIkh{OK#R|C*L){9wdw3QrU^XAWy9HT~RP2S8){c<1 zBV_Fexj!8tXiGMW#cpi6+b)W-c2Ru3T@(wUNww_fQx96+4<>==GvKSIa606YBALZ6 z&N)#$GWSO0&eNlEFe(CAvNdS@^`3WVgg#dOsb|PAyYQJo)RuBNR!l-nZM;O((|Lu` z$J2b$Of)jCM~8{_G)*+SZ&aC?YQ~F|wll>7=x zv|QkNmFlQc*{qs7uVS6bQn{KbU`G}KK}oATk_;zl!CzU{2r{GC@2YZSu8tWg6}UnZ zF2%0Ri*@Hkdq`nJWpJ73l`NE)QDqM^>oAZrg~*AXN+qb8^tz2eEig+oXNeFrv{Uu< zqL7q|j~AiOi4a#=xu()xXqcTrqIz8E$&h;yF0HGcYNNTsUvubO$8~#}xEG<}6;@dA z0!A+j-nDzbSY}x=gy^Dy5Li^81Z6LrDP<8iB=@SLq}+`y|6Sz+D4*H#Gu|gD09Jta zxx?DnC!SelO-}w|M^>Poc?jGJ7$u-p=an{NmnD zUA>(j>+MhsrI`WzdoPB}E;hquJGZ-a-0IKkxJ|3$_CIjk@-OGOiD!Z&)+fO;N&OG2 z6UwVKw8ZJ2*K6ZyTjW_@upq!9NKM&LtIC|%ORI35WY2_y{_8HQ5S3=-Tw zfTrmReZ#C)H>LxH6hk0NYEjl`yo0^xyE5<<87tXH^?`Gfzgi{gN>~IQ_Wt2?sz@ z!fvAbU8ZBLo?SEma_S3qNi$QrOVkuzb0OM!!EQrrWO(_b9?;@9o=vI#n(`^!WY;m!-NaahddLt3{dKB)|KCnZ#Za!FK^ zZU9PPkB|iX-SoI30nnU>$1GAm3A1gbV~rizkFfp0?mVp|^y9G~P;x}EE>LD<33 z(*m%0W%AKJ85vfzJ!O^!V%-hc96d7GBU-WTS%(T2W?6h?8R};HthLEu^eb|fw%@82 z8+G;co{K1J&Q(^KkCCKt;=~huFZmM02081(r063bbu!HhJrm6f1ydg&DbWLNx^U3| zSM#KxaG*?~SeGIghkoHhCNmKNJ@P~0|NqrRSq6E+hu){ULZhm;CUUx{j zMjVZX%`l`X8^M?RT?%Qo5RXz!*X;$XfF{K6C?kL&&#BAIkam(irW_*T@ERIVN5$hX zi%J;q{YcTPg(3rLU>xiaoqFx1WTIrYt$lz4f~bAEkwDS=uAQP&%VybysS=p(zP(Qt zM?q6pcXe@gg~~lsiZ+wT12Bv(H{h>6Cgp3lm;K zR0vkwzzIp(UzStR*DLVn9L~yXIBN}Ot>LT>31WF3Z;z>^1OrPi47>u2%|PDfr%2$w>w$^EBs`f>#Q@hxRlR z7DmDOPzK+xgoQKW+Jw9^;ypHo&>Y$flFv9zRn|1sCzGZspKy|@E1b2LdsR61)$(I% za!lJ)*VN>inp{(pYijbBOHIaRTTbjmr;@v=6TPrUSS09)9&a-*0s@AY5+$S$<$QXt zwA#-TWd_+bv4dHbfKJ%CI#Bl|CTP?PjxmJ&aX461;}!vam7vDEZa`=eZ%T)$$*LWQztD#%DqTZ00NeCJ z%wq31hz)Ab0^>Fi5tYi$QQn}NaE*}*P;^C=4B4(3>K&SkIFvu&CP1h<9Xi)FlT4OH z0rlQ<$9QXLVwXX0kH>i#XOWa9NdMSlZp3!+3^iOwQPkA+JRAuZO>X&3u7Da6mzj8O z%u3Zh0$_YY`LKy4PD;uN*Q9%=Ii{D?(oRF)z*`cZ7%n`{-!plil1wQoN!LJ&lG0&h z8f)iXT%VJTts2hOoa~yD{mgQ*;)1KQmnUh4lV_Ze?fEd7sbDbJpJY_>>ip!{$={5m z5q`lZtU4TL5yXp=hmWr2;n8EKkjIa{J_*BbZQZ{P^uT@?((u*rr$5`Xrzhx+4X8rI zPSG?y_JFVHe{HYF2AG4B#?cO1j{y(13T7nT0?%xCjXQYSWca-!cqW#G?%-*YX`i>? z3D6q(06+PT;0X}&x8WxgR^1;3o?=gL0VgKY4(%x5*jCf+@HDF6V~~$+1s#4f`J~B& z+Hu&+wo3DD9{C!@UGv8-{v1@+vC%w-CV;{}W0%QMy_YoNT=yett`~25gVC=*9aWMAz z=Ci=qN9MD@*ynGr1;##_p9RJ~|9uu1d+U9|ElJgzIV}5pOIon(qnTQ;?DH*Y!LpAm zX~DA3U%3U#KAIngWuN~#3zmKKIt!M4{`)Lg_Tl?DEc<-(S+MLQ^I5R$^S9T6WgpGY zf@S}K_vs(tj_?%Zh%N>>)dGqx^+AIA3%C5v8$zX!dZwgi7qT9rkkxk#N_1&k*DD+p7ozSxCn8_vacDKDmqD>TK}f>dSBa~zdbkFK!bn(G zT*64-mIpq7$`ZFPtIbEPD*m5?Z*T2UyAM1V%;OB)j1qiWwFtAQx)Icx{4YciRttmU z^UaI;n+a*Y{UJNG>d!Z`mscZ`IySqIJKhKQhG0T&?KFrO27X|joP0GN|eEOTyi)57Ev~wc&2$g}u z>tGa#ts>?UcTQ{$UwAOS`Z49IE~vH9H;v{SjU`TjKFQqFAwibZzYRtyRWKtHP{y0n zF{UpvsA7=&!;qN~W>hMDu5b%xf0uB@b_WG5P_IEvs;D}+y^4o1ZZ#@k<#445J1I=d zRJcepR@%~uWjNRqB}fa>6eD57(OclZ5D`HLXKvp^9z6QvY|$BdK}R_Gk9>TE72s{V{u<-m230a2jRHD|hz9u)>%beU4q@pAeYaN;`Z z<`l|Vgt-6-)o+ZGA-m)a=*rb^fIIka4!-MfUssiT3EVD$f)NXFN`w~)Op3{>e3a=! zFkJu$0j($0GrKUgwdHPL?wy~KvV$%CpG_tvPkqMEu%560LjkDg6K&{_%cX%K7dlQ0 zo0M?(jntVn&z$?3wieLVol0!vfe)fq=t_gW#Tje<|wyjQ> z>(@rr^*PU1{WZQt>r$roukFi!%dkjREQ4!1+u7O9Ka%a#ua)hTFPZJg4do74{ormW zFE^Cmazka_dWMHQ<}~iiZD($umbv++iPJ*j7mwY(Y07-i&O&`@Q$@x1%P;NR^)r#1 ztJ8nIjJoWMdS}!>C8KV0gQpZ2qIcKt?)v>ZUB92)^xOWorr$-Qq(JBV#i<8u##rXh z%I~ll+uz@e?U&h%;n&-Y;?814EB5BjHg~qUvoFJ)A@+nZ->+>TxPjnTi#_6g;yDeg zZ^CpFrk_Wc(o?1hcIx(&+f#l~PZ`R5ldxHG?dP-48}z-O_kR9@``Jn((}3e>yEL*( zBfB*6Pn1TY(FW;^Xd2yI*54^d!g-xwio&; zjJg%W_SW57cW>RVwRM}#PkBn?oXfE(p-l<>O-ktJb3*N$t2O`jG-vfiITsZVOu9|q z%aIjwI$Rd!kBAhT`Nd#eHez@=(CrxFZpVhX{|j?!7k>xdwFNfpP0oNfd2=JC`5EW* z`7agB+4(G>`Jx)%yxo(EIVgwuQX>RKk}BrTXz(`kv@B8xg7UUdF?88F90wM`cx|J$ z4iZ|2UzA{H^m)gs36AW`cxZXaOp{!~?(`Fh;e6E(aS|4aT2!+jdcuIMS%im-f);By zGV(@0Fp|l+yaSnw)93+C3bjurF7P!xzTVw)nr!nGQ6xRcdfCoRy0p{PwXhtX?f-hY zKVxCmW~aN=&*1NMOA-BtN~2b(ba#kpHLoO|hmK7Dx2Q5V625bI#G%i{Igy>0lRs3U z<^KJC8(W-~FFP36`38s6VDf_v!QFS z_rPTuaCO)4>|Ocee0)xRJNGjczMYPyVUZgq9m^~7@F3%=Q(ni$Z-g7WE+cZpW7Z^{ zyzVxIZ+e|H7h{_9wDlL;70bd63R)Qkz%o05F5|v-0vgOSJ_Cnl6qpMebOiN8=opBa z5hTU@(p{hC2k?K3;J^etG^|k8{|7N708l_+Q(ZaID+OF>&etBRL%xm%xiu%aB?&MB zJPH6A*-fq&X!(SiU_O(4Y{0CGxz1671jp)e+-PB{1Tv}KwhpLvowvYaOMHI~Cg@Bm&dB9Kbc3^PB->mgKik%Odc2lYofMQl~k}Q%55dxh6<;4tYpT|G(s*YGur)59f1>% zfGDO{9hln0&dVxwAuu*;NK8?^w$z{GwamcyBCfj;KC}PB`ry=Q31%lYJNQo9Zu)p6 znb)Me>5lBXj1Nq;d~F`EQ8AqAn6B@}Y1%wl;U00sAeHC?q6`Kn!ZD^xroZ9g+|-eU zcpF6ug>Zd@@8yjmD(SX!>;%ucYT$)IF~mhb^6Ei9Zoq8OV?}*e^)_}qr+=tbT%;45*dSln25~qG0&AL zDWhoPLMC|X5u#LJ(l@L@QF@?ZuSN0dP*%hHt*nNRa-$(=!yeMxaXCEUn8T7>#%2R7 zLS(AU?SKJnS{E~?@cT@+w;q9|jC6VaRwQZ?mj(Vu?!&&sk7YaVSmyU@3&TLDts($a z5^fw49t_`z7t*xzO=)x7&bCaxcpq%*6R`GcZ|k{T=0ojU#(i6FreQM;UxsPGZY+6D za{KZ@HlA;M%U5Gfv?RGhbNk>+lGl>t_Z(!NOJao9CV(~pv% zS4}z38*VadlUbY0`cIHq`EQb0?NP|A_V>uF{P)SMI`>6N?K$uM%$+EHy+lzAW*X1q z?0}CJg*h~8Hz#iZ2$hRZ^Vvby#^|s(`!C#iMh7XQqPG|WY zEV%uB3vR!R1&3b}OrugUEjX6?l`9q4h+*agWoNZJlzdGvl;=jA-&1ydYS*WBed@96 zQ;auh?x(ur&5k!;F5ajr845ZkcU5v%C3jVFS0#T|RkC)}SJK(%_6fVm{Xv@C+ZS(g zum47qd;iZjx#ut0mz(M)_J*cz(kF{Q2Lv4~l1< zt5xwqrM-xz$?9m|#M-VwDH@oe%%j?2pK~A2W0VNz8)Uo2Y-hUmFy?UyX1aNrK@w{k z=Q_@TdFwbW!+vhNJwhoQMRVH!qS|}raY>2~JZ4P7RT$Ymvdl5UN7+UgWT5%HK8!xZ z{rXwxdn}zKv4}S^8@9M)S&pSF*fgm=$yHi6QYC?An=d?R6WP~eX|adk!|7&9LeMfE z+l=YI{+RczH2d$GSPU?+AU5fEK(NBc^c?@9`XeuGfmiRzR}=tZPKrZW)$eOo70G<)b!_|h&p&+BQ)byB z>SrgJ9WOLF%fKQ;#D%O*h{SQ;MvRcz=pu1CEA~Ju91%~p+erhScAJFfoa7t?Dd%g{ zwsZm0NPBP{z#5z=Q~B7biI~l52yL;w)Jdp)Y;=u)Em}Ubc$=olx0k`ulC%e9D=wFdb?5KzbSb4cQpwDuvuwV%>rBMuuN@Y;&aw zR>FtNt^*gx`zIy6P^l?{{K>E-+#)jN;H{$KrYcr_)N4RIw1!p{Jp2U$=AV@ik$!m6 zGwgnl^%<19VA^cJ521#G=L+>Jtgw~)?PM!@(wf!8T<13Df4=2xMjHvNj zF0aQ{lL2?aq>4C2IGvFqns`Im;@NqdQzcaklYIBwRFtzSl_5=J7#66Cj2)Aqf+^Ww z+cI;K%tu9tVZK?QXzkU=K5AZQ3ZymQoW+`P2AI&GvgZKA@XTYg;!BNXof#)fwHDa| zZ~#2^+zY;e84Ho==`a!Wim}*tl;3PRD061%1w8!?O*~9ub~R8#?pMbm~6_&(5#`+6HJFpiLLnH$c0& zn=(zR{NwIsJDcrnwzJvU;2YSxPiNESJ8y1ugYQQL-{G7e&~`N3(Qrq@pB4?{wu*;f=yB`3L% zzGNSvxi~bzB}qVNSR5H^OHJfRiL6`%hlwUN?hQ#0?2%TrADS4DvQWm3mRE-gh4QB6 z$#Na6Z@)v~rMc)S$;oTXJu2#-q*_98!ehBRD7if(}P_ z^EMhj2$Cpo6dG(T92Q_t?pRdwqq-R=h#+?wSF;MDGGso5)N*q?Looz29TZP6y{2}` zwIOVpTmmV|xA0~r;^N-2s(xIS=tXv#qLzok5hH#(jH4tl=nWE!nyhvJbyjmZ2bEbS zht00qg7c;OIQ7>B#_m)yWFwt)GN!M`n|6%-EL{o}&gHTP29?!oN;!&R{(YyRD$S2YAFWeygEJ^#6h^zr7_@9sNvxwt62uVLcLQ~n>hWz*7{tH zsF(@$O0k<5GIjMAAvK_TfTCDiNzjm^^9_J?_6c}8x<}(V-pBw1zj3UBLW41Iu+EG) zUJg!P6C;ig03tS-2It#wFHV2+{@eW9`AFaS$j(Rp^?bw=<}l_ytUF=e2Kt~0bF`Ql zcf!0A=AAHa`uMYIRWoc&t1)MDmV9?M7Iq-**Ybw76Q9*Y2m>$|aX>z9QV|_7m>p7; zvrjTN3ZT7t(J~fp%B4$>KM{%D86k# z_iqgenGzkd^hmvT$_jSUN1s$DCk8WDZ}Yzyh@CR{Lgjan*oW>%FFoYv{Fs1WBku(; zh5Nz$%Tmpi;6wAztl82(s&*n9E;9GSi3FCNLQ(4l<&HPvsB@9GlNs8B=4&x^HYJk= zNa$goY8c9^2bEo^eeD?v}qg^8*do?B?W07s?agzx` z0xf~Mt$^^%ju-sbawT3l()zvJRL%rI{fR;~9QpcVVClY? zGDbVJ)OYnw$y{;uS+BeB6M1`mIX&i@5oE|{3$O|Q?jwuM_LCUssz&tbwe+^GS%rhP znGC*|^h_&+DHXNOskQ!39*Xf53cZaoIyo@QObgi*&S@Yl$nEK(TUuDnId01wH8#I= zHa>9Qct$;)rF|ECL-4FyUYE^O>O;o=!N4v*1(*S#Uu23617y@7!`39QL3b|%4hG+uf9AO{LT^_Yp z=L8`3Gs1>5sIT2HM^;;=$5^wY!f?oHj1EslMs^O^9T1UY=(^3JEzAed5AneQhU^|` zf!!k|qyB?{y^1T29_fX&d)U-r+nJrR@WE)2u;@DXH;-X6;h!GhNJHR>I&(pQzO zVrJZy3V;WfJE2X4NkG7C(wV48X9KuNUN6i-JO!gr!p6>E)XdZ*%<#j3?Oj|=6!To4 ze77^G_ilD%#?(;`4Dr^>jKr1yhxvANo7a5Z1fS~YoimVHf4a}j`^Y(+?DXEqc_Zh? zLeA&B>%4c$bBg;`d;C?k#{>cAbP>~r5&3?cH{g5Jpr@P3YO=Ew9lV<{5%Y;rVF8>F zxdw$p>Jy|c=)>tW7Y7gk_?ZHlrDtczIK3Xu1ANFdAo{je6t;|iqdNg^Fbaz;dn~li zmA1aWu1JOb00&{U?D_6~c(SbyG`&-hAZyb#+O}=mwryL}wr$(CZQHhOP1`ok?DzZ6 zO+`gUMcq_HW#+=O&QfRmD=I!_w{VRezW)8k*Y}?fFS#Jmif#SIy=e{_oQz#+IXS$M zx&i0J@+D2w<<^pun(;t^OOH4T_$Z((EBpN1s?`ce6MzQL%9Z{Kz(PEOrn6|0ui5sX zte{6}9KVemV4GU-@3aZGSY>DCmxPUl;Rf0*?#HtHDl$qzgzh9Z3|eb;=I*m@$| zw#vviHbFpbG?e+chCTo*)U3W{q0*MGaEfa)^aO1Vfwcta9pEniSSm1|AG-H6z&jh| z6wfoc=?s_a*Cg0TH;qMt3&}ZLTm)kpiv?lu(j#0W6<6ZvD<3$C zUxecw3drQ-7NMEaM;zBBf!{)FHXcW8#o6$`J%*5>=q0y2cpQD>WSNV@Ugj0gg6;hVkQYx1kx<@-LJAm67ntgG zPLP$X(`tP?72u;f_A*4du7l*2jbI*H3l%O0yJ)!Rc1l!r70%Ow_($&rT@{Nia#usH zF6%=pVm}aDSH(u4VszC9ru!Z;)C#g@AR;l9ZA_r4NI|d8c+XEyl=#tiQKygL10d)( zkN@`*oRhgw4Bz_*>MxBFMXsjL)bUJlpv_5N0=Njw=*?K`kgroOTA`P*wCVL-d*M#I zGfi+!xrOGmu2>Jr9J8ok^y4dwdOBef-8s}z$`Sdir$+JAskcHD_u_XMG47Ku_wu#EYh4@b z2{Qo@k65|auZ?T@R>4hKXeZkrdRbtbrQe>juIJ@9d-C@od%j0(x+Or?u)QAz(I>Wf zQkh{t42tg)leU2@ZLev0%17N#ok#bz{Efz}w}-4Vj^UWo%5dTZGgF%L@YrgG+$(ke zRMJRpsjAg0GbmAWAB*@i^bQp8c4)1+LZ#_M^JVsXk~;7N67CygII1%AUo+0f;34g> zJGbnDUCN%cEfy*VEeN2L1K@}plJ?@-2~?9+NQ1_i77?-lrZiL3OMLMc#m7P4U9fCs zqnqCE1J)I%>Q)HlU*U0ueaD^VPnqAH zKv5fd%fAI{=5}UHSxYM;KDW~Fyw+{m>$TF^eeqta&fD-fEg7=g7pM)Z=5)EGYNP&K zR5iJ!`aM`n6%BVR00)fp+xFm4B zo)2NJ4cVNN?ZgRXXAXfijLYT4C6jwtB zY`3cOr}JrpbA|B3ANgwQ$a8>hkAaG*O!dhT;fG+?YLv_%JDhc|D0}_9(N}(NtIhFM zEwD@y(E@z`iBXfa&FF2!pa+98Kqc9!7fL66B9y!V+@oV~9$`c5IPD}*=GNZ;j{YSj z9qWJ|rOZ^_73Pt@BWUaUuoAY$q96U+v@tOJaN|7Trk$`HuzSWq^x|UFmyKHoNZ%}0 zGu`mJG6a)4L6|9jIn}%Spr$S~FT>-^2Z_Xepzw5ftsq806X2$eSvMt?i#HR1KE)H- z$e(DQIn<(W9B5u9=c4y{y|#64e9r7P@{eX~8;>i&Av0^UIl`)EM%iDD*!tfgVhpFt zS7=Oa1Vw8Q{!QgT%Xw((ahxvSta^Bdpjv45yMi^6DwD!4UUOgfXGRM}V%BO%;Z_krd(py9X`ejJLHtlKd6! zi)PN9&;fsZ8r^zeRT=VRMRB&IaOuW)yWD%bM$N8h<&u|q6xm*a+LE+;%Bw804oLN@LjJA&#R_$p~$7O|Y{HCmg6TntK)EDuKFEiSm!Z8mu zG!_-9Lx##!w(d_JaWw{u9xf1N=^6&B#(i|mTgm*pDAOMPt~gwgE&Dq`u%j@?7Z= zarEmQcH^HlM?aJkQs%s!vo-o4a`_XnWCpmiw;S72T3R5|xZ7ybb<)0>27Zy7Qz#p0 z(SYXKjRpX$wA@+_wYSsij9#?U$v&?6!-n$qc17J6NiF7Hs|v7trtPH1d`k{QsV?0Z znrP*;-%tIbL;;bm_n0}!zc(zqmb<|@Gi%{{o;_N4CVujxVZb8ff4{Jm+VsWowr^8Z z>Fd3<75-`5b8B-~dsWDH>|7Y~Y&J#5WJZa(u;N>e(o|#c!v$!O;hEcr4)ufS)9vA5 zQ9mu-&?0b+1+JWHbiO|!_s482vJSlGH83EoGdKZ6^Az+Vogk>D3BVyn2+ztW{Ll_w z4E6}ax7wCDMkZE&44}&N+LgPVpXfd4ah#$D3lS))BnUDZ`kp$AdbR{htH+ug+BarN zS^Gg5;w*L2c;2ePdH@(NkJNU@;IYxo(B<@N`OGG$F?Oh%kTDo8li;YIq@n&bbNYhuxDVU@m983_y znY(!-!K-dEi1?n$W|+VzSdM-rp9h-2q~?zvYBtnJvg7>W43AVSWvF*ksF9Buu)blH zbZ=%S34eEI@i(tagk66kV4mMQYRO%`pTX_`L_X2GAqN8ykAVrGn&d1Uq+ZAhrCdjOyC}T#xu^j-!}mY`8ZE381>E zY4^HZoT3ed5U%`>Qs8GO>6aG-uB6O`LI+msrpcxCvVv=9=*bmL9RAqpOOA2-f|no& zv?NS>lnZVGqMW9%Y>?KfTH>1nL>|~76E5}4xi>-l)9AQ`v3`r`JZ_)G@ihXLk_LTh z>&)JFkU=0dxvK6f5_#;4l`oO=?jA5JSnFI!1@Dc1X388O-uV5>4K`HJaCndQP%!k5 zTqn}`GMt&gD^yJMN)T&x!x;}b0c?TyH7%1utVF2sl{0fV6~+M~%aUVJHEnruUt2WU zCZoR9lqGf)&kTpc-r?CiIFOGYG&{uXQ#*hDOPx~nJS!A_NnJeAWd<7+j0y!K<1B5_ z+0c*LGk`J@kzA4V_$8{VcZyzV1`=vhwumbTUL9BQ%b|if`HQOnkA9V!^RTBVRX->| zg+pI{k2^jko9}maph}x*im%Qx&&42zKR^Ety@AMpK$ z-33r&7Zs8nL~2a^^Iwux2(E)R7>uT0ggD^Pl+K6tyXGkIk1zkNa5#SJ{s?nUG!T#Z+(Zfz5f1>eON40LT zud83Tl6YG52MxzgL0>N>slN_e>kD;N>bXFU@uf*Dv&L74b82vJQIi&xX?*PJXzHY(1RRnlh_2WvS(x`4wIJ5JC8SGfM_C` z*0>c$a*ol3T*v~hrbH`j=R2%B2-xGF;&d4)a1}P?0ZM>Yi1@77>!oOC7EmV|f*VS==4(bu zsXLzsE1j#k-eB2_HD9YxWaetOt78*oZ*t|=U@Z(}9|iU=ZngNg5ME@*BLsDxC>H=( z^=VN!Qk}om9_CT`0i>wvYTEE7U1QghR0lV$x|&fiS-K6AON~3Ri-;dqukpZ>{8-67vp1KMQT)VDfP?{3Fp_ZC~NW&|Cmv!65HqszDf|KtFgxRU$!h);ry zOjQvxDwk`>(CMfxi>d_NhfHzk(cXmz8*o+ zf+5vuih(4U#t~yg*O2FOJ(8%)-XHnh; zI4vc^1j|H`NXFs^bc5_5w_7EU(56G=XTNV^R8gSi5bhah?X_vh5`0gY)9~>k%oamn zNZ1lQ6!C8he0>_0d8gUO_JKX$3A{fi$(K<9qP}*7+$iqg9QT3VK+P2o3@APDn>|XN zjYTrgy1ipi-OoYwhyA{Za2}i9;m}ZL(437z(Au)qW&0U~tCMi00t_BXCS)vX8ZV=# z!x%wnDzRA@U*dPO78vWFo5*f*0X_9w+%~sQ>AUAG0luWKDzhDaW|_!PQs8bg$WX>F z5%atmKH;;B!jTkJ8UAa>(#9g|;B4zg-t*_-p2=?$b0qyrAF({QC%AT*talPjoP<4c z$=Re;iSt<_SZH9NN3~Q3izs+-S5*6+s6xXTNFStyMr3a86&e>q$Zj%0`c*XvA8q@o z@Ul|UE(W^Fq9^3H{7pD>ai9{c7htLzB%^tP8RE=;?&t==8KyXNVj(YVASpB_BG)az zMOMJi#qH3XEFbjOHOOT#E!reqSg9Wztj&$awDmzGb)}uK(@~-Zr*EDLGxy$Z+K@O? z!Zn3FTx6LL6&eToUH$u%L;aJn3gyUlisdpEJgB=$#2dUaMbTuLzrWdR`HtU!QE9wb z?QDWvs^>k*ThSGX^d7}_y00*3v)?8uTIjF2>>Zv~rYW2gpDaYM{EwJ{*18^E?B6Li zUo}2-f~X>DLq{=d#e|?#sbgAeV?218R1vOdK%!(m;TrD1iw3yV^>t+0tvdGPS_{c* zG+8U3jP^16?3E-xiEaeWB#yNy;C1Pr2HX;9FU@8FC#_$6zu2)u|oujpiny9V2tR1ub{>^^@tOllzB_+Osii>h5vHgs^ zDv0$}SM_XaIlHtRIvlJLn1(P_=ps;WH4IW+#yLIb=y6FWSon$0w=`EzSKTd&bU$1V zW#CLE_Q%6%2zC08@)LRg0Sh(C8>0yc#4pzZ%e-_bGgPb{w3d~)R!sx-iqshwCKkZ- z=mfi#p4lXh2z^U{-vmkE(w>yZz#m!2D=Ficvt*!vz4$`hm6rsaP8@P%k9GO?-nVAu z&@p+ph4;gzla9Sa|3b;@&X4`&zsN+3I#Nj%CJMOxPNB)~{LQ05RZW%u5_$AyNUum9 z;Z_Oeti0&}MT#x|g=ZYpGc*gqM@CTddMpT)H!IENVxy9h0>CLvrPGU-A@>t}QF4P0 z1pb%X3J9mZ3}epI{&$v+7lNH;1^>*-3~RVgt!J!M;*3K-b=})9)EAjX>DD~B;1l#C zlWr{y!H0VBGMaq~l@Pa6#|}|H?K%R^(9@U}{!@C|l55hoG^TzN*F@NKDn+heLggK- zovJz!B{A6r1J$Dz43+6lPQjGL(RuSw!z&4gWP9d5x_HO++~HD9zldeKgLDeK2lCI) z9bVYV72X3;G$9xp+j?9{_`C$}4N3!8V`DMHxEu$4Y%2Px_(X0SsW&L4v^IZ&y0n-f z%g{M^>uPb-0G}~ik-u-gSXLo|Yg#(L!2#+w^QP1k_4=827w0TVqXn29bZ%WkgFj{L z2yA^$c94r{J?|G*c?z}--=5C4b=FlV^X@qN=2!RYo+|0dzyzidl4{Q55}Q(qG$IN{Ncxd zjVHv?`n=kF?|XdPf(aTBJ>g{e3FM)^`esraMP@N@o|p#^q2vq#*;5&5I-sq&3ONJ_ z0gMS}Yjf-h-KZcKLKD6_v_VZP0SoIAar#F36_AIIa|LWdKg316bi2$cR5CqS-!gX_ z7Se`KMC*M}7KNugDR@D}7=qk*>3Nnsfp>~5!Mw1U{Go>y3D-~!dW_zr-cWy?wAhso zXMNp;y){RO-e9p<2go|JGpd79P<0T*$uimp@-V3O!x2Swhf*VMr1N?V2YG(X%I<8- z;RX1vm~apnev zgb2dqSK)Au`r(Qm9_gX7xJU8J!j1WEe1%z}pQ!)93(FdJpS5S@d7>2|Vp2GU9A5WS z=a}Nj)s={&csTe~@3|>S(Ht(Xp0&i4#UsIbGFubigZ+@U`y((-+AXblVh3mpWpfoA zRk?%AL5FS~b1O3AYkbArALB5~{eKhrm?31m%P_VVF_A%I0ir^BZ*}liewWkP`tBqc z)$v4G@uOm&7DmGPL?SD+PF}ka)^LeUOgdfk*0>3iCZuL3-bGesbZFgu97&uK{LP?wezjEFcK!X z3Gx+IbllVe@`KnFI)RRkI9H_pKcR@KFeUxzSC7yK_P`xA+M;|=E_dN=_)j__Z_Ya> zR!tM}N9@`EDx8SAND)h=cG4ui^bsO^ND;IN99!K(rmbvnzA894v+sa5fA?d8*x`OG zINE)vVBmhC;NX5K5M1AFSUAUvB%Cx4agc`D`HsuHJVrj7M*1Z8IlH); z1h>jP!`HwDiND3M)t~Z`La^`bHpZv=(;fQcnJC7@O4|~O^M=la(OvfAJt<$V`R$%RFc%2jq3d*o>lO6 zNq}+gRSFx@mgFtpMxjB5T+tPK**557!$tuNeR{Y|w6!ZzL!Xc~gXx};f#{E~udzld zi=YjnNM7yhL=>Rei!qo`NvoU)mhnCmfEH?IA}V+ICo5#UF9t+KVxB&6sdma>_b%i- z5IpiThg4;~cdI?#2thek=DqaM%pp*JM+%qqqv8mVQ}S};NYs^8AyD|WAPyAC0Y(Qd z`S8m^qrucHSPWna-IV%QJD8yywkX$b_}myRk)`DzIHC|{zuXCWD&8`=JnvcAcl49g z(5oLxGy9IBt|zHwGEJ2RRLP^`Fc>#Ue-0;5R~ay@Q5kNOH)xG=Z>+Xj>zY(P}e zZiz)yV`XH9gErN`IUV$vs6qC}R|zq*$~0gjm0n%H*dM5(`a45xG-+D{fv`z6P6qOz zD$I*q#uW*;alohl(bxfbz>aN6rZyK`-}on6gm+c%oe?NrfG7X|9_ZtqBIo}BTgTSz zDdV0pozeE+?Z?=G>UY+irT=QS9rJD0%`&~p8Tk!VBQ1?y@*WA-EW{Qt%^-6n8AsvR zUw^!v;dYmTi1n*qPI?AGE@%k2%}jJhi%L5!lwbmwf-ylMIICN!iL!=0CSUGULv`Q} z2#M;B*;Z!<9Ro@ga+$m5X6?~q3ANNY&{>$Fdji(v zs3l2~Z7o7MC>`6R9{Y3DT;`35ri5z3gz@LWhb|?tVmLc;rFlGO04O{-M&wM;}+eZaDN8izm4z=ced;q+{8SPQ$ep` z@Ze>`zni_sDQH*EUJ0YYy`vV3TLGG@%?!wBHo_tKc8ckw17Z;G(kP)fBg?3m5 z+2_qePga!4-ax+b_rj~-IT^_4sRHWViy@7TyU8A9Rm>HbOSodrl%HOWiUwn#e*aa# zHWet>%k7H>!3lPzhe{axYP%~Jd>#i^qXKAyVc%YY6^T6zbVeu{NoevHBO_rMFRpJUW)|zA95!6rZo$QUZNelP6lnzw{Kw&xKCfCq@M}aisweg+N4*|l~ z$t?lbc{R&f{5#)(Gw6k6*{H0=GjT2OSQd|1P6@9hnuurSPVgr=&3w43Eizi0{A!*-roG){+O*%`*{LPI16Uos5<3b2IEMpcw;O43}lz`32k@cf1p=?e-OiMPNss%MSy8=Wo!3Gh+ z%`qet&nUu{RSgoRi#-l}^&PNOUq0HmI`e^;mpK_BO}tYK0su>mN626AhH5IyJh^Ohp`zkw_SegUCtf=gs54!_ye^x7-NB-@henSZl)>2S z?*NGa)1G-OnhFR`)qDo6qK)4tZh<*VkxzO8tj?7>g7@M>!B2AKzu4o*IKQgv+xHIb zck180!@qa*RP~-=V(#by>)dJj6OPeWGhKy6pd`eXF^h#C(~j_M=Cc;90Ew&jF`AK2UmpQZ;R+byMjNLhjxpTa zHd&M zYG*{G@(|t>^na|A3kM45szn6rd+Na6DrW%(l}V736ip($+}Bk%pK5|cmtA?(lh)o3 zi?c0AE^0;?beu^#fyWZ5ENZG5qa*q)9bk$}8g7j4V&q*zLmV3x5`Cr|#;@kRRJ+3v z|LHqGI1kw){=%awjgMVqpP`iAuf4=JTJu$vjs>)Cs9$0ZPm@`Ja>)4Xv`8aM?!Pw* z^H6>Tn7>npa-Zh=(_DaAERa!%AIkqX6-b<#yggg51R!}(KwO{2KWg#MO%zAlL!bY_}30P+Cg?kANM1iDJ$Ccm% z$b^ISE!f_8Ld5JQ8Xf0YcGZkBrM`MTTfG z!_InkNn1(s3L_8OvtkjW`F|QsJn*vGhy-yovib?z;Nh&Z2xq$QeH&MUHt4QAt#!-? zgwgFV7wj{(d2&&_!-+^cV)#C=hj{uU!o7sB?iDg^n}@O$GNa7Bta+9_dUR85uE?hg z3{={yGkQIja`yHn3D<(hijDY6wndRf=OanF+F|J?ELu(;6?YNj&6fd!VoWLe-9zGn z2E*;I=JRaRt18hSqKQa(T<>b>2oEjo&B0{c$UK#FBr*p7--P5}63qya!wy zDUdvZnXfx*TC09fA!bA};R3>JrgAMvaMnzFat%_17*~24>9Y*ar!N`8>2+ADzeLMZ zG(bW5^L9FyrU5ZM)j~7@So^^un1~B4n@_7P#ch|)yJ(U%E)|$OC0mSL?T!P&pV+v} zA`Zy>YW+6XMmFAqzZs*aHZ++*L*xT#$VDB7L)^~I`TiUoEkOvZ8W$5dj#7U zEcrTUYr~_X(&gE2j@-9*oR!%6SG>{@0WHiTeEN4=Z5=jYb_m?AgZR8NP)n27*qWJe zQ+w4`SNpqUyg(A|zm}R^zInZGw7zeUADcmYmqhpGz&nzZ5?ioFl#{0`lSZ)@N=~m@ zDOa)K?S4l2EoSrtWL5ZSlM^ij3q8(A%|$Aq&}4Y#)KKP3pe$xvLgKc0cFwT2j!iU& z^U-YnRVf}etSB9mOr;R!{At&+W)U^EY|y9ZOhzBnM5ESg2AOsy7T&3N%gli^c0XeL z++ZcNKX1)CtCgIsIM(9b^wkM+M#d2Bcp0BNr6tlGLO-3tdNe3x>j5=-?%vT#=%3R7 zW#}~7T-eM=m{?Ng1nY=PAZWz!r*>p zXSLj=8X*^}J{!HI1PNzLxQ{N4vW(k8#q4mIk)@WqGDD}OQKm@XZ}93kA?b3dAUn+_ z;Ky92#^7_T(lmIyHuoBWJCM%B{M7IGnXFl)*V4D-%`JVBS(l{&i(`(L8L<2@>3>m$|>9YOq6@}V&0!@>()&NaHZeq z(N28O?-Ly(vN?c>)5uMzx=rMa-z^o2$cZmdltONCiIDbt0?di7Bb+xRAoh0QC02EShS&o5Uf*>Y?GBvt#}G(v8;uDJ_31q6_G1?!(2WwUXJDs+q_NwTtuS`Fs=)-3AX7w4TE%W z5ROr%W-qddJwZ7-;fB#hFoA)`Q!r<-y3E%QW+g3htB$b^8t zD77lO&8WkXccwqT3_bAfSR{4{jn!j_|NJ5Ab6WyVp*vsC@M%Q~Tx28wC3H+z4~{84 z|73k)hRpR;bdpY_nEOQoIitf(rT+lY(xa~Zr1llKD4~F7lLn6yA~!V?RnXpKW5ty1 zyo5x?{?6oTT1w3~2`STv!EM4v>_<5i*TTE(2p|}gk3?0PV?~kJ}_722nM^ce*T}+xFx!uQOr@Pa_F$6;x2C#?ZFAj zjRupk)YE9%C4u*KTy0nDcN$i6IDN21TkKC28>8zm8zYOhnD1E|BWnDrCEcf-xNGk# z&Bq3=HS%k3>r7x4S4afk!fsLDsvJ4Z z!zqaN-A_KEIuXL>7fFPLDk>iHe?(0MtkBMP@C_ADluaI!fm%M%jSElin)4!CQEZ$L zirTZRc;i3yQ57V;+s~q}(J89rme$C%{fPo7DTcMb*f$C!VzX_<4RJ;BT%=e&4Sk=n z3aUxmGB`^p2sfJDc3&zM&N_bW18ddEww#E(0tZ2z;n4SCZ{&IsC^!z%@G^rA(c%tm zd-sqJ3wH7?t$!~uyWJkbYrnhfhsZx3ZHfI86rgd}@)DwAk=VDfdig-?topBu`9F9F zCzZHK&t#H0cM0#9{1gj|414E!J|ntXeO(waqBOtCBC6Scs8X{Oe*Bl46U4F12S-@5FXR zFl(DQyI+)Yk}{~VbI>PsQ3?-HTZa(qRFlWbuk#OP)ku8n#NXD*Cf7d zlx%OzS^06$f0HrF-J}|o6U(F}2ebRw!9E@>gJ1sCAeu5rEd@JH$_Uyza|$bJF;xt8 zrfeLFU8vO9tv+q8*J$c5_Vl@Xo5az^O~|*o5J@%Qk|>geX;l01GLT}-Vny9QhCb@I zZY1h?^T6`FQo7>7MdXTsssvJ)A z+cEv1>e)X4em1bmLp#yyNJku%@Zo7z#$oKDQDv0$$0t28_noa#?-@*)G;W>NE7%Iz zZdy(PbWCmpjLWF6M)8)+t80f}9jYz4Npqy?z>x|e zPZYQS4mrui0id%K`cYyVa@Zp}g1ZW8m66cC({7@aVo4czh)^DhdXThc^Lt4~xsz$F zKo|KoOGCQP8jXR53V&+?;9_fOSsD9J2OU0omAdD*XDDpPj|P3zr?qJkGMF@b+}6Nc z6BI9t(GrbS#zVI23z>eDO3s;AqtGji2B-s?O;5$!qw&y{ zqRyTB&Wqh3kI{p46mU584GGuiY`GYhz$}nfgz!UErs(l^e=RveB?1_ipgnJbbf~rY zo%yhZ_B+6F7>A^Du%Rf@NP8KeR1LVxx^hC7V~NYxP5o4L(687UZ=Q$eQ+llnktWQrAsMOtVpngzZHfI;Z26NnDq-A(5cXiZ93OJyzm&G9az}V86!%rh*^_ z*w&waR6CH3w;Furx3|pQ2ufkgp&v>tfP`61UulxK1VFGN8!HyxreCV{pB$fn(lEOe za9*Bmr+RD6qSj`Q$%$lJO}sI;{5_M{ZI=*vV$R{P>kBt z*QK9_>_%Z2QUvB|X8~4y2iB6(6iUb{F=wl}Q@r?xdsKB5h$_DcAoCKEmPSlOnZM(& zfp)mm{z;yk#!+B;Fw|K|iy)QXQBU8-SU)Lv8>e=cqYsza89cvH z9lYLjS+D~A_elogU?cmD5yJT=2itcO z+@%FqH8l$c?)HSXRgxAJwMUrQE~|RHDl8ujChcq&<392aj~|#G$~Ivs^kN@SDYRip z#~|NmJ+!T~j^cRM+ngZYhuEHvh<$ATsENDPcG*KGIfpGyY;-)U$94d^?ybdbFtbas zEH`jAc&pNbt}NyRGtOx%)@!iT+GhJIUzA@sqA=5s>IKP;sz`M6DkR0JSr{)gM!h!3 zzNU;HS8Vn?#~<+oAS3ABu1&IPBhmGtx3TfkW>&k487A&goe8m`2u9;I1y||_ zE_HJqQ=FDqG#*ba)yTzW0RK5Ki_HaotWW@W7r!qJd&PfzV4Kbq#8F)S(e~ge%PiNWT z4)~Eaj$TFBp4AaHj%XV_;iOzUU*fbN!lK<<)$ zKKtV^C5OO=_x2OnzH>=cGiFV>s@aSdxp5DI zy{tTODAN5}u6DFe^2_tF+aZaLm zHWX_|x_N0ZE*Z)$oDSunlk_<;;n58z5nt1N3w(^+pv3^s1SKZm>TJN8t_Az=uTnFR zFQX=hBL0wtvV6z!MEaW<&as7vQB()tg!x(>A%S3Ec!6CukK263xj9b}bsOcU;FT;3rPyuD%2}570 z*jf?`Gp%f+AHkz2Cqs6L=jP?D&L;2KRuRVE2(Sm>a{iL#_m%l_lKC0bo!X|y8~ z#6$0m;x_iZ^FAr&$9UY&O;*7R|K8D07l{oyW5+=-Cze6gH-B8{e%P|3O%r64sGd z*MX<5eT6^tY(Mnu8GE)Ld490f!EbBVvvuI*0eSHReX)(Eovj%Vl4!!!K+;GlDR zQn{%N^70kb_WnuiwU^){{^Dg^S6{|Q;{5ha%uUtHo@bRG<8$aNVy5vU1OlPa#tC33 z;Wq#k0+(H7PN&KUGiORsQiI?QQyP!R;yz^XnQ;Q~NA*ppNb6HfwaInmh`5=`IG(obf`Zsu2*MUmeHz^8qa(@!nBI1Ox!cw$V z-)d#C<`>i>*PCFCdGjv;BNK=x15iB7Nr5pkoBwh+2h3nCj${a>N+uU9xY~gy%j2Vd zP)*ATNS$@M#G?@rVJ1R)n+wN+^LZD~tAdiDhlDvbbp+Gn|E9RDmR=65sBs}*9VUWf z@>+z&+B;^T=(~kYfdv!}C!ls*lcxX<6eh@az*g~`w2dG#%0`6)4OjQf2E$7r!baIZ zJ*u6P`kpFq3e|<68Fh9~l@`1iZ8M^qZQ&?Mw=lZ99TvtQ>Y-L`s@Yv7i6{HGz8Z=ii2_Q3p`92q_im6~l)xzOF=a!+K{MnP7(fuir@Zg3$|ci5Uo zNu%NUqNOY(8#fr>_2;^&y;Y-Gkd&Ie-#*R|L5Lj<7}se`?ZkFeg1TmVgMjRG>hoaY zF#|d0JV%+CO7)dn1>wD@Jnd+@$`{=t-pn_jLB?5?&2xn z6v!6#$&UK#$K<+$-y0!KfYrS~{?O`~o=Q3SB(N&iz_GUcZOD6!9}%-_ToMSLFaY~M zIv~d%5(cDnxi~bEAVX(-)3O;H&VX(LG%rAk2TCv;F*ge1vDjuh*tz6#)2Tn(@+ zK<6~j*}fQ$wqJNw9Wx)J_Ju`1!00*0vIYjM@Kf90G~XTh5)QVirnx|?YE0w`i>-ND zu1EMq>h0&zGTk~&>$%8P9bPD=wJm5KPDmarxR-f;4>vyI+ojlnT)C2Qc0N2;j;FVg z8fCjNTqG!g``$ifo95xvReIL;Fdl*aTsnt>WLNf&d?9{o`^Bev>P6+v%$ed6_$Bc^ zK@Cuz++-_I=2S#TJaffNF*q5oXd4au#5F*`+8*GFGz?d^LoPg_=+1GtoGmJTg#jZ% zksMSUWyS<#xdTsVdVt`Xi3-1pSs?5(<$?%5h*<(k6hw@@A7-4K(K%bkE}C^srcQb< z9eW-yDGdtX0yhhf#@Gu54kB!vdQf6&UH=pzUO3VG{AJrX@D3uhlw$OD`ItqAiYOw# zQSt2m1bqcuau?Y=Ny3^SaabwnBgh<_#b9DLml{4;d_aP6c4g)zNd$>ivyNn^LP5h7 zpi2zwgj^MRscdhK0nH*!W18tC*5vBXxc%Z>r-N@mPKhMD^{gKCRl0>qLP&Nh%QW6} zKK^~N#BOEb)JAt9TN#$ZTB+)lqT_@Ck=%PR!?=%$dMCX_Zrd{peoju!TIH^8PrsGi zo!+xIXSr``oTOxF{2fu1>}d7)eKT?wZc4w*NgtZdh05$@_gYS0*InhNut6T~j~(Ew z!);`h?pB3RuVj_ondKK=vMQ$ zXF{WrneP8_4yP;%z-kMET`iznJb9?<-?MyvMizn@B|BT!=8M-u2$Nw6D7NFHVx3H- zTKKVRqQXe)R{HG7j$YhqdY+pjd*j3g4XlX42+nEnI#P<48?KaFB|Ih4@BiN8R$2;x z9p_dGmI{2edods6Uznch%E**UUe<#pP}|hfJg(Z)6EjC z_&EqRi0IH0^t%q#^Y2Ofs4k>O5~NnRKAMjZ|IPU>V0o(|$Q*FY0C`nEP#D|?@Kz;v zWN6N+{{!S`JLwdb#S8D_h`pD)>+{+IPGFeA>-^erlAk*>i5Gw=L>?}{s~f7B4>9J6 z4`hOoA@U2rSfPf`Ew#cFe9FJw5UE z6P#`1;XU2@A)a-^GPTQ6U&njIbeNWDfI%4Gt`R^U3ngmtkAS_x0{Iz6=htB56z-Q} zMTD&6cGxMnfy1jJX4Et5r?7w6=nKz<0Iy32*W@NrpCYJ~1CMq?Vk^JUA}M8agKQIY zODt+KW%O>Yw7F&pW!|hqvn;*vY3ah;c7KSqfT*yta>iKF!hmI=rL7o%zXxM5Pkld3 zJ?0t(3td_%FA6&%IjqVwv=CmgY7%*}>{#TE$_tWl#&?3Uh)Uv$c-n zMclm`A+?$ZTeX2;SE0DY6t}6=uhnE7@o?cwcr6n(T0d;b2_$liV;E(3S*M*Ri1X!|~!Yl1l>C zpc*D`Lv#-x{sKa#)pQx_+L~;g^hQmxg7Dql2Ko@biJdDnE&<53+;3;LVozGLim?4S z=O0JIA-rKn!yOHut$qNemBxHfYHt)!UtKozFo37VK)GgMK|YcP1(W`mOOx}E$;|gs zY-v&8`6(R$7U!rJ0I2i>SmYy+Lf_);;ZavLTmbRYe)97h>pX)gN z#F^(T)XfZRW?(Y|n;H0TGXrOvue@=?oeDpzvgqv7$)ueMZ)y2Xg+EOyT&J_0|A%wl zt87;Ahpgb+m>%YA~{d8ojSP!h`b=oYv7$-5Fw2n+ZT znPhlFW(8gk4LdRAGwP1)HM)JD~ZHjUpWX}l$IOrK}#R`20}=5j1?DBqV?kP z>n9>^*X<1Tz=P&%1?E@aA#FfYA{-(dKMU?+q2pS?NfB5zWjaUw;k; zH@k?|6VxPb;(^;tEzW357FeFbj8RTwx!`54y!x!yT@Lf1CpQGYTmapg5nK~h+Jy2hN6d6nmBW;i0Ef*#|_s#Mq_5Nb?Vx2+GQvr)+RfzkO$VVC^}(Mk{_NH zsAP@Y7zmJ2evHekxUC1MO3{NYO_LH+JIU;R+BkM8mtWgKxtu^+<4Prvbx%mcLCiIPjX%)r?+PdKt?QY6K7 zy`>OJZ)g-&J_$YPuo4|w>Z}2nT3)TB;XGd?mYI{^4QEU^p1g=k9XP9!$_6wjB?obX1sK< z_v3ZZ&|bL)b~EM5x8rjj{Lde!U5uB|=kvLNK~Q)3KpQ{P$M0J+EhcA#DX7Oo%%&bk z^-4$zx119n%|}~~hcet^6T;k_0k|sZRfII@*yZwmrVxSX*})@GVIErpcl2CNIhy8~ z8nW$`sR`YY7G0uuWTk_w7F?H}pXll+}ej z)IL@&POTY%x9*x9&B5W5;29Q1L=cSwbR?skLcF5TtAKYsn9wT1*!q9-#0i^Ez?D!z z>A&>LFVf#1oHQ$(w07&IN;HH~S)k4peKCLJNM5+s=5YK`^^>JOrCJ1JMq5|$(yB)aN}AU>O0q%v=+_5ExVt1@?CiVXg5`Q7=f znjQzrf4^GFgUyvJ89EFa>zcSk_1knULjo}@s86Vp1ph1n&Ne&<#8LkkNWp6DhUp+3 zmC|xB9S_nDG6TURUwSMTOd`q}c*euj(xrxzzE8i9X3y>xEFkJZz|IZ_p$B16)qiI< z2vy~y0Mo{Vjr6eohs&VHPP9&Shcu6Mq2DqZB}C!8s#I0J-AeJq_*02@X1-ajv=KT3 zH>Z*lQYayz*s8IP?esL>cSSZ4-;-+gfE8is_g zUj1N`-6HLqw`tQ7!w=qO=c+qb{h7JytflJAR#K|d*0#)c8|V`jQ&8Hywa;IwmTGe) z-+sglnYr+;I*PPUjEYd}(O*1&5(Ujdr+BZ*DX3R9NQ@2*S)W1lb{iim%(2seyMrZ7 zAKXrYf76Rg2GuK~-FmQ+o4dFc0a!^8{i%bGok|8&xP8j@DbMLs-k9ev(8F7^e~h>$GVR2AMx=+3ws!+c^EPRfafs#w95diTt(uju$^eQ#EVNQP;R+? z28C98acNCo<-2;%jOsg_0wji>Z7Za8Yz<5rWC96=JcML4kmW?>f#%0%s!2RUq9Nt$0d{yUG;3-mH$y&D(o&K<^Ac={rmQG_+&cz*^FWw{uh`}{c z#$1J~KMthRSVC^CmGKR9X^S}v?32X0kHI%SNjR(ml!c}gT-c;CI{^sxO8EZs>ez%Q zvRd>5{dhoe2c~0`xn}Q27A))<;9(Y7(7`tk4Sq`e%3EL&b<5wDPCE+>d4$+7m-r3J z?rC+2D>LZoc~y|3Z2Xg4S0@B0uc_mjbt{duaE-=wlIkjv&N=B`h;gD~^uj{>q|#f+ zU+#Y5s|NBW_IJ?H2nqTLMqlMSHJJZm4;Yyo2_rgV9gXNq44jz>h>k^(`>3^MAZWBO z2#(wBTX&`h7mp6ve_Y;Nz?^u~ME+6TgYM3==J{W`^Dtc@RyU4D+ums1M(Z|O_e-F4 zVVrZy2DffLe`k^@Y?f)WOmDN9fy(p5BX=^hlNlPt^n`Pbe_#|FJK5ODPs2{;ZRQ${ zH}?7Spv?Z?gfcH5-DW)873X%+k52kytJq$8d+DF(rB8EPV{U-7#e2J~`b1?_otm~!lY5r$2fCNJliNTu@pW1BeWaY&E_@jLfa^)|oExd{nq=1`pQ

  • h{#15k^Fg{IOO1EKd#l2yfr(H`Obz-T8wqoxM9h?e6^0Dt^}PoK`*yh?IaH z{NBRfI=1rnPbz=&U#I-d{Rx%7dER&YuupBf=+Ee)6V~B-t3Y<&FJl;KwQ0fbW{OuZCC6c{sVIg!NKTJz9wi z0-4t~ksAW1fXODf{>JkMZsC;1ob&PyvtecD!vGr#gFu=i@EZnE-oh_n>1Z%8pMD4; z1U-?xLIgrak9M|&!yl2eW3?T>SCFsgWISynOl4#!842Y(UE*{rOyUq=Dht%6I<^%= z5|JW_ltAE1HscCdeHKz11x2BCD}3lYD!2BB{b+wLstoLP+H6kqeQ$3=LsuxCzfQ!? z5o3kwD@ILoiH^G87$)o>MMIk}0{O*9B6}3$?5w5Wb5kKQDUu5eJ`95(oR4gQX2S@N z1w(?L<>}?b6niiL)*7|OJhrsoMp(N;ZWDBc%!k+smWJ15!qWg*SL_Tb4~9IG$BwFe z;9R80Dk@ImDHvdSYD<2KB|)@l#T+ksD&QwCbjv`fgCospDETYE7v(8Qe)WS2%J)~RjM&dVC9V3!j7TOG73@7 z8~ak*6!eSb9XwuZ!4Y2EesYjOoOfe}C@lXF)FhB+zCa)cnYkO`&kmy?2u6wVBA%0Y zA@=uToiOk$#!fM#dZn!==6aTJnVpxs?A)lvwW9+!&_BQYwNaw>?i%%sop%SJ~umE8|R76#Ar4Vo5Z=0{W7dipHS=u^q6JbB2B3ePGva&pt2)e z?}^=>8KTa)=>PBk{PBOkXPzLk`~IVTj>lr|H6J(HoUXhH_2(kg+a}aEq5dO69fjs7 z^ONgS5bFI0Z?g&YO{o85LOsnF&*yy5qpo*Hy1ygR%`mn@-41pCn^2d}zzFahq3+|i z*`aQSx}O^A3SC=1+w^}A;zqBH4|kH^4Ko0$^E!xJTkHjaFW0~>aUl9(VD+~yyA96w zVO}6%`RsGezlY2S&=^vCFmAk7i#UR<$VI@pFgi*cat0x0)O{G2l$K1$&rpl<%COS- zWBElb#X&qu*0ZP;Sdxs|1_~Fro;ZiuaW@E9)62h0iE`56twZ`d%@F+N(7VHJ0w|pp z!a#-mfWDZ3DqtT@h7n_Q^Tg}d64h?pBjNkU5k6{ODB#Klnln&&U;l793=!>v z2AY31^8~u>X9hno)ozS~1*>WF(-QdN=8kJxhf&TcwxZjr-$hp_Bo^UOu=%vP!} z!IPwFREC4WrG3JP@H5jkDW9fWayM4Uf?dP@IL%(hIM99%LJ1s$^0)=OMzok2S zrG!kXGYe19ngvTiIY>)*MxSGw9ainToi1MNl+itLp5-Af3D^+&JGQ-6erWe18)g~` z{`c#03_emujFCPIcTN@@Bp`I1AX8mrpBAC)P${hqlw~7eiaXZZi{_^N4P!Frtr^%; zx5?F^Dd;rdTIh1i4n!l(Efg%fcOgJrE|UTQ1K;s#*fx9xUXHvv(it$BjK1o+BNlY} z*kK8eO8}JoIAfqQV&2R(hl}UbGDc4ecqRu|g1R(80?lZIf*|-ILOIu!;rj@Ay?9b+ zG~@DyDJ$%sOQi^(Nk;M4$ojXl z`h3o+d_HH@>P(W6rHomazuz5JbG^BfYUqQw?ZoB2o^=^1+B}G-r4(fgR!@SYaaOpsk4!rB=-blG?}* z&}8GXYuk+45ktKn4g{o{mhDUUs8R@$iFN2ptx>0C$(j((uw3BQ{w992qznWRHQaL{Md-q@u^MqI8dA;a7e} zii*ZXKlyigANkvZ6}daR${m}i`GjEWs)}>Sw%PRNejJ88v_`1@t)@Ps-^y|UJ;Qt} z9?eLhcOte;7oMy9vIA&QJH1^18WRAfTYwD|(JIp%IaRJ7as{ez2=-267z^Z>yMXmN zh!6>E;7D9+L$7B8Lhyl>0nsqi80|DXMu80pOW}8*pMWsF%2dR8&PGw};+!$CW`_+&S=0mOd8v~a1}a zTo&r>XQPZ2Ro%ZdrYk|^_vK$KywtLN@E1%k&t0}LvoJr+Xt0WBkKQ)c;gY+NA&L7>NSkU zdNZ`#Nh;chfxrF@;$G2NimTSA;glHzGWE`v;wrq+TeUI2s?SR+fz>^>d+=a zEr8lhjqGHkha5)it$jKwUAl)lbf5MHq{sVvx% znRz4+5z=r82BMF3xoj&@CVkj;oR26=|2dysy!TUE!!sW!EJYFXRIov_BJ6NZLp9<$ z6=dg_mFc{UJZ8OP9jEjrNwP<}{CHkcK~w%!Qapltt@l*;{(OmVew^9tsjY-K)^-20 z%8v&zj*04e!usOS{q1q=w3-dAamE{Nt8|U0(J~_pd(=#^)L_H4!0}oD4un!;Q7wM= zW`azHh3@UmDBy-gK0W&?u;L05UThU+Z*s1tpwF?d6G5mes;ptvH9R;I7Q7TW0mv(_ zsr>R@;?$-U`li`c&8}*G>#7EEwEV!_pDT`@a|itRZGTEfBKs@GOGc+;%umr^F=r_O z>T3V0!J_X5i@&zPBJT!^|FFR#-Wx3HyA2lkzuI7N-_n8jf6AQrW7>Oo`(DV14@@Ej%nk=@Hbd$zZs#XQ&idbv6UTW0`D!z5D=!^NIrWC}r&|L@?p5#oipgtU*7j;(`P3#x9#rxr+ z!sU~GI(R>{n~PrRCS-Q)7}7u5nH&>Cl6^MudDP4{L0{fg2SwO^W?lKDk*Vy+^!G-l z{u+K{6hA32nLZ?y!p*&vtG}9ZH9x&_wf%d_Rd=8Dlq_ny&+R^!`~1)-ezN7QRSZ#EAaeKl4MnsW}iVgCaj-nZ!E%d(;T8wWzaIz7Jr0&D4wjGO zU>WZO!th}rY_~wz9s*&z1;Tm@g!v&5hAR-ZTOdsTejqF#1;W_qcy{-}?mqbKx)1)Y z(mJ-ex#p(DCPK+@({nSKI_bKxJJ^}4<&p5pHdPMt=Ma=NKQhFC12tkJsIai)4vTGg zYwtnrnZn^*3R|LwsNY#_HP(Z?pt87E^If)Luv>|xo^h|>aBPvs1`6}c6oPvjeUNe& z>p(_TXB~Lh3jd#dYsror*Ol{Lg*PA{QT(r`CwEYFCvX4fJVpdSDQ&0Qb-TLl%HqhP zrPPN6fj}UzpY7-NUv}bhl7t#Ilc;M`ZN$)y9P5$iF}wkBl_jZkc_rjCM@LEr17Xvw zz{(~FrueGPK+cdIWAml#qfIeZKiND<*X^*Q>_Hpz(oKwn4H&O+l|~Zk(;X7QZpzxZ z#c@^QRWb}ZEbeg`n%o&9#djYRswi=dI*NCa2&6~2^t{Q~&Ow$Sg<@dqGdTkN7m2m5 z>~a2HPJwO0YK)d7lSjV(9CZ3ki4sAV@FwFN`4=-a_$c~3p)oT?0ka8nr@8X#y_|P( zSEp74etDk`-oQJQq0J`zyN}H6ki0@1>4#5muncN*N6w~bX&14W^u$}RK47|^O+?pR ze``j@5y-D2+kd-Q73@F6L;l+pnn9s1ak~}XC)D7BY0Dbbi3guGyD?8W>@#~W4*CWe zO}BZ~A>O%m|3AM`&ec#NwQ~6STBd8$1S-orC-b$7GxQi+7baflaiK>bnL8 zP0lSS55Q;=_}jv*CjMkozq`CNSX+F=ejY{!3?E_B+Ajim8M|9xyOaPg!I^@UI?}n< zXt#T_cp*AK(Scx5kVAj5yP0xFGQX0ISI_L8Y8lr+XoxI z&q{#;phg=>2we;cX{P>2g0o@JXR7$&b+-#^tX?>hMerM&!oOaAbFMjGQ{U| z^Ynsfc$lu`$+;1jp%Y>-!20A|S)1~Yjl7g0k}| ziw_0_k+_vXyO9WHcsBPSn9_rD-@MGu=h8WZM(49*%qk&kqjUBXt zaNm3aS>d0B1S7+ta&j+kJ|~K>8n3~SXl9Q0)xha%J4O095{+&a47*(=5Lao6f>-;j zTI`0UW;?Z5BDxwm7V)2f@qMGS^0i88GFBzWlsIrR8=c13x_o1-v;n2L0Y%9I2+Hi_ zSkFRA-P@Bhb!h3fJ=*9v@1mHrW3u8xF$=}eDjN3tdqy!b-*W(KG^40kZ;1Mk9>G)! zZG2kA&h#tbJ#tVf4_65mAQ!?r9dsUnOx#Wjkm!jS5&jn}hZ@Jsh{8Npv5{BhXer}3 zR_YQttK5dFj6#HjSiy;I<5314DoK03&|bymj|Vo}b97BsSi`zzZ8*5tC(pOXw_8~d zDbd)HI{^n~YJU>Y!*(>&VnF$B(|TgV!wZ)+R23?e=~(_a9>dy%lD;n8Adt^^PX)X2 zcKAMR8VWj5vxUn)YXoDT1b0T`SUaPad93-==rF{EsW_Cj(My9V@VW5)O8-hEE8`5t zUJaBJj(Gwgq?Up7$-K}3ezi0|3+cDKF7SG^or81IvQ~^?M;*XD|Ymx)zR~t z%Z}|$twFqA&XrHZ}^N#rW>DITVCjCp{L&nJq3J! zTkvVYrz?C4Y5WbVA$q~5)e!wZ!>5<7^?~uzKCb<3zITSsK6YGRp>u`KYv?@oVeH2W zohx*%(D}cI&KIurVMAvgPfT) z(ovJ*wCTmXp? zJK{EdxOe7QkPA}Rz&LlaZCNmP)08($8m2}pb-9t9*<3IsF%BoqWX)Q;`BIW5jSHl1 z)48lK=8wEHEu3q!c|V=h&C*Qcct7=~WlEd<;yT5u?7rjjNwYPnB{vtn)I~hS&yc!H zcBUw5df{=I%g>y?Y;u znh3lbJD7B*#tV^-(4oFv%6krboVs)9UOT&%746uY)79F-LFZ;wSX2c>W2XQ z$kw$Zew_w#FktP9lF7Bb401dkAC#XoAut9jl~Bkek3ibpU5<`R(00S~FW4Ol2Pk5pJu&bhGuvg> zXw$O)(|j8{#Y#GFVy$0l0@n=0+Mcd+^9Bs7!{Lvho%ff8pw*r6`P~_Hb!V*ZjC8JAl=>)NF$_AiF7+9(&=r9bU7u`;nYayt46xNtC22Ojr7$+ z9~Y5yyCagWr${=SD(Q4pNw+su((y(moi8fsdQnN&8!l{77kXRj*h^bwVG zyr!1rzJfmwpFHlJ(|CbZ#|VexF5%EFghRU_9NLEnhvRL+A^kYvQ2wH?<2|ETeI2W>Qkbq6!aJrobTS9{hh|B6K=P;mBTMAE4&y~K zUxkyku1(u=r|tMiSUE63ic(F+Xp5lAfeHjhVq4ynd+|eoT^k60SLMFJDGW&z$<=pr z$;#0VOZIquY?C_IfUBX)Z36-(j!O!CI&i;hIFT9{_GW@CstvC;R$T%`UJk^4h`j;K zoSCcch$S64PB*9G@=ZAy=LdDh7==C0&y~a0LOP?c^GphPvI`*0yfSkbeJH}MD>9}s zvA>Ui_~r0H_` z?W4G~krCydJ`s2$e<9K$D^J}?l!WHTXDMkHYhqcl3P~h(z+fmBDo$X42iotIN4WRP zt{i5em4#L&T6xDP7Ftb=L4wvzkTi?ICe^1D~Rd*3LQ-(7z9bF;)!Mf~4LCb;vF zB{JJXjX_yrJ+s92I!g>^mUviaSe%NnnI@{-+h(;-9J==2#wweb#@Q$Z)=^es1En{( z-qQ}GiLJ~mG0@&!l(dr^pND_W#E%?%*SmvauQXy64N*$6vSL%&Ull~J-7;SuFZ1mW zlb)g22>M$-=XQjT8W&2qU<1t=q;KE;aAg#8m7V62I=33B(qv0sjy%sOyFqEtb0AM$ zkOFr)PEz+J&cj1{Rb7Y>3DWE~+l--@kbv{dMQ%*yi!>ohT@Dq)K9alSddXet%w57? zbr`LmzrT82n)nq6LRR?_~m^Rmt zOUWTs9r;R1wp5EZ(UfV>{S0dPoO}u%#!Zt~wwW!Neu1St>+fxh$#^Fz7{tm-S}cE` z!)4H12H(haS#x<&p?fzG#$msj#d5K}vp{aW+B@$UyV{)V9GM=D+NjN@rN%Nfg6JVP z>orNHADcqPMsTbqy3@~CvX_Za1}FVvqSA;{U6UA+IOi8R{K#?DAc8x}g&6(+qP|Z(D_;nCHLL0g{p=>54M%m<$t}L+uSY&F4 zG=$t}3Nr)eUowrO%&keWg-biwHv+%iY@iR}o7lNb<7)g0guU~2W-IojIjcZ&w{!ju zKx)s6+g0ZwCtG|FvWi$v{9Zw83m7$_YLq;Y$6kYJ(3LY#t$ z%*BM{icb=ldzDl$3cV2`0n}U3imB(kCr@!uBXA#TyMVr)x$QwFp!DdW9MVU{shY7~ z=E!ri$8!a}TI!YYEYwBIZcK&m`uX8xN8Y>=;pOPHoA$A0;~BjYsx8U&my%q!CAnUb z>wA*xnns9fuO0g5HK^CO8`R^^X;Ak>Wd{Yn;@65_o~R&cxMvh&Zuk6hpj%l6aT#bL zzD*7IbrhJ@*o8NP{q5G_1`clrfzVdh}_lk64ORqAPoxzqiu0QVUd8B@yJ2uRjN!%{>yy zfwtgHyh388M(V6^nb8?!#;7Kt*>g3`l~?cOyvt@yQ({H<<>E@_jF3M|%_jW2kCY6I zK~VX+A3nX(jr**!Xpfl;zL=_3PY7&8RRIR}^?!1C2EW4O7*zsBD{5Q5c`62jq#~(4 zon&kcKA5(wQJuX>N=uY+Sb9qu=%8;1&dFINUMVSkg8e`2(XQSs`7m}*Vg^ZAYyu?) zIVba-fZWIDl@*XzK)%$y?-~U(xG#=p04}$KgURwYTk+cwwwPUZIh>%mLY{OT+=lcDhO|D_&mX4G5;>}P+01M9eLuz1CWpp!Q1Vc1~17dC}*!X40qEEGIFGyKc zBmnDe*b+h0S$Zfw>ys1sqOMI<%aTeJ#4#R$nQXG|g*cBb&TEj!=49HGuph|(!?ZUL zEy98t#Usq2O^+)wp$C@>@-jP@DUSt*W1wduNx^1TW;Yqz;b2$;QU9B@9$=cj`2?~j z0TURsi&Yod+cate5$Z6>3o;y;-5w*W)mU=&Hc#!b(;Qs^*>wJtzUGDxeA8j_^_A z!nR)qnlnVBZ_>L<)bG_+6cr`nKMRcI$n%T{UL<)=13P)^$-9Cq>j!pt$j>PDBBnA{ zY}ULd6;if&o{Wv@Vx7D_MAUqQz0Ftlwodjo++lCyfey*lh_yWGjZvTh>m8%ORtql& z?^d`N<-t#`eUhKEIGa| z6z!KPk-TH9*2SayZPI)Aqc#;7!S|iYo+c>wSg zI$yi&kwJ%w2$gGG4Ix4$@GEkihoU}wq&*t~jv-Yaw@U1%G;K=nWFt7?J!E4uoY^?c z6&G5Zj5_aO8ugKmi&0;Y!+$PF;Jf+qv+uiF6S!_{l1k z%nn0MDyE68nYN0G-!pB64Nt(?-YMl~qtT(=PS2#E8d`GcujdMgurdaBWlb$`N$|hA z7}`S#^~7qgmat}uZ0@0;xJS-nANe( zwzzAITm-1Z;XIsmSdOS!(=Vh$v%4YqS+R|iTGi4O+4ahf6y_Lvv#u%$#??c`vZ$zI z&&*gC)PSNpR%cBgt`vlB;;_nM*iT)$wC>Hs_ky*BC5gm0OC?x#aGI0+>fRNmc2*g< zJn}^_oTfr0LnP~wO8myHY37i_8EmFho~txo1By8{M`6eA)0u6SJ4tGmxaphN^ghMm zQj-yhZ_g}rK#aCsEL*j2HWUCLSV1>U|O_Jkc$)>QTpw=pRB6wys zJJZ+NR+_4GjNYPdkIYDWzva|&LgSfPPpLEp4d$7SqS|#}!J8q$ltL=^Ut~kC-lhv1 zzS9UcMSMqQN)~RYohhRa%G@H#{QkCQFw~+3jaH- zWnvsN_=S0lpjC8Lj@BW99GwYdBoZrzT>dn8W7w?i+4pbVFI)!-^Wr)ZhzxNZl!6%5 zL0w2Nu||0E2AdG;bvcObHUBO0XO@Y@HZNJhi6Thn^s5KL>Ogt zZ1?u$OhTc)kd$BcPQ+dHUa@fpJxUUV74@O{IGf52OZcph?66L_#u{W+>vNoyMs)(p z(l>INV+Rz3A0>zfLrT0;LbL7uyL_*QrKP4`Vd-^PddDhOSXyD}^TX0h1AXAIRM{~w z5~zpHmoJUqD`9>=VUE8?!hE+IdLfhF8JXO-iiJ!TGWi0?&8EH5Yi<$cB7-xBiO9GU{`ajwlX~$z#gZdMpf}s2W(fiwM zee{u(5E$vhaPMeFCqa^QN2ZN|>cA}D4kcxHAre}NQAfV#z@aceq^*lc=T z5N;X}E=`-4o7aH|0IiucJKzY1ahRDYNJe+21Q(rA%7pK@9D0g_4vHdz!`EGR#F9R@ z;vE7G;N(;VFZ_(8aMpYp&M4)gcXKy9lY%SD99T;5r72SMQ+)JeDLZ@wJ%^$kG+)Q; z_l!yIWS^=h?+TTWuS&TT%V}$!FNdE$w`z|w{6u-0oYe~<8w+ozmMsvhMt1ZjwL{d4 zz5=dS(vC4pQX#2vR3fI2^o^!#-)NbAqx*Y(qll_$1m)V6>T0R3mg?$PQe9!|A{qG- zqN{ZOWtQe@VfxS3T-9Ocy^T*_RK%hp&K}$H16FZuvQ4YWb~V|)*<>3Yu*o(&Qj=|9 z?0I9`)2KG6Q*^mrt6igFx+0hr2Fj(hGuwHQ~wb}_E5Vw@G@(r;Rf!_F@w z9<&7m7Yw|RlpnW>MN%%3a*>n|0|P4^@QDIZ`-4w4DrTdl2nE&?1-92HFq|mxFe$bc zGwtn!ffTM$Sy1_7mB#gH8>PUq!>LS0IZ@li2Kp#m@4x~J*~&zLfkj%`fGXsSs$M#G zXvfld*Sn)~UVByK2hhQ%!W-dLsn+IXn68|ae!6c&j+nXc6L~H9p4$;VYFya%%RqAm zCHyy)>7_-GiVpygOUW8&vKl4mD?cOr66twmEDla!v2vp<$(J|}51Fxa|IqWZPP&;} z3RK=?n`bU^BUmre5DJ_e(ztvCop4JyI;j(#gm=(M95XcBBbRTXlZ8$s{77TE(t%a! zFZ`66RL1;7s$0-~BHfL;HwGp-To-fEfZ=V_C$dRku*oBAv#CMvcb+v#?RddWX~gD& zjZHHo!43LoxQpl`(I!IdFC~!-Ldh)#i1vuOerz&c8$l7V$pn$6vvVZV-{)p6CW+e~ zLWtQ_60}vjR@u8M6#88XTrMKvovtfYO;4%OPf_A~*t9D9G65NK9ArJjBQ*t&tH5`- zi89JVtn}DCnduE(yK>$XEcHjsRig^67V9Msxoc%4XBG;=i}PLWT$V0&aO{j1ne$rs{BGHNqCG*+BoN9bFoq?~@Ls265SFZHtewz`snZ<&33g}% z5TZ?j*znfA91c!J((4vb$|X zq!p2bQ|iE7udL!hE1=e`^6GORyjx|gO&7Voz=D$hX=0)4C>izFpy>~eFa@#*F92?IXUz?tf8};30MgkrLsrF^a zD2_dg0TXUi)i^xxvTn#yX*;WY@=C?~o~Ow%`?K&Bs)e=-hbN4K|y!_8d;+{Gs6 z7QNFB@2i2c9(IaJf27=EGt|)Ss<2a0z-~C{2lh#J?WPr&5V?>YVYj1t8#ni>wa@fl zYn8;PivXnakXB%0-{SCpd}FM%2?1sgVB?-aIwi9c0z3J)iiWKqb_g)t+64cKb%=d< zj4vzJObhOP4&3{wRV=u-;NF6J4+HmJZ2L!N-_kzz_@rsx~ql4pYYZ z?A zIID4YS*f&eI*Vde=9wJYSwNEoZjMu|^0@Pkv8!lT=g8KU5O}jmsSJR!cT_~vliMcT ziyy{01>B(vMQ41!#9TB{esU_g-q7yZXQ)|R$JR-++!w- z4OD^EMx?K1LgDpO!=eBYV_TY`Z^~0_roUgt)u)_)SOJ$Gzql02$wdur227Pd&v{e2 zXLh_DzQ+%%^vkY+)Hmk?Hv&pO$?Za4O{c)8okjP}E63c~2%Y5|6uZ!dv9{C4s7766 zvt{nfP3ufy@212AajMxsAHp}WbD73v#)0sB-q4K2o;9Zxfb(|J@3wr$)W~bEV)6oV zu!=e!6n?-eR?GKd)fTJvf>kTOT+25W4%;5=+LF^PIo%(dd7np?(-{I9`Bq*{d*}0WAddtJf7tz76M{>wqN3BHtGIw#c`iNxs#uL%#hl+}qEl-XLg+dpbg3WMMHbsfo5ztIStW>VtqKs^WafC6U$ zj$s!g3ZFnsW+dL2?yQytc#h{NhB{hrf{rg4m*Kb?#h_DLr-1=-z&?%xXOE!P5LY3S zUqZN0dQQ2^U7Uv#Z*oM2SicbKYj+ErRHb=LNtE0%qlj2=^*`^TO>OxPo{5Bi0^076 ziGMWUnh}Lsx3ncqJsk#Awf5ent`l5!j_)IH%ld$PY{Y?%MIPy-W8*F;w>%@ z9>Pt0 zDpOdSxZY}Q+Wi1)Et;JjPnZc~7smVsBLV(Y7ZNGy zft#4JaXz?}W{);TZ*h4?9`lT?+n(Q~7%dPO!^n0dxfKoOnJzbR4t8BLzIAu1PFc-` zw|j$pDZ`5HzKpib9Atk1y6b4}8YQksv%Y}no$ir9PsmAVNgH!G+hUFoF_tiKmv?Rx zEa88I7@UtiBSV|fMZ}jyO-Y^Oa-e9gsk{)@&8x$@*rXTg{p8=y3(^+Lq^b`%%B^7L} zW|awK`1B$?gjbcxS(p}NK@v}nNmer^q6%7OHp(G?8^^iY%=xkARHMWEULvMaxMPs> z*w2wt97Zz66XE$_jd-;fLU!9R;8Wtq;CvW%iECRNuXgD*9Ug|6ui0gflhhuWS+2Gh zu;{icNDjdmKyGt=Y>-2)iV9!4g*qLmV{wlJEOJpyX$bL7lw+{yPcn0<`1ADs@*uxpv%Qdiv|243O zdyzd{LVLa++RHVvA2*S`Tq1kDMD}_U+3O{;=MP5q;}Y5PO=Pdv$lm`tvd2qgzXtaD zW?;`Zfj!?3?9F^t{SuKqzwEpVR4AJZM!-tgc1~+9H*V>UL+jy<75aHDq%Rk; zT*&|KLOgr@=L~ekxMiR}YM_C}`G0@@_hPDP$4$qDy-_2us+Fr+39Bf>2fb$%pQATS z0jXVo{a%c{WmFx{@-~VS2=4B|VdL)Z!QElw?(V_e9fG?%1b26Lf&_P$OMd5^zufiS zyWag_PtSC9cXdy#d8(&ss&driNItT z+y|#2uS_*&G=J=VsMzhP?=Lj0TQnz1% z0a7qyY&N=F7VOO|9LC(tKQBFnM&_+^Il+&fi$=RFh?ua@@{V*{e#ED7JPPv9J^9Dg z2~qtFKr@-qg0IAo-{s-?oeRO}s+z0568ix&V7%x1Zhrj;;m;! zON}?#J$Bwrf>-270=p=mfW*758PBq9@aqFZz}&u!R-xUl@#{MT4@rS~uIVPeTC%ko z)+@2Rg<}I+r8EUhz_MXjMc3l$Y77{u#)KdvK&TBKx^V3+^)filvV#lg!3AM1(9MLA zGI!=t&OZ2KV%AY%jr%snqCswJdiI5xv*R84WXTB(v(yOz`BYDMx z7UZnW4}jJt%=5F7&Pym@q|SHzx-*ZqVGZZ4*l@Z+^le7Ss4 zuPP@l7n8+gAa}Nz{Qjq-MF%xFUh@PO>j2Vn15X_8-Ob!rq**#|g4_0>A#N=Fg=Q&}0yUAZbM z5~QtFc{5%Tj4;xZ%^v;k(5Ek;r3iX*s=w6{iq;Oxn)qimcliffkca2ZVYzmpNpk*p zmgIgJdpConzpw?{wCknlsdkZdX&HpL$L6HWKsE~nI_=_FVi&3djb%E`@2Xf&_*Ov0 zuK(4udij<0r&9&IsUC)D7st!@?bA88QxJc~1O_eqz7L0?OWY{YrR1G9&0w{$%dF&` z2DNd)@VNcpDQjA=jCC=VmJhZMpS0p?rYY*1%y!7UUwm*4h&;YLeDf8T)zzK%Dn>JE z<}?A|D}A^p^?1YlzXguLxnggd@g;ugQ4qvg8zXep`UeAZ^;QJ345i(*o3NS&YFb|{ zDyBlB@>JQwJNHCkR}j*z&Vj3S+|&&3eF}GcS;?Dto_?Ff_J%F18*_JfIj&=@Mk*(@twLJH`n9p#H&D8JMOeNZyC^fy7ToP|>=MySMtnA-3VO zPOXsV^yThO?#md=1)Pfic$%hN0hm1YWE9s}_x_(*d&XmF!VK8~IOs5&tv>%DsEt%h z!yd>c7E|my$)~TXrz~Gpv*+2<@PpGuNJ=WrR;ghPrW()(5n}bZcqYX1h1;{TsR%k> z$+W%pA{^rwTWBmBG~Berz-@<7y`|gw!w6wJGE?29_ZnTzR}~Gsx{xM7?sGS3lhm9Q z6yefqW>9y9gI7#x>;g)znhJQ`8LQ(^3qW`7lN|FC*x*3@wy%+yaccw@!yzp; zZnBPt#vNFQm-iFW#jM*S_JDKvk!vy1@*@bJ{J(72(x(J@NV!!A*jaimw9J9X`fjv^vc|Ty4DC7jgp=4?h^sR6FA9mPayp(5&RttRW zt?RE3oEB0QYX!;?U@a^0UM0?(wRyR`=o}ef34_D4AIi?+Z7cW(f6URo#1kdA{w9-f zHf!{Om*=h#!N$5tQ}Rapc)sfV8-;=th@}3s30y=jnu(O+aH{R3l!4aH*jP0sTD*74 z%6LgyfunZs8HB{GuDQw=tJUAb42s%^VoSzdR>!c?uDOCoU_&I8;jAlji-Q3B$=IC+ zK_kv}NHypeIfb&`;7~FHv56 zh{6xuX+jQ{$TACR^J#i761_E20kuXO&z9CtLZzW&w*)f_uwIx|Kut#K)`tcHxu9g? zJ7i8o8U1|n>TWx-%m*%WqmWz^IC`O2RFW;fG5v{8$14(9F{3G~sV5&TH^xFUVfvCm zWb5`7)z<65pDeN^7&p2z>aXctF(3o(11pu^lmh4pLczAU2_|_%I#1>?J}1CsDmr(EDx}dhP(qsSAOPI zk?*cRvMbse)MS)4iLN zy>u&QHm38yoTEPbp30IDWaI|@pfshQIeE)B=M>MlmQ$ul^e{Ct)rHzmZO_QzuPch@ zhO$owgp0a3(U{#*oCVod2aW@F#~-6@r?ry@4|T%8@ZT*JAYH!~irb!*`G0+1*b~Ke zL{sfhzv|r+HNQ~*(SQkny6FFE;--1KhAeS`O?U9KFevt^c&#UkGJX%2?X_D0!cr+I zEQ_%~y%v+v_#r*VP=eb?!WUooo;f(k1%@~-N%$-AEg)@DlGTMaqQ2D2_N;tDOHrN* zAz8rz>4_z-db|@$Xq$#NxTaEA#rLG2yPJZYR0Djy;yp$`@4f{ALwSGm3X5x>T(8h? zkF>DGKS-^h6+e*x=u#v?+64B$FgU^Lv((O1=_Jyr@>iR}Rj-!8+ zirep}py;dlQ)S#S4D#g15**HBRm-EUw`@&M=uHpogCsJa##8Q9H4veA9fPSdky4ke#_4}c`t>x)l#c; z=Pbd6c3z_P$qNxGyzdt{+#c#kY>XdFri{~kE-S45=g&h;Z=p@qa-akKX0qd>O2x}z z^W*v#!LPEl2S#Qf<8>D{>6Q<=SSP*Edoj}W>ix&Vq3_n08B(6;sMeAv^gPp0F_yO) zmHA^;e>f_p(!w-xu1ap%AtS$wsD zc)LY>)rKUuR(*w=mea1+)2}t8$_$Ns9@MLRuIz@ONQLlEi~1cT;rn5)t=*a-Y_UaG zdXOG_6Iqr(ZyMwz6JFCmz&L)m21BsWmaz+#Mf@mEt!JwL+A*WmURqNx52no;sxdom z6oR!Yy)2K{Qy8(=p>!g>k)7URzZY50k7EI~V@@$wwLzNcsa)R_f1k!P!pwkop{g89%CH|&+rwBf3-*WaWbq%{>QI17I7gkT4xU05DnyqZI zxN%?fWA}I$JT*0QcrZQ0IPE2e91+-ZAc_GDIOT&zUM*iDvEu`|zpYtpu?Ag|t)HPqYGpEIiq+@0po~cVcV46@yS3#S6U3KqJZB&KX zQ!lU;b-w*6;*!Amv1ac}vxRI@w(jF5tqm8w{5AS++&gq(Rk+r{*ml*c{RT?{aox@< z@SBXGM?ZGeBQ@pw5I$`qjZIVc9NY`XDe6wL0Pm+J#1r@H<@&g-j8*vZjuma|Jz1p= zY?L>UYkfa{v>q!%7PbTsYcUs)Z`OI~Z%ho|f;e7x5t!%+#aOYpFtQ{V3Z4>titS8H z#X95U7%;3I2jE0;Nd$MW-yUNj)B;6ph#U#gg;&WWVdMlG^*3=BN(z7;x!b~ZX#`%4 zpQ+x!fll(35YVzl=!a6PKXm)eFwfO%v2gs5mJ-nu(7bP8AU-Bx;I6gt!7t@HeW-dqEpNFFH#r6>S}EY&@Sd zR?8GrziDKOqr19Fj4(P5E)EDNzKL=zvkIKZa9W94JNQ^>PW~ntz2}xweU$H1V$eyb zCRjk0E`blCBe7>rg>P2Rl5e+tLDRQETJGV3LG}$y{j{kEPK#4VM<*!>duQj|V33TS z`v74hp4T@P#n;bT)Y^Xf;Z28uGwG1=npA-oWr0<}+eyVMKJ55z!)H@j+M>F07|~#x z7|sj3SAdQCT^P&FxV+u!Zbs!w5?Icl_NtDUKw-ADboDY2xVh0Sp9wEfK8wmI*sLnD z6m0T?%F#pca;()8m1GY!19d~s_L*;*1s4rjBmQdBQm2CON=9@sn{@9Ibrhps(k~w} zR@{R7XrYFO>FVXzr<(>7>cR9B|99toU_alzva*W;2+_y40!^!Oo~C!B6TRm5A&i0I zA4=eLUi82XtFB$;TWZKJ_$zIm3SG#Pf+hxG;TQ?vp?lb&DB{Xu9Qud(&Q=W@&KYfQ zzY;f`#&l8VS8~6eYg$N9b|<0Q34zGnSOPg#aogmu}=vS#cK7Ya!4szq(~BOnI9 zY}!av-!zFwFnd+qI@rmody>mBVG|RL3h)jI;@-OF4n6^%dT6%@1y13_VhCzD{&ioT ziRm|K_rf+UePqy6&9b0?WHy-A^)JNX-^-jtZrc-myLHeE(LMBa4k8_;hxiCSc7X1j z*K&WhY|fi^J{nSu**x!<8T{~~O@1iU4XH#T`0zavtKkjl2`sFmd@JW!L*u2nbK-esD|K}6Z0L{)92VhXzv6wAF0DSf=Z34F~t|lTCoe9{%9vtBVMGEm`;E8GpCo| ziCZ+3mapIZmW03#MFuL49FKk=$`!HN;u7tUv(}$D-iL=goI6AJ9o42ObS5j3UP4Ed zQ)x~drr)9Timi9xNaxGaI&c%5+wmf@2S&vG!uReYFn(MhIrh#BDGTWbTYT>~F;z3h zf`zjxGsXh>Db>10*AF6t=O+hJT}~k70aO^Gu#Xp$cDo>d5e&gm{?lc(JOJscs+kyd zSMdNA;G7D93JOQAi#P&SehNh;2l5$R#EG(6Iv=4?Ki@deMX{sM8J8x=!dFWYpKZ-< z__t*~cx5KfivFHWZiA{&uvflT@a&pB6|dw$?w>{d%#!V+4u-Fb6LsmF7{nrxR4qAy(w@ zp2;)*%Ki!z{g*_-4M{F1MNyj-(rpuhlb}J9A@Wjgk`k~ONKCqTr{Br3D;$yB5GZl~ zl+DA?lbqnnn`5269BtTboeJJ@gZ#+?GO}$+4z_i6zk$FJ9J;*B?M!8vZ}gD-f$IgI zhGGgS?%8@zo>#H@ot=gIP#Y%|Wx7y7ZgCUe=br$YlE(hz30`HXZ5wpF)*RyGaUC^N zOwol^me&J?6Hohul$fWS?RGPe!)k_nNlgtemsfb>*oep~vwOh;;$3_YwZP zQxL4>hl*08w(@Zhl$JPR*9P@cX2pI*sQjed?6!v!T)7fD&-<~(;ALK!WfOk3JFq6AkX4Ti{p80R zZKOVa7J--*f8g+$O3yfZP7nB2_$IH=mTphpw$4Ro{0t+my+o7IYacu)%(g@#{l{u) z?5fXB7F7~k;+ZP7G#^M)@?`iuRFY0|^5TSYr9QsqL9LR7H_djT=Fb2_P3bOhxnwfg zhR|V7O0HOtn&t`YB`2#=2(PdF2I*f50*)sd&CdS7Ysn(nMTY{x{UaeC1?9Q^1X#V{ zA?02ekcJa5EW#y=SeZNM&j;f;pF&AFY^0U4FfM|I*fIv|mw+!@YLv|H@9t2@P~a!k zsxVJB;SHzGr!D07*HLd5&_)9Dv$hpmxev0rGsSAfeJ+Gk%s?T69^jOD)g~h7nB`7y zxYihO>N{(2BUIlu$~3Yp*(>ky%Y9H$h4hEv*oP_&%11#PpCQN(QN?#2;A~(*a}D22 zlMzBuTCbjp<6#&X1j#VOs418W4q3Rur@3u!J>({Ee;QV}ac%0()$7Y@-HGD;*xt6p zX>6`Ge*n|Nxbs(bu}j&*?_2{w@j+L8T6wM`G$yyD`s1rxupDs)ND793z0;MlQh(FQ z)*%qP`(fWd@Vq;GwGgYL1fZz08bBsp5a;b#e5CszqJ~YWvr^*p&XXED^~ykfN5=Z@ zq0~pvB(dq+9Ja9He&CI*8cO}O2V}a|@Tbs&uo06so~}ut?r!ajw65Qaqvt6S3N6{w3q`towfL_c36l2PI|y>uH)i_TH< zvvgA_ycg7G4K7`(Gg{fZ$8IQ#(-q@AvQEt59P;N9##m;YM9W@DWKMRO#%X7^sk6nl znPWZ^Xnb*hr>dI969-lEtbEY8%8*)4y?do}o>#e74k|g{wxH)4B1E=0CpYCf2APu9 zS$w|c_ez#9{CnVGo6f;dH=;>jMOIDPYQ+o zy$K`>e?%g}hL*sS-*R+>GfeHK(}$)x;;26chXJOGIrZ6NYqXBy(yu#SQo(qEVwsL@ zTPhy~KaakFz4#4Gnew$pY1*qx@Q6)>qIkqer~$0G)CSD+w~`(C-8=Fh&c@D7sD!i; z*AxUyBLLh%2NKBaZSkLm0`U7jDoc?+;QM$E7sNtjb)KT%R0cJ7D3T(k5_Lw;MBn(;-tQToo3mGE5BewlxynSHd2{l zYxGmG$PFLLr4M^b6N_i0WT3ArNI#axzz_KjYnB(*vW)a{me(G$;@8Q};d+O0MPC~# zSuj!XcHUU|Y$>*`v-cURKt=q5c*wbuVknG5^b40=iQc6RjfL8?5RK*1PBw0VBJxtf zPUISDR9S10511_yB4GBStrf#tE`KNk->^fY+`bBVOJF#RXt3bsZ7s9igQh}C? zTQ8EAcz$`4mG?&A_kltDu<7PFha28;3uGLQR1TM48ej%as)QK@cnKGASUayC^+{BB z{WZ_sGh3w5?_s$|Cw0S9P`SF*;YLeULpq|Kl}=MQk3V`?f7VY$nD1#c+uA@VPK-BNWfg<`$%P@2F4dDvF^LkPY{tr|vv2bp zH;ippu6mCr-EMhttKS34Y*v0-oyYp!ABM37eo2rDsy2+CtB#-LfIs`gl2cKB`|goD z2N%aV1H#>!v25DP%?Iol343jcZ2taOZrq^}n@sRLNvivPdF4>qp+Y8Gv0%*M_-o5B z$&N9=qH^ITs19l14d!b^r|tx2FnaWJiXqgPz3BbO1ot`j#k=mW;is?XqUSNXzrQzQ zat^^D94Yj9yRc^dTD9`%15e;#7>QBu+fSUKd@jrln^)_mUv^ofw>NOIt`3|2W{=ks z=82@Qmo705syKuFNMf?X?U0_N+Wg=}o9Vm* zzh_rU;wgzI+&m6lD7rVo8=I?ADC96GL1FfBV;MVd>qe<;|Jp6IAV>tC=YQRId7z1z zMXGg#5|!%##x@@YjyQskds2E+nt9fFdm!hE0oqwPO!_n&doo5aaw?Z()GX8<3c~@^ zLaqjZfYY-UJ`9bdo0|LR+?RC$7b=Q;YluE22+sV~7<&(8Yr|vqT3GdT-H^d6`^tlt ziL=hRZjM{#M8?K1!9&FWMNzdP0`PHZmb0J9o=XiPSqa@Gk^Fp?pqMWWpycO>z;A(4 zX!LJW6h;RXTC8^e7h-J#-ym?OxtL1jl<{#a-V%l8wvA?*D^U|HC zG3g^M*~!P6*rTq&UnXjsezpUt)@e4;xe=h*Fe#OU~b_iRhv4yA>$9&3 z>7t)*I{@%M-az}l?_V9VR%~YyzCM`_=Txg%M$dd++@A7Pe(zXhojH5y_uaD{@ZAd; z@V)Z?-~+xc^?K;+_d$+6RuWY8CiPKw>}GREJ+w=o5_K6OM=lT&h-;(01WGO6CON`- znx%NUuijyvT^JcsxnS+rCo><5jR#lWf?qP{bAH9vK={=i$ez6fJMb*;=2Hjz{fQPW zyRk8+QpYsah0DenSaC;m=l)wLWOptH?p#iB{9cQg60ytoc|~ojX-!Sf2+Ky#_wJy#TK~>4@w;*y0j??3gdVYHX^@Sflb@ou=Y8K(__D z<85}w`lY>l?Y>#_^+a32Nnd(0n*iS~2B9TK4tiavvxokJE2|p^*`HodyE(aGnTWzp z0F>W6N^4oDzhK2rD1K9OoC`<3I$aYVN*bZRT?Ium@5V!ui~q{i^CUn_PQ!U?4Rdg%9ZO;d965Ou4q|^QSQbdz;J(9Qy3X2os#(*0wTj!+?I=82DFR$}{MoRM3 zSo0O*JX&K_#i^GBu=0a`3o8hbBx5XNEk}krFqS~b5y0j*Hk?!@PY~5exyEpK9L?7j z3e9m1Oh)IHNi#TR*4xj2lr-lWs7YGVjTu;FEJyk??Xb`Tehh+;URt6Y8^f< z&x3P8W&VxlW^(yz73~tv7PaM5FjK0^d@(7AQ^(0 zIVHnl3~ZL(L&&iZS9+<{y+0A+nYLe6k&xt!&MEF#ku?TBEC);{^4i!AR+HSjl@za=uJVj8kzL{8e-bST zWX1!-o*2_o8#CLVWPBiMZtX^Vy7Z~i_`g3Uo{Tjig(hIMpcF zp~zC=dKu4)lNc!97Nb+0e=o`p@r)-o+gn#H)pXW^C}35wwEi*reR~!VnGE>_Q1-L1 z2W-p%Q?tRMzbBxx=2U&0wJvc8+kF zDSK>zT)O?3TyY4w=mz&_m8wYF+A2sgVFquH0HwIt5C3Y3XB@My$6M{8vI1WXA+bc% zJqTbPF$Yb35Sy%KMT;inGjKP=e?vU)3!g;Qjv2p3!w5gOI+t17WtMLkVWU?cFx7ku zQDPiS=SXFCzrYN;KeamcehJJ%ff@e#r=Uk9f1a%M4(a*=$jlqSbrdVHM|%d1KgKq9 z0oXfN$~IHIsLNY({FK?@-AMb8hCd>tO;c;9KR8e?)5ZQk?KWf9>($eL-+^6+0v99=fxdY5K*8*lE^JoF{aJjCUz%NAn!H zpQ9>XzVy|i@u>N}LvzHA|HKv|qjppK<2F@UEEziG`QsXGP||k*{Fp!YJ?6`uV;w^2 z>i|g0{8AG@z>aZ4kmFW^sm!2vcaZ(|m9jFNi#;Ez!lPk#;4DCOT!xFkzU33}R>F0^3U;K}ObgEjT&kAekq^b?#N zzcs22uOM8S>WV+8MScQ-cMXV3n>V3Yi}wbXKl6@IWaIo0l5WU#?I!sPW|{a zaXikgxkO=#^0-}q2gog$MEx1iN)_zNlU|;M4Ph7(b>nKy8JSs?48!&I)!VK{Ycm;& za>9y!eKXScNO{?z_1Y!LSlm|xuUxxKue_3ph~qUYlfQD6Gt}l_?(lRtdetQGi#9!1ze`M6ZH%`sqrItCKljPn!R%KJDaz z4*7Kms{vphO_88 zKPVU+Bg?NvJ{@l6LSet{Y{ons5Q=L8UdmPsD=IaX7e+a-=?a~amk?ujHLgQE`-O^$oO_Ky8 z$g0jzfg}L9ppL|O^>LMMSK2*ykY2z9TLXq-;V_#Xde-@r;ou^BV*IMOr!cgMlN;F| zQzgnvqy{4`=9&i1vyL_eY)DYjVH8wt9(#b>KPO?8mEYwEk&z^MT@7Iz@*?ke5HzW^ z%x;^k*a_7QSG|wyN1L@WLAd>PvfKkoxA=2`!(S_^Im?{3Izkw#k4Nk;<@! zs?|dkHzG>caa;V>iw=wUGTm8RLzV8ehC{W3Q4l1(Lt^CJL$BP6Xj6?DoAeaI20zSa+ogz6fP)~q#qzFj^!wjwJ)^nt%>vI$yp7**)tczOEV!_(VuUc z4)eFpiw^pRRYxZ|r*lv{(eci6{3Q{36zkEwT-!j;nfoXfK=I(1sGYSNZe!%oPL2jkV4 z)%f+{ZwNae&KAJRHjSKI69c-e#NgM_M$`lOB^;%X9q%SV?=&8VOM=A-N6xOr0)*_l zId~p-X3>;{M|#S(otzpv#Mn1QW9_Gr2qJ`%O@dVpw(kJGz-0xtz!|U^ePjb|JJk|Q zr{B8qCCPE)&RuxRO7K?vUO}uWKdjO^iYLQMnq?^&Ab@cY60mng_>8rRVYzNLH!=u| zJ5dQH+TLCo{gi1CWYnt6NMvfX{Wl7Qmv!hBdOzoF{ryj(jq7dxTtZPAI!E{js8nOT zwXNN4HDA0xCMtTfr85PwHd>;fKy;$17Rc04^S}Ku-jeBW=oBvfa7drG$LYJ0nPJ*nT?3(2#Gx>qW^{EPv#X1fCL{&gOKS@+V$ z@#h94S-Q5m*@L*$8WK_@@+alby-2PAf3kcx;?SwQr?4m{7w9Wf(EZ`FHYkl{G%mN9 z_oLSe2g!WsVA8rZIz7HDWXwA*%gZvfM>OH^l=TT!`-5E#no1%jmu$|+@Dcg*v;)K$ zW*pAI34>{|R{q;k&X6PK#+9J~gX*2+x*U=xK@mgdK|cblJZ>#X=))LU!z$Ioi8(`y zBe9x5op0!24e1^W5Po90%;Y{Ze&1`r-^<0lHgw}CWF)9C7S#{)%#IVA1{idZ6NnJe zf2iQ&Lj=k<8}F0BC{k*PC(AG|qi1e72g2MYn{z`22yZta6GL_x06p(bsD4>?+x1yK z@`}~%rj1UfR|x$y9zVAV86d09wo`RQr0OExclAT2LK|#zE=7)IAu^XDvi=%Ko*`Rp z98eQ~o0dG+#6XOh2}T`IO!C2;hf~w25`-@t=2R)I!#30pOjdqOwa9m+mW;)-?7nOCIk?XvTy(0yn+AE@#{(|+8Y?Us-!g#NeS z9tgX*mOwv3k>T)I7jiUT2k{c;)QXzpFi^XrPH1?Lg6GrOd1b2l;&pHQiu}@NXdV=w zwNnC+COgR>MwT=QgJjN#IcrO5_&NB369{b7Beu2k8_q`9oC=4e?kG}IMV8_2F~~^@ z%WQ4T5qDdSvG)csblOk>8#HG)m#hWMpwl1S`T9$v3YhebE$|F$H?Nc)sex_308mw$ zU3=r)*GNx9fk%W;?#h(`HJ~X{&p6?zWvSf(`KV_Q;WX!z=`yy(U6b0#$NaY#?gp5tCpkNHj zn44Dri0R%e?lu+0zMx=Q8;p3xFVbHW8+aBR$+LUx0GDjHQH9Ma z$i9Hb0)oYL&iS{X>OF{x;aK38N^AkM0o zry5=zZffmB$r4F(E3K5AJqg|Y{Xt_S7w;5uCgl^zwg`m~y%`*xnWn8dEb?VgjYHip zH87Qal99ZN>;WA-T~oy@Nwr4QDYLincLvs;!lX<{js9_%(~KzcQ&x%f>6n&_uanYr zK!^#f!U#`cLETy7jK;Pd_nmWn+1TY*(JYGg98xKJk?df;i*{4RN5MRNn}}V~*Z_R} zd};d>;Y3X$1e=#8*d zt&jRjXnzx-X<_j-*?>#BZ2?EX*vly61=r}N^XX=e|Mi)_>-iz)W9TXZ9mMAb@bNf7 zcq(>Pe|A5?pF^0!;(KFzUyDw@URXVORQLxBwD9I_>E_AVCZ&~RZ)3^wrD{trYy^OG zj7#xq2}q-Oea$G&`8Y0TWvt|1(z1r%sfC;e0I{eL)2lVLmNe}vuM=z@8-nz`c;(c$ zzQ5eufK~H1yvI3w$iBRNpzDJ`mO5a;0&R>Pog9D$)_+U3h8D2Q>?}kKM1M;>JOE{P zJ0L*R#>m##+{P3jVs2srbO72I0UfniSOI44c4j~uA{GvS2hhQmh?N206$3Y~9Sq7TO#~^X|#J#!KpO z*Vbylvza6f(8B~xhX(~gAZ$wx$;S%#xnTH%)P8|jc9ZEf`5L+rJHla;F8@7VZqDU; z%#pCuP?74x<0H%QaGZCG^C6KLpwb)JT`@Tg?nj+)K*`s=fjiW}oh0O(1P#e~k#7Co7aEYM-2l zK{4YgqWUA!&LIQAJq{cGo!Gn_8W&OcVE(7~Lzp{23@JbCDGpM-x;|c`wF3Ws?XxzP zU#0PF8kWi@@GXMXmNQ^cRry-qPbS1nZ?HChTkE`}ub-*2%PMB|nY0(;UNqghs0;eq zM)U|d{zM=kD6c|;h zjQxJ|WA^mGbq5*$qG^l8uyI$leC;A8t4zx9I4NSUN$f(YGDr_s9E`El3DeG{oC z#m=UhZ-l03mqgr|0)CW;wB^m+`6^4VB)?j=brIrkqLiHT6US+szO-Eq&7nT9jkrhY z9p!@s;4MMQE4tSUibUWTk9wH@Qr7#l!c|kco$1~PbfI4~bG5DOcl*@;j5%L$E(01z zDO4@WQ%4oFzD~hYrY~`BcUXf9+vJamttAz$O4aqM=c~#~HI5U3Dz=WOeqUO{3DKmO zHlV9!wq~%c!aHQbzGAq-#qx|F5ywM-4ZhZ(#Gua=L68bo1tafc!?5`3`W&BAlBreo z0rBc>N$VDpt=>RiosdJl^msGh8)aF=LWIK39%_#HyG|dGC&%y#W zHJn>Bhw!7dER~i)wahqC`n`QF+5f@PoheO6vSn+Hmv)3xEk&u-m%9>?@1h)M^fySCEhGrmQc5bI z8q2h^CA}-uQHn%KcW@ap{+X;%tC?t+LI*H$4&)Cae`@PQ_RE`fhlpeFSuQv} z#5lWdea^S8e7SC}##!ElB|;H`>y4t27dn3gqhFG7)VZYSYT`Z;yvGLxz2-oc&r2D! z9n!X>R#L)#bQp>b<_zCN)6@^3`MOBff}KO-y~DKaKRn~9(9x@m-J;}{Tr?b+{VE)p zVT(jyzZ}P|$_ul=3*YI20siV$|7x+nF=@9_RTL)b@Y8brI2TW~*c}P39rq-&K^TKd za9UZXx}fDO@=K@S`~oblbWbDhJvK32=Aw;{`1xl}_>g8p9(_Hixjm?T2HuLA1*fDw zBUGL@dqP9oDvw};KQS-SgoCaw`EvSrVJ&xesI?Jp#xC(6g;Uu@ z9^ns=Pbqwc6wC_Rj>S3@wBH0-CECy766b)9#}hi&rQSxP-=B)lIRo@j9eL0l*+7zqbhleTqW@V^D)_gRQ%YR@^o%!OMmuQCDGF7O&W_{d>&aCm5&rtU z&sTetcbVIbeQS%8>pxd|{*mkC&d7B0Vd$)^@i;%^wft$SaAzmuL-%;GWqxjh7Nw?U zX**N|MzvG-knw65N=uU`ER^oEQwqBKGocz|a2$>vU315Q|Ov-h82QkWIN} zWx>jn4CA;8qq$;6iw7DzUnXL@M-5GLV(#xe@FqbI^|#E55iioMw%o*+YALCl5)+)I zr>XNbfgXj5ftJd<2PpC+Oe?<|)>j!Wd%kR%wD0>$F!3a!!R%*9oDFfxfaM$Zq{gBS z^q6MKYnMd+*yUVD3%Q5r|A4>((UcQ?{Xc;;+kXS12++|8XyZi001!4aaQKXG zN%;w+Dga@C%qPTt|2Is3V(nja{)^QAf@6T@C;0vY(|ICfny#)W?N&piF=l|3J;$&?D8Q_91p3wPRj)}d>%7S94 z+a&Ssf6km`lLwPTAwhCnUL2BDti=Z8EMHl=^_4$spZGw%n~1M$!&z4+4VY_Jh_o0k zS%#v@|H^F6@*NYz+MZvpn2QvyTtf~IxN@bItJg7by`Flf+7L(V)~gg+J3(+sdE84t znJ^s3L?|&0&7~DI7<0R$9go05ddxZBngz0c3ELW4GmV6$ASKiM$sI(Z@C~h%^3#V; z&nRTt-g;kF9Yan`!GT^QPAr=Wi4dGHtbU#u(h$3aWYTd8EtT-#QJE>dwX~SOzW0bk z_DJ62%V?OABdi8i5@#BmP9A*NkSvW;yPmzx)}QPCj(;xCxic5Z61C%I|Cz2pc9cH z(A3<9m*S$mi-O49n3qD0RhB{4P8eurF5%$-RPvBhHuA7E;xwk<=Y!>O<8rgMv;ORi z$j#cy#*xd7m&D*xF$Hpcmj7M`kP!Vt;$+E7BKWt0NL^N*NZ8ilQ?aCHpfh4%U?XDZ zq-SAg;50O0qWzRNCPn}QD}afCj)8%TnS+akf#}~q5*;)hK4D0}m^bCOi7qP7D|3A64_5W&N zZ1i8`cFqn~|1fTB1OQqAtv??Rj-Mki{x5pF|1sD9#m;BNCo%KCh(GTJm+YthZ{jZU zsbTYye6Hu`O0v)~uqrdMa{aTUGz?6ig@2g;OD+7lo%qzn|1YWkBRBt-^s=&CBDO}( ze`h2q!b{@pY;MfOYQkX1!oto>#|UKLq+>DqEEzHyu+ecC83Wmv*f}&*d_>XYPe~OljxuJuBgF6x9=RN*M zV2F4=dvY>&vI71UpMMLGpq10V&i*A&R_1@DhRe#p#*~-Djn4T0Vec)V;##&vZQMP$ z6WpD~-GW;P!QI{6gF}M5LkRBfPH=a3_W%j@n(V#L-RHi2&bjyh&mDJ+H`0S1y{c+f zt*W_J(=}(!`j(Ngo|C2HYko0qO<_H2J0~Me6?u6XWldQTQ5_W<8%qaGWffUg7I7V! z-;-};HQ&lIa{<_N|$laY?KjgF(9i6!tiKu1Pc zjKRU#gqQK<{CBLe{_zQ@y|W~P4Z87KCsGfdBATJ@Vm_Tw=eVWBYPR_|I+KH zqW_o9{qwZHI`B&azclbm1HUxzO9Q_&0QVo}0nqWxN9po1`3JuK!XM509~Q_TlSQEM z`C^wS{n;Gk`VX6tizwu%Z9CpXYUVPXI0hRVN? z{^P#+o1ya0<^Rf1F$5YIMl38`^sF3gKtlt-1fbV5Vl|@YWMyMAWMyU7W7hx8k^q`f ze`Tl`1N03I0Zh#F%zB2L^lWT~KtqR#oe5~SuraY30t~tI*bM(Ih6+2-P+|Nvng7S; z!moeezclbm1HUxzO9Q_&@Jj>#jmbRAf6UVVm{0*H^DlGvKTYPD+5a?|7XdCUz4)(* zSvi?r#D1H_E9jXRF)A530OL%6;V8f|=AUIk*48$T4jQbSFXvIxVid8rv3*&8da23I z#ZJu0#-hcjpa%?MaU^Et_-z48$;J`rs|GGI$r>4&>HSHXfraH~g+EytKimC1I7SE< zAfwDEVWVQhC`u*E!NA2JqvzoG(lI$FD{6jz#y`vu88d5(-+KMCdyK+1_J&6GKl{X_ z1ssHdqXs)OfPo8mHckdMVs;kbF&i-#@R;+@K`=ju`1`p~&;O_9B&;$58$tp|c)BD3lA5ouHLB0on10&{bX+?sEe^nMK~8*bM;(BDQ`Y zh^dc9hwe^qonm?KzuhC3>$EjIDLh;znj}8ot)*5Aw7P!#aT1PPzSjCUQ|^5=^Zod^ zol))L@P5ID!Nepnu|>CcXDFH8{H_{uu1LSC!in67K5Ph3-Q>!?r0O(cWaq{g0ACnB z^s#zxM~6d0YvFQ1yu&95QF~Dw)2HoXP5={6i=Dg}pkbWfsUdt<#!3oU(|$tQOVoQB zG#>-g-v7MWWQzM9cB|3X?E?(LIny(1ouc>XNCK^aEcwt{o9pvRKh~Lzro7$#Cei8U z3NjH#5uJ8J-_n_H<>e0owv@}0p}BHz*LEQxZDOdJZB7q&E^rFnA}H@9Y8r-;S}}4w z3)zb&;~RU-r0Ykex%iz&Z){|HfMG+Sg?RA&sk7r;s{sK)?G{5^5p9{TW-iT0t9M7v zC`We%<6SIX{zHW^AYdvehwcdn6 zDbwvuAGz>)!C^^NKZQa6n=mU=J;3#om-EeDs>XR4k?kCBn!+w$`8TfzI| z2M;YxA7smSweiDdiX62JZS6sR#5htta2B-WxcwrzMs|;VD?Y4Cm$-KoklaMH)*?#{ zb+%TzfuZB8_Mp=^HFP$bLmhz{NB}H z*0YX+!giJ&eFUKwTM7b2;8k*lNs8-4VRGbwS}d|&&KulR1CRagY_*bBf~JbOL}5=) zb3C{1(8048Uy>e&Am%%PXi^EATO4#SAK1B0p9A?$s!7~RD}Z_q#H$O}0CV?CgIMi5 zd=n5Is}4`OmGz+$avG>7hZ-cUjA(Dz)clknOxF zqHM9s0a{8sQE}6Ea*DW@Jc$|wNE5~XI=5IjpVT+fm8Mux>e+nJ+Ep)eL0Uv;v@Py2 zBVFJg^}|^1TTv@SHRnn*1_(b`lTB4qxgUR#wnJ5yxd2q~Q3Bn#L*jlrmHd9AlPtL` zNKSB5Vg8ld0M;Nq|455}y1Z*_r^4I!YTf7E5!b6j7to1kAHM*%@P4G1y73GknWlV2f>l8wJ0a;9%Rpox zszQP%+~a(3ZXsg`fE2})vGKVZ`hJ%AWOrZtrB^4jFJe|2B7p+zGYLee4A`~uIn?Lg zZzedq6-jWyec&ONT=4W{TbW6<bXGz^ z2Ap)J*nZ6~>$oD;9&oi{s?-|OM@CQ*Zeo543iRwmIgx~cT~HUAdi7CuIR9eN4NMY$ zNdq8~_exz4ch7p<`Po{e+iuV7m`=Iod9H}H{SZG(97>OxDg+fbq7#9XA4HC@`tjXJ5dLgH}pFn zTH-hRo1|nro9{1{CDn{_bk}!2=|NHuBv^ZCV6Ex-eXZ<>V<0aE>!Mb}rt7cq^PO64 zNY~0bHeIb?I!AkurIK_>0ap-|9T)rzo@~dA^KLcHMF89nT@`>$%}frCrK>g-LK>0c@HH?99&o% z&xEfOl<*fd7$h3%eX>9$KVA#UFNVYf7$}wg?10aSbigbXtC1w_Diq-le${ZS6pEO_ zUv%S_B9y-hQDMMjC@e)BkV7{nRgN~++Rixb<;a~9lzk?~BFJ-^03p$o6{3pY&sh-# zr@?{Ao@_GJ&cP2<3T5CHCI>(G;>eIPBaJCsD2g?5NDfb;qK-8p754#!rZjxFaGN+z z%5kDa+a4uR9pW>pj>3ArG(8kYNRqm4Gt@m20br~a{@H`%j+F}3juEkPZAY#F_+6(Z za$b=tnlIfa-Z*6twl{D1+*4=mvre%X&UU*A5nbktenW`#ejz-z(astPs+T@aPT^ZJ z)S!}}o6t>gZhHu6q6qbFhK{0x^p4;R+}K%w^XlWxVK~In5X90Ir~b1LW2AF*(3UnP z9CN@9NWY?UlR zvp%=4LNFNc8gFNhzm$zhBTXt!updKgP0C)yd{Cglr7>XzDtudC_0<@&H6G6)*Q-zA zNG!WtuTZ3ndK@T-0|%4#WCW?=QOA;fQD_V@SV0fIM7WPa1S;WNq`^v3Fxt+OOy_h8 zmv@5o7+wiSI5z9?^fd)DPfsYUj6wN9gqC?6=&n%^W+LiWl>5!7lLdHfpN5lk8j*2i z%E%$3`SC?7-Ymv#c6;0VpwCqSVM}H`YWrV>o3cm{!F{j{vMJ%SRdCN;m@(afcLU_1 zNcYQts4&3Q3FoAdguy)MSD1U$+y{VJ8aV7i6B9KK-5-Wrd>tED-dIpWhs$`&wYa&@pMZ)&-s?h21cm^@m6+;m(lM1}@%-x1#U#d}r^GBH6fH%Ap zxpC6VjeiM|HNy0r5`+sTAB}YwCLSSB!HiWVLkdawOAQXia~uUwOZq`yuVMmFXsm+1 z3LF71MtqXqWy>~kpj4{frTgz`pzeOAUp~XiR+I2j}JTVw8rk%6|in=YvWQ4sbleAAkjjJ1>oeu)cH#4k+-m zb9fOiosNU!;>T*fFo$CSZt9ep83c^96~+(-46u-1}&Ndk-i<=SSw^NAS zE~9hVuk`7ToJuvZB*E*W@blk7C-CMxk_F=_+rjA;K8Xm&tO{T+`)sd$EHXb`1xt*- zfe>mDcf0WZk-T^HMc^O`iFfY^eXq?Td41s)9~r#@dpTKR=QXW3dovAhUd{XcrEY#y zvg?|z((mW9v7lQ!Td}n+*$D;>7zwoHf;x1|8g&)c`!sEes&*?#{La$#JhweAx>m|e zl|y!`eG)whBBkH&hg{n|J>QN7muSU@`>DO#u~SpTrmQtZY{w|6>bx9Xt}^jH$bPpo z1C`7Kx+L6PlU^M6QJx5KSHKGmtGLwB6|~%GVymQ@QF*m4w`Y3()mkkfw~)La!41<6 zfeJ!G3NJ&D>DglY5hEVKcmen!LH^W67#|MqQ()Dya?hL9k8)HO830Pn-R-X(sS*!) z4(FvM=$OiCmHDE7;8^JdN#RX`VytoVKzZ4G136M*fI&l`oJ!EVm)0OgG2F&4 z<9^n3H9eEdPt;i<@4T=dA`g5}_K-`-*ubG{*7e&xqT*3A)#AWd0wn0z+M6%UGD-Kg z{MTE(<5zy$C=K?4RBSTx(04PKgHCVx50K%KhvPjfd+ITr6EpRPtakX>_l-yi=Xj=3 zn)JydK<6wI`C?9Z2O@Q~0y`3iAO-8$iq@A>WUjH)KEdUl_Ic&Z2Cb~Pwoe_rgG%H; zXr;;4=u&|edQAc`29MmlGV24z6dmFfz3G;}Ktfh2>d%W*O}j8K{Yj(%g`lAXd*7>P z1X}ad)vciZONvn&h!|pR#`B%y3V94kGL`5`g=6UCEY_9pvf`(EwrD43KfWY8|J+vu zXKLjF4k}||;_c3(QzJ-J@1<^;ytzXnodHEo%4=(uMg)guHU|~_y;*b`h(lGqQ32z) z@a@*HP@VE$(TUQ1#CGM?&#svu`esWP8v4iCt;A;?z4^AVB3InS!4Wap9CUJEBr{q& zJa}b*=mcE`vktaw5B}k@A%Nm1GEhW#l)q0UB)!eFvdIW!!#aE&KPK_D*^RJbfdnI->02POj{LU+;NGU29 z@^a$hwF-6QMp3B}X8%`iy-GIFAXpg9qY~K3>HSBk!7d z-bEbC>e&emc^|hd9_LO`t9ce0Mq~9Kv{7c47zqSqm2lo+nUa@G>3!R!C?a)^l*Yf5 zcFd#q&Rfj;$nrGQYpp7c3((5R?~-99NZxi%HsUd zzJdvskb8W8wkf~OK#)U@I!>@^hmdY;2;;KZ-!v`v3qU@Z<>rJE{U8ngZaGvs_A<8B zb4Wp%Zfmdgs`y(^;~b4okK{+?AofEqd*L@91(B6{VHcO_X@i1E5!&5mH~jJz};Vz3++#f7YMVnse%B*ZBOAFUL-2*gdLU6!wAmEg`|( z=)irtBqB0zS8%VU?=!7(NKm$V%$lK$kh3;?q%NZB!~x*BfH?VM+mwhNWhT@N)70aFEjQ$8I1MNSg)~#5YeeSxJTfnvgbLq zhF7PL=Bdu30l-7n-w z$8s(Y3I$SsnbQ6jVF8?f3jhAc+tgSXP1v{?O*ny{oB&1>0PvHQ(S!w<#mWiHVgkN_ zX^xj;c3?3xP#pMX;Q+pYX^xjP8>0y`@R$WC#|Eqpj3zc=0m=iLUOmMPY$6Npdw$nwpINqUaMBP%O+oJzdbT-=PP=VR!kaZm2eHMF-8 zEnneL>LJTcj(_?T`1$1Ez|38KDPliliYI7EAjL+Ube} z;1x3wJpbr(^8n9iMYB?M@=d3$(P6IH0~x=psL;aH+-mP!OzDHV$?-nF+isdsho2j` zVvQwUQ!T`bx}R!XR=(+1jv7bWCZ|_TwdgCtNP`n$Bc=<+HHPr&N>SD%{YLw{_BDCv z^t3|K14kMYR{OhFroEVsN5+mvsx|tr)P?v}f~?6i=K~YsvUaw$Fia0PYVr{1mjV;4 zg@(RP!8tnMsB4EM#J2C4ey4DR=Xyh}uI_KW6p>&f1h5erq8YEE|CmGBeMYVGR%o*- ztg^`St?SI%J9yRbcP`}%4aV!teFi={&1P2iCtZu}%4l&GaZ7PN%0A+czBd#VxDEHN z_gg>u%ggW2oaUPZ93vk&^$A6s^7RO>@X!#dFIpR@}z{Y(y# zpe!PflIvS)!%j&sJnw0=J(%0$jvj40ZPTV5x8Mr>Amn+l8mZ(7D6I(- z=Dj*CEAHVfJ;Wc%38GICO7DkATHZKC-$qP3fhP?bqoQR0p=|txs(lx)Nu7qxVZfyk zZM!)pMe_{p(=+2?hnWS@UG1?tIF4ZV#`w~BR6xw4dtRGrT`@ulObgFj=sDRo#z*?= zC%1QL5j=zhE)15qhWkq@!iBiRNb5S2xf2l%2r0O#)%qb4#(QZNAR(}a3)FMAba0=t zOGF*0bcCKyzweo?VGt68$9xA(6W^3F5>NaaZF@Wp?S$?*v%ePE0gdO(T+j!&%V+Us!92r0*)tPn zja(xxb)5^&?*N(P!2+hRLI+uI4n#HK;M*a|+FFBk^0e@WgSu>~;_&f<9!{;R;q8)- zgZSyk%eiQ+p+m>cHry7gtd&p#w)`C*H`oSnCA?kKYQ>vWf3p7Hu5{xsq`wylr@%(k?QB zvuU65&HU6C)WS4{rF@=nU01lUi2TDZ%Q2s$&99w)cz_9(KW{$}p!lS5xYH~i`8Nw2 zXA({szy<4qRKSn7L49e#0Fu%kt_SuVkg>iIr#q)dgucovN~&qi6EPRgxC)z_j+#W`*Vtv1=OX z%AO>jZPzfCO89m_!a{sD7%{d;7A19zi6L+AkCQA=Dw=s8wFl15SRg)a6NDuWRbP-a zRt;r|PmAZP`GK{2B&D?E-0@e(RZP`@e$bFn4HDJe5FS>|ts&6+DvIw!nq|#4_cq`y zxYH`d{1W~K!W+;7g;`D{t>nnz{OA?79MWerJ`7nsgK;(Wk*HF`JaF<{H8o#@(R( zT$0b;^5ec67-%SEK>n1(MlS16mwc9{b4R8SOPgs|Dr8G8_$%dg#P9mbNTcjC5J-ZS z&cO-6w(PG%(;RjaoKT1KbNyVWksHADED&&|_IpWs3TwklPuA41)5ELqQ@}#JH<9?B zJ`wdza(knP!r;@huQPkaeuBOd#kZm*i&4{554 z3bdpg`?s9_zNETuB7BiUDW9d7pN86(-ALA|0>nMFO|x6VbV$74kQ%xY5hQM8Duu|K ziLEW`1eokYNGgAEJ;X%JGBZ0h^*_XgdWe+F&5VuN0eL^_dI862llo1j>n4PD``bO3 z9^k!Il;LblK+u%d56&1Sh?yk(SRRO*x^iKJ?hym0!5uRJq?-HMK zANt&%ynWl{?@yQ3<>oT(NI1PstMo#l&lAc_ZG^5IIL_R*TUT6Yq)<^5z+s&FfzZhPh)v@_dju&p2q($5kCZ4_5CIY=}SL1Z~wI zx6pk(?EbwI&{^*p+?UMc@Zj&6+%vE%NBl;fX+0E_z@*8eqt1GI8Ofyv57NC+J6n|& z!B?B%1Wgz}dL&m6olvX9p(H0(kL%b5dl#$$@!5YAtI}~q;nffhB`p1CykxMww5<#D zv@nwj#TbxU!v!(7sNMJ~3sl(k*KeNS>Ak+^_PH*>@Z4HD4hsZpt!^7R*5J;o^9%LU zV*4betG9v5nFck&a&}rd69h*wv*UAgZ5C-HXSK*1Cw4fS`6in4&>7l8QgztOu>*YB zPk&?^-?>`9e_&?g(C-~s3CtJ1n3n8@NzH@U3~vdyc1$8z2@p)oo#TgrD$yQ>Y-Y-2 zhxyP#ppIZ?Rssi0b*6+nQ=v$&S~KX|+lAXCPJE0aB!iSdRxdUADI`G1Vt~MZWkbb~ zA}H~d6GE*d4VI}Sd#PO`tOlEA`2Pp7VcvSeUdSI|~D$B?muUhXev8&QmMe+NH9zq!s zFC*zf7NW?B%y&L~>_{DjuOv$gLo!fS;I&k}N6j20ud4Ie(M{UT-!erA$)!vS&JGff zZNV^$z2Yho7hEvq_lBT@KOUXwq_mfw)EM6^;gM}BlyP0G)8rr6Hy^jpvtNJi#5t2j zgwWF%KY`^0m0&Uq6%7&6l`*s~9ZsgX)b{rNfZ zABu2CzOk<(owZGv-_6f^yo zrsO##4?bwu5s-DE7tZ=2FP$Wl2dsg#&J;|>G02HuZBMy@%=rRjs&Q_lCcI>~deJ+# zb_&W7=^9~P=p&*7k8UuJHBJCaG!lJi{49e2GI{VB*$R3 zk}EQ(pkY9&db6QhuaTPFU5o2XJ2@Dg#zfdqpr>X(>c|9g7r=av5*LcI14>3*A3m>J zozSn`Aw@Ve4fV}2lYi<%QoS-A$@6;vTfzi9siexbE+9ZgizgHL3i6~$d@^$pJTDjF zORy}K6k^2FWA@^OYL=vbyJCd=hKH%o{eXZ_)l;C$lb3A+YrbqpQv(Z?oitM$!3p$_ zp8awQlGlrL8e8Iw<%g$Ufw6LT&Mf%uCb%pnt;A z8f34T_VWOrX%uf@t|x1U^s3n=8Y5{8?RbD*&ts;Q?Npk)xj{m<8NePeYzSv_(|vdJ+G<4t!DZ_!&b#qb$h+&e`S+ zhCn~rBYrha1y)h<++~s^rhrsuwF_+84`;oTM5y4kEhNqiCy4GxJ5zVV-pbxPIVJAm znn+Ki;f>rBahRQj0R1Xnw&c;mcidkuVF-yNzFrQjQJ$!iuck_zVxnj!`FykPY!nuY zISaGd;)U~${y{E8sHzxRcrP<3UnqJE8tduygg}sLFNZulud&SD`Iw1Ti;$BjC0Cz~ zEguVL6C{tqTwcT!39sCFzg3~9!XtD%)QlsLy9sL#Rz>%$&MPY{a}zW>khQkcFS!~4 zKH5N@4BC&_jqHLxH0EfytS5j8xvXfx+gOzw2dkEh0=Zz=-DO>Y{~@Hq=lqG<$-AL` z>f;^H3RE*(Me&avG=*hyz!$w%b)z^(kQEwj8&c60;Zn8mcBypS!vh$S4W#nXY>+gm za8U@U<{e{pOC_9Z?&{f+!?er+Xc=%ac{pW{_A?7Xaw2HDSHr>Uc z28KEj?e8Pjy5`1(Gn6TzQQm#59x`%HVUCnIOn_Qo-pves)>}XN(i7`i%SkH*+dXy& z9UrjSiC5RC%MG3vT(OMLX^yL!rzaK`5yh5wy!9B5axu}p&oODo<)k-FMLI`|hh8OU zmMBy1`%NldRT%SA(#jMFDk9l~&SNB@_0TzGys!Ob&UYF%fYntL$=0e$PbL%?&b=+> za0*KpL`le%aHO_hF5J@T>S>u%@v`rv)r~|)11bJR_tSpEBN}jdLI_u6Qz>HR-7_@& z)^;E>t@P03@_87lby@XWp;|YX3q<91}%Q5j~HD3`tKgDPQMy##CBf)W4{}HA=;K5;lib*I8o!q} zwJSkNI+J9Tq$-+;2Odbw*hc~go#<0uMew;aPU2PARwpLUCkQ1MA@?nd^ZRj=>M2{) zr-!#cDzo2TK#xPiXJ5q*Y+J7H@-Z#(8On5jj$`63KeP1CJ8&gTP+ z&MOQmQ(@k5$b0fr@XJ zyXnh3!R~}JY~x+2Anegyw>Aahfg5uSTeUITYSJKw%PGMYEF-!pataHQTA|$pxht)m zSLz9>*28t}A=(gk9dWwZ+#_&W?laFWbv_q0 zMFGa3(zCL#|A9qe;`nz^KQplh0i{m*V_u9pDZ-%tNX;OoD1 zLo;)-{Tb0Fqhh6?e1JnPJYe;1s?2@CRi|Z6(ahy&_N}O>Nz+tqQ*Md>moFG`*uvJ5 z!orgFuVPTpHp8My)zOHzuPDeoAz+5yf%Jy$h=^%AtsFEgotU4TIyYIF_;8)|;(cy? zI%>b=IvaKv1v(8j@{LL)w=P_ZkoGgDzMSSHP z?Y1KXROeTRPCdwM?5gEwB#+bf zIe|drZ6?BQlUf>7Mkpg@Ee^xbu6Lpz7zI#c8g93&8XfWM(p&v zEYtVEpLu8B5%RVY;Wxcm#wSe6Z8rpviA7RIDn%lpTI;qA_!p}se8)?z_Eab4?WJ>Y zFn`4hs$+LY+Ylhs*l6*d4rSHvC^Pp1{1^sf=a9)`IDAi6z+F8`=laLEH3D~EM#90f zHJGS0bCz^+bVQl}^-@JVbWAknhgt0!ECa}kK%aWCguyFQJ)jYaY`AlDm0`gE1f4L`K1`)` znceX}_$izEl%g1uDZaN~tbrjM4eF;1{Te!O4aTv0_eP7cESy#t4eQd>bbZ&$a+xgM^6T_kp11E!WydIDV0ZN@F=V#?6{(2LC4NmBl>E+fh~> zq8^r0&~FG+;0T+w)B8iG0>r@1UHTG2J0-2q3xROuG!2)cY%TNw&)9%T<2m!v%~MJp z_YvioaV9+hO~D@h+q?#KJ)9kNIpIjZvlf+g%+{U-0Yxf7Uj2cZ1b+H2h^98x0VJX2>3PZG&e zskavkbfd}xykhXTY3}k(h32f^s-&Ig%WNZ+sx^7Msqq9mt<=o4*i{IzQaD zx_a%(EAlZ4)xhBT1bRM%RH)aEM*CIabuUj2i4Ves#7gVqywy|#ogZb9+#RwnvV77G zi!cS?ZJa($;$lIs*CKX&h14*+dMuhBo*v-vYN8A~WKxbnu)No3S|69vsCHcyey0Rn zeQ>81O|_hL`9rT}&0P4>!W*{D@VeW83^`~FGJkOwD|QO2B4!-BBJI6#klM0H4pfT8 z37$ZwM*SxkhQJ5@8VY8;bWnLL_g!lgWry^&?s}$>!)HbQ@Ub-N(^YsRLO>eEt4+A> z=r!GYk$!Pn+l&1;J*Z`=AaSS=_-3p8K6O!x$py9!g|$sHV#|!rd>2Igq$vmC2 zp!{g=7~_fnoaREMZIQd?7}mH+JrtUcPbh?!Q>BXI7-l88p`XfXo4{h(W~PHy*j z-{&143)aiSz2N6U{oXuZ^WNaFQxf)5j;14Ef#wgIy+zfd+U|C4NO-i_eL@GDqJI$e z92Y?Nq34kJ$~XcewPs0J9V}3dB{xw{MUWTiVZ5LRCvJG)6V4M6kg%W2p_J z3_C~GI^r8;aA;8~;0sy!o6+PdQXwrtuX8Ivw709V8vVU%;y(6$tzK0+FBUnBVcB#H zJ=IbTth69K(-H_HN^Qw?1LIGJl_v|`=Hz?kx*6c4eY3e0GqsVs9yd=_NKxi>(nt_S zQmHUKh1lhHRfp7N;DA>?-Q}bmZ7|9t*N3Xw`}8V5U}F}0AF17$NwBeasFGDKe_=oi zc`zGNUCW~&Ix8p257u&e1ux5P1GxKO_GZ> z!Ugz6E_*@J{s}buZ$T{ocY(=2sa$_!EdL!c`458ge?l_>YG$&8&goZ{pvW%RuBZgR_I-f1RlOlMHR} z0?@Xx|DC`5a_1`>+5JvX{>du`vYGYmovi<=**_$If|~!-&@WW;f5S}s-~WyIZ*=y5 zMD&*iere#B27YPamj-@m;J;3H`w9E{zYU(>X<>gDJj@(_id6Xzx*L$r_JSJwxtH@l z)7`j!!;t+!cjJ25`}sdWcl+7y@BROG(%pd1qX9lu@h9Ak6L`q+f3w|w4)FIg|BtcV z!~sC-^Y`eazs9`1Fyem4ym0_!f5-B@;Nt!c^Ty6h%m#dl)63-oV&2$UfT^GOy}!e} z{k)#P59yy^-q<+){D7um4GSCm`o^cWc76WQ&-18fSXl+WHKf#L1`?4NM8x9!4PlmF zKIN+>q~EM%?vZohkB**}OzJ~XLcNaZ9_qf8yIhH#D%fjAKC{Uv7I?bY{;~Glz}M*h zd~v$*c(t}HrK-a2_zFJ|R29oNq^|5&<}Z!dG&I$T>7D7ErxUS+E*J6+3_oqhIxKx^a6 zotc}t51y}8w~_IB<<86R*`cGo=7pfs#@p%>^{qeno4Dy7y}FM&yv&cVVAR#3Z;W$3 z+UYvwAhDr?o5(J2N%L;o%;UbTzLl>pMg~Uz-f7{?x-#DpQWK*=Zr)6-@>#@%X%wu$ z$={0$6;@2*dbdRGl+UC**>d!pj;jf}L|mY`Kcq$9 zX38)2r!r<*6J->~zSDqI=$ZE^b9H)G8V86h<^-}bHafb@yM?$!M4oR`4?%|=!vIoR4Xu5bd)liu-u(t2-P zGzP$i5k^CF%l}}GA5L7NI5Sdk4qAhbAm}N^wlm{Z)U1%0jYOvT`G>fq%$VX;S5QiK z7@nW_aOfxsQs`GssNM-vg+ietn(5k5C(5uUQ|!GJ^uiCp>~tW(MJRT@iY#-?zC1AE zYvAGb2%sL9*wgIQdWt7zCEfa!qn>K9fXQOGsu+c#pf)^7>I(P?Dop3EX7JcnkY`1C z9to*sVV#kvEH2hHa2UHHAC442`AC|V4I;%|Uwe?6LP{nj6H>Z4qw^Kvc!Y1OSC3w?I&*jDKibw}@P+Gwat-G}Dpd>O6UMTwG$FjHKD7mYDludtt*Kgi1Y&lmU0~|ZhT)Z2)!z{Sb29I?p!0(+fG5R5TN0AD7(qLAy^ag zfZYI79yK1!je{K~b}ktIy~bUUh#Q{{6gn76Zv^#n{0);=Isr1<`%nW{%Q{5@LiSgL z^N!s}UwF_!5$l(4Vt@njB4JeK9pQY7D^?~hBi$sQKPoiCX5)nI(dF>ub z8exlKm)4Fl*8d6`=Q^bTCLI9RABd7IZQk@`{Pw6!x7q(p4)k>thzxG$(0fbE!Rm8f z#IdV$CR7_bWzN=h&H^V*jR*>*73?XDWrmOjV%lH|&8-91jE{S;jYm@c1iYzKX-VH$ zI{oac6n7<$Wz!+*ht@9;6ECp|=Nb%6OpsJ<8*EBkB+j#5X~{C$ap)mDa65AdQFbP9 zNwa`^69nYqnJl9nPvVE2enaKGb|gvUlCsA;RfFd>MkZXcZ4YU(aF0Okk6JtrzcvSk zHDYNeel8aue{&@k6{B8sUd1X)RM@55f#!;Cv9Es&L%7CS8}UH3g5MmP#h*g&;E=ar zRm=aHf4>LIA6yLc0miMyEKRfTJ$4|^X;NKo?Bx(A{8kt37AkMqhC7Z`Jx$A#9Mp1v zuH`Ctha=PM26aGX4@HIl!w;zStniZOApW_;Bx=~jj^aM((Q>ATS!PS9Hz9-!#1LXyWx7>O`pp&N1y ztFW}@eWmvvx&UFwIEWP|!Rcofr?TGrAmuc{XH~<-i6?9wFT~)x_;e(O# zZPcP`NJHZNDZ#~2uhrIh&e?jcLBsygQ8|r3h)6^GR>WHLp=&|m1XeKI$B|E^xH8N^YtT1 zWpyodS2@E!?9piZsHf06i#I(@z7Nfv_~lnsXx0`n9=4hO(8qioNf0&L(6oI&*DxUL z?xc6Gzq>!cS83kiel}aNm5l<}pY8;QA_~5;|s1xjhoS)6;pL+}7WlovD?^Ut$y&Fn^gW z1$_E;XKrb)PRQ|iR;uXdH$fevj)6S$;~YkdBy7j2m7^*6wqAoy>IGyZu%oM`nHVe{ zm^(220iaiPpIL*`NAt4v$@olm^F1+P^OQ*Wt;Mu$K-lV}z81JbRH*@ub*-x46R)MQ zr9=DcP@Pgy*7w;&nW~689lrb7*G)7+Vod~C<{$G(ETlP$9()6@I)+o5%O;k@=h)dVD{!XvXi?@*VDeuoaA(mD}8z(H1VoK1`Y zi|WsoA`IBV+u45Ynr0oxEl%jTIY{TvdR;dGbr@D zyZ#ODbf}{}DzAbeL)1q0+U|nbIk8%O@Lew)4+Nw}58QWgPVen9;_T!#C?lp;L~a;k zZ}{nKYPxX}Hu(8WBw0Nlr0n+{KMdIxe&9o}wx7Jwm0EHD89_^+%srqV6JonsN(TzL z+^j(E>Zn9ALVg&BIVg#m6CO;)`b>(%`1yKY`*1y7&xIr*U}mL9w5ew5I)JgW!1_1{ zWcbUJiqd8qPYXY|-6yMgx9Gc$R!IePqK1#I3wIq>37t~{w({%AJWCb*%DlEjeXj@` z5@CzF!EYH=0|kdgo}Q^dFRl5(%fXp@}u!#A`eH?&oM`6 zDUh!}p8Bea5(dke!uJs1$P&5Wi?aGmPh=+#7E7D%e&k|F;@{$bL`UwLgxI+6Po`KNheF9em+xLC2RSGNny1L+Dvj@HOyX zkAOvsL4OXR(@wxkDEF&zMzQ|xQQaL>&#dgGe_!VLIkwArvaK)KsM$?Fd;7jQ@4SNg z>G>heN#}BIl%oIk{cCx&wVVR9);JJkYJx?vQ=fco-Gyhh=cqeK3!+2`G} zYuim^*;<-TL5;8d)i)P`2Ul-YD?jYcNxj~=B}p8CxG9)D$G8-`6tM@kp|JxsPdSN6 zhru9NQ#>(wYjEn;CPR+~#~DnnwFW?@J1p?V;CJMj=%r$6fPlPcx0fGgGpdq+x@Si02zL%NF%)Rseci*gk z{j8P6;n3BmPVMUSI#qjrdwZ-WGD%-;VM}kOByhf`fA}Vi%z`Gtwd3vJc~rlxRaUMo zw>k$A?L|dp-9+W7Pvu^?IsS)c`dptK%Ikd3SkvxcOAJ>rlw3;+!3?m3k7U4n@T9aE zTJuDUXM>w_F|XIz%<2s5(*Aja>!r+<`>D#6H10JQWQ;{QybU$DF8phX^A3j; z9UXel@o+|}gAwKBWR(Q4IGuUdXGypr0Pd^xepNXNL4>+>xpx7_PhWY~H%kmwUkyB2 z0a+uyR1o^mXruOAS7{Q0>;5i`jS<)2{(*uI+Y5j{jbDU_e6*95%?^`e`u+Ad=l#>5 z%H}}4P1nOKr?MNYWfH!9*Z?ORBWli|Dndd@T)0togeAme_#@4$^StfTRWnP#XY^#0 zt}lc0j(QH)rePig&MC-fWx9oOfvnFJBPvzM!Hs-uKO3rg8xM5^N%6^e@7S$x}DeW;_UCjVAk8S?|S2(g~2~&^pAzX zx6j5u!Gi?kjf1qjNr!G7*1i37KlR zIz0tJVJ(-3?5|j!+A75dK|P8PZsDGV>WLj$O}IE^t7Gcl_42a4I=y+zH8p?fe&c*- zdvo-)n=fs9K$)tn)Zp;2du-}>WBFW-wX(spW#gewOR4NY?MG0maRg>#!*w}U|6q{? zoj01b0B%;%^UlxhN=4TvYbhCh!Ko~NwQ@s zT-DkBxiqMy=ddnSoNOrIu*5dvG04AUN#;l>jWT0PZYA9g5_-1?MEKl1q zZFebkWpQP9e`$TB?_Ftf@}%=6l5dGs`q18H_vtC0m+Rb+fMqa(T4;=>Mi~iQ?!njS zOq2MX#!{1r-NqsA`I5P^$`|X+Hd))Fiyq-ceMv#BJ+}J|R!Um6c(I6r z;fmhP$tBe#juc%jUSr(8s?3#LS?2e)S!X_F&D3AijrBM=q3@LrI;~2hOAnqB?`Wm% zS|uCp@p9a(CCx-(yunS4mJNG{GU5KVi@!Kri6XhwtmH%%VOPTLg7m~o#UkGOv8Lbv zRdUcLw2Bh_N4}z|GwL~QnptBs#Ol!4mbjd4*qzO&4?j>K)|QBGX9!!2 zA=9|wHHf_9;D(Eo%=rjqbTsr13MmQ{02BNCzE5Tlz*v3pb9U|P3h3CJ+O?&W%CS_F z+U5kcI{W#yRgyPyC73qxF)zJ`wR)OEhD#Dkt@N1RK11&;VXYu-oO}TWXGnJ@ZZV4# zamu+B86$ix3_8ndTZZ%#z$c}=7@Gc}3eWC|Uc|Ljws5(Z_IM% z!S(K-RCz({(b6$3G(z-|$hB9Lz#XooP@D>U#zJ;DVx#C`>e1SQ?GepXwahb#!aUZ( zg_sRVg(fnOzI<0Mjdpt3Qvl2Y`B0PgXcLr|T$B83L~N7$s7|ozdtnL7E!Ao6tEAu( zq*pJvK~ff3gXG$i1nyFl@FMA=lpQiNj={Q@?{#8MY0oqFt|ZPWh!F8w(E=ahZ$H%M zA(1kuw5^7(ahUfEw!l{0HsP%{Z!G#!4>=tAL}B(iCsw4hK+(%Xd>mwIEV9{)fuL4Q zQsXc~pujtbxH-6dR{!QJ3jVU2&9z)a!wq?pE#Moqw zDX7q?075M}vNvYgCNxb=Q|2@i9$wc8VOF&XlsW2?65>KM2OW$DYr!HCJAk~hS#syz#lboOz(DA4#Wj4WTuxNN{ zd@-33B&~Z9c32n_Z4|e%mdYdU*3K87u$G^=tYcYcqeARv*w^Nep@_3J(_8kJEuqxJ zk6PBaAGxu930jL^*5Q z)(cQdE@k)an+37?jiu03-%irP`4rUe^ULllr};xFw<@@MggAJ_`i)#3O1*@XL1@^; z3O)Nqm}5q{6R30{1!s)X9X)dUT510AIV&yPs8oHG_sG#R)Q-sFDeLVudts$AdY#_y zDCxh!V%q{Aj#@i~z|6sE995Gwwjc`hi0zD-Ykx2RG=jGZz+H-4v3f=nzQ z_|(NP7f^~mfo)={W+RZtlS9==bl{c3Tt$` zqy|iXhy+h;=){qRFcH8y`kwjACc_0e4Lz}2l-6H`zcmc$shqekaoa%RXwmg$6Q$h) zw|=aI(24sRq2l!P`Ae)kvC$KcnRR311Ws@!M}ztE{AUw#sC#*9TlVZmuazOWaS97( z%`as|MJKewM}p4z`iWz=)rpS+O~*@%p2i_5D7~k(CLSs`=fp-ID!LsVcQRVj31Tu5 zYH|czYRn@|r7=kf@LdtMeOU@+gWals=yZ$K>4t35N38 z3>b>@kMDY=MB_=vA$`tT{(fEOKAiYjBLW^zGA0InWr25BYc5n9Fd0(57JGt-h!^(a z_P2&`ZymrflV4YBj(3+FZY3ffPZTB={eJ#!>l~=IETxxiS*yU%n$a&o7xujWEd&h@M<0P!#YB4MK3P!?NfFJ6m%)Z(`_igKsZPvLy3TEx?G-bFIxF zr(OO~K3L8iwPwz0VJnkQZLd4gz8k!BK;XkGtP_R#RUhs%Y|M}=3zOm4rF%9`Ob}$- zYF{CnZ8Cu{d=zmM0Am;4N=TlFRDdsKWf-MR`@khbrF6~(D^e`SaaBx(vM-)Xx}B%2 zV04=s>F{l?T+JcHFvFLfPddae=R=Yf z2$6@qO@lL%_qqM)fv5~imKNxSsO)!p_)>Xa7(3=+6!PPJiee>G@6HkHO7|YGobZSlYC}*c=!|ZlrF52gMsv;wAWq zBLkPyRckl<*V#-;Hw{rDH`-g$l!Ml0Pb#>DGx{ilv@8QRFO$eGZmyanTiU(G1n1}X z=5mz-*bT)U!by5vY}ZG)JPwtY<1%Oi<`7Y-F&;BBv!^xXQLuw0j(?} z{z{J?iOqXnQQ9!~jxCdGHRV6-u_BR-xgKbiG%qHoP`#0A87oNF5+yvJFKKx*X|SUQpZ4B7`vsfMhDyrF8zx-yjp516TOZoA%_lPXrhVmKg&FCK-@ z%@)rN8W=$1FgB8G7(EOr;ncVpK$GzmOWmnNA9!N#r{`o@I+YrGh?#{b4>E+^9H})P z6y6qd26*IQK@CpfOk3|~vG&OnnHWTBgroOYJg+e@qD7G-N;)LP5@56ZUgh?oq;hdA9~Gp5MWe^brl3st}ARsX?M} zdJx!+(J&Vg_r$O_!M4=Bz%Vq%<3o9HA4*a#eQv@_K=0#N0-u$GI1I2INn*EE4^aLE zh3@0$*6G6nEChM8?k7tojbGD=d}1~kwj}GK{`utY%E30m>Ey0? zgZ-C}QL;QP{RaIpl20!B+6XShwHfc3Z8O<7e86LDu=N6nKe`BO)_D}0!)ClD2q!Xc zKm$~59Kq*yyw7k^)vPXEL|`R{xGfERuhD^;n8trizgDJ8oA87AHa>?gJ0WG`%gTJ# z2kAtHl4AA(4t54gTkTZ&Eqp9j?YSJLwal?Tmc`y_BI!4|0;$K4txg)d2GfW~6J{3? z-BJW!qWeekLy!l)LehJd?i(TH%G5~+r=cs_-F|^YrTUCq(?f7EDRW!4SeL10S8cct zp~JKBbK=d5SxZjOPzZt(HWf5khWJ>wNwEB2DdOK&wJet#KwXCTz48rbncwr6BRJ;B z9X#>4(E(4V<0`PD#F}Rtwtgf;B(~}$a`=Fw%mjH_78cE>`SLR88p{ix+&Lym`uWq7 zIgak-Dojdki4~&3JmCqwNE=VfsxW34jv5)`cgm$-_J|^kQ{y9cG;UMM;zYt*&$!=q z!(m>S!f9dzKThWZuA$1&r!%d^4v(y&9%$EHua8`PmOtDd@p6AV zqXIWBet6V;ws>7|CWw8L8oC1SCfedTZSEt{Ul5@kvV+#>^q^wRjEmv3@@)QdwX#Vk z!@~)q0MMiotMl&n0w~QK*)+yz9>9kpdT3=kYSmM}p)i+^v{rH&o z6t1}bICT?GDhH_5jKz^h97mkPk9n1lc6>#}WB&>_DZ!V4f)V9HJYG5&Eir1)9IZQV z4nUv3(2J><;IztEm=2+`-DQ=bv-@Xm-&wkT_S40>eyxH7i^HzX!?8NLp!=iF5i&3Y?0aC; z6R;+}+_I|BV`NDS1`qDUT@rn$+kDPcuohe3380D|C3F8uHTzQ< z#+_;w2xPc*E@H5|GaSA%9R44W(RYT!e?Uh6g)iT68+QoYA#jJl9Rha<+#&FvN8ryI z4*#HD|4}vjn~l@&{#zixPySoKSg72oW^bqb|IeyfW={tK)UQe20f5ID+AaN0yg;O7Oczh!(;ePHTxH?B)(nxKmYyt7Z30cFMo%?9Rha< z+#zs>z#RhrhHCbka`GP@=D$C?|5O6^v*qr8i)I1e?O1>5r3(UlGxv~V`du{p!|#;@ z1o*DAy*+-{)ZQNd4Kb~}y{&`3y^O9c#M0i5P)vb6HE#aXZs(K;oRIz3JPEn0NZaq%D;B_1>BmcLEN4WqJSLQn$T=R#ZqdE* zYl8|&-7^Sr6`Ilz%@U5$U$$s5ZnlwDWTWY+O+mUJtmsit>ZVvHVg-I< z$op!ibC56U-Te6RT6*k^A?p}Tdhe;cI zV|2N>FzXBj7JowPo{b;ykrYlgkrs{#e5}JOs@LiAxtA>e^;tf2JP4ypx-zJenKD%B zV9~NmbzsXo`lf z*70MABcKe=1zdFN*efzEFl%pCISyMUL7HYj@)gkA!&BoS>v*BGy*d;{vkE!bGc;g< z=xjlnVqgFhId(jYUgI*-nq0gN1>(m7oG^d4cdVZmgf{%q;}Kkt=<`DXQP@Gqei$)klS(q(;gh2ujXr3~{#qJHcEoX}b4cj6`H z&BK0riA!t>yM4?~zXVxefn@q&?!|Z3FdEObiMPFx3!qVbE=H!~lw%Mix25>eff|f2 zweD%7i%JgB5mFP*kp5bFpZ&Fr&!`qPCp3{#n`g15vkrQU-d@pr5fx_Ig*+=6|WC7yiP1{c=1L6lsp{RTgieh_36z(yJokoZZHRaMa3>n6nBRBcNquvE@o^z z;)VF8BQh0|B#@r!zk-&PiBLos7*s@f$V&ZEhORnMGpcJ>k}h}KR8iV#+i+$Ki_^xw zDXlnvMLWfV9Unq}+zr2z-zjcD=uV4Nyu~5o;W1G#l&YyzRtvOzdgZS~!8{Yi^y(u;Buo6&Cwzn% z_PUuG@uwg$zGwovkW(wWUVc?l75(y8+0;jR?!2N|l$jnluI>pl#n+TcWA-+DkRd_ zuLN$wowRbbsXO7wrj=)u<2YxhVF0lay?v2a~pFg)z6Q58BZo-^W2-uGfAe zX<#bpt%$-{*|K>(Xo8X@o=|8k{Lg^^$xC@tq4Bq?(c4n)1zp|Zc=<-QiIMH5;sGm{ zWp+6i&Ojdd+|C*a2)Rii++O!fLYatceMEi@GI#=twCv35_R}Nr*d=sHl94DZ{;a6D zD1nnSZZ*g*rXMKjdFI#F(a0uwH)%F{qbaU_@@=}9!$}R!jKjc&4;42Ja~Pb8LP}g` z;Ss$0nRaHa2T?e4-zS#RwcCochJBkL` zsjm-Ki13%a1w|_Wd0TJLHbQC)o;M4*avzld#aJ5V_xG*WChotF6g_~ZUfsF zbiUXjuN2@-5akw(o&7@LDv97HLo7_J|7tQ6jMi@_*UehiltJpKnIx6p2kTul~nnVV2ukK5J8q2ORYsXubVJu1@ zEFkSF{qWI5GDU0lU?647Q7Z5?X9o*0Bl&sCvArUhfIq6&m}{eL0PZ;7_84{jo5blA zT!D~ekZD_RQ4m7F#R|v0kC)B*!|;yijvd#$m}m8_r`J}}s(^7G!{rKepLmB08TknT z!vYTVS6g6O${hL#Ucr&=3$BP`&Q*+fbHPMrV!4Sa>oA_zD?}UJ5rQOC$oR>_MXm!u z7vT??lBN6;8rC2eR5Xp)BV0Izp=bvgrVfhF0!#Uj4UUmo6g?M}yWsf%qQ z(!C4|k9ZdN@qX4b-1QT?O69{Ke7;iwWxegW4QWMDt9v34z59@-CeRxX1F^J)6oyh( zr4O*AYAOQh4oUAlW`4y!1?)Y*VL*{gCRbaxd3cn~D=Cvkwq{KZ$V=p^we^W)eZ0)p z%ww?kvHl?2kLc?=CwM-G&$00y#X@@vnz&UgQ+@J7LT)aV33=V?_*+ko3#tS%W;W0t zY>hsXj6bMuQ*cSj;5phdx-oldrNL*)=4lj}X<|NMKlf$StQP&T-~LN{J^s=M<}c{2 zwJ~BD7(2NuLs9#HjGFtf&`%%9O6NLVTqKEz6`39@%ILAdHSoL?tq zXqycjPU56-wT(3M6nV!S(smNSO*-4>Bw@BCD=TxafrPjG{f-a(=4aTj!BFC6&Yo-4 zaPJ*!T;!Y+hY!7LqXPWGnsNx-FzC`(El{vfbtrRPzmQr1ep(y-zGk?~cE6Ka{Vug) z=4AN;-;bZO+JDjd9~g{(-Ts#h#%+8%2*k$10Dv%aFo5)Lf71i#-kN{t8$iH7FuNWz z3+P`l7+`jYE=Z3J#Gnh{xJ^l9*S*b#*9RMXONnO(G8-@(0zv;f491<*>JNAD|FQ;m z8)A0|+#zs>z#Rg22;3p?pGV+NORc_dl>Z|;^PAhn@0;fU;7=sOPxQ=pMb@wB8Q_mx zz2E5>;CCj6#yZP)&P-`nK-_5UgR0s{U>1N=t60KSnZY%G6@e_>%`VFZClfZ$tC zIS>g8JCN}Z&MMVFcZD9cdel|ymQ{A-u^bYsBMY=8BAoR&s%5NBH@g zh=pX2Ouxie#XMflIS;>TW)WnhDOpB%-IMyDlYq|Dp zZi-9YIKM=9sc&d8bJt8oDL=#Y9m8jX(rY?%K3?~nk%_iT^NAO#OxS$j4^3-c4B*CqvZeLf-%3A1^v_qz3DR|4^2+@nu}ZOoQ3pTY}d{Aey4@3M9W!L zemy5XB3*2OU^$RXs(IBl8?I`xCF2gCw&hM?*YHOl!q*FI85)XJ`ReGDz0u`j< z%{4x9msf90VfA{}@t&WdjTf!JFM%Dsk{RZ-w8$HqwHU2Rt!kCinRaWH@yGD0@%v_E zG(QP!DLF`m;c6;mHa0<#fnEzYZZwY1Py;&`3d05~uU)`w$hP*le$vVl@KP}9KBU35 z@M(`wHXh0tW$`23UquMZ2W~-pUD?Qhj}op7t~SW1d}fXwGHph6RqC}8#QhZax;4mUGZ>{7r>_H;+(C! zH$Q~(qC6)d{!;S;uP57u%hqZ!-KW%I;)bc(0i!ZbWRsaCJ7ZL;k81g%Y5e#!g#luj z>NeUFz^qbuG%Xz&Dt|el;86r>8f9yiPbO0l(LNbM9^Ryh(4Ff;)A>OGo9n(px!CPj zzQzWkTJQjy=d$(2Hpsf^i-}GafpW+3xp|S40p_k$xop*Scq12!n3k9`;s_+dIu~EF zw{h1-j@rV35|GDPK|b_rJ>;Jg&f`+epqs?MkdP~=aD})Wp)mE}+k?wHg&ZHqc+8Ln z?Z1xg8#epeadh?EEe0V59&#_AFpoA^?(#^$2S*bRW z8T8yVU%J%xBCzZQ%Tqf;6=mIqP*-s6tgNdS)7_t2+;%;qPTI$tTlo}{wq6jC8~w#5t2ZCYpima@#+j1)3d&jMyHn5rX-T0WWY zR@%_hOHQ;!fj5iK#cC;1z65_bP$O=3xj4W@q?Sa%r=A>b3$jaURg)-Tj~Z90+pE0? zb?$JC=65Qhn@_F&{I&JiYtX?0E;;I1t#0sJZjT0p#kE8}E9f5Px4HMBpCuCMkffHH zICjTl5>1t~^dSTbZe@RH$p2~r~BvY8~_3s{emon9jDSA%d*BXxl8C_0Jb9@fVLoLf#(?S9V z@DXw^6jQl3a&oGrmEhnnw}w=AJxMLtq@dRUYv7RGqd42<5RD(i!3$bB+Vbwrl3H#a zMv2`9CoCBZqpO-}&}`&u2|73wApk2AIk^cG-+ZL2sZP+ue{YeIpuv=_Y!WZ&y{X_; zT<`6h%HTy?YRtu!qvU&FF!i4Gp2?&-tM<@2xWVk}?k35~ma&BBW>=yn6NWkJYUrk@ zRl(SG-l>t-2278?Ms^T%zihINUdBzAwlhbH z{WSEH;KobOPW%(?aNVe(*Z485G5P(s%%b<_7Fb|sSyYHh>IZ7I1kB@Wn597h&8wWG zlI?_j*4+a3_0Ane{mK+ne1^zt2^^wTK^M_oM!=vjjnp{<{RnivB?8w-EuOYvL)!e_ ztwg(iYob>?-Kz)nkD_oWiPJ_GPvzXOv&D{~EeK6@3!<&B+C%5w1rX6up+5X<9ixat zkw@in|L{z8{G=SmlIxX_+O7!W<;^=xvqidYrQ=WRdI0gGc#A>ygS^0KF_MJ0Rb6U; zqOC7YL?w_5_==avDa2Bpk6+@kmL{j@zC}pd+uC#1cI_nqelfU4mpXZrvW2axpht=< zoz7ES71TCjfWAqJM-osft!2|&X=3kQLy=Hwq-Q4?N1OarPAJj-9%^FwDOrV#A@!&7 zYEvPT2myXF>gR2IZ#9gya|LVdPF`Y%d!to#_k*>Q)Q;aT_U=$_$Kua+P*+8x=2jXL zW_A)(gpTkH^-ThggA8ry?2s#BX~RxHCV5Z3pzEMNoF6xextE9<>ixv58|LKstE|y` z`CrrAhp55#t|5)q!vskI;1i7C&1wF2b zmxCo65OLaUA3X}eb(^r~n%MKz9h%?;>92m>k>)fyYkUfgI>oUbZKq*#l8w_ za%VyI<>U67njRL>eC_3rE{?88OZ<`cS+VrZv?X(gcsF~F!(j%t0IjrFWN|4ui7srJ$XKs=c_&5$ZXl$VSrLmr{Y z8NTX&7E=W40?FW5Zjl?DH zYPG*+_G$Bw&)V;U_R`lh^!);!7WchP=`XSFQPE8N^*MHIv~)~WD#6nQW1d@;XQ0|u{FKzQ!|O@dDL%~CuNU}{?acel`7Je-`fy8NLX&!zBmlsZ0U0CjZYV@dA8uaofbb#z!GoMSajJLaceO5 zv5I$8b~mS~s5+ym`XYqSq#|qZ;3oTc9ws|)v@b= z0lF+~x(uvf;H}5M0ULnf)|>yfS_#4iy50TI1+szIzQ6zfZMD%ISNE4uaesK>|NQRc zAKv0$+~5v@I|S|!xI^F$fjb2L^9cNDuI@X{^4kjJ_lLz>^5r{8^HZVAuemzl4?Fu` z<_`eB(|!L{N(KB*>-_~B-A|m*|81qx_W}MVSu%D235ex8Bl5Nczp9zlqi)=*0qqLn1e*GkQ&>b8(YWHm5Ob02kt{LN^3biqcrg+5duQ@j5j?8{ z^bK)BcnFwm+Ol}GT-v`;Ee&kYMOv#>>`No{<9uE~J zpNztIhKZevd|C@w|Jt~w$gRE4XG4sJN1=({3N+6sEj>OVoo=NR)qkY75@Sg)IWOGU zU?I#H#K@|~A^efTzxszFt33O|PyvmU!M|?$NqS7`*k&i)*`|ljYaG&T|_a7UndNW1% zk~L&XJJ>0&Q&i(VOfptq52?T?dkVo0c+PI4w}%C+c(728k=v$Fzu!KFKgT9;A+u(H zP`w^E-V}T;-1h(z8O20G7jeMSn0XCO$J}W_1{KfWr~`Joh&t$8V$Qz*8sk z4w%9*Xh68h#is5;AY)y~`bK~rh*ReKl|6?#&o~6xM0-s|$s$wkFupl2f-+#qjjEZ= zz8-J>qMv+;Y#;##N_63}e&X!?M);?oL%#L)eYFml)|T{qo*_L{vEltlz{lADqtiibxTowI2YkUq%|jpllIH3Mr)t*I z>64?>A*mEX7b0qHr4k~!=1QfQeFO*!<+AYzpEgU{fVpu2*c2J?&xcsO2$sE^sJ>gVv7)A;guZDE`uoNqhmZ1~IM-j}6~uco{gi*Ae@ zV-Ji87Z{DVXH+gXRrcX|0ccW4U*fbBys63W$EN9<6?S&wB2bwQKc&)VeW4Kl_7QEU)KSOge`ICNi3w z)LSb2+}dy&3Hj%M40hw%wE$sEWOP-`#;>_hY`77uKHi;zp9be_6RY%SHD=O~m}{8? zKcY=Bw^aw6%zBbJ3c9s;s>SDNpMK3HlX_Fg<$orl^GT7!?E|gCQMEVRGv!`HFAJeZ zwx|rle*4vhH{xN4rUHivHeyR^UXO+E$2kZ2%%N{Y74@b__q{kT^#xjYhM>LxiS9(K z=w`Tl8spA*#{w_?gyoYszBdo*KaqWf1= zUkx3{b|)S5*r`r;FkrP+r(YjWei$!OELdinh}yge@+GQfTt~$Q-E)6?RHBVWc~<#lBFPJL%)J zEH33wU>coIF=X|TPI919oox5RJE|rtGl(J0Xo>K{dIMDw5@lY4De;-tMI5vNhvVfy zVzV1pp79!@3);A2f?9w)3GQo;_zfXi&&X0kkZQyGQ1{|(I)`?LAkOi7zNqkv`bx?pFQ<9w4)lTzws7tOa;w->vCPlJ!C8|R0w4m5;XQc*xKLD<x08!!GLbUTsVvcG(jbW=QT~7`M8oZ8m8M zV6`=rxFSHR8E{R)rdaa~lt>|+YT4<2OJ)Lzq0pr4@ldYuXJL9uxcm6|tyR3%jygph z-e-bo$o@9puH{3h3YQDrlaBQ_J&#^5%?~Cq)j{xD6Uw6Lf;N<9l$F&fN|L^GbZqD0 zG7%Fmlbt4u z8hl+*v&usSaLpAp{S%B$j|dQtFB_m>Uasg#lgacT8(F9mHdF6%ZtNsUssS2Tf>XaK z5@oK;suoxCeb&P+c!VdGn{tziZDX0xj4vse-erXJT&b5qe^Jz&=Z1F4)YOcciYKy~ z2!LbET4J|$Idq{BKfPHmi*;FN_OLm=={Sfw9?@?&nfQtjj1Zkr5uOe!dV|Iz&lu+r zuHo>!V$ue8y?X&wxyKAL%npvKG|rH>zfjrSAX4f^k7+7~96Km&%H;(XhrfIA#&Xiy z&O-!>7w@=L@65`F5E>%L#6KPcqapfMVV)_&a+0p~u+rrbhU$EXScrZ^nTB%*oX@F=0shcAfcNBclxVLuIo%3OB*sA}HK;_Q4nbgk2;QQ z7|<$`8(X;&F=v~I!z99>^tm9|`4L*WH=frJ0(v4W`~_S6a(Zfp(}dtO$Kn@+vYSC9 z-zr!bX5(Fs%!Pb17rr5L-$!+uOj50Ele&-Shxn>*TYbO@8Zve1VhcV(fe!4y973X8 z_oE`r~VJ8TZ6uv91h0q2#j{ z%zY>$QvS$akV-5+nG)O;fZcg*lL485Khs3NDCzpU;O9SR{rf(_e_7c5>-N7Qm00fz zz^u&eG~^W|S%AXY650w@-%b@JZ~p-}*g)D+ih>f)q_qJc&}|!Sb1Ne&?QgTFt!M54 z(YCbGw%0W>H?f3hO9(t;v~x7#X1ev0=QKCr{l4?`9fmHgwF8Rrx55)4VZtK4o6ifRb4tXp;%Bp@zDzW@e&?fZkKehc+v8tEI(_f=w;TU`h4tUIeE;LZFfcnK8wr?|ospIO z)|roukrhY+W&L}6l^yVBhWfqYjs*<* z2I-HAyKln>{EgS*_Ycc&z5Q=8iGQZz?)&HZx4!?ET@U|U#oeuyBnb46T;liti@)91 zzu*#qK-QnQ#Ohmr!$;i@npfqwzF0yruD)ftt+*qDs9PAYV5QeKEBW#gtI><$S}n%Co+C_HwUd zQIGdk6Yt2_*wwN%>#Gu_+>c`$BNU3rH*yePtD2%1(^UCdHIKCX4O5^+Jg`(vQ(|vH zpyu4;Ak%YRLNIwF_T5t1ZNc4W!^RPi&#NeD$nmz|PJs%)!9ad4>Dk1k{xSYXrvT4n z0Ye}3Of$*+#(^5JAtV39bh|grk+l71mVQH1cZmvzluUsY$lNi8_28t}u;|q){x{lnWi3{jxlg z&HU-2h4mWHP}tuAP0W}9%+UyJKtp~*DjUgJPro559Umfj;lLK@oq z$XeB_Fy9Y&b@&uB7LBeB#!t?Su3IzX5^-nR90vvYI0Iy+e0InQc@-I==NRlq;hd8624GMu%k zkiWxZjTs|LrkOM9I)j9+X+73uqLEO@+_Tk@F-f1-tx7t~Sr$Hd?_k~_#7R)e zz_k^$ky$TUPY9zJJWM#Sps%l7@OV;={vgs2E?LQB_BAz~nz6l(3cvnAz`_>PXWu}P z#%&~wv3eLmbSEgcF(lb^XEtAzKt-&i#+n|*kyMQ)4)$8h3P6sh`rs7_|4T*Tx9BKU_pY z8(D!(s}?RWX;k0$1}s={E<7eLj4i0tggy3XLp^NcY7en0c<0HtsBw?etR37v`Qx^5 zGKnu-Z;249TdAUsw0q?pE#ZzBU-})%kZyOb$}+u$(;iZ7Tf&|)sNb0JCwLbne2%%x zda3)>^EGb>Y@2VjUlC)l=96*qB_SiaFothMcbJnQgEvkw-c!;j|Kmg5~8O{BLuUYtENg4h$SblZ+%-qG@ z)7le!L#OO3T%rL^EkKt^-QP+ssKGqW=Iul2)AyK@_(E1%PN7DDHW|eFpdo`QsezMS z^DUp}MPy3b5!rpOCgB>RMU=Gj4fv&qn>}-;T9G8d4Rl@-gM)&`C1@EIQfAWHxR#;& zszVoL+V3-O3(Dqr5W`+TyLPyQ39&zBG6iJeQKOl*RgH+Dn{sgQx&=Lf^=w*$FKK(~ zEma+0wfADcNc0)N)FW!{t8cm{;!%9HTlBmbhd!WQZ)FeWQ=IqL8Q`sw!FhumDO5kNiDnNK`2BPe-JDRQ7w;UmrZ)kP9Ho{kc#|RhlIw9?X(_V{(Gln- z`gClG-qF^6)3)h^Mh)I?o^tQ)XdTdd@bYcW_HvVbT1CciTs>q1i@36`@sly;M(aFT zu{S8QAaFnd9gb&iMhe;4yQcZcP8tShJQ<46(=trVMFK%S8p^Le^bHlXE9^ zu3xf9q%v&U#lTh&&b|ZsOm1b>d(&>c0HG%W<*p9(o(58sSmOJ3x8ppvQwpA3Lag>F zu(9#5b5p#cr+eGG43Ham4^<2IQUIH@lcBrNKo{J}c{sLN7p}_WY`>K@i=wU}@w1P;d)+*yU%jglZh$G%q2Y*9W%sL|sj7S!tirhW<&@yD&Upse%b+C2 z2TqZj?jKVAANJlds;(^2!o@YX1b25mNC@u1U4pv@3od~K2yVgM-QC^YB}j00*GJK* zd#i3&SJ%C-`}KHZ@P|VK(sr-G1;zhU?FXZB2X znr42Sv{h@4Y%&Z2y4rp4Iwongk#5_e*IjQn_%0{2wi6UEq?+vFy+wn_pukpaoll(7 zA^W~wyIl8`rcrVXQAyVUToyQn!IxKYm3ye!l$xm`3)lFt%yd9BV1gPce?ViFHgTEz z3vtexB7QsHTY!~adbjq@O&BVsXn7x^fv82N{j5LbQiEJmFf#wphM?QaZzz@PEt^Zz z{(WfhSM_-z&ediAI`nFU^F(&SWX%u!3)QH(B?&Dys8Pg;SGAJ6NcQn$S0!JM+@nVhgnhhYjP``-X$X*+*R}U+@!%24^8Hv2@o;lih)Vs1i`&MQ$ zR@GVM!v_wV;oO-3FLcp4GJOi+VKeL#m@ia0W>0-FuStiS9COl6FI}pDbhj^Nn#=!ggIxn3M%Ii;mfHSNl~K}~#VjD^155w+QOuQ-%p3{5va@ioa-yWLcO6ku6F)QUi5CYf16wb~6RGAEXE<_r@C|HZD&59`qP<0=x4rb<%mH&KWheuDAwnm0i3{8^VTxSXmzi%4euOZR7)h z+azZtT^@&%$9RUe1sP6@Yb`Nwm)2?ysJ;g&O)5eClmFs`Owi5y;Gs|3a1YFUQ?;|u zUzVb|>5VG}T`Lc-PWDG%(a_^%CTpaEOqpd3sXU%;l#NvtE;c1UI-XhH^yM5J7U$DX zJBgQDFr6IG=6AkobdE&^+?cjI99^Q_7HI7T%n!DoZ|tsjce4h^f;V8YHUP)L z=g}oz+(l8gOvOt+G&VR=P;aGGP7Rdz?+!W&E6!Ksoq{hWXDi*WNEF#6LZjS=l7Gi*tLVva2VmM0B5Fd{q6=sgH_am`Y3R}lkeER!S8kX;|AbOqvnscd3JKDR(0^O;IaJUwt6;V*np?#vF83J zl;@iXF@1Q2{0UO`F)`?@qs+*{+tN&}Rtei!p}hB%&PU-w?$&C7xSocF$Ocgz|R zQ8}}8)VTpcRkQB%M=MWWyMRI#0~Zn*^y=~!-L|g%3c&elv%=crLOce#Vp3 zYl=*DwzYfdq`((%A!np4FNq}^%0oDT5Zo|7ZB`I#q?PdSht6Kgvh$v}Lh~JY@B=H& zkrN}|yJNof(N-vlMkv`ipyE3`&~wC!}XXmLw&`4m%64zuXIb$4bQg5CST82qrhgJiG>NIQ0m_0MsGY>iY+zl;wq# z+8EI@a&U0aGceJ=kkbE2O8?#<$N49u^bbb=DJlK?@qa{0U+j0!X!M1Y{uyrk&+iTY z+1dSn@!pF9FABUU@S?zr0xt^u=N0(Nr1Y7&`~)n&-Yh2-`MY1{*aWi{0S+2 zN|^j@lNrlTQp)m7O8@mXyyxTpT*u!N(f?yn851iV0PqwT33$eKj7)S4PyYe{=$L=Y zL!VdlbLM{~wqxUA~V^a3d&gi zzM$;+68U+K{}|vgu`>P^;1#IcKA8cL9uhq|9~_M$yXP-0k-$nQW|fe8{RcWM_W4tC z(+8qBIbGS0JeI5sFN3?U!qOCvvD3@J ze2&!JpwxN!Tl>c*>9Z`_?mnL_#@lzfAGW`(p^V0#B$wk0zb;4WDEM}C6~?C^-i;w- z;2Owh9zSXmIVrl^HL0jTz6%Zf4M}vzXhBZ{GeUvfLXo7Au|(BEUH}q`6*>#2-#D%4 z)f$e=9j}b0cg9MU*lI{x2EJ-?A07h-;@gQz9RH*!m)?;6h||H9qnQd9#@e{JWpK=k z>M&GlMy63kzMi>IQbkYbP8ARi5fLpOB-%jCzyeRNpei}t1WL889jo+Si*lcKSM#j+ zbHjRP^NaaOesk+f{W@FSQcxL1+#vm#pyI?C+7F1l$Ubr^26<20%MHxB$33tua+8Q8 zFl`F{_F16gaVDZf_F3KHtIp6=RKp5D-KA|L2OWm&zFh{$zGEg5FC#5+I7ERVfy4pc5U9p=<0E<(Ia zt!k<ip~a+FUqo)tX`2(-mpa?7xwg#bD<=~nElLYY6E(4;0u}P! zHzN2W283J2??)}}qAknKMAW=({sZq%mvk#!nQpE-M*JiWg#t@H<$0_St&R?$zu6B!aOj0uh0uGWt|ZCc+FvZLZNKKt9tN)FNF%+UOlpkX0g{cP z`J}b(15sBKxAHiwput{PR%%Ruv{eFCR8a6{3Z04)79(iaACV#$ODs&-n=m@4NKg!y znmXa5A}$20_~=_J|83UEWFkeZm@MJQ`o7WOJ+A#olWcw@`Mwta&e~SOeY7AW(h}>= z^~uhC4KV;+QWOQ55E-4!kxZmfHT&|iOKDB*5r$de2U8z4T5F|6jWribRYVIaY@F;` zsmQntimvrNEm1@#%ya&8T_2h(ub9e7-j!?CZz>uI0+HI1#|@D=dEH{KGg^c6CU{9E zS3da{Q&+_c#0y{2zy?CV@rQd+g$)O$WYNCz=2uIIX239xBhB$jSIq%GrJu#pH22~P%??wj(QbwXcU)(3t^F}lN#Gd|&XFFJL z8niWiio}t;_}052&S>?KqWHnTVW&A#*@MoC-5Jk`niyBbSb*OwFp)3aD4@UhU7#!k zik=F{0v5ez2vv!A^-xlv++&OKHm4Ta2ej+d6F(QxuZy2tYe*1qBD@@=4~N_vGPY#G zEwg&1M-p|hD_w1L9Xbv?cDhfg^`nr6291ZAGD zA|bS+kz2nFdv7ohnuj7C;e>Tm5o;vMwH}sDm=x?3PMbz%`#rMa1KCp=U}=g>G3X$o zOZ04Z)tm!mKZ0CTr6~JOjH&6jc^w54DfKd{?$H!A)M3CnJF5C|%`AWg`Et;`N$qe% zgD&0zL}-tVGBx$~W9}_CAWC62CtmQ)I^0bICnTku<;qu_0WKp0lD&DHuYo6`Z^EekGK)vSH_7UNNFkP$1ClVodW#F5hp4&xE&~o!R z&c7v{jLG+XEj`k$MDf+IrQdjCJ!G7|ne7mwVm?=;x>pLeo;@8IZ2ah}NKN6w=S;ll z_JkM=d()`b3VPspk)s@k5q9sjI!r0uYpgpC)>(7j25syv_*`Wui>^d=Qg1vT!1Yf^9}~w-x|(mt<6PVRljDVf6z=b%I>p>{$$uzsjwdcM+MW0p@i&QCqe%3KqF%i;-eZl8SFhyX94&D`V)7UT_gGAlTdkT8bf>K zl@6T=ao#dAT~T$&)6Ehdb&eeFS*DL6n?x?m=xIAPc=n&_KqVX&xt8{o5NO>VW{$Hn zs@H066O}QK6#!CGZ}j;foFd zYU`7{JPmoKI}lBE{s+T##f7FQM~3(X$fo4jx*EN}W)HmV-?bU04zRIl+yFah{r#W| z;VYVuoK_>2SPmzIW%DxX??b0alN<%~aq4tw6(UJ?dw(<>pe;NE!J$L2EzR+s;7)@& zwfAzm)!dLliatof1Fnicu-A1(BM}|mggmu`@rhjA{}K9lX$gBlACgx3CWcOb(Z=;> z=;IG8Wxqbn{>7vJ5&C#BiM^nYf65O2^P4^Y^c4Ti16~w(QQ$>^7X@Avcv0X#ufShN zAHQ)H{d%+bw1@q7En&YI_TMdGEYHraKW+(QefF{ZSMnh1GfW|1d9wce)0Qw6*1sVY zIV(FII|Bj+dPxI)6CHjlNA+Kh$;!b>_teY9&hk6o(DU*CA^P~gJEWMNaKlqE82c~O zgPEO??kTB}iG$&Zi2Rm*JTL0sKtKM9Y3W(#e-HooYo;X*hNn;U?`y)IuZ@4oJf2d` z*?wbMD)>!JSUXsM;_a(X1fC7MHKrD-VE{{+dPD^wz(ij-aWCLR&aKORDV8ugzaPK{ z3yO{~oN#Mj6VMo6A8?s%UYTLRw=^1_ zD(`f*z#cDH8om);)moat;9QN@bXYW-F5nrmJJkUkR#Y{qCJ!Dh>yA`)*uY zIu3E6Mumfs4Jjf!aL&}6)Rvsv(?8;6#rmgdrXSFYyzT6Qzb7MIe7put(%i37XCAjeU1-1vEB#5N{1{kWz> zuXkwz{!JXW6o))R8%hEpO*$vB8)a>(+T;wVj74p>yDbYqI~K?k0?Xf5NF7%`?395r zgQoEZy>4oPN=0Jt05N*=$s#)lQ)PlpSf7M~CZ+NVfiqhh0Yi^yGY4=g1PRocC@A|D z3BQ2!+$$aMsm30b#9cRLY452bVs4_%l={lVY2D0J=oG1`R|Glr`YS+Q7_%!~?HWfQ zTbe*9V4gg(dRe}KLl<@~MwGy{afsa3Y&K4=8G1*}2B;}I-4tfm4girmMtKD8zysv!~DV5b(i zWzv4I0*gmR;S0D1(yJi$8!xr(4Wy^5))F;z*5PVE44|3arJx()_=-x*M~DNH05gR~ z!KSt4JZEf6Q*^oF{LTa0+6@CA3H`WH0mufdNm}|w)0;;Fe?OzmE|t&;XVxp3i^;=8 zy7N5@hHDXIY%0;*yCFSIFv=!le{WK9@g82RIy}}u9$6W-F4ea{Jz zulWom?? zh3oa*d4o_DS7G+q5b30SL+%EHMRq3&%pEMk;i#AYQ=`m-bKY zr?Fh>7&a>Q@8(nQA)wk!$pzH!V(%ez+1TLoo6Eg6;3vizi%9#STY@Z=%f5M(CM3wP zeQhKIf^~ZLy(OEgxPLZ(9Gx_WJE@=0kZ8tUc9jBNwq9qSR{$L?gkD=q3Ew|9I~s&_ z*_#XViooUEZGEpx%D%yU-Msxeu&8p>ggf}CdS@Kmfwb7aGABXTLQw+4$L7R$c%LgL zzq}rnlP=c`I1B|-C~ji(x?8@?W@kf7z!8YlEs_`Q{nTU)NZPa`3J*LtaaMr*g!&vXBv^;BPXm#f` zOSy4nyn4c<4c;_s0#sX_9f|HPB*<%0chf`T^YMpPvpSqXG30O$(9e(ua-(I`aGk>b z9;bVub*(90AD|xcd?P<2z*k#~=1Urn^mqEq4<~bvO3P|2eMh6`Q1|j9?U!tb^x3a7 zj9r~FFB#8q6RuP)4pFO8JjdyLT`|%Aa0Oqxsq$^qkZ2jj3b(x5zR1b@+g&5n^aS%J zV|BGSJ+H2~@!F0b^4l8SO2zKsX`qN|K?$w%rtZ_$(c?HIKQ9qlj`IXZe{~OL>n?3l zAyTYp=X2U2^&J)0iH24!lv3EYK40ldXj=fgtWg-Hg&E`VH?Ir%f<10rt6PRbcu>l3 zS1bT^JF9pFp(==Hg%o(Axc*qiCkRVjs6xOYREogCXoTQe?Ey{-V>%yBHx3l;&F4Nh z`mmAZ6E-N*!z*crSwoiW2)*mSBGN4e4j+@#@AEMJ@gshBSa}`({N188A}vaoIi2V#nGh-dOLCEL=q)JX=e zC2g%0iMD-3(^hQfE@&}#O?b*1oYD@RD!n}3;^4bUfAAnAy^b^4bsXg~N18V9SuIaa z$<#}I6hjGKZJ@A>edmig;y#w)}o1t~G)zV^W)h;o@;hx2*h96HDJ=qna1C6L%b{%izdf!dGeo)Q*s-QVp zf=;KjH>mwXfOP}QB$#XVK>f!!x%U#tQnvVo9HhDO^knmv+xh%DaVF#UZ>H{VH5mOk zI^cSm%mTzLYvu^DoSdsuRcnWMR$(LD0zlqaVrEZj29WmWY~a%RfM@P)C1pTAiz?Oo1lz#?*X_X0ETvwao<* zmoBQXh1q!d`c48Z<`sUZs*UdU#-ZW9-;ofx&rH;Hsfdwbt;iM}KhCJXU#zW$a^_?V zOr{B+VqVPG&!a=SBLv&CkOjY03!kJ6YV1#8UeMygwDV3JJq_oA(3t*l245If-M*7$ zZPu|~dP%SXd9>{pinLrulHX?tI%_;E&RMEUgq5+G0hHZa#uIqR61beP-O7U5x_lHE zn;C4PP^|fOK7y1Cff<>Wg=eonei%x7N%?i!8j(Z2_VvJz?Qa?Ti(|gQj6VY%qj--c*aM*|Lm-ku-3-pMgA_lZ@K+AGWNqPpq7J-A4c3@hZ9pJS&^r*s-S&`m%G_rJS zR@QVgA_!pa44B8U%_ZhPa#I#Mfo@MMp-Y^jKZmwkLKAK*AoKG|o4g;HfyF~N0K))+PdiQj1D^L>tNcHnLSLH1UYf*Sn#4Ys zo9OA-nOIrUf6zC4E*g7!<&?3p(zn+$uz5?wr*EZeKp-P1Odw&RYolZ1M8NpcB=&Dc zR)2E%|NIW-pPbzPCvUwd@S?zr0xt@@DDa}de_nyV%=4Z}%+Du~|K@qm&n3SNePsQ` zf%IS0=-1Fk)@SR=Kj3-4ZxUnu3!#qxCyw_YYZ7~kd;E`OhMr< zf;46V7Qiz#{ausT^M~?JK^hY~2jg!*nyc!zC3Y{;!*F&?@>_WJy;~r3Ska6I*^dUc zLe6Y{I2f-asU=9p^4npX6_OaW6fa--B8y=#WM|dy-tELpZSDOqxi=_v&2zufVR?M8 zXN{w?oR04tcdoxa?mq2xWVkWO%A4?}Y8@9;IyN>2F4KF5nIQB*ex=@6wVw8|74+)oJ`n=x&|d3CIBy*Jz%bhW$k!*)N8;^95l1Yg094vL_Cb;}##CaYwpeQ2wQ z9Q62x$~<398mI1$r{K-v1y)Y$*E+|M+xuB~rmy8&<`F6(#;H5WDKId6vG`bbv(fk|#o`sCC}(-K0q!PqM0i z^LBD07fU0EupzDGfl+3%4r^vDUWL+xGMtm>^Q26xZBp>VL)IKY%xE5j=7(O^IxKYm zsM4EmZM()gQ0=C|uKD`?_8a%}vl2}ugypwbJU6D-P$H~Ms-2eAd^bDRU%fw&T+1j* z&6D*N2p(0bEuMn7=8~d{ph7vP~3*}abJjCkK* zs^q-01MsV48R*95G4?98h>y;(R2+Pg+bXixBUR7s0ZV{at0Y<1lxZTht~s8wi^n#K z4gCte9`j3u*f)ao(XZbZjl+RHgLi~1z=3@XS;M$1ri2+ONo9EVP>tj2#C&B>KhiUR zm=}o%JsrS2*1BGzHa5mWy2XGkOX9%V$4MkdpaJI!vnqZkClFJoH}@v1HIX6%Rkour zJ*{HhJS$>l5)leId>?YV@j!I%A_zLq#k%^@E7c^{`qqnftV7fJ!aDF%CPgsJDBU<= zahycw4)%4D`NXKvlKMp>nJ@K4<1QZ3>yO;oK)fKEzUf0EO4&I**P55%5*zXzEe?UL zVffH(`m(CEdSkOH0Ax|s8iSd17|tP{;<5ltbPi*7m}^nJyBuR?Pu6=G2oK^AWP~+X zaRfBs;jneP5K**ms{^A1a}{T}<57vYqIeY1%0WBwML4u1o!F7RG1{YbZklpjg$KsL z#r~!9u<=amZdoL&L(W4t39Uh|0u6US1v&h|+$#?uw^mF8A3ZV;#x76|SQTA1WnpeK zgyfaOYSROkz7@6Nf-Ez4Eo-N9mDzD`SEgd8qQs?FRk*F-4slS9ve28se8Fh!#`YG4 zV7#Yn4nH3e7J6%1?c0H|bCl#iE)`0GsjD*l=-tq1_H|leUN;|Hj@gV4S54MCX(I2q zE%gu+m)5>*cGkXdd;M ze1I^DkYApo+L@{0U>17xttKz(W;s~NGB?Bu7)r7WZfk{DMIMdK?s&J-yF<;`{T-$A zReS+l2Yxz)rt}FAi~i$2*usb%UkQOc`4FR3=PF78#U08i{&1|x1z3##SB^cn{jwE* zUD`w>p2ql=Xe1QqBr{sSGd_rA17mM;H!HJ(x8})gpN)vQ@jrg0(^076jkWU`ZxrIN z1(OfJ_bLnc!~kgQN#TWCDM-^o8KGh95=>dzY}i30;)XdbB}1)NHP-RqMHlxJbf~vQ zUi9lnk~ThsJxM_%O)+!bs!dm%yNrbQjlAd@Up;GU`baa>y?E_-atAC(c&dNs%IUO- zA6|TZ&=5^N9N+$fI(Wq5Oa`=p>Wkm+f;wkT9pHGhieuF&etbqa_wL3B`a4hmZT>g>t_-B zDU|xN_uKV^V@}{CMX!z@GIi1u-Kk z&EYmFI}B0~spW(6UPaGV1h0%m^83j892snt8~DzSc*o{}u5%KKig+CeQh$sb5(9_# zvR}}FqQmhW`$9tL6ETKGiB2glSFz z8NCX8%)cV{D|5I)z^s9kj6{>>xwDfc*tl&sP4DiHFGYFvBMj^PnzdtspUB~0QTd=# zi{ZY|N5`m25$t5}gyhYhh5O>Li=?8-rE~KD6;I8lb}xk|Uvn@!vFWkTBxG(`lC|?e zyW}9`RG3|1IjeUXgiN3e&=0>=W|h-{kXCiAmr>*y{;v57aSvf3d7q(PUanO7=v)Ar zRTxA&iI^6JR(hCN(#%7P{os1wfg$0ZL+W0T*}|{WoCY`Pe!wzCA-s(b32pkdRcdr*Nbw@972_@i zR#sqCEyjo+vHL2a1=9^&{lgeQZm4om-z0~BOaTIZAtvjz#|JaW=kTLA>`GPQh+XVR zo%Kme!2&)FWj}Y>B+>g>$EfZ0uJD-y06m*l4uZajcE+fikXoRZzN1|smpT7jmCIzkAm;Tjwo(7wu#F1Sq6OxB=SnuRfZ_D zm{K$cW$7)x+-@-V3g*{x9F67j0~^X1^*Jysqld~s>QuAStf}AqCEo}dm`(16BvcRg zV3w+K7xr57na5@fi$6p)X)e&8kPo(d%&Wh@nZj?Zvwk!3;peWWs`FUXLnzU5``UHAv9y%ubd2 zh<@wzO(PF#1-49+UVV{fN3Vz{njC26#^R4MsfG8-TfmYPkZjseg4Z0SpU&nBMd~CM z)UyNDBal-##MtwQxhro04dKgPT(qqmwsR0hcVgI%3fz=@CyEotaOlK)O<^7X@Avcu@f6FGHSZO7M4(=lQwhw~z<$hk12?Kgp{D z{64P^@H4OODgW)swDm+Mp83$z>oW^_di^&+pyzpiuJ7-2?EcSYE&$svVR8TtrsuvZ zwx>Wl0yc)HO!(i`T|KY$AI<&0YWDi&_`goZ&Pw;xv-StG{l-`KFI*|xvuzE)#_(KX z_BY!afSvh&l$bq#jQ?b*f34Z;dAeU3#Gbg~PnR4E!&8yi?_6@vSIf`o{*@Vlf5j#D zd}05b`(K2a*MRDN?As;u8PXp_NzR z5LBiZ9#lD|5I|3?x)9tI6gj69u#42BqDA|F;f8v+TWS@~o(uOtja(txs=eOsM( zA&DqHrT5J|Lu6_#RjlH?8Qp`}RsAS_tsLg8(^vSG3T!?mrV^-#Q3zP$NLpc^$?)wI zUDk}sv8B`FcF6iYT!?~0|KXj%>6ywKSz1*U4=>#nXHY`F^UcZqaW_dH8WZ@2jyPOe zVHpq^V0qMoRphZEj`l9eyy=y9RL zRe){TDEvJ5v}5A^Q)8Do%e82@w;;>;3Xgt|epRjejZL|G0U5b`0GuCqvtDl=7WgE) zr|3KET__J_y>+Zru>b_CJXSz}5-UR=sbewL>#shHH@C(%?zWI0-Rfg44*-|>^lTxQ z`MW!KF49>DO?B>`b3qbHrLQeQo1AO9_*I0_bEz`BVp}-Dtnzp1@9z4I3rNpI(ZZw^ z9fX2uu%lA_n1t}mycf_iGCl?cT3}&a zF5}ou*Oi7BD(HhKK^CeZ<;SPEQtL9#=+^ZHmDBWec-hdjB(v*L0sjsA@dGJ?wsjvs zMAsKTVZUiqaN`-7NYY1*5bkEo`>7DyDzqQLUFU7)Si3d~w3H@s$hIm$1mV@8VK~r~ zp?MHb7CBPaTteZ6(mmY%nM9HdqHyDCDgUvkN>wR;5di`sQ2>70WgQCRJR4cgLF>X1 zF!T6H*FI>K==jB0d4S%lz%+IWl6o3nF4Hp9e5*C*GFg#i4XfGnxVyrc^P*e-l9Iz~ zFi+wmH^<3KG6wNG|KCDdH)I@qAU2>vr< z@49UIO*r+t0MSt&eI{ZIwd$o}$~YwlCK2V%nZYlTswdpblzRTUAFl zG^*evzsJ=W^OP2s0(R1cz#oF zd@MnAi?a^5?kIKGNjL)aB;OA_=+u`YeiYt$SDC)*%StqaDs0+PR_Gv(v^~SzAUnw$R=^~vQeXc_U?Al)LQ)f+w5i-(L0q%Y zA@&!L6nQSQgh5_+vQ1fPJze_b84G2Ow?aKL(LOAmK9->ZIhfRH%Tk_1UtW>I?|9x3 zJaBvCkL-3PiY%^7{YdGUVGl_?Ke4n`A4N1V2=ySnt;&I9uT?Z2U^FAAWM&uVFp*={ zDVOr0BNl7#78Tn%>!8ohh!F2d@fKMGa~9+j3ki&^Oe6R{rR{9*((I%bakcFv@zM1{ zR)!%ci|g)P(8W6jL&5Q4SPW+jAkYE194}*s?#~YojWH?KI#NDuC?^zm#2>0~V0g_^ zUYAqCBU;n==|#c^aHEWG`1%FQN=3|;=b9MtzNMF%qy`xDC=j6Vg@e4pVAdvRk=RiI zdYgE59l3=Iyj6vwn3VNY>!xQ&(06@=0f#IS6vMuOrur$y^E>)$YB}(dacY~``MKDR zJKD*X3`amy>wA^>Y+%J%5bAe{*ey{znG(Iq;sX`rbz|SaoA{ONbZvz7kI(Ggx18Px za!wDDearivzvK$z%})Jwb#?-{Y?sitvFFAh&aM#XSddfxO6t2FlRtm+NEkhGYmhOt zF%0l)E8*+3Z`JRFN})O_+S$eQxw9O13S#6GZa1v12YFF#wlEjYp?U1!xW?7wM=Ezx zvtN%&_H7;1s+TO9Ph;0QG;U)r`3POM=}x@Uv1XKMO~&8eq?JBk`jBrH>9J@KqZ6H) zmJZ8FN~~OTb6>LaD6cXvS7iG>H)=RA>(!_CCN;W0R%2kM&ZwquIB<2zbM6KFCD={v zQ}&z)Ok%SsbKciYypwm9UM7Uj_ws^hiSY{GCHnG7#xQV&$=^b@Mq&T~lkYW{JYod{ zy-{HmDRWjz8Vm zzLKMl>0b@O;>VTmZdbqDsckdOnjB}AO&hbRGu_Mg#m{#&a_6_jAs@cE?V6X; zjh%lquLLRYybbU^jf>*c9+uWIMY~&Kkj)$T7hq}g`Q;d0SRnJcM6;$0%ePinK$zG^7%9+(bJuPjzpf3RrE>$a;@ z9rramEb}~AIx|SB*PM#8SP?txDtd&;rRKB~lv6s^?IbH>PEc7i5YfT!qcgyN54>Jv z<7}2)qmr-;5EIM#>h4HcooRAcM+8s_EBs=6ULFnf#ndV}r>3A7UwN&D06^oFD=Q1a z-F#g(&{6kjb&HMIm=@1kcJ4y>+BduPGsbpy!}Mi!kE-lp?DE#@;GqhTk#f3t zJPC6|5^C9?`{maqAH4+E=B*uVi>wIk5;I>ME*L9D_L4F*pIDv>y<|!>#w{XE z(S{3B;4LtSI2d6CN)mBOJfjPMVM2TRE!D{UN+ST{jNWjG-So&EY#sX3g_EpMP{1NL z5bn7<(2ZN8Rof{v`;=h_i18&PP`j+%x%Yyv36#Y;_hUZA$^Y!)V*5k3$@V8~ z^9lVuLCpUOq-6WaHlI4Bo__r2U0nYcBir-wf3D;2$=?6FF0Q8l$|v6$^WVC-08gNe z`FB~E&rA9_^}iCd{qr)eXN`Z({4d6A|NAm74vwem=9yUk&QJGzjr=^ve~jFi7&(56 z+#*#htgw6G9*VRW@g2NPSr6$ue|V}`J2xfaXr&2wk_i#?nfeti0kh#q#Kv%6D0H`501+M>5WjW05WmnjSyl=JmH|oF8^yAmaZP%`Q&tjN$G%n zjr};4``VeQRMTB+6sl59?I8uNI?&>M#(1jI8GR+$5VuPGU`yMO;yfjU2AdhWi`WCe zxk`Nuh@nS5D|{m5VwhLqBgdnY9S1(2WUf$)h|s8UgdB1Z9HmgHg~(;PuCQjcCO|B? z&0qDHO$g^2b$!tHWtoA>o^KA`pR(4Dae)!d7k zfg*qwAWf)}j8y%)T&Ti*0#Y)8>`%fMLsd#=r$5I4CmBh3rh<)A%u9+J;~&aC71I%` zE&KrkC#!_=upwx{9!8n}lg1o1vkmIeCMXtACPeECTk-(mYMNdRn` z@x->PG$>4rsW?wfT&4NQ`YfDCdqHH-ekDMUjNkoQRzp2oJtQ?fec)6Mq1ld}Omqg-znJJcw;8ZCNoueRaFHlOiy&g!YF3&jWF!h>FM>@Hq)_Gj zDLD53$+-00XLd!01MVVq9Mu8t3 z8Kl+-zc8pOC{0OlV#5-HQ^Ho`T-1Q$ZYC>Z>cR)?v6z2x&0yrFn!{;{Y6p*GUr>1J@dhNSixQl3+`orC^qP~=BcUV3fAq% ziKzsW{N&N8R~28B(d00w&@}X^>IA32g?V2G2EilMr@&4==3}nS`=ixe-A=N84M>V1 zjAsz(?6?V2{{(CCDbMemgw5a;w}LQy#+OG@Nw~~fqs&|@^;u%eGa%~}D}~m*&sS%D zS23R(l1HNuop$)wW3W~VAlW1Kt^;UBUw?HIR1>umO6xgZ<0kM`d!XRu2E+m$R{8h+R48kuyevHOfc_Hag~?SFi%z|23loxq zxqIEp#Z;#{t7=S?(nFi3wA!=UTCQ_RJ8Sv!&z6KL6^!96+Qp?k=d5@_lLUTi~cn? zNA!vHdwN2cF?spD@geqjW%bOU-{P2D)eKO905m*aDXw3Roz7X$( zr1A7hw!xd{#>m!*%<2U64Nv{ya(X#8@a&f)*5xqL)oo|&3v79RXgTT?eIaT4HZ{uc z`Ef;R2{4maGVf{5G>=Xc7{nWER0$Vbno~olp+8+h9uo_m=PnZiq1VLrk{PCPl_TfXG!JyGjQ;l9IDqkN9{jSF2w z=8*Y!r#+YgVBT&UuDhz6hs^BGipdhx$Wl`VEN{LX>&yr`d(|0}oe|B6rMS?8Z~|BE;9|B_FJm4S(Xh5cvP-hW>)EYH`*&-41n zoA%6%0OsHMWOk)+deI(uq(^!3+2WGwlq)OLpoeGRRlQYX?9*nDh-e6qUum{fU1XjF zUN^~4GQWLq*eLtC<19DNwpr=YeDmVrH6Vz_M}?csN6dupno^wwm*Is-`%k^ zM%u7AM8ejZ&8akDAnM(GjG+_vHg-^RQz@fe7hB^&!*r3Vd&1U+F4O)mWl~8}zm!Sc zaXJ;dTV_9%NonpLEg_cVNjGtDQ4edy0X~XhE=WlpGDo{osF&}}d(b@cKQx^j-lzyJ z3rHjeNs2ew)`|i*4JiVfuQwab$v9TY|E)~Q)#kQ;I%1xA)}%bnqU}jCV4U@Y&uiwDxC}YEogn3&q zEkWI3vG>3ki<_iDSl5&=XjNosNzaqCu%wv;s7`qsztp*L2CwT-cPU)36%jnRK>7c$ zca~9cZHczVA-GG>;O>PxL6YF^?(Xg+xJz&d?nQ8yV8Pv8g1b9BPENY}wA_39jd%Lp zKm4k}t{S!WntL-~?QhLFkuz}s%#zE_-^ilhh%Bdi#a%?k7EVTGTVs3V)fd@d&b)#b z3@bjK;F4kYaZ7>d)8VLAfsn+L(sUv+@=^F&zOp9-6W;a)lVcvmg4=RHAPb1S8cp=o zXA1M<_985lpWxfui&u;Q0pDz84j+H1cGmOGK^-NXML6m4`X%;pR`CO_L$OXggW8%i zwJKHx(3#$3Pza|42|NKJ619b08>}Xf2COy+P#x(Xi96r2D|rW(RP6*x$m^sB!d0|* z#~81zcOb=QM*Gzsr6{(RCB0tX)o;T(8)J!DIi(?W6{ia|0B`ZsI)bY(spW`9C_;91 z?nUTYvR3w^3iNEB;@iSZak2mc^}o&XAP z;I+ekE|WrS{>(42%uq#PN~9{|X1yPssxLoWp`#o zy`~2Q`z8HsnS4|=AIctG9j6kBBJsH!WbgQgmzzAeJvR(d(pp3N`p%Y5EGG6g$2T19 z?VKsh6R@s#CIPrn=gZuJlrf0Lso9!=(dkdoEHu#A=$nWs*y>L@xa9yVNR;IoWY7F-069jYtr4@wDBnkeq5 zjHKA;IcN<;E~q{TJ%y4^#)|rF z+K!6aT3hS2>;WFV*V3Z*hw*HWcRHu+KCUZO7<7A6Q4yWjNW+M4@Zjy>19eK>#Dj5l zK_&4!V*;3(pwiY|EE(?$G>yc{mI%gT zm6|;u_sri#E>6A{8l|#$n^F%t?y`q10kj|F9yr`;SCd~zRn7!H^oU@uNxpyGP7sp~ zs)%mscy9NK(X~JP1U0}L;@jhDbP3%~xC)|e#{TDJ46%}|klPr=EW2R;hYV7jH)L^g zgWUJ*c*%riVptxd18(8v?^$V>E`Wlc+RCLfj*RP2SB!HkT5HO2EcT1S-I0Yod}(Jn z)qHU1^}gWpXoXW5G112;L1HV-#6R4rIg~Jn7&D$dk8to@E(IC{IklUvdh6y5ii+c= ze$kNosvemML^pOp&ftf3)z|5R!L7ZRjaCJ(xp+#vYhPcIofXlK_^*%*#zRcVWowCd zsYk^=SJoRDeYwGxC|m07wH3|uKTAgeBW|o=uWsZYA`5kee1io>9Ybim&=@#tdZ!`< zG%ek55ttO2G4(It;6@x8>e{EpV#-FTEY`VKk{fEeoy)RJTOEnehOJ2xio?IZFT)gALG;@TIp`0a^*QgpMV;K)YiBbz2P0o*k-N+jPh5?DW zxWY+6VhgLkTC~+0vpSD_Z78LC1fIk=;|GKxmtlz z_F&0`R}$E!C_lZnxptG7vg=B~bq+4xyY4lp@orjuslmB>O5*Dnx6Wg`Vl~U+hNL5I0QSoyKUP*A8d|W8tE6pYUSEWiP}H)4tG1y` z(gOcao^#kVz7Owp(CfC{Z?%(ylq+jXa=Hurr$51_WS~a@uZn9JW#-a)i_>enMAx$; zUAldZN7P*!gnARctl|b^2NnS&U>>?Zt}sqT?#dhhOVY5RbW`zF_^DP+Rfe6uW@BQb z5`)QVL?O2uCLOXrY{zlZ54zSGA|XF+bK-VD7=4UxZL!R<*>38tthGv_Osy<-yXbs- z(mH^Knb$KJ2E8^HU*uT#~MT)1uIl zCD~E>4iBJ1{-o}@F<+^j98=Dwx5D{Fzslx#va91|haU zqohAbnOCl}`h^Jrg4>bwY>-u}P<^4Oq=`K!;@I;q*1%lXzu~rhTVQ9e-GJ3$qbHa1 zTf7C@9A|lBt0S_rk2r5bmWxht5%5!uLJ;t3i(oTAJVp5l0EHgV6Ks!}A{8)s*Jwj1wp;Qtvigwma)%(QDx) zn3N?K8^RlW?}6p2rIS7>?;p|p1KC3{-G?^06D+>5KN^L3^)EVM72Y*C8(M{TU)f2= z`t>C|R-H9$@+ayU%!e~XnX)<};tjIfFBFh{iJ`_|E$sA_FdoFjh)W}Ejgo2`ir^$G zXvX5k!>FK>Oyw^#YwhgBBt*LIo}M-FvJlBwP4!agkIyms8J|%4Q2I} z5VIMbaF1*DSKds@)4uZuC~|{WB&h_4OR0S|QJL$8V{2I_$ss0B3L4#w_sTn*8tr`a zK19_IE`DQyjYE9023^*WbvNW?`~75f*=6)?Knk-&W{8QKC>D$4dIRJ>Vah5R(sJ7% zfhVK8{V6Wcd_x~cyGz#qK;LVOH#|&~IMc`edcm(gnH6YydI2@)rXOem)PdyHQO&u* z4lb*QYO1IeTA#umzeB`0dgbM~_09`%+M1<3hCu;bZxIjK&^j!IrHoJ-PMtj?c1qu8 z=n`k4;RerYr8d5LGE{}61FMX5Kzb^Jfn=k z6KTs5&)3I~sz@$hyGwUmVbhJ4M17Q&`aq4y1C1TeSqiQEZGBF#7B{GfzVTAe~{b-4R=wQEo__Dl&Y*`Q{G zZB=z~dSjY5zJPY+2}1|uWA;$Nzc9}H+|cz8E5;Z0_QKx&!ruPb+VWzYd1-#)l6x!4 z2g}3Y!sTLVV`=E1%lE&(Q}=&-9{C^sz>5Me3cM)rqQHv+FABUU@b8BL{-h?qRv>?4 zZ@(K&{yo*LnTd(2JRqmGckKD@=9I3U~Uq=BJsAMyfiV zD>!6`DDinkG@1}`3XwD+9UL`78rg`qoW~z7aP9^NRLzvLX4-ucDz^qw>pko_i+g(? ztj;mnn1kzIch2-ms)`*0=s(M{&MbgM*u4*Ex?A?mKdo z%xUK^xQykE)2tfyC68ILQ#k)Qe{D;nntn62=lSW6PCwiX<_%LEW?sVzGMxcHAo`E-p=CS;=`s>bK`PJk$X?N1ffv1n@_e{e40^h zV}a1cS1=O1cYG7^>_Ex)aY5e{i`BJ!7{dLC>xnaVck@PqP5W6{M{7p(_1|du_mMNl z+pNv3kQwN)wCTQ)@PAi#(jJesq%3hqaA<`O&H9lBvw&uze@Ml@LZtS z(%d!$P;l*)Tc8JuKZHHWmp*oUR7x72C>;cXDTPecQ3FJwqwWeoNR;pEtjQ`-CEa%$w2fXdtZnMHw>M+#1;C)^M)Rtu_u zkf&17j24^+R+wi--c4=%w*6`?%;6YSNlb071_IxRB;*HBiCg+@L{9N1X=Mw!tT||(;)p|X4hnNLRx!BDwZfyLzRY+Ts`#$aO0)FI>trIZ@U+AT~$ z0m63v1^!WpfDESxD~^CV%$KBpCq0TK)=5Si%fLy$vmy(>y0qAVAnSv(?O(rCA!Zf9O0Pu^LF zV;ATL@QUt7_IvVW#n`*l@45QUn;Z}hkwG%5YlJ>l3w@?H8u(I=;8`O1)}D1p|Bm(x znroUYVAs_D(Z$ZI`kF>7Q#pG}>kD^vW_l)>Y9d4c75@4znkq-@m`8f95+Sj3$l+U& zz{z!^69!Tn)#-wsJo)bqy9P=WU%3cQo8`7wjS=2tr{4#$W447{baA`pCYOImR@)pW z7z3jMLx|#$CeKFf!C^%-Nro~)pgQa&j>W59yy-R6-`-f;JvZZfSaqj0MeDg&HTbqI z7BE;jA;WDgiZXaVLhieKd}&+jT>z&u2p{42t~8LIqf?kYg?W@1b|B}y@L>xoI0FhQ zZg1!a)$yC%PlX~GG7^IpMP<#oE3OEIX@%*MnqOtY2#)s$Do485vkK8<3r${&k1M#F zSb37Gky5eUo`=LwU4Z#36>ZJ@&>$^@K-C;JSbOcf+ABcr@F>0>wu0>5WIUU^1=!a5 zVf>l@`RmFFh4zwo_rcdiY$Z>WmZpw|jE{BNVLmR07Y4)2imce}>k)aDy}G<|j#L+_ zDfQmtZjXyHRVI3$Jhs0n1K|BOyef!%L512tzXSszv&-SSV@gs1H!dfTO~2D9Cj4M- z>19bN)?ncLm5X>*x5RCZu3jr+m9mfGi@dyFZNW*GgSuWGcyWk-rWx%lI;p%+Z=L`@ z!%|&>6omKgNq+Wy%lB5KB3a%?k2rojuH{6E$1f45a4=ljBk0*8q0Jq9?fN{NPb#RD zXDLk5U#OmQR>NdT{OF-^IcMVhaWb&1#|1ltBbV_uBB2qadA;1@_>maZ`U(`AnRg!} znTxckva5P+nU>Wlz-t$DOQIv}-$}GAu_~wnpX!VAoagDt-WE+4FD^d#*J%V!^9$p%`N$fFFxk#dv68>yrj-oiTn85winMrWqjs25C+sinmy%l7(H1kVr?D z<_XQU;n`@XZJa7JmU}S@3yu2IoJh~&cY{o0K8a$!e*m4^mWW4=b2-LRP&2MZ+ZX^b z5y_|BKc<5)k#a!=Nq(D(iIR@&IQsS!N*b<^zGkf*j1q)r>Tq1qnGnhbNmn6?QeBez zTlam|yNe{ccVQ>gZPY~52r0ILaaP3fhG3!ZK8_}hLQ5Mbr6VQ4eM10#nOFulK?7IJhY7=7sLKu#;E^LP6at&uLD>Lc&4a6~bA zzZM2fd@U{};^Km!3_QBGs)H~n%;EapDl&7ETE1GP(=pOUCG58sbs3FPp8!&tCOX@n zg*PJ}G0}O2Fj!!0UpKI-Cc>MUIb^d7wXVZoEa8pUx#%|*qUk@6lRJS<<%1FxH&EsA zZ8=^FO)@ls-9&3E6KiBMrKasGUwx`42lp1#qV*F&@5fPmeGgGy#6@T(zaH<~@C-TF z6jT8jwn;xcX=iGh?VWJ0T+7l!82E;k2ioBctFy?IY9jF0YhwlO!o__ZI12Wf}H6l0%u8zpp{5c!cAlqT?kC|ARZVyk7UrFJWs-PA{v12&Jf2Q9zI5F- zm|2;{pCbpV!(-lk7EB7gsyae@MNB=XWMAA(KbW!|H_ew|7PfN!W^AnTMkc zQxg87A`?-Gq|KqWc!*!Z+vRNinvQyYc}X#YU5*u*xnuf~`PD2CT_w7z^fMAt${w93 zh{sA~VJn!({G!oa-6KK=<#hGouBi=!uNC%t#iQNAy9ZoLZ#rI+$*9rohhx9Ih!2w3 zh|3m@dcDhGi1AgD{(BXLwdcnmEg!pZlZ10DsAn5B?FJh#qQ;H=ydV!7BSxgChuBlQ zr{@ET7EVR^NQ4m=)k5&lWiK>1&kT%twVS^G5PtQJvwAwX^?iJiAIR~B%e*ABo@E(e zM(=Q5%y-#IWZA>Bd0s}O|3cGMl3mE5SVJ3VolvpyxV%`aeJjN1dXn}SxG~-MJ(qI*Z%t}@Oefxjjv*Nt2CT^hoo9KSl=M8w z&~x}hKe*G@oQ@WEeF*{IEEjq)0gZRSk$nOJK6@Dw1ZhblNkalc8TAnukyQi?C9W36 z%Ff=gyo^eRpa!YgC^=W#^S=tZ$IyXR;AUpKQ%tWA*Dz6iG?LJFW+M=@%6g2ovyfT8axy8GPVh^H&6 z2=@41$6xqGDc8aAXd$%5WrBapy*$wdg#tO^V8QcyI+Z)nF$Ecm=-*pTSCRGCP^RL@S=&XtIXiJtMfm-87z@I1FqIhZ5Me3jFUY@NZkQe)5H1E0Dk8p`YuLKXyiO z{viPRZ`Lf%pFXR9jfXgY&s-C*cq8a;PKJxjpG@!LVoKftt~ll=1s|5e|_#`?$lre~iR6UW~T z{+qJ@<={-rzuW0lRMm)>n4is8&wS0w(ZWLW_pA8xaxn;5+Zh%n+s)?>3BRmbgi_&^djq)qyg`{8#lX}I#nR1bpPFC?J~j8bGF<( zoaQ1=1mae9uZL7Ta8p~fQ0_W``V=@>^S-EBDap)>U2byFWPw_wCRscDSjQ9am|cE9zw^td;zB{$BqPE6*D4f$e4hjQ@Hh zrcCH-X>bzU2q2G9;L~HUl}6rdSZ^1)y1I9(%k}-<+NzpT(R`Qnsm;^N&R@xrFD}fK~v!fmmLMbH+@j+BC<7~(LAY&X6(pME{8aetnt2C7=s@JWVV(0#H_ zpebxpTQv4;Pu*pDdT;09;GyI6@ODSnRgo9u%HVdpxLEA#Vv#|$$tcuD@pl@$j|Q~S zKsmFtSM9>bH4P21SI*f?RL9ZOPJEh+m>jSc5Kts@=q?gaGk`B|1;4=f>9>Da>D(L< z1vhvdcxu}8b>owLeA|#Q#~4VSlIdb5rFGQ^jE;&xmPecVcn8Oq)o7~{S}&;l zLPnt`b26GVhcdov_Fje4Lso$ui8qi z*roR8{E`LOZ3|l5S>aDr7#U*CHmDw2#*m@X2C=IJ=4g7@{9JSU&7J&sE!wIXVc_5V zs{FI3LN&>zNY>sO(}dDtv*CNte8o_N&V%lGj-CsQq7j4ZnSwH?5Q8z@O)r~C{_Lhw zQmns!C6ov6n(>BA%eeWY2ja34Tcs{F`ez`afD*C zqBmK!cS(M}hOjVxj?}j^c-ZXX%}QCRxQcgZ?}?eUarbce)zhMMcSE75GWob$c zOl6y*_x9F9ok3P1X6&XQ+S9?2Al@Nsq~h=Oqb+qngm1oQ+UyGp8yZETbu@^G!+6}@U6gM_YLW2-o%o|l8N9O zdlwyf4Yg8=JT*0ADt!;AOAzcO{@DOmMH^muaI{M4tyh8KP*!Z z#@mQLk(^Jyy`;OA@{d!iTwAe3VKX#U?|Q8Kh6sV#EZ}K@4?U>CHX|e5I^2zeCM3(_ zJGZo6Xd>@!>w3A0<2Ga=k&`rqRH6+ZXUW;TO^ahP7_4#>Rcc&u5wkVM?f}zgmg4(i z-v(xqj(S3N6rA`icUN`7_)+EEYm~a(E`Z2~^0&A@!WIrtzaPLG?@ok;ODYVbv|rfj z@5W}^9}nNM0CH9&kad#U;JHC$hk%7s^;pV6H4QyJ~Tqytyvr-rO^4iln^YkgxS>Bb?cK|EoO@XkzH1ceb%McmE$APkLeheV zS;^XY$R5wtZXF>TN6V#h=`TFfZIW|aw^Y#RiBJrh!o>Q`{^~}P8kp?U#l%8%$Sifq zf;=om0B6fEY2J58fd6XRQ2$cR)72wHj?ybAPnV^{Ab|3tBU;!sRzJA8D0wM*KSGu~ zGD1?0a&mueUlmL$>W6gc4J_4DBD)k?=K^kWg+fAMatGx$Nvf4L!I7pel~?dbf*|~< zt$CE2pXmlOzngKLOWMu!0fjQ^2Hv|3LA zMe<~65WlQZM%iFCFph-`Gf~}kqM^=c8=s}(ETzF-N_ z@g_s!o9SMXEM)#5$2tfFLo{vLyYIxc^ulDbeq}5)pc(Ynl^FtBahR`wG zAZY8w_%N1EAg>E&Z0G%OBB$`hx1i6BZv;;?-kT2tIJY^5ZC?HO>`$|z5aT~=*gLrp zD!35Gp~nf0|COtHi;nV>0_<1SVsso$q=H1{!U3(^wKE!}gUl$VI2zziZ(>5`D?cLv zWc;XAAG{cD+8+@R&Z?YSr=Ml^BB82~vwLbNPsASRcN!=W7mQ3j6lY?|A%d4{fwyDz zVZEA!zZkVgE6DDMM<17*{*tIz%@&rP;giG8DRCbpGz)AZn*WMo)fz(TJLW~@n9&h9 zy(7rWNEhG5Q@@kX>%obdh5ZQR6eCGgsPAQ*D#|~I7^*V6I#fiYzr{)#SP~U7S`CN# z{5TCYWeNDoVCNz&4E)l2O3PW31HS*by0+5v1{+Uq;HlfMi$}+O#l0V@$^(c_(SjxT zt+(KAc3(db5_+p81GaAyOXE5Mu8X9VfyZR$iUH{(BhupqXJtp)n;F-}R)knve|yv_ zV|)AfhK2Qj76wc42YKf*qVNnpLo7DY1?D~?;us=s*~RaI`3K`bs$~KGV~R(+Txnd*c-0bLjqg;1SWnhf{W#{@Rb~A}UtmhER#@-=^WF zTuPz`sb?Cw9vB}^wimCti+7H!i!&00phzT$H65mnyF9$<6O|^{PHbH(*CHV!G4czf z#gmHjH+FAsS*Tm!CpCNXd&u~s+9~<#9I)Xj#_uL~xwtzqUazR|FY*)haAXB_AdJ>% zT*Nj=%=%L`G?J;Z>0j1iJk?pTLhY3Pv&k}bkuL|Vmgs}H(Yjv>Du4X+9<8$ktG+hL^ zm7&s$fs~-$x18z#a}Nl?VuILItY3^$lp8tFiMDJmk2qa7oi;V#7jM>zKQh3@tsAd$ zKUTNC;WNI1`c7!zHVW(O>!5nB!zeCmh+(AGdh!i8??Xl5w70#>=CDrEl$q7zdAPY+ zamchi>xmnMAm}v=b>OVqbDzl$2FLppeb$ET8(Nj&C%iM?pY30I`D$l``XC>qI(y}4 zNoN!QPg%n6?zAUsDdSTAEoC`V=fMj$?ju-&*WE7EqbSxiu9ggAFVm+q7>S@eL&oFy zW@hZS6MWp*En@Q@KnHyN1iznChkm@Rhy;Ob%>c1wLB6sS*qJkiB8Xw9v;Y>>1$(hb zlQmC+BtJcYl;$pLIOy_k%@yG{sOFF85~z}_(BA(>DRT@Ts{R$F{B6nj4=c(SO8G)5 z|3WEWOl(#RHU>t-zdiPQe&v#}vo?4!vAvks{+XKo?>`v+=X3i1d*nrd7X@Avcv0X* zffoh-eG}VHs`6_E@&`)!%NzCElI6EIe`i~NB|yJSYyigJLn0MiZ44P?bd3$4ftJ0s zqn*B?JrRKMXJX`EOl-f!3_iC$JssOeS?lnkN^EqlN)%N#py~D`fzblv@Iy}Ha zm?`ol_KI&FFTE0RcyG?#7al0nb&135Q|P~+&oX{9R88P9gzD8+u;5+l;&m3W#uK3Bpiu78*%DIT`m>ku>CL_n68p^jfR@n_<9{73d-glL?dLUyI z&kN0eOO2d)^(F@fWgf)vU~du3S=32Bi^3$DP$$d@#FnduK1p}g&0A1o9B$1^khJ1$ zS@t%^7O6s*$|oC28|p@&fa-(jqP%E|{%AASqB`|L&|$|hOk>a~8N>>yjF+3zP0%hq zE;aw0Y}AfjD9oAdxjm-U^+l>(IOcm4z&91Z6=&-7vyf zPg|XSLeXRE2})Zctthud>}(aKc3u(%iSkMn|F3(i=Dy98+|dNTVk5Omi4G8YFfx$G zYP?&rc$@Kc%FB9PIpC6D(e-_+uG1n>FUb7V(>haBpIPk2gf^XcaNWMCPrR$;#uto=Il)~FQ8(gd-&+a3|8`rZ!qVRrNS$M*et zO5`}s)o>2q(?Hrt>WYcJ^a-J^Kb)~xK&@vWZSXv%ScLSz_Z#PuNDfXw!EJtfgZ{kl z1nb_-X#0Lx>d>v^$3*acr224fE6uCcA5#am=GrS$;dP&6;rCyCre?@h24PTj(VgXY zu)y-0s&kY$&Es^5#7Mh=(UV~=x_`VxbY(}K!2BVFCFslb?Ue1!0A(I{^*Wkq=G&=S zZ*D%ogfMYQ|O&%sc#+vYn}w`MNxF&c+`iOB(}vNOT5w$+pjX%{j>RX6jHt*~lS zu{qL%erwn+6{<_GEkP!QkUSYy{g4)!k5tll!79(6$_oy_nEmu>ES#hvkIK_vSD!Up zAN@fC`@-8J<`LHY&fN6-A*Ps9D{r>}9V z7vrwquH$@Iuiq<7oR}5Qj1?!Ki^#(fXI0o0=NEdgo0AO=)h6eZ>m>#_Jo=CkN3_`l!o0y(d13e1dyk2<-EQALgBd0OS$bz2>yKqJ3 zKvHNFiWj#4i6NvPidZe*Tt9KY)1522+S}uwFhbzf-}j-{JByDjrkYzeDz!R1s@a{+ zZD;0p1c#j2V36t85?63eh~A4Ap`YX!SVLTfWc@V6h#|f}iG%a<>Zm|QRSr(IzERzi z3Qi{u&OAb0h)`Z`V#cRdvDWek6h$ZTGcJV~|e~!=Y}b(+W+*=`SxO%xG$lmT|ju=Ft<; z8A24B4`W2{*%lt`(^3Kzm*FKDayQ2MDeRVo^7WScceZ5n4e3~Qu8wg{)@ILIV1 z9@bLL$yRr`WC|n9dI-sz_SMSuxu;}#jgd+n!3-kkI53bVZ}kAId2?BBe76vk_88f{ z->!g)9%JT9gWhOhP9ebPGNo(-OPE%#!~}t-1BC~AcbBew+M9oZJK_{39LVYA?R8Fv zUWU-zA~Z@aBok1J$bvg$zZ;15_>uGJ4CvQgH~v0T?!b@BNKWs_CSoLHaI^~rPVKbQ z2@%F9mie%;Eq{CrK2`gxn!73&!-oyhvPg4d?6usH59lzjC;(52)YT-FZ92pgS2|1$ zD_!j-D6HUwd!zyBrxev|G$|L;cXGD1&?$G8pc<9xA39BHUw*y0|)7R!eW*&prs0 zt5!0RV11t44o*vq!J@CId&Elp(j1L|wkrAQ$ko4I;EQxT$wO3jCIhR5yu-?>bAB#* zOgP@mOcPq`4BhvfUW9sa6ch>i84GktMt79^Dq}y59q~$NF~>pa$ads)Ol|o3Am(}+ zWkEa`TkeRr^QP}RByy3H8qEF2*&bH0r1 zyuFF&wkO76U(c1KQbvk6==#o%j$)~V^v!0$%B?%<PbmFi&X`?@^46~9C%?XxrZAW($=$3D$LApS+KbQNKwd&V?wR5PMIc3E+S zUi7TIdlv0HgN$nC8D7HhW~1(HcvB|_t^Ii_6@vfhj{GU|?;Fnv2`p~O(wJaBemgNx2F^)u#%IDT9N{YL3?g12?TGQ*=lzG{p5=_GuS;sj%e z2SSJVI-_JSG*i{&{(gP%YZ*4)aN0&pDyyb<>PH9e=qPs!mRl@2O9y?>oz38!EN6U? z%VMiHH{ia@X--*+u-rQ#+r5TAXg>k#E^LoJ7Sv>nTWr+0iY2?m zLWKAM`ZNZ5T01`sf7a~jb>o)YK2-DNxXFi{+w}to9H+tOuaSiE<6q4aJ-buL)i=qk z=IE~)sM5ZA-Z9oi%xqRhc&+ z+&>zx&0O$S64<*!*?0A=wsYTtyi*Qe{N7A>rs-x^D@}e$HBj>Q2}K7)jKyf~pSk33 z`6w@3l7WenlaqmwnSq&^?%C)@XaB+_|H36-+>j7en-%mW zT=Soh-^;V;7X@Avcv0X*ffof{6!^Ef5j=vP#u@JGbGX8zP z-S2bzrR86;aQ-$nfa!Oy>0f<|Ozi(xzC{*xdI0m!ay=Fz762nX6Z0QDlfUcvYwSN0 zj{Y0AMF8X9wI2Sdc=X@v)?<49*t0X^8GQde68(df^S?o&%q*;buq{@p&OdkS;k0Dr zZ}%U@=k0JQzblT{VbqH0GD<3E>*ErlCEzAybOCj*W{5W)xOz}r90zAX)_yB4@uYZ> z5jojh>wRT&ak6%rdHZs)+w6m)mR}Un)1PHlJi>%d%4>9cw!8IHwfa|B9fb4AWb}t8^J4|C}75C&tSH`K$-A1OZqvM;lU2uqE25ZodZWkxJ z;|YxcAsWZ-xWRJOJfJN_QN}mhAV!lRvbp|K`0qJu0r<&DZOg>_AhTQ4|k1jY@8ic7kw|6_Lr0su8wZTx5Wl0osC%Dkw2CP^* zx{~a%#}*zEi4|dc6gAM>pwGa-3&v3$E8xl~`@|rEi7=*`(fW}i2C}_ImKW%oQTb~4 zt^U2v77N}5%#>xmf^=31Mw1h;D|cYkh;65wj_y$ zbsyU0tI220Ub_`a_IpMq`tPgN)}Ya0D1tbR6hZqgLT$Js_XJ_G;8~?%eE9%INddAS zj3@t!M=j0dQYjW(576eRXVrOnEZ(uwnukTC`+>pv)S2_Ccj7mlUJXWZ7t!08a3kZ2Br6WV}QSNQf1QexH&598R)hvRq z??~`1Nb@v!(D<`+5dm)cw{ATpn5*Y*J@T8O&|rP|c9CFtm`3=(CUa)=;xblV$B9wn zadoEVyyp1CC4|3q>jkFO90_FPUAe{SYIeVAApA?W-fTVQ$gB$DZ{2$F&)s@9F&huqi89)1*)~~sp|=%DX865Jp^aYkE`31B=CEX zqWT*}!`~>&z=>OfGjkGutUk6>YIV#dXzxhuQa4|kX()r7rNFbSO_R-Pq5 z`b0(=l?`giWOg3pMo5P}IQr#I?Q^=K1RpyS2O3GU<<9PTRD z3P4e=HT*!+W|8D(gSQi9tYAsxa#Q>|43unSs|kOp{?RFc;qnzVSmh(qyrMNsepD*Q z$2O|K-H^Me{OmsD5ewZ2;LvuFHQ$ytYu++2fw&7@j-;5i5%4w2xkTSD{t_3v&rZNJ zuRD`s+`w=r3MmJG#$2)!T|MG_tvy3hgSB>#I4BwrJDyZ(#i^_Vi_-M@q!76oJOW1z z5J4E$#8s2n97Wox9MXqde3R}&d>&;nBS>orjGvIJWhI>z*; zR(3@-CZ7hXaf`vIGi6S`_|d>^Qu7gZP*3FhTm1!{VK?po>c{ zRcwWEdBxM_{k}C(WV9xpETK~~F1q>}ddFq~O9<)(yh@RC$7g-;EylI|UYr9aASc6X zDKEfv;}D~31%$fBH{`FMOq>`IT()xq$V@-GTc22Pbp^Q%f`k=mCp1l;lv^`h8HJPt zi$}o3jz1!{u)P);n>yOOGY;QeBmUm6U7pL@-@BvR^SL6G4Se2pSypWI+G>fE+I@-= zGY2vR#|&c2UuI7Z2i8||RY6wyaFcQ%s0j*{3&z|<@k*oyF*Gh*ixt%Q17z?0rOx5| z?z=1-)K8iR@Uf$r?Fg{kZyJ!ZUirfYHP~`ot#yg5*@7IW?NL#QRtG7QT5_CB+TW`P zgJ+DP!$jWm>EHHzXziOvdS{$!8!;}D6}Sw@iMfz&*QwbKeviFcH~ZP^{ZqzR*_Qk+ zC_qvD#W9SFOJE`g6!x&G<$W^wyoK zS=&K%NnBekoB8^KzEaR`Yckw(rEqxi(y>A}MZ1hf;S#R0ZO z1qY9DJv{HJhC;vGHA<-*p?>>lvrFaA8xc4zw|$nQZO=hWVOe2kiY$2GZodQ*~dnt?P}AyK_b}$e72de;urFM(^|-GymsC zOYCLK#cq*e!kG7yQ)3`i236G+HYHmnatQM$5^OsH2c(&T9-DVJ0!y+ zd8TNf*1eQZQb?PPGb31ox||2|9ks*&_z`@TL9lVPt>?b@L#eNUg%q@zvQh$(<0ndY z95QX_YW#c=wuu8R~<8MHlB98OD+M9V8U@IK0pL=G7@Jjt&6 z!gKAeekKa>UVT-fV1FUvR%H_~ssr`~I<+-=l+J56*2APnf^|i23SAQ6+te*>`2)qX zaebBrvFkB25)OhzEX#U^T^~Wg4@NXnWAgB0_V0*4e~S%ZU^60nUvN$vXEao6og#$j z95E^>W{j50cDl0Z4$2OJ5jwBc5?y^bYAJyz2v7>HJ<}(UTfD_=Yzb|D6Vce%lA`n3 zGCJ%@6} zrHnGA$u8c{hh==FR-b89z3RTxm1j=Ub5nvKgzwaQElw}_PG(W166}#i3}Jm`AMLH&w3Y;iK9KowY$gFyKUnVii;ev z%-NQ{ah?+w_lDV6AfCZaf8XxQ_sfPyPM;Ik86qEirS9bsbrfc7QiiLAzPACJe6f3}92YYYrRF?^`_Ifzk1~ZTeK$>0m*pXc6gTd#?IO58K-?i0f#z zO*5=GGnnX%!M$Gbd!U70&q!#SLAbx5IQCk$fIU9ZYX{_&nd9Fb}(XuO$cdHc)9wfVrO6Ffs z#OLMD3q^dPhz6!cu5Wc640(x}8JQXB7+L>95ntSmFBI{GBK{LH{1*pao*cg@@S?zr z0xt@@DDa}dzfTc=!k2%dh(FgQf24>^f7tH$H;VWiNBT@|{$&R1GkyB`-meT+0Mk#X z_zZh~(M0B7G?Do~L=%6G_g@h`N-+RE6C(>JJ0~;Mzn4AA{G7A;D|_^r;W0A(ee~$> zv-+<_Q~!Tqm(TM6u>HzCWu$*jmIbi?L+&ZyrOLV001*R6YC%0 z<3hYDx=ARsxkB{LwWyQwO&cW2so`;7)Quw!< z9l-q081v`v$FFnzZ!jhc+uvi%Kcufx)upmrfj+0NQq339C4Jt`F_DKUA%-&}8;dr8 zb}i)5Z?!jas*f{4p;LnyIZW!W*G`D_zx$pd-QWLx_wI-ybeUgUahecR*X575ML4yYK&u25mW!i3hKj48Nd@J8MLsovzP5H>@Wn1# zy27$X|4_o+hJL_wu~CVp1ZXiKEy;%pR9$M5ctI{&j`vnba<&ngs0A&hHs4Anbozu>KoN~m11N64|{JNl-HJE zZxbAXyF(zjySqCixVyW%y9ReBxCM82cPF^JJN&rmJKeXZ@67bf*YnLkyhTyPfjax_ z^&Tkpe$HB}Fh}NxwkNi%{nv1_3-b~Y<=Wf`8f``*qtVNmjMicW8iVR-zK>K53W}S6 z=S&Ws*pj1V7V9*c<#~ro*Uuv>Gn>yN`u4EPG5fydRJfT13WVa>4w$j!I8@f~A$r(f zwyVu^1|@(ki-*|XY@IcL1cf?jU+h)aNGjmbQooU&DPd+3^N50Z@^emIhY z%ceSC0oOrS5HZ^dqOz!TBJ(+XtWaJ_gHsA>oz zBMM*x$dhrVE|xiQ&~0ML_|+>AWL|n28umz2`Hf32K(1Bj)05vfVYxq8Txk!D2Mxm& z#cDw?SI~EM=vS%iOjh^o!C@-s+1Kb{1Ci2{gR=Qs^>`HOXEY+uvwM4|eey%%4=WAK zuH3c=Kpe0DKY|e30^4gj;Z40Mg_x#ZwxA9&eG6Kp3=&9w3tDCSEoc>?nC6HM>!NHx zrlhsIqncQ~{(gXB##;&Kia zAa+dgzDK!y%OOhyAK8MrrImX&P!^2pAs@hXIY<_d+LLjyIy9AY!hp_wrn`bCLiGuk z3Hbag(|RzVQeaw<=R+!irhv9C%CbIf4bA{owtPrBLgZ6%JWVi@E_PKq5~sZ}{PZ=T zdF&fPTa`vOm#|f_j}!gehX5>9K*xea#SQ! zUrFJ34}jFh(7uW$ciPe-ChLjA`*3Z;uuhAZM|B-0F%|Gn;7!c*LHDVaj#0x<=UEcZ z+&`gNjwFtyZ6XaGUQwp=o*zDqgG-LIMx60Wkz7eAUb&IF^d)~(D;T4`n3D71SQRFO zZiw#G+sJH;uI&FlB5dM&tP(7BaQZ3cLHm3RTRt({6yAbsarRykdz8#;OB;`stfJ;3 zosg3=Fm_hS!1^a}c-}f*Xc{|MkB>_R2uPWn6}%O1PP|s0l2sa3Mq3|(POH6)ypWd& zPBvVxnt_DvTqkQ&I-}YtddAdp9oFG~Pe;%F74~KPUH21B=aDSIfq8@Rgro?iJ$r_Xa9p zQQ-r9tyC=i4udqyk{D(jGcFN1JGm{&{X%J-gj_;PlBEdQRx1SwQOL5AyH&g^hfAUs zyp=w3!Y}};qRqGN0jZ8b^(KDg#z_=4R@%wr&G?#u-Qts=>fgzxc8(HWQruT!w#{>w z2~qa)ItmEa?JSkSb`3Kj(I`D!#T`( zu*;%qjY0(naRtWie#4f5>%Z6Ze8*4SX+xDa4 zHYGs-eryE5Z0c?tNOnDaqSmnFcbPJ?=5%I^9fXDtj6-K?xkYdY?T8Xu399Hs$ zNXlZh!-w)SwAR5RAgj9kLAbiRDRs*|cV#=3`Q;OdpI9))rZY}as;_4^uT-?@^+PL7 zh7zkZzQ{KHC4Zk`dZo|7A;s2GENG23h))y76BO%@{$-=D@CT)p(p9>&O65}BPJJ?c zFtCLC@|QrwC+=Qk9Rtaw2jWu)c`~JlAM!*6I(qsiDSy>R`WC!*O^YF z%oW#H%~f{eOR0s?47C&<{V2>J)*D6(HaSOvm*^aDEV9fcsq><#pw-uii%2eu3WMK^ z^DQN24%>5&;#AKMLSa~Ft+IvVH?a(&)(Ldk*bwCe!C^Y#oIW1eTt|SW*Jl~=PQYA7 zh9B055Rjtk&#dK2$Ft%r?4H>|PH-ppJ`s_Mpg%0DO2_C8o?KAP%dbegG^3Kie%?-;G89zj37HgnVrWjz8A=#1*-u+L_< zufkd5KI-<})AqHib9^Rs^2n;(mm^^b%>lJLDT=|=N1@C^-wO_|?N$P7Ocw6X zR3D`x#`0oe)vz-1C{=pKzGNY@yMyF`jAdD5jYD2*I~%BE58lUOb2OTP52fn6OKn%d zd6O+yO>;d`#EX$+vH9{xpK`~udUxf7es-Xd$=DfhHWF#XgO|e(NsA0+kD2_A)Cd^5 z76wIOheh(33(pYUN5P?;*D|ix_B@`b1`@?qfmW3pm`-Y>==n|PoYGv-JONp)1Ke}U z8(Qj#^AN}sK@bBy;^RqTQ&;FdJJkUUNbDotj^;ogb;no=dkLX=1%f>+HVzEfOj|st z;o8ZOW7aLZx~4DP3??(G)esPSXfD2xAKG%2i=`lxMQq3&YTqd|vr_U5^KLpJEOJ4j z29eGju`WbP_)nJ6CRM&~K8XFCsHC@K zF;c2)D7SXkoKkL{hFMX@su`E*I0ClC(n7f$EWDTPiYj%yAu)oov+2UopF-PH59dWs zVPJC&lXQ5zB&>h*d=$_3x5(9@+>!~S8TR3a8z>|XDJX{)uDr56I&}-`s^`+0iPNHPeQ@`YBb^kNhNj!>Ck12F%P|nJ++RVZElwKERew4F^KV? zHXksD!Xc0EfQdsUg+b$KN7P3JL+g$=fJ2FK6H8y_4X+O~i!zy6$(;A=uV>@tPmv?0~&FhMYD7?J*DLN_Xnc=ZzMC|K5 zkMSbI9e&o4K`s<&ka9hw(|$c4Q}B*79ii(&qo8A z_`H<=R)TG*iguBkugc)vV!BX|VWAvlSv(av!>rDj*T|KZF5 z88bry!iUI1S1loKK+fTwJm%u{pyf#Z64OB-M3xcXZ&PE$3SY5oYMO^|A8nMfb$>`3 z6i*jRa*5CG!nrxCu5ZMB4w^m6Oiy{b?14DK<2SkDhhY&$0_9p|P%}+rAix((CVBf&ZT7@ zmMNNiurSHXr?QJD^2kx{UhBz^vP?D9O%<6VO)C2i^#hRei@Qt$o`+0NSbUZa+kHc& zKv8Wro|rA$2BfWC?dQ@OEqeN{cxv$+iT$5+kr7f>AK+`)GX)^F0VaFnE!-kl!YdYD zf@_yx&$r#FZ^OTqt~6L)x=XlFop5Lkrvp{P`Y!r*^l+l7%?Ea5A}=2ampQ6F%8bEv z?A)%|v}Y?n^?I8TmY5}-vx1)9e6xCVqIsm*b4Q!Tfg(EY(CXxZ^1uacg7uZ;1F3%~)rTf)P`R5>&?k|w_-#{weFRuEAn|=Y+ z|J;Vj_L$wz6Dv*(owy+QW+U(sp$WXCH}#T_^)J%|BMmxm&pG+H1WTm6Z-~q8R>uV z@xL=g{<=y2OPWacmU;UJQ)HOZk_9F!g8Q7}Srj%8<<9{eC{Qas$)mJ>Ycr6lc|GlZ zo249e*0p5@fjr2s{tn zg2}~OqKj2yGADQKP$^ni;7<}>Iy7&0s=5!hBPf z?Kl4S;GeBu^0I)bR`XGBZr7n+rDXQ9&!H)OtQ(^etVQJ2 z&bWr4!U5)nq)fc=Oof#CQg5)wQAsUhDcIIqaATfBNXzsVV13R6HpB_r!FZIGQ0@H6 z&f;4ET9@CA1b}oZ?Fx^uIfhRQQ|^Zb40x^p%!X!61e!Zb63B{*JQDJklz}y2 ztdAj9#D}n*W~RHga@gp`hX}S#;dlrt1tRG|MA3yP-#Y6MTJ!S4RA{g{roKrbiNVW$ zHWwz`hP2GX94V&#f=}Xrs|Ri%tm9ZzTp5-tgYLW432$1Jdv_j09HO8w z9|vKS)Ys}Sl%-jS*@TmHd8?22K&B$HB64A4$BGqjzqD4ML}x<}?u2R0xhri*bV$iW~(Gf1)G zZ{Tam_0`dQ&T99J8V2=jJ0(C~ zRZ;_g?K6QmJ(D7x;#rLbjPrXwhXcQ)52XOe}ulxoMT+ZQ$5t2xi z&7zPh#CH9WTp`7e3XB*)Vlwx4v7Xj-D^x1AS(ea@!XNU%XDWd3kO2??XkZj8IqX4o zV+q2Dd4Q}kB83&1J5%s6XvlK$4V08w8$)HF< zrkw@tla+$Z2pE2rsx-PFPhlXBJvQ z&wMJJ-H{Zf|72!?489VZ>LxtfD$lymYvAX4=jWw+f1+1>j4xl-25bZuz=xoBM*EPH zN{42<3XsC{wBj;pWiHkhX&0>9xWPnW$Ol;gVz!`bPgo@StPEhU_oK~g zhkU^OTS=yqRH2zG@*0cBB@n=gr=VQ|9L(ewOE722~H;>W+}IG9=ToPX?Cue}K>vua4sG zsv^L_X=;g_K4yftK^hbau~c~NJ}|V+d}!b9|3H$NR%g*Fk#VsHf#Q(_WPvw`B8wF# z$=a^RzwB&Y#rW;%(EbBszj$+z@F!h#aPeH}8FhAzmkEot>x4L`WNPO21U`Y}8aW+% zYAP%$Iu5CvemC^Y?Bb`iuWHZzP_HR`di3sg$G5j-9Pt1u6Tn056Onv zu}YBZF+xpb5oN4KtdU_8UU;ju(=`|Lr_uX}NiZia4&%lh>u z(QG8CTyxb`Ec9sz1_i<^$6igi!!2^DQK03#06)C zc-YjTbm5esj0ec(ZP>OXdrTx>8Kz8Cu1=yg#*YpRr1dV53qt{Wn)7fP(Q6vfTAI67%o`qJL^!+#Nye?9K_b^d?1lKNZnX?P+zFWrP>ZnE2yoTK+SMA6Q`mEXGP5ZGT$Fc$`bIH7)PG|DwmYG0) zDxc>@>>U*&p(?c|Bg$L%ALRSti1F2Dq z9}RxAUG*~A9hK9ss4>we@MmC@VsRQHsLc;EQlN@Fj9DflrzWMIXoF<9TP8dEzePN1 z8iwYCk^>LVg>GNZT)*W+hCVJ0UGAV5QpY=Hv9|eUxx}TRR5caw**e1Tp+z%IhclN+ z7lFh3nvS;hgPIv50S`c%7o`+K5_^}ne-l`O91HI`f2%c-%><6H^%YEo&;t(a(Z&$) z$M{%A<+KWZ#P1!G)SjoP0xLX?Ov!-{j540c2bYSi4Y%jluB7W#2nw-GKM8O{2KhBZ zG^M?yo$RCZ%F+==w^-cb%`$AzmwpS0(^#XzLcoHY384PvP&O#MphvBvpk-HLuR%Z~ z>bHc)`5AN$ml)%lpWlG+DLOrMECkl>eoJ`FdP{heW+uO9&v1V*xakVWiAthnV(mio z*f7lXrM9q-oxGXZm%-G?ZE!i}@Wvu7_Lt03yVg8G|EdTGMol9{>=%pQJtag!s%ZQ% zwdSa|UqmLGyB%&A9FYBr>A-s3#Fm$DYM`Ub$4Jkq8B%96Hrr}q1G%%c{m0QF;P)MJ zb7^cz$etT1GfN{Y%G%paf+zgm1SFns?RV$On*_zSlMEg-F`vKshk*H=Yo(GzLTQ`G zIhFxmQOOH{7ScE(+!Xj|Tu|@n=s<*SFnsgjp8Sn&AhZW?53iM1lZ^4SW?og$fS#A+ zy7Cq6Z5W<|YO}X10+c34rJ|1!GH zuum@OyJIAabkE=%TYIk7G&^yHvzskhU_&*OkF9FY`j8u%r?1I$+*VG-^Er|eGsXhe zL#@^I+2f^m06!UX(IS2~-= z%c!VLoSlT0`Zncci<@P+>S%<~jVJ7$W#(zQY;?X|@^PClLg#5Ck&6UQPA(3t7{B0? znycHrv%SMi$taKCCOqxZe6f#frDeE?cV#UpV1a;NiumZqGRnbBQ}J+|&uh-|v&^t* zzKg||Fr1Y=c8WX#5^{T>#}hpX^b3v8r*Q1{{hyEgT|p=z=~HjDsdrv$PcP@|N!7Nd?+14iLzd z4##@lVP}bm_g4$Zx+Ld^sfK|#g8IuOrs<5ZVgbBFlqHL4IV-rgFh3DNTH{H!$^)MQ zzADj_=ypwuhr9;snl`)GSxPW`2p>}{sRfSbm&C<4#ik*t&R$#Tf;Qh>OgUV7)M5L` zJ^tgYk`hJMelYib4`^s1`nqAo)$QncQ#u7T6qi_i>g9m&Vc~x(j(*#2BG| zifoe?Gl#Do%N{CzuCHABo6H1lOawp{kscD%*~fzUD-iwzG;$`EGKszZldY8O5}c|W zlr#}&{DAQr%glbb89G~Q^gFL9MxrG@>`~z5&W(U_b7<0<2%>XJsqCHra}^4x)IgiQ zqOHZ&t~2WR2ETB)&Pta{Jnq|%3oT{i;ZJgz_23%u6Bc0$HSsmw(el0WJv-UC1sm*k zE!jGwd-T$CjCr^gcT$(FS6K}n^=-}tY71T)i`NMta^4!HO?!Kc9 zObuDG8*!GE0om$|c()13U?8a}{SfG2V5h~-L}L0`g&#o)LqL6Ld#aV3Nu=Mf=oGeY zk=%=mlixhhs`YZ7CFR_^cqJ3;$D%MIr)KN)jCpKe1wTu(X>P0BKC`E$7CyYWHSMvX z-W5vS=b;4kiiOzg(%IMa_&7AB-UGtRB2eO@Fg#Awo4F36T!G@cb7v>aqQW2+k6v9H z&i3_-F_&4>B9zQrZ_$whdr&9-(V3Rqp-JS-Wc=((PIV+NPs=x)e0kD9i!gL~bMXbK zgjGe%1gq3k1%gAXg#kjyd-+9cQb-(v#YMYO)U6i52BWre1tECi_?}p%fn^L+UWrs= zt%9ANcIR(6H-Vw_VeG`0`Yl!5g$4Zj(leUDeg3ol2jvV{B49=RAgq%iU2s^9p+^fY zA`e%IzJ*wLAIPFM)hZ70BNg4addZ{^iS$j{JMLaN*^M@4wL6qdsyJ^!k*ncBHPCo) zLsb>EGr>TIp4H229lllA4%?V$r|&cK>pI#nzzQV^Tx!`bsy6Rd?N~Y8%|cNE&uh2e z9H5)24*TnjYQ<~!N)?GhbZ_&fk7Aj8sT(ZDssPOQqY*uZDMeDzF1W|Zr#hOHRvFya zMxDWkL57uJrVS2&c&+yP#HXqdQXOBrKGHAkdp_`xmza@jch93d5c<- z0P~X)eXoLPPj+SgeYc8(5}avad)9``u(q*m7qc!RmbsYfk9=3KB?NJU7)hxeX{$=l z_5m)$S4NcVv#Xx2Mkh25$@2;vUEV52oYs!A8e^Z9%@h;EC^~SmVYd!O<_rrB zU6@6E%IZZcESln;9Ga&)?z7mO>~+}tqB{|ne>^^|(hTG}epkyG$H8M+4mg{d2FXIA zU-ShxD;|v7S(d|yDPhj%=G;mh@^cf9d7En<3ZJ`6bJLXb&Du_m5!Io5WJ4IDe&_Vm z(#q9r@Wn9H#05^Wze}IET*YU;t_kUf;EV&A_FJ|YX_eA6y_F#;u`w2ixvaLmTtDr8 za~KNk<4<=)ariPI0@O+~%^p0ya{wx>?KETyv0m z3T@5IgGH`MkonT#&Gqp@gw7Wk`XYM#2{#Hy`7{E8?B|>qJ`UXUdX;qQ@d#S#9ELVJ z**4 z>7gBVaf?g|K9~sMwIS?>@nkwKzZ?0&wEVuuatC0-P^rqhUMS|EM5faZz8rb68{38G z8~o}$1NLj}P$*|d&FhyMebJ_9ap)Srw^ND;qX;W)!kMDvcBYh##Po5Kc#6)peCt*wV@{?rw&sZIqHQOC^4%~1tZImz+GV~YOG3w z*eVk)YviW_Y7^WnoS3V=7%|PIOaUrAk~C5mc~YuQtF_<~ zKD>X{Gq+wy$7pf-tdsi#1_ZJJiK(?88hj1A%PSN2EfClleOX9D4V9*3-EAbv{4!Ki zz6D>vLv_K$Yj+4dhB2zA(ZV(ZGLf-!3dqXXMcK#atQi=bxG7IloMwFJh37?pN3D%? z4dn$0@cAy<3wd4n1E))|d5K~Vm^9&9%LO5#vpgJ){yBT!)~11=l@sMP zRB{RRd+?4ORDFhCv%&g?;-`QpX8IPSXi88$=hrp>Ab@t)f6T+^SpGghP-($}pby!7SMf@>?gNu)xk)<>UQ|x7&X^L;qzD{4 z92$(UHhxrXJnhph>#hPLYVejS9?#K|y#1VQ!oft(=HbdfxR1TMA`>bjR#Y7+@7V$kr90eU7@}sc?7hLMf zBul2ZNZrzhEDT||y<_w@_YeF5d%7gtoBJnNVr6kuzH8ppT(t~L3#Jisij7dgB-v|f zVlTaWpXp#ch6Sp6{&;7W{gWKA-wj`TMnCNeuaGHQV+&&}K#7o_^fEMsCGI}j6LT0K zgBiL$p=W4Ef+Z;TaUbi_Z|s!tZHn-LlGsPqE=T0H6ij8cFP4-kwng zYe3y4$JMo|J%sYK6?ONWQ-51S$eWHhZv6EI?z}Se7farI6q0-MO1eCR3GoVW~J4$eZe8gP%QnK@5ZVkB7_+`5(GDq z=cz%HJZfef{O0{>LS_2p{ozgf7=6L&u8IsY#6z1?$g%0AiFng>dD4aU>ZwzBx>Rmp zE$ClYCciKL8aJsC$?ZUK$df9_FYwH>Wf;@UkFiBv!%NT9+c9+gIoDuM4S4X)pd^^j zq+7ctx2o||iWpT*BCoaKi(6$u_cPPUBqP)2YiU$E_;)u**&>DbB7yIpkTGtG+1fR3 z4zlIQ#Imk2DXMEdUVre$nsXWla5*csSu(JlpXiG$dhd_hf0!w2&3Vf(T5c}6oHCpM zg_-Hc7I8CgU8U#d3qWZKSwY3MaD)kFz-w7(B!QJGL z^38}}>DpYXYwYG!2ejWu3prA#NMs{%F%K+jn{y9+0I03fbEq^~<6sXRL#F+KO zzf)|T&|XK263(CX40K8zE}Uk;f75IK8lq?CGZR?S7xP_4zUuOW?c=Z~_8#phl%`?L zIgPuq)&Vu~y|3#B{-%nqO4>jHsh#q+D9ELxnZ+MK_;f?me}Z@e_U($M#|F9&H>Rqz z^{a)Sv0EiMjeRA#z|OOgq*6XUia#$g#PBD5(vi-U5mhjMp&nQ~9`vEon3O8XL?y=Y zZfEJ*-S4?R?l}$&qaAbQalfR}1t}E_A@npCD7KGF2&PC7r=wPQQX%-3eD_onmE#`Q zLlMGTXfu%g`7}bmKG@9N^^@R8zxf_b%|{bEl0s-Sr(D+hi4Ri&XNcGP0E(Ird3np3 zEq=(>wb$&99KemRykrr))y+uO&Z7}Y5pBDe0`pzeFZH34n#6Q6{b0ylS&zKMorayL zLG*|QB1o<5(d1g%E-1X%74;mwoj3MKWdwQ08uPd6Zq9=}jrH?aYhIzgR9y3rqg+-| z3r=xT?Iq-?eCvLGka_JCC{pMK5!fAi1bptu(t0B6bHZSZ1WFLCycBu$ypZCv{(j?C zabkOE@mrV|yG4hGJsOO4CgsUZIWuaw0${wS=D(?6JB$>LnHGAK#Kr`qYuB<6Q89{h>294S1F|5Cpx^%Dyx1dR<|>n9hZ|hV#oJ z2QhCkf_Mt~Dv!;AfW9q>F^x4lb6&rALr0`zQ^>4hXX16V)RqaQK7d|C_iEl3aU|1$ z?@WD$Flp%a-wTZ)isKdm6=+q#*9;9>WB3w~B+W@CZ*{$OQoYzzm+PPlp@yW+1QlvA z)*n2|H!r+1+9!I1a0EP<0c{DCX%i$F+AKgoRQWlkYA~VUGF$NtWUp_Z zk@?g1=bXjNo4nX)S>^;f*t_Vwf_75FKyC_$X4bJCT?!Q>8k6bEpHxEOQh&Q-h z@S!>L4jC~t3KN8ajt7~-qdnECC|>60&#XLrN=R!rUAy_VZ;voHH*|gZ_1d&$z9d8O zFkyRFalr(ktx<5Ts%`sN#nXDvsf%QRZO(|Ck&To^MApb5b0Lp>&h<=` zaHTJUvg+DJ>L}$wo3z9U*%N5b+(YI5N>zH@|K7bOeG_h^)BQf^Zkar<3U-fYc{b0g zz=!<%U7dV-Y$Ywp_C;yooYzxGnIiR`YnBEzM&9G4NsMS%knE3s4;8Lq{r&T{XJNIU zKZGs0f?sJ~Z7(lUxdTmgS)oi|fP*u%$WNo>s5}E^Xl;;JAWQ~|Ks?mhl(v=0L)ln_ z6i}e;LQbY9DqS{6dR=g(a7K#zB30A~W?p24bYJZjDmX{3fk5kp_BcbgeQ!SaHfI^) z9X>*-i9C6@CG##=MSJfC&ZzU~J>wCv>P!$p@*tY4v)o<$w3OM~d}b5c_D%Hn8`Mma znu_=B(ofSLOkG;bYAuGMi^5%vFw68{2Mwm-QrfLssY1xSSBAhj{&_OHDsm

    ^BAF z)Wc5R5m3eCBscc<=?(c`RRa@~%-8YamIc~rbuB^H7kup$MaJrDw}l#~92J*2Xof~X z9pI50pRgQ;-yT8yeKqjTa_HzNZS)K%ZQohWzp_k@x+(cLm-Rcvs+Efp-Po75L{_&My@3`wHZ5Ea%s{t}}NthhN--pRD{2nIvR`m2%5VgRxM(AtNTbUx9io%v5h?Dn{nFRx_4AChGlq8~^jE($Uk?(Ery{ z{fnLL%~P{Ik?{|9wrXWlOUypx=TXfcxCw9lU$;j9ya2(mh>TGqBGo|CRNxBnAT@ORd+T_f zMQuNJmy^_~B$Xk?Q2@JJF6(bc8^#vWa8@{%-IO%BYsebDJ+{!X|BeQ=5r?{ zuT6oyo4FpT8Rqy|ic8nB5=PuxN2+smJ@2)j6pu~Xc)pt8iJe9vEb}$#Es(EHUe`>l z&_qq5u=J!%2v=wu&G{$D%;zfR40sw4Z?`9#n_P_DiwS3y7$*ykI~%i8D^L+EbB(n-O|re!)@C(Z3z=vP4())D+%CckiTpPh4Bkq>#>(GH zz%t4WmX?lJM*4~an^J}jV4Cx*9%a;j0u=}m=7Tt($`_na|A6N~;8%CvOjgh*)Ule` z7ES_)7vhbQgMS02D2>RAkkFFVlvmwK6?2)*j|t5%ftd+2VV^wU=% zj4H%TQ3KH=RapwS{Gb835^kIt{nZ5LL(^MY-@tq*o84z7=8ky}>&-McGKj1exOT+W zVnjU#BKVtL89)w-P7gq4jtbAuZ()5#AV%}!!(uN~!nm#I&&`HL0tR97VikV4^XThq zRm#{k)snPq5zyoaWkT%I_<{!}-lQ;!M+q1Y)1~7bAE8fj;lAYdm*%T%5B`)OrmUi! zM2t;+OY6%c{=vq;bl|b(;K`#l1+i(tBc<1`0n$-#o+C1$gFN|`);G2&Q8QzxGe)1x zq<562WeHx7a^(IB-UNP!0BD8ah1&+nmCaU@yaq-OP8a7G#k+^@o5L~b@0?9%OP=d! zt}H@~ye_qjqdYGD1d{d%rLJG7OQByFZBw|8ioIeTmf(&ao)EVC;}=*&UAQ5!`Z{pr zv}1}{JahI31tVfD;X$D7%aR%X!Gu!(+!o%2BSic~yRn4oe0omGn;G+^@}49*WWK!E zyR?r8*Q`F6$UP~_U?R>cfNexj&~`RVU9TC+>W#_SNm@XY=77__MLJ6INaSLiuIPP3 zo)K$yvnrpZ_PqDhM)kAUNSfHPIPjsF^3pN6(^s_~CB~Q43lc zxrFW^7)|0tEBB|>O3WBbD>`(H!Ld?BtbH;%FV5D|+^{OXUYVOKB{FIi?`j>JNS^;} zUtAkB**#b|FtVq84Bo^T2xmi!J=t{N_|czUX_I%UqAb!7PZ!)U%abWG3n=Su$K~n% zaDfc(0}EO7bj^mBlVu0`{hM^7fQ5NcOS-nBy z)!Ey~jNUVIMbE{boIxRoq5TR<)Pk9TU>c;D;N^ybh4&7(N%%c z@8OBv_tuOLb?2uSnNZNaa!x75*I@^{5eA5&<1Bs$htbN66Y{lY0QI=OANXi=f`pOL z0nXZP5gg#}5+OmSgs7tpud${^)P0onIKdKqjmf}vmo%1dIa-)eF0JuI*yRI>GU7dz z+l(#5jB+wDyBet-@SuMZZAG){0<8V~Y$33T=GHu_KDBaV9h33XkXQPS2=HrnW{r-3 z(;nm8l`-*H7&zNzBo+ilGwP<1RUSk}3*JfAj3ACu>H%_iMEJaom-C5gCC68MIO}#p zfy|TbIBj3o>Zg5467(w~&H%(L*Vt_c(g+7gUz&lu z1HZOLmNbJ~3xFMG7HY~Zt(h8xPqilH_! zRxep%$i0VvSi<}mf6T!cvU+jJSU;?tYAU<2pmI7NxE+$+JTkK%SM>Hwr=UkYGlKQ{2nt; zQGSfw5sv?%b-qZQ96wv@TQ33t(pg$f=`jX8iosx2^UKD~65aR3=%Me7XN}>~P^Nx) zC=@iU>lI~lBq6PNy}4z@hfh|*OMV!FYtK^-ql9_D4X>*+N!!f#)e9a`R7OhQBI)9T zG|Qo;M9~w+6qX~p;#a>0b+)k3J>r_Wm^am_?dluYKhSZi>zk1RiTb@cgh6qBfJd%) zfk&E^50-<&0xZma{2Zac#+0yZ0o0O4PPw(&5Ub!Qqtf(+Awp^pcD4Tudl=ql;JY6X z?_HR61;(Lau~ai<97^0cz~dT%g#uyN zr-;e1yCr`g)8g7$OacDLTZf~vCB-+2XOu}K^|YK>rVW2iAbVKR9& z=OGwf@EF`B_YnIFD!DP^2XrmudFSlzmC-q<=N~f%s9U62?G170X(DGHcg_p-ODLs= z5ut&;v=+~+?VkzuwHtJBtxy=`>z7ZF@nm*Q0Wr3E6}}*HZ1kg`a40rj)5PWx(LPu^;4;-g12g&0^O}~LGJMJG39VX zI34bpW3~4xMIt{&4fvpVq|5!ftaaG}$AT$R@f1EEXt~;WVM@3w-Vrs=#pzR``99np zH`D#?!9`YB=I*%4lsgJ@3bBhVTi9qCz1=A14`xl3u6U)z67J(!o0|_xqN5yMmIS>0pZH5bWX+_P~#|(Sa?3Rb9 zk-EM8J2t~a>+1$7qP^KVcxjsMYD3d2ZHjE*# zyc^g${K&zLd<8~6$gowJzX{fOB-`-J5GFUtM~j!JdU?qdjqz&r;>DSjIDl) z(&U2t{n!@)AudP`Y9}@)b1QRwJMFh`{2#yGFW_B)cLm-Rcvs+Efp-P|?-k(ScCyme zHPyGnd-I!d5<3!eb3wkn-oCK4v(eW!|Emq{7cKc6jr@&p{#uv(k#I8pDdA-NPYCB5 zpn5}@e|4)d{!TdGdZ*qF|LgN3f1UsLdHf^Z`=8fkF)`sW(9!(DZGWlDVxXsa1B`#i z+y3C>`&aU|f5tHPOXc6E{VyhN|NXwKx70{R#$V+6@4_U1-6g+Y<9|ZmXqcG)K;Kf8 z_iZry;9ny16Em91v{-J3^VG_+dBn2yafq_!gHylou0`_!0@48teowfd-#JWIrf4TG zj1`;TXMMPPNc05@EFL*Bpj!z#ZR1Ase4NBlldv!h9Wba>dpheX&Y4(S8;L`yJM1Vv zU(@1X;tM?=$%Kb|#nARiSPT{t-Hk=wZ1P5^^UabQWT8!m8MopMdqs0A_&L{wmvT^D zdp9GHSQIR!R@?9_Kh4`KR_s!MnNTqF$YJ+Q?Ro4x^7AV4eI0JGBpfZ9@z+^E zn^H>1MEqB|Ij*6Sz}|c!QN@H!ic{vA@@~K29!8|%JaM=mD$Bzb zr;3c|bXlxC!Hn!Uu$jODf^K#Qlk<7Tes({Mqm^oWQ+Q?RBAYVxba5hdIgcXPhho0C zI6C9}EISFjZAN6Xt6y)4?)6o_qDu^e{>)E||)JXsL-mtzTDu zGtC(RgJhsw@)74zPRR%?@xg|JI6@cEMil~k^ZQDjb#IZxfY65vIy17p?J;W59P{VJ zEM$etsW?)IMH;+$=ID(jG=B5UDP_V(m1Vqn=ET?bjxpXmb0`OxDk*prQ$^ZIDvQ0r z+DFy3(Y-)AZ=N}VG#zrrB(Ir?y@+lTbo)IK3ZXa8oZdb*Vv#nVE0GtmjRf{EBd6HF_7x*Ve?ypTaJuB znj>0hAF%?#5wvr0g|+~Cz7=MrL49?Gri4|kUF(w!(X7l58&0~SiVH@{qFA&Z)@?gW z{Z*JnR5?^JJp{@c7AZv~T%HB{{Zz3t#tBbZ#-U;wYNdE?8qBdt&f<1sO znnQ*wQl&-wzOwq;mbna*FmNc7x~tevI|K15M|-iQh6>WJpY+76ryJTyGo}=a`hSFx z5LuE*d$iB_so!E{M4Ua+$GK1y9+89KO?NCK=qHqo%W^<@?K!CjAM<9#vBA4o~S z&z0xV2#b7KTDI7nL(x~d^8%C;xX81tte-$PKa1NSoX0^Z@&={)pxM7x$Rz!JgyoB5 zd4!3c1v1_^EoT|2Y*EbA=1`;^%=pZw9R*x!j}9rW>SSVdnK^5qMU^cfMlRMFTwzN_ z@mdvx8=BE6W4w>ECA#)b&Q~v6N2xa)0=TMzn&sAOnJvsta`EF?qU0~R4QbCfaVSmF z?LUhKOT@;3_ssf-v*P`PqR=aU96nMrPFv3;Y@2kE!HAmr-&5ttp%hf&(XbV8^MC=8 zkL|%h+sQ0>!(@m9OnmyFOVf7F;Ni_5QXF9qje71pROBbp!!|FkA1krz(MkG|c!6t3 ziXvrHPq?Oongdx!Zf2l^Ri&T)O1*h@??z#&TDul}CWw`ZJ<%}2u9p7iH^oQ_RUZlb zgbq+u?5@`zWC2Qa0{@S_w+!kl+qQUv6Wrb1f_sqQ?(XjHK@%XjySux)yIXK~2^!qt z(VX->ea`FiZr^%+>we)IP@BEh8vjidbN=?2WAbNDMQ#JQLFjw)MFH1)Wmn%)@=kGh z&`0Q^QXP*x4jre{L|XfpD|236C1#z6Wy$QXh`4Cb1W2?5v+kEq`#&q08!`CiPs`R2 zkRDWJfHqOK@d#*1U_p&CXq0 zu+fyS%pg*H?HP^lN?AS;Yy{EoL^(|-B|c0!`DSB7VK$OT|6G<8ft)sVZtE{O$iqer z!b4kcQ0}x*_UN7^>uaI-2-~vN+I`G$NF;Qe6>!+DjI}qUydwg0kvd38Z!tuZ-7Vlt zOGsmg>v9u5*Rriui9Ixm!#DK<6KBt?q3)LZvSw`0>`BP|NYCBrKyW@>d=y4VRIM6l zT1^WAEObJJmSbHP=PQ=F%-A)BkfDKsj=*JT<}g3?=Ny0RStKn!dN`Gb{pI&ZiMate z`_A-YY|KSPF3#Vh@aF{rnTTnmY0TF`=pCGO?waw8g{|iqt*uE4%JTWMW-t^d!=3YX zZdDhL%w~`iVCdZ=5-P=)hwOA z_=Ukf!t*EC9w}`v^9;Gnv0||n%?`Q57sk}9ZFGjk)?2bf&AWvR{g7xHO!ps=wYP%C zU(LODCC3i=K_7UaY+p}W*n*7+jkT$~Q*R00G?3>^X$pa0@kCJ?dw$?Z%vEAITX)om zC|i2?;wdUXQ&2amEo@5=EA`bknzFZOU!R_rw7(wYp%co4PB3dIpN`o7j<3+18>m~0 za(!^6Dn7H}KM@*(64g@^PmLPn*w_IVjGMCUlt|V(jN(;@TJ5wk$ueN5@F1;G z#}ajaD;OAsdAcb;c8>p}x%@UL-a`0Hzg6=X@~(Pm{7~8*#Q^b}r!UNa3+&8#s7+te z*pX?3n5o*P5h3-;Qw#G8Y7;ruBE+@PY~kQ!%YZT06#AmaP-ReI>iFSY+8v)cEn~yJ z(y}Uq>|y1{O3Pw6g_MYHL;RO6shKupmg^vL&iDX>;|3lT2JoD*l0By0ZdIQ3?ZS54 zchAd@E`(e)*nk4GSiZ_Mpk^E#?-jN5G&XvNLuEQZ$6hCb!@|+PiVN9f_ZZAzslk+t}|Mh4?Ag=Q?@M&4#;~ z9)*VX>l+0C1_eW6qs?nosm@S!WL&;h%p}$>1*v#U3RYv{d+*cungfOGCQ-*6fT3y} zoOx<@*yw~C4F_tPTgyi9T<^wMe4-o@QPcqN8BnJpQRX)kxsJY32P^B%n<+=3_i;yV zRGW!Ik8xG{&?p4%5qZcsXL#$kf62Kji6X~kfDgkU!U7=x7Cm%j*~wS*#mVaN0?F>@ z9t|nCMC*qWmfJECd-ec9)+L=i&Q)R^I>ul1# zVlmmHV0g`@u8_z^)PXNfbLfusRr5a&%kylf)Z~1fpaypYA*tcKe5el}9kPHN?k)_ zM%QT>e8&;Fmi00}GH+;0jQKOBW_8C4^`!y;@Flo-0QNh-ZUt`P#`&K5tq-x;Ly|WQ zr4}|S6@Yl=3ozO{-Bi-aKdDife|rn|-)g(JnT~Hhz&9V@8zpO{RQ{YX3HwE4l`0GBvSE})=0{JH;d#y|UK*{L-7zh1NA0YFqdGF6>Ix_zw zfsMz~(#qaWjfwd+bnV4Y_L{c#_fxXh`~O=d^>>)yUQ*S5k65FnX8p5_wbv8TwzAZV*jfQO}iQ%iX%4Qq>RRvmIFl zEgZqJovZ;jOGnVLB zx=HJog*axYa_#%~s@{7Ay1_{1kJq-k-O-H@H!>Bb)gt5yA<*PKrgof-=*UcG zt(TP|F6C(-(t|)M?*y)iFwLs=vr8niS#Z>47M=HA!igqk^kU5ELwu4qzv6-qx`tOb z){l;@7AAT`V-4a|%pr1$7Tjg-9!Z`pU+LFsa(T39S`-cZm_k>I(EEa3uwRQYzm=p+ zZZZ(X-sv-~++mw4{d}iU*0s#sSwZQLquwMi;)T>`0jCJ zAm(ckpaD{kX(B^i>>+tnasUHHG&a7%BaSAtW&VmCgZ{s!vUefT3=hj*QMFm-JisNsv$^ zGXozEIAE$RN2c@;hY?ut(YHkpZR$O*C_MiZJDI4OHA6DeiSMTfJe4nBR}NshO;+R6 z8-1GA0sV3fI*$O&vICkg%s0`1>|_p+y)=CJR+!KOr&%gFf8=M4)z+E2N`NYvyUucU z(wMtR0sy}c#?@rxs$>@s=)G=?)2G*i2Uvv%74XQ0BMBKC#r7WUwGH=*PEdn!mFMZZ z-Bn}|Gf`#4woE81V8C6)0?z!wt*_ib z4{QUhe_JE#E}SwSgy;(pJsU}Ujb&QgmQ>Nl_{SpM!%^NmDCac%0UIMuBlpoYLC{dc zeIQ`AGhmOJlaG5h_JPmbGEVE2gQJ;XKKy<{Uc?qoEk3G)2$GwvvI@qbpaK!8E@4Z| znx?aZeZG5{68++FgLywx9Y{FKyium&YHs?L9eg@vQ(DqmIXzfPQ$B~lSbW>oIN;u3 z@z=X3_A0--9hgsd7W%?bdTgaMz2Vv6w@5>ILEO8_rC+f)S~wmY&g%)0d9)SXP$O9Q zj%wGwWdr^l&{tCq5(pqwv8T3@it-itUF~=g7XSKQN?FI=DaT$UH`6DnxptWxAf_AK zzTRcLbCF_{sj8YAmyruHeV(FI1n_NRl<){;UYqyWs7}+S07T(Z{RR}-B}hF{ap!XR zIz9#PCOmsowEOdta2QnTv71jQU6X-f=?Y@GO8R*4fz@TWW{{A@{TQm+*+Y1pMC=<5 zHY1o?s7YUH0OWEp_a%+H^Tji&JS{H?@P)VP&=id!n&uUU96Z!Z1{Z9bRyb&7Os(lL zqx+&_6IWC`Tu=t+e-g~|XGG?jV@h1g7#U2dlz8(729P5O4+cJYF`+>>qv;KKhjk&+ zu>^kDQ!5S3QpeI5UP()CAgVS#V}|s)RU^4{(&6$wi9a$u!G!2x=jX=jg^87to10h#DcHun z-64VD;iR%_YZx&noEgO@+9zSY=APaH^$ubhl8Mx!xprW<^mKbFfx~I4PoW8RpKhOG zee=%2Yk2o9VG3MT6v2l-SwnqvV8!{1A8+!`Dkm<3=f!)X^EBJ^q+WU4^w=|qaq9`p z0O#oHtcg^6yW%90b4V zjpi?)(E;u56@QkC3ULft(Tj-R;04Il>POHtp9!mHH3+qBho^#qcPc-57I)JYkQTf! zmgmF!vi9hqo1w-6dh1iDXRkDfP3p_acCZ^88c>D-qgQccwC49{K0UA<)h0FdS>BWr zFR=`Ym|Y=2k^+}b*hW)s8}UHvmK~+Xw-O@cGPZcLyU?y6Gc+xnB)*ht#3v)*&Vk zRidFfYNagz(ydAMN0Dw$TaUEl_0YL6yeWjCnr!*ho9wgb(rh?)RkgxH22B6*>|L?J zcOT+8*v6&(*Pg?OSmWx?-E<4jYCSTlYt0#}Y=%h?;7{l=rz;auNAr_d2b<3mJTi1@ zE~qA}#9=QN7WsQ_^v^;QGtW03BrJlYjd$3>67`*;%`@!j2cWk!m=&IXBIbJZO%24w zCyIktq}q+IhmKdd!VLaU*$?B0SL_-!zP+3vJq9MDp}m4fUxeH#$QOzBK_|96dx;lp zhS90hxsv%obhN2x6NAjfHbiMiOU^WU*?hekBG$XsA$!fiNR2N3(c;pbp87FE$3)sR zsHC!!no=AUpr`ixJW*kdLiZ8sI#W)5T|D2EC2B0bFOPaka)HtL_|f?|m~;H=1=6ygy zb&N%9E=ZNB{^M%J)n6ti3R4orTe({tksV+y!9CjW`!x?(zrsV%sj%hkdjjGhHlhv%iBc=&J(Ueu4y2o7b-0!<$z0*c`y^Fnl?hXvrGAyI@rJp z)ptyvH{@WK@>n-8UB*7qVB3`y$55@^b5g{>c9P~O-GF(Mjs^u#LWa0zG$vucON9fj zfex;bU9=cM$)hb?G|J?$ho->Z64_{fcRoAiIrHuQS z5}Fvam7-Td7FJzAuk(FrZm7C|M-bCu%uw9zc-6$$QMeW34VTj3M`e@Yig=n0h^62%QHVebI^BJ;ITFcusSwzq(3L;~&ave}5oiA>O>7=L7##2htjaVZI^zk7DC3%svE z;ZTRi%}R&0w_qk8GgNOKE2VxCl*g|f$-U;+M^Js%b zUH$x;+XXt{n-a;-I#RJT&vBD8KVqK*pd=m)k1Ah@xtumWV-h{Kd0mtDunW88gQMrl zXwAuAP$*A0f(*?0S@v~g=1t&-4E=;%-Is(9uqnR0Ka_SZ>)9&7$-U$&1)8e7MJUY* z6aDDAdz3m*#SJJ5zRxU5JNvw1GM;unuuy+Y6 zxa^;UDb-5av|9ofCbu)U4$d^5KF6~Oh@rhQZ3O@TKB z-V}IK;7x(Qj_h8E%dZOL56JEpr1>xZ8}lC~VEzHwy}H!?1G0N{IQ^HgjpcPj=iiU& zUhn&B|9>Yi`zx+8=0D8J{J%kFFB3djUa{%FBC|g@?fx?|qi18I`vWq|R#CHB>__&P zEn6c@;GJ5cY1ai1vz2wy6EY)j5~%XYpa?CRiLIh;(i4k!4aLL=}l$j@l> zad2SI{JQ$7Qk@f-`+-)~?b+pH;)j!&r0q$UrrXoLQ+s-}mZYJLV;fpcD8V2f3jK;3 zdVinxHf1s+Gm}6vQ@wB0D6l{>OVGYGaDzxe)9+w=k%PEF(+0z)$EPcriy5Cp0p-zK z$V;sz&+9U6YiM%ved!ZFl@&|n%g|19qkbX0B^fHq5~CRRKCiL$xz3%zHvwo+H3E^3 z{X$Neq_40b;HHporXqW<@>FjhTHx~0+7KRP!q46S_QJT&<6V}k_^`bF)Xuzh zQ?B+j?4_qL+{%=u1|6e|3k6%a{Ly+@{X$+?@}L?Yp7?x&a~G%2yN-4W&@?2AVL%Kz zPViJvfoRMi@jK!4kk?Lh$t+5+O->Jzbb$;z`Y{CO!B3F8px`m)R>86k7G3pc>lO#D zJet(Fm$;5*igdwNvIep!6~m;PP$q=0GEX~^!zkf8=_`d%=w=jMXF5L07318zWU%D} zU-*L%r%@dN$l`qY4Q%@DKZ z!xWq18*~x0MNoC|>43(F0*~&YfO_%^CO*CgkHO@TChAOQv6+wQY8S7hU*UuxbA+GWt`FyolpFr*#_^P_(KN3(Q5*? zu2ds{w+e-B=9c@nuOhI#+X6G+L7N3uu6-%$h-2|iYqF87C0OEFl#F+K){9`TzZKb(zO2A+7XgmrZAWhw$s`R%(D zxexx6r8AnfG{^TetPIc$mYU@Pfpd_3)G!@YNCQ0hb0l-5#gfuwtq}(Ug2?K&EjqK5 zXLb6si&yYEI;(adctUHg&xI!-H&F*E`||O^jQq!{FMhJH=r(<+-X#WOiGDD0K9%bA z8FgwZYaL=h3c&1=nbn!t=0dD!$)&8_@pdPPUAlXgUQuPA>2LichHWc6k z&{m_l@*>UwAbmu|A!>aF6HxMnul9rBC?$!z$O4o3C_8(Da0^xCbBT$J+x{V;P`!7h>gxtq8y4 zW4!|rCYIv0Fg>W*sTl7^C>{()LTCOQ@Kgl;{xqT|%LRW$cMEf>m4ZeP_h)gjPy2im zggvn%P|$IRH!9R7@Bsf49}b0{6-FROf?ySn1!6Jfd*Tm_vlMuS+kLn54mo?<8-{KS zY0b~?$>3?32Ir?3^aLt$@^$tq3pFr$gnWO9Yc(>8uv=jmSN${tL8hvnsQz3(EWAei zzRlNLKMvl|*Ea6$xceN-3(}K_mJyE6cTdOSv_C`GKVgL;eLCqOv*5=X*@k z(fN+u7%96QGj<9#v2*(F?R?M3{D^@lJkS`Pz^L3qM1gwnMNtbyaaaGcRR#gW6Lf-q ztHP5aoq}9DXbRki$xI4ub#~ zDXZ`JG1^fW1&%)0=JK057D&p!ug$#>vVg@_Oa#h@52QN#HuUBej?D?fkk{P?$;q}>KBa!a?s^A$7?n~WX#w3zJz0pZZR zbdq=69ad4%4iKamO)qhdwk`0O0xrOX^uf+QLSbkHsOR-mgkm_;Vi>da$&+j^x-s{C zA~RKX0>`;xt138DC7x-rES+~%j2XldeHhF5M49AyHcaA4M;{l*SGG&-Y*CGl;2vCrWw$aV?S;OBcC>~`MsRW`M9QK4wnvio&{2m{4YrE@Ym zhRJ;lpBg)45t~^XAVN~LXvy?dSNG}&7P-lJcgKEudQJB5 z)D~chI_<5A{IC)7@^bZ&0=t-nA+v`;eO^MG9S<`mxvp?ZQd7;~jQWV&0})MjJW|Al zP&k{S2>tQLHm@Cjlq39k2Gn!dspg4HVV3g+uKB6D{W#)^szCw_M4X5d5jrsgc1*Ap zV?w>q3y*-$i`+~+nwD$(*^G(4si1dwK{X~0 z6=jnRJqq8ZPZbW9v1e}0$dME7k7;2ryBn`N1Fjm*ZiNNWx^o0QgkKCWD(CRfDU>@2 zv00dj@+{_)0@>9cyZdl)MbjrI?!>HB;vYF9kTBCTcNpHk?{v>n{b>WbEF2}Rq?-3T z)$&B_+K^*}+mU4?*Tm11eQ5WFw)$l8S(N0TkXtql{HPGyZO2xS7lwn^jb8z`r-ia% zmbp?VjhVLn*402mY~1#7ciqezZq>&p&OuKm1n8eA+mySGZM(t(ye7iJ4w^2`@Rz+U z_YBV~Zc5a17Nvb>lZ?3RhS)tkD*8l`_jJeg@=#N@mI}R1jliKv%+=H;lvC(L1H*@)kQoGF-SX=mPiAL5f?X&rEUqO0W!E=w*$2V z{4-Zab^^||_*VI6CM^z#e%r@wBOweL;K4A*C#y7VR%vP9ms`5$dOBYo zi}8}m_aLhL77~pNu`7r(YkIQh7Pc7$3EyyHjhP77Ap+?LV?Nc5#UzT6(H85V5Q z6<*}@j6M7vAym!P@fQR}u%8Jy7#tXmG`iH<-%u$asMD!!di6E|t`Wh)t;q-kuAPtf z0KQv=!A5s;a%k`s$w8&xioA~nnkf8?*WqHb04i1oL`rPz^c{34Xq+5W*fzLoR)O|{ zXD$lw{xhlz0u1bUjwEY_*E+|6i^$b?B2v>NAwRHkpdxF=iAZ4V3lU7l$op*Qh3?ci z7+CF-aZ>ZCi&e2aqI@(LO?Hk?X>_DSWZ9x&Jh-mmq%`$y{ZXh>9eH7k+jl|sFI|4N+x7ZB5*yrlPcxWVXN z(%+bwSpN};MoayY3dYPr_ivGCuV?(Xs_yStn;wsuf%zZlXs=E*W=6Jui${A^^*^or zD|e2Kg^m8d4`ZXpV_~3m*(^o!gTmY2TyK7QUxK-2Pf!n5F;;W$!g?A5E)^Io^)!~L%MA~>m0+8l!lu=g zf^yOoM_8xgf-6)#I?76|N}YFgTIx|h*O>SA=3!Ob70}_iWZ&|Kmfr3@SY>(Ng6mSq z2|Vn{*Yxfr7tQn}%hj}ExWCxm^jATq4XbKsP-uGqGd(6ai)PqlQmUB}E_%IbOz(lQ z@ur9x42!FVY8}Guuug$^oM9ssjg-zFT1@wZiY(?4QNS`ub1tOq=Z}e3&7};BhWyHu zhVD&m883f=uw7k8lv)->3}r~fD28&FAgC*cFj1lI?TuL#5+^4lTk3$MxtkT*cp76i zwS|!wDb<0;pD0aEADOzJU+#Oj+o_cBxYD;kPH$sFO&1JnO9y z34o)k-+#5e3G#%iM@Tq0sI7IE8=yrRtF2X3;FSRwAfk(|u`<8d-tY)nsr*f_A}5ut z4La@vb#Q?t4c{dxnk+|_$ZUhF2-H~Wg=B#PO|r?n#uy?y=1TnH=sJ~eZsQzuHdvizy_jVNaqA9 zC)HIl`e`gdQdQ^6iq}c-(6=K9d=;jT_Q13SB0LwFg#6ruC@o|4e)Y6~0oDPPn9^%BR_uUI0AkV(7^8*NLn;>+|{99`*>aI%4TnKOJM4YrDF z#m+2(fxta?Ku{eQc;$R%S;wfBb*QY2*oem{%7lDd3fh=?NC`oPWpIZep_OJJqSH<< zwl`y0xW$jPE%@GMwJj&O>!{3JdGEY{d&9;sa8**GNH)P3gz1U%{6Foz_a@+$1&$z8GuR33!ciEvdjk1DvQrM1w@!9L7;>5w74?q!f)D*6g-Vn$oQ8~39u3Gf zc9Iicq53AFg{S;zP0be@^CJTHCr!r^9Nt!}4|unj;W6>rGr6v{$()(r8T0ENIUxSs1^g-J|er49pCb zl?u~ic)kYbF)Atfx_ioyMwhx%dY{k;Ht5YaQfjoothak}`*877_hiHE4uDe8#C~j& zgoJU4vzp{nE4o^ck91m=x9{DBv=*ZtR{+CQ7}dTXAveKBd)3OvBzJZWE_}~5TSYIA z7T=%=uA+CX8EH^>YLBSvj{7e1tXp>0WLzMSoVeiS4H*mXt+Foc7n~=KkJlILkOvG7 zE;)lhDs*h;04j4~u+a&6Y0aU)d<-uR?FBVH^s=&ZaCbzW^_x}-TDrHOYJ*uoMv`D% z=*y+i(g;Y-y6D-nEgLYR1|*4ThS>jqAWa@v{clb3B+i5HHW#D4ULuerKF6U)`dZH=Q5JHAf0CM{g# z?GB;K-PQP%Ak=IzwlFcAupjipl~PK<3c;#7g&Z28JYI$rqXAmQCN_c+CPV*z8o9j0O# zMb{z|S1Jg+R^$Pxz;7#AJv)441i2+PfL(33&>S)X)|^re}J#+Tfes(l#qNubhiIaFu@k zLZxq`V5-YEvL z5EEcZhQk46?SuioHBrV`No3=d&cK7Bb`6%xQO%(7a#o_xH(MDBUg{k(~iZf)=;)B?scgWjv%-BA-#8CcKZG9*8kA7 zVKfsE>8q7FILt;9z3JhXaa2FjSdyTvd^}ZZp9>6ay`*ZrB-w%x>RKN0B&zIF@$QkY z^(Y&%R>YZP>RC`oDGK^fQS_4*MH-|@o>3(fSY7QSoe_?~lIp93BYJn}kTH17DNzyc z6j3Wv2XX;aV|mKqp9j!Oo|Crbp$`O=hC%6goc2FO%Othlc1p#LUqm zVCqXkXdqemv*j@cJN!t>NM$aJeIF)@s9=#gHo@CJ9;-CYQlKa^>()EB9B=N>0Q|{z z$TJQRK3&9HWzOPB}?o@(o`!4JKX6{3ufS~g zK1qOm+aD7;Q|~W6m5G|?XJ$DWyOWkb`XBN?vQZefe9e-5EL)_k_VP8KJB#z#l$uOq z7mD1J?6pF-r>33ZhC#Eacqk#5^0ws0m^}Kj>4RykNOLPA`SWUdd8CHQqh-Tq+kNw( zXj59lVF?^}oO_eg-TieC0$L6 zsa%HU(d3Ij?);0!a%K|S(ODkM4_q_&WUINBT250zOuU2ImX#YnF>$Ex$-vT|0KIC; z@V-8N<;#RaJHC%jCB!>(Ro{W%k|I|oTN}M20JEP$706!1Qyql_A3U}mSGx~gp-GH` ziff8u@ZL@< z@CMB<<3ajJp^$toNa+`4&nu4Sgo>`mh02lb4Vc&c>I12JHMO1K7jXG3aXu|=M_gQYf*WKkl;LwL@-V+K{ypHZBy-CxCO>V|li`%^B z9j=TkAQf{w76p})hXSYya7`fKI_N6d0;As-``NqKx;H$paY6aA(uGi>-BgQJS?SEt zeF+w7e~v3#&wi~8fFcIR!Z7zDg%L`iiZ_8nHZ`wz>~ifWhXs+$1;Z?;K31;&m}tOH z_QLLb@K{~H@uWvY9NPo(G6}=&5kkMPJIKofW5jRB$_B9oIU|x#dU-W5-(dUM_AG<# z152j#0rOwb9r$n2ZJ*!>)ecX~FG2xg(8p5&ZV;Wsjrzk$q84-T-}g`Z|Ey?=80sTt z16}of@!Am!sGJ(n)w|N&jEC7(Ag@c#Tz8u1oD&y@MpsvcuoVl!4XEV{|HkbUAmch~ zncY-m1xwIiQgy6J!E^laHXOf2+J3O`tTHqYjs_1L0Ymd*^J2x$4!NtZaB1#H_z`bY z*MWkrTL##~n$s`K2N(F#Am~$uasrWYNYhKkGQk5braNrBJa}B4D7Wg>od;vKYN3*w~JsN;OAMTQ1 z+~1M3bzzS3lX3b@IiO(bx?UHA>plduS8~q{qu)^kTX6ODNr(($T!AqNF(E zjMB!22a7@)Ydn6_-Z(^pVa?5{L$^U_RQiA`!v_>FkxGZ}LPJXFQ6<9WOxagVhvUW$ z01&l_3G$2#flQF}pBTz(C&n=nGyIT8j5olihZZs}>)L_&zbjQy!hOyww_^)XR*N&!c8UxY^80}eS*Fh`H}~w+BH6D2tJ3^d3+eoH|?&| zyo@zd$2rdCOx4{%*pfpkal&2f`@vAOi z-#v=bXIcMb-XEUpcl|WqzNN&jR!hdT>MX_2w`^NgV(`*<>>+Srw@%ESSw6kwf=RvP zg5|c>mYp05h?p(j)rpzqn^wSts>(ZXxrEhJw)%TNYXk?cVF6w>rN_YgB&bf)OK6LV z7+51iRd;{peIGD!9#}cqwUVUNmOM$!I8aY8d`@&Q_W}(U`M7`9F_q*)$yhf{qj_31 z#AXmZ$6FF%2gORql^J8y4VtzRZC{;9n_J^s>=Knba#FetEg;Rb_9ZT1n)njUI1owN zLn2!_kWAU5ihM%9(^ERoEt_%H4|d8U$Pxc|SaemBVZb?DUsUSSQs7sx5asH)t zQI$rc^f5TwX{7c>@sK6cRp%Q$Us|q=sXwEaE_e{kWQOSpwy8rzz{Uu@kwY#!-E*}m zzXW>v2zU3Tp~IqiPu|hlRqMP1?*dQCAft;8y$ovYK4X|zsS)k0kVF@tYV1L&TS0Zo zI?-ZyOa7{SQn2!Iw{DdtOOU&rSgrfQp_ub+9h#Q@sdEF$+HFPV21b!tbAA0z#u0(p zEncO?;25?^P?nH`U+^?%L}P?#{4&6j+U}CWX~x zZxta3sf>9j1 z9<~od^>w>C%|HFrfd`+$<~X)_P%#{1VXarVqs~Frx@QyJA}cM`eB*F=iw0zcT&K2#qpO~8Zk=02iwFru4 z7L04MJhTq?psxixj0q|MK8(Pj5+Mxq%%XCc(7hR-2ZP;Olo4F*8`9m%?A)Ar4>5t7 zGNF|ZRN+({^Cyj~GjdUFVdx9q2u7jk??xI0?gwl=!{G|uVZH428lcOV|aof^gE}m^81B)b)_hC;P4n zRV}n|rN{VcG_4adf%W0GDV-x7rfD~HS|6>hQ2>jJmLAR$gT6d4avP3 zG7R0#seRJyT3;!MJ3;Yqhgt7iml_WG+%rq|$MkW`<4(&VNEQIS=eEW-Sbctpp<2hIo%yp) z{~x9g{Qm#xTc`i6)Bk&?|ILW>*6Dxi^#7Fx{r`P)<*)4WfAg9*1>O{RQ{YX3HwE4l z`0F$6UOThD+OU7<^uOAKxVV0wX-CKK=biq4%&n7mwl<)V)-f`mku|WhaHonblvPym=;&V>(l5p;O9yjv zjek&zR~$jZYh|l%V5|1qzG*dHj-qF;#=y+_o7af`#gjw(;>lrQp{D;wE*0~?cyeA3 z^=t9Jv)BLMdvab?{bYU|?YWV|V{0iE6VK z`Kc^#O^UuCzX28u9Pl%->w)8ugE{Gk$PZAnm()ux#C>tlXR_|S9;*rX0xFeJNT5`y z32ZNe^>|0Gj&ZHHG&MODSKSysK3^WYINIL+Fm%5CT&3!9f0WeYM(^sHTOZwK(t*L^#h3Hag`a6FP1QevFDfXdj=MFU7Uy#(CRH2?yP3WmA)R+_Y;zm_ zX*w?+aw6Nke;ooaLHGfUym1G2_e;WInpAr({Y#VNxNv4JT6VfIURG&YONvV}5Y73; znL|=lk;bGQ2wHg~aFwmPTe%lKLo^ryrqvAtLI1TtWjC=vl6ro|bIhb07A=O;S~W#; zcb7(?3%7*X`J|jxw?$4gOl52lX!qb~Uf1FB;@0I>c_egar6DOtkA@>K4dwKv}*D|jdeKg;CA29;mi5$-RaX# zVI$bl`~$wc60Ed*QRHN%BdS6^PU%;00a?G_tT`!z;@xY7MPro!8Whyc3Y7&{Fl6B~x<>2=L zjq9FWgvj2{zKZYsK8zG^otU1T77aLI`U>jzD(3);-9)6o!*C@{M~E$=Wbv6RN9>!{ zoCC`em7%cvSoe4oGI_)mf6%ayJfvYO0?M5Lzkdwj)@2k8DWzL4+d zvp51`BSHG@9weeaycr^Mvoy#y2&D+_3O&?$)-b=QRr)%5!XE!%CEyquxm4iV_;3li3;{;%x}Qs76@@vQQL}2&pU~a!i^S zGwkqf9#jKfgGTYRMw)4|cf9w_0mZp-WXhM^R%Mha7+Q6*!C`G_ZF~-wmmXO%9V=3> zz=70j{b5$m@~7=wv4q;V9?{EnIqCOz3K*WO+&G5tMC78%bTI96yq_;~n)f?R9l)04 zR~bv0E)i;~THV?Mi*PNuK5%Od5n=!{LWIF4SZlR1QQ&MK-DW9RO8X98Ht8isK<@0( z%o%<4w**>13EFofe#XV5Dn2fXN;1G-?krhMFZpm-Skq(5=I~@iw!n}gOWmb6nW1)9 zps86&N6Ump%E`zMU%in2RXViOEU3m`5@rm~q>D&iYen%<4#>xAa0)o>=VwO`eP~Q5 ziI9N^%nMOp-Gp%VV%)9mJl=H(cWsYOK;8(cyv+WCvABfq?m&RgKd?*fg=%Y7L|1~m zKO1V#8^x}AGC11c;uaMtc&JkkElh9jKk@iiekv@cG_i6qH7uFBwGm3`{3PE98bwaI zO52uLtl+*BpAVmTiIu603QwLze9FwBTDeRT=TPFWCMAN6fVxf`*=!6IhI*Fj$piZxPZ@R71+vMb0~^j$>)CaWS=Iv7VgLko596j?ZGsx`uLUP zG7C;=E9+p!jf_Q90>_w+ps!uWx_E_qksC|{8wfnb5Ih7nV{?+hGNbpj+C1XvR;lTc zByv9f>kX4TgJJDY|0jFpLCdMm7I%xHC57j569cs+krGZ3b5of$yy)e#TCOvGz05%I zYeVPj?&vF4we8i9PhTiVK8;1O%P5?RM*a|mm^6O;rpXJ=zRh!FwvXBAJopVJgs!e4 zSLP}LRiMlFwsoMh;w!SYLTze3=nmP;j`FI3wKDRP^v5*J@Aiaz`y{ri9noH{K*<|N zbmvhesCatpTrEC6dM%oQR^}RyKRg5;KOy$t-%`}rARDX6UdA#$X%(6ltA$@oWfKpW zpV&NPSQvlk96vP@zSazu!Nm#l9;cnx*|EtNwZtmq=72Tz{vjp##4O{-?tO!eI8r5s zjw(jat@23Vt*x}G#4_|?&vFx`2dS5~2!-9ZVCXwZYv>8w(jkX6Wv)lyR?Etss6|B^ z#%`u;Ev5WD9N=RH%;V=7bXI@6tbS^A&^g(hF#qg>+r#l>jl=D7F9daJ6Hc|PLpC2w zF%jz=0z7KJsY4X#dIKEC&$NZ3gdZsw7DgQa1`wX6fJEWwzylf6WQFE5{ z6ECGy+!v;u+DomBX-s_)C3HC`yqZd*xB*-Q40svLx(>O$0Eu&M9<49B+&W@w;_}B` zamH6Bnt>C%bX@b?Zt8s^tI?Wym@+PCNDDb*LRM`43eYHl;p&j_R=mqQ@Yu?ffXji- zW@(l?grjenK%Cip;$6ckepT0S3+S|0%$rHlZua>-e7>=hj9WLuGfWw6y+tXrbHz&C zZBy@uCGdmoldKYP^K2$PP2q*uDOlDsN2Lo~tF?-qwRV*g20Oh_rcpQvFK`-Unb9gN z&$CCFM&^RLHit8(-6nzJetK*bx8(s<^b;&pXt%9+;$=W5m=*!u@;&zXx}u;~X5vD5 zAVq3s32@sNRN~#qM1))oU@cVnpHEOfLXwtynFLhmn34GvHFlhb-bD54pUa-_=Ujzp znmYM~P~)QqNg;KCnkeicR%rEe;bWy@DF+OFOUFLZ4blw1D>5Jb5~=jDH94Z_yQX&$ zDoh->bUz!(D4_$-tvkv(E|A#?_^td9~)954dhfPV^G7BEWf=V_cNzQ~R00{0Xh>b!G(Z z$0vBg4D+Tz{wf`!BQVMemfZ6lNHxT|!?VL8fFnTXK#6Q|J&JvUyA+ayHZ!UiGLj#H zBsMlparFNWdv6sLSGsTU28ZCD;1V=gA%(jJcL?smEw}}D3-0dj?(Xgo+$Bf?1iyrI z_wIdepS$~v+h?2?p6Y>B^{q8mWu(^peRKYGA>M@zuDJ(Dv0 zm_rB=q}e}ICx&CL&Yoh+U%{CIkl%Zm~&|L4qb& zvUFCJ?cbm!rVup~uLQs9t-3(YusT)tDw2&W9&+z{$?hY!VH0<*x-)+D>95v6$RECj zLy+B0)9~(kv!BmezjAudd=hYYb8XEV9L4dz~Roh4H*{7ym*FZYO;LIM&y@?-Gq9BfK$1S?I3A2jEed zM`46DeZ|#Gy2rI)0S{-eUW=Dh#p%T{S&ZtrbDVEJEVVV`(-a$Ggu}O~jp~Hh^Wqgkfckb7i4;V1v4{{s(3ZBIs|OG(}C7sDmnQ z{K~rhv#ogLrWgJd%smpfZ09KE%Pugp5K%wMgI!GKHe!Z7w7-T}a<=jnGo`!>i%OwJ zkvT8sce4}U-#AX#dT|_@&YhN;4mCw0KGHZAB;zW4Ey7|pcWb_IK!U#-l=uZ4hZLs@ zJg@e>NNv5u{cu@pNXfdzQYvDTL+egC(|v-6XX>T%cj|kWC?#0h6-|UDmsZ45SZRbN z@fW(_qAzhCE2qa^jS~z+0~?|1svNcMKpc!t=LXY*7F1IaLInNJ-3M~bs zevy}FvYsr4r7AjEfQS*rb6#Vx*`I5wK*SB}vp7l|X=ynYxUWWLwwG`TVa=R|{Fs=} zG!MVXxfr6&Uz(VBZ<}82{BGA4P}V35HQ4_X6PEQ7Wd8*wWc^wA@n0TppE2PxCj1=} zJ_mxHG2t^N{5x>%*&2RU;8}rZ1)ddnR^VBIzmEx@5YKNOHbS#Yjmd0WI(?-jGlF!A4l_ z=LJ3fL0Imw>F%lIk&BB~+S)=_PTxkAR$4%aR$kx1Mx9p3!rbQZFnRgE$LN^o2!M1? zIQHKd-P3LP?Y#bbMn?}|q5l)3^Hx5PBJM|i_}ZGmJyJw}I&b$gfWzUc%&|f{E2ZPY1ycSP zzyW*n77+Na0FI=y5tz|wnEk&3I08z9d|)@dhA#C@{C2LYl6YV7fQ2_(lLpmD*e7^W zcwWjJe19Zx!eF1~T?Hj&*M7G3Zx86^JYET7`z?X9r0`n;N3o6pV~Huvl!zY&l-*0> zq-%{l5`qCfgD(VLiMa_A?nZi553=mD=s}9$mvJg$K5fVl3h?%MYB+&}91au4|-N4Mt=QdQ5t`Q_ny6p`3C4w|Q{ zhi>}>@qQ?u#9E?C zcQ1wMJV{EDI(bksKEFFJ%&*VrWSh|$hEJmmN z2;5>2Axyd|1|TzMSk>Vr7(tssZ5eVJS@Gk!Hl^HE9yKBCvJOMgd~nIBi^EkTH)CiA z8MaTer4J7`ClD-0!Ob5#cL*6Vfrf#PD#X&8c@g~ro}){@Fw1;7>{C1VDxCT>=7%^q z4i%KmNv;5ab$%RC9W6P15ql1-B%_?-o==D&5}P4XO2VBIBqr{~j~ohyqYScou_ zeQNM%=P{W+gEeP@vsyizwlAvJHq<}e`w&etDlvIjsK#R<4zEIV6<5g4y%D5Y(}#5u ze~mfWmNYH4F@_lbK`X`Z%rx1ei6p0Ad;VZ2p2BCuppW2ygCV(jQI57*8gXAmAI3lu zYhG=tFrg-@a~Pcq?{&JE(5`_BX;n#>NY1Ir%X`LAEAzGB%dVxdYdJBsz`J+|af4`z zO4FQ+UK+ZV-C~N4fyM1Z-9wf* zm`%6#Ar~Mgph`}qzu%pYpI>eAi*e?sd!GiNWyhanWq~-oQX+9350YMsYg$eCB2Z8e zP8-qmAwGUbht|a(Ip?a{Y}FekIg8NL)NG7M3fJTr;k?1jMwF zEKC~TIXS8PLh!S_?tVnd7hO4I2*zPQ98&8x5gPr>#Z|rW&$dr_EoKs!T@|J6C#JWj zU}=Dvue81yIhlRa$i?jf!jaR!>Q zJ0?CdTdQl>)9YwnW~Rb#Ha)~*Fa1rq+&sNF1d`ic&fZQW)1#@keIEVr3E5^9d=a?}G4-`G z={C8IN^?Ik((ulurv#5-bM9&IcD_6?(n$~` zc$XvneQiOyF#3gAcOdPsCnln;b9GPq&ekku2yf@&E2PYhD(+p<_?6oJ3&ca11I_2V^kXMr72kFZbT@uF{o-TprrXuj4aka0LDw#NEppn^d z#dX~9Q0XhwA@3@tuHSnzHmQ8!V;wlWO5RnX#(6=5%O;<5n3Sw4_cD@0Tv%~e#&?LG zP%ey(dT}(mbKU4%T5*nNCwrR2Gc9rJuE}ztO6z3hK3mdj>c{jk9{%>q=~voTN5f4U z#tw=(!BJCfD+xmI+o>6m@xZDa9VXXTCQ+Z`wh^>(zwTN>n@~54+9}^#X&v+AFdtz< z(ZNn01K97;=GH)@O2>+|qusPr0UQZD-w`ZK^=40$0-Xr-nyQ^R5(ZK}fO09WvXWnZ z0@)kqMgG?5z=)gmS_8v@`QhY+QEeyL{(EPK==W{BkX(tiBtLecFq%+SU7aRD!^&^X z?q9uLsd$07!U=2F`t5tapFmrdtuz?s5iAJpuImqOP*VdCD2cYj4=aLBw7kZU<_w1R z?ghu6K;d4TfN}Hxza4TQ-E(D@^#@wO^F$v5M}f!R(45Z`eXPy2Z8(XvEiFxrbhT}a zES??mXNUaRA%9ljS%GH-o)vgj;8}scrNG~<%=%@p{#S?m*K^6A9C9GtUj=D^bid*$ ze-)$wJ_b+d2xNbE$bocEm0AC|L;mY?e>?ww(jotk8J1rc_XU9Dpg~2l4k-gn1+!4w?6k|^iYubu`DNhz z$i5D~8;PIanuuBQd|^MD#2U+bIp05jU*_1VhTCPb0y|x1$%|`YR7s-px(U4ENb06faxvwG2SctHsctbdTLcc1_bMFcDuz(2jlvB z{h>}bNAeT%#o)(UDxGr$-L~(d41$j%Wp37c$GdgFnWB-A5t9W>Oh?{V{q()vIGwl) zddVFyXL->V4IJRjx~i2`zDFVsTBV0Y>ePonqX64iBu zmO69va1vF;Cv(bWzbdIjaImR7$$*dJWS%OisOlP4o3-ZrK*<=~B?=!asix3}>50$` z#^U&pl8&^IcD>tJzPd}pqtxZ*f*dO7rE{U0d6t0|4$<41RHFJv7gU?U;3H*^eTkc) z;L6S+c4x!-;t}O6zDsMv9ln>m^p+p*9zBHjG=o|J9L~*s-UK;=FgZs$i>~tA zwBV`f0uKUyxe2`86GyE4WGi~7k(AFvh$dpSRNwYqlfF*jb7uVY3*HnCk6ajjD0MZ7 z(+61F*pgg=14{zr2%r2gb-~#j9SI{!W_a{7D$GJEIu@@n9OO@! zj56bw`Jhm(Ks8WCn>6)0qnd17Fm`2I*>WbvE55zG06sv$*3>}pl|$Q*yO+$6__SBD zlEHYJ=d47=d8My6hI6{bTRTenMf7bPjTWJTAQD+x$J_Fg5_D8RYfBb+NX48H98HW9 zY}}Q{o-TeSn)@pn&ffF@n1P|-Z6pEI=v80vs3MDiw!)rvF*y|D221az10yOF)q?z- z^X8879#)7DD-X{ew83;xihXD8aP+`YC2Gc032;AMv7_4TDXh)VF75KY{jJ*wU^<_% zc_uIJaJJ|xwN&SAFSbZ6W<9^spZJ(j!t$#IqvaH%|2VH`P}3?|RX4bcTT z5#osI}YtfK9k-^HGcm9_trhPJ;v8X*h=%V zf1O`AldI8ED@6tCD?XQ778;t~NiAUE-#a7{2kP@^cr5ENBfktR5;L*yDnH_zgH0*@< z8Tis*xNvMXcfOLq^DxxV3|vTwybRTq(SAc!-0gcmVi8oZPHeT5Mygt`|5ePuF6_$v zeh`Tv=S`ED=MqqKLOohI&=cL_>i*d3fp##F8pu`jNqA)wbdE`KNfTfz?881a?ohDQ zgQ||DOgQxJc3Mk}&r6Njk_CM1A$@HLc{Z^)ubL@dm*i0OLwvG>QvTicV1uzqn`x}g zFf$9vw{PlZxG{E%@k3MN<}LNbkxOTiHWu)3FKBe(@LrdG>yF-PDVXo5(tn)3k|jk* zm>VHjbt}zUgpjE2xG1|N9x8{Z^tS%Kj4J5@{?%>c%|1`%-ew`K05|R9f6WM62jMw- zysUB_N8aRReIlA%?1tXt;e@&v6fDl31e9`gkeq32xg|#Rr7E^aD>2u&`U7|= zy;z$9Ik(VpQa)usCUx2+hH;py=E0_Q0W|7~1 zk^LU>e%=TFybu0)AN;e+PryNIt!Ka{@a*#cEnnmB9Q40=%=6~UX9b=Wcvj$9foBE& z?-ls_F8`nA+yAi-9!U4wc!S^TvVe4d+HU^GU<@GLe_xjc1pHfF77*~OE(-{Fs>>n( z0-gZDX z!+?w|G=M)BhW)yY|J~xhT`CLU?_BCrKN$lPJq;86@BL&9z(=Y6oPPNAZTW4vf0FI| zjr7B>FZOS1|DQCJF##X{x%>s)`I`-8jDSDUouc=P=8Ju=TV~}>BGlv=cR0YPUd}~C z+S(_R*Gy0YDd1+U;A%sYY!9g}e&U^$Prhg*stuHIecwKAbL0DQu(&uh-MKiPE8qRt zXg?b*orMY-OkAAa+Bmw~Fa5-sFiHwlI;vp%&CI$g35P1SL3aA=+ZzSkd)e$}ljvgE zS(U|j<176M1?EVjMcW0%!9o~}8KpsPQvChP;i=kuE;BecbP)Ait-{KKrh5&h7WnG@ zgnUup*=J_Q1A>L^)WO!zt<<-Ro0ZUNKgNtTeY8|jE74X>#F2S0?{f>R;y*A<)8x!8 zT;~q@Nqth|QX7=CwZF=x`kIP|t)vtSTExX$cD;|slc$twVUdD|MQPp7P!=I#*ObHq zUH0-vuFkY^q-=o-wrt>Z=lSNhEa>>l;^T#^+Di|_=1yo%yJW1)og)zE=H@lr6;)x3 z5!+%r0!+07+Zsd;%9ime8DX&LamK+3FYCKaIO7g6<`y zU=I-ritRz@PY;n|47UGLdV)6%+E*^bFD;-mJp{4~Eu0>RH+R-nFRC>sw3;VeX3n1N zgt8TYf(HT$n`bL@G6P44ghn(`BITYB`Vxn8^@W`}QVtC=v*&x?#y1=aCK1Is>9Gq{ zOPBtveI>Rg?BlXB5L!^hPDpcR(k)OP!G?g&wVC%I4R747LEBRL0MTuk(*Xt+OsoWo zU`u4%LR5%iSdgs=8t&fI%}f0&)0^KABkgs$Kd7&LHC*Z&&Z(wxo|YAv#7N~gVfj46 zCuj{Wo0bPj>SNyRny)YL1?DjMXJuKoKTN$v0DHKw!tBEHT z-jW$tcwZG?-6sMpqln|CIF=p)wI~*!vO&wfMpFLrYspDDVO&>WGy0^-f@;9tmojhF zu8Rl|f8;r?!zx#(YsTi;Ot=Gjgz-BbjPH8=KeD;>cO-c}=(6@fqt7Vn;N5k+J9CVN zrviLdhm@Zs-K4wEH~jeB_+IzgEtm5hSifflnEXL^X~Y4tV@5@6H>S4);tGsu_ms!& zi~1&$saMtz)$ZSc1d+si$_H+)f)*;AZR_gj8F{;&u!7 zEv)t_KB(vGWCC=}Oz#9m26YB~n;#vXQn8zH8V8>WyGFXJw`1`ei5{h3?ExB^9`t_@ z{rVAz;ZK+SV$uy(;XTF0HjxjF>@F3AUfY1f7comG>w63$!%2M*HP>A$g1HWri~dGk z>$;Q@J0F@v&Iz!yQ3X^uGB{Q|ReS=W1K|#vc>|x*#cz>bqIx_2k3@k4jS1&YnpR2@ z0G4+R8Zq6A8Kf!YoRQxde?D$>3M=@&&APnMD@mX$2=Qu#1x-iQ(NCv2MN>Kl{D>I* z!mNlJh;MRNo)6xP_yRR6-ytYRS$ejm_!z(9wjr97KIUaK6Rd3)^4qrb(X6vRx(Fe# zGL!rvWlE@g;AT1Ws+snTq5^Sd2OXCwtj`(cl(wcmtpOT5gG$YjJn{H?PGk_ufY^z2 z3TwxEaGeYDdQ3C^&{SHiC=8|4Hhi0OZSij&MeXQY{Zl(v^SnS7CtbjrL78cH2eNKE zG-RPR3UWiW=R8^vYX=IDN1h9{;-iVl@W{=JDb=B9+&I;N{DYu*sT?dg5--SxHS+Om zZ~r8>YF)lJRFUIZ)-HCuY@{Y_dIIS>6Qk%KzhHaswhf0T9#tZ^tgCdE)JfZSKxIsQ zEbChB-|BByErGvlaF{MTf48rjAfqf<37RKZH81asAYihA9yGdqaxMKqm>~ zlVU*`eN)RDVw>Eon`;R#QlCC>31wcrxn-UKI~h-cM`q@iGDXt zPAaZW^Y?5B#CK3JMrMrPZfrA8-r~Tsvf7dt%FrE~b)X7X+2o-94qk2@l>mR4v5F4_ZY8FAy9sLwL3}vk&^{#P+ zM6>iMDlC~)3y+Y$#<;@r*T&)vxUn;k97tNeRb<*oaHu&sKCJ1!In>D%>W(~|(6Q`K zwI|rf{xmGzLbXBHzHAx?^SWr?KF^g(kxZO(swgvcI+T&7ME}AId2fiRxWqE?yVjc? zj@ZN#PaxQ!rW=O-I|nO)&RhhdXa>jBrWkHhJ3}F<9Z{-_@&NZ~+Xwe_R`8q>3#_t{ zcB2dM4}__K*+~9alJvMe7}PNX#E{~aR%bC@VODjDAXTktf5BIuvx%a1C}sD zW}-3j)d>%ImG$2XPS6p(tw)av_WeExupWrsbkvTZ>r=|sjC(UA7U|8Wf()a5aOf1b zn<@0booSG?s-HEMFW#Lu>U%VXz0`g{LX_0Ld@1I~$9Fjh&cdYf4S8qkI$TIfJ9vs9 z%J@Ptp2>jw=!BOW1$#QItH=LE(W)4!c^~MIb4?jKeaYMR=JUDmJ07@{F<3g{GRQOZ zMG}Ds0}~6?f$3a2)o173r)T1nac_QD88G)4DsP{8Bb^zY^={cvwbQM)^{nEfR2nXq z5kkI3ox8sVfEYLH}c;m$@lqSqOT1Ds^<>q$WV&6SPzc|^5y54t(5^9pYs%w=%^J8_|BE6wV<2G-}gkh+n z6IjV2uHFw7uy*i?{TbSA_mszMQrJ%FLcCH1FZ(3DW(CP5ff-odaA{wNy&$Bdv1YUDf)7+$dPT_pu4|ucDoo3^;VvT@vl=(4#*&~tfDkv&$xnSFB7E&IwYimzJXrM+vbbRVr8 z#9*xR!7=86s`wC~+|+V0?3_fi?e^enLx1cD&zBLGz;to z6Ot)fpE>5&$SwWXF*R_zgDo0N>cg}M5wVW7*UFmx>n$8D>T9c$=eb9$AWXx1lr~ll z5Wu1SxTWh-smdFLP9?R%!#0!G`X!r}<)1+{sLhqOwnVYduNS!BIYcEb82cHEmWwt>BdSA@|xA8VaSFGJ$~5Z);*=(Xs-$Z&r^c&Zx zO87yCTZU-KI2NGQV9l|J%Ow|NFq6}!UU@luj(Slok;iO=qd94s85Tc`KQuoC zzc4`1F1vA;(1l1jwgB(_cz-L~C=4=uvx|3@V9{k6a*)#PI^Ww@725)j{hgT^0C^1H z3Zc(wes4y{^z}^<9~SEJ(l@8pZghH(D5&IA{8izTSn(E`hz*tUo)5`)sgHOz#Nm?X zFYqkj8P7h4up}(>jGjYS1O$4zYz7w3AuJms8&iF*M~C+r&;Ac^>i@kX{y#X`|CK|Z z6?j(QS%GH-o)vgj;BVKI{o*{o!KQ!V*{A1{KZUSGOX(!QVH!{tLsh{7QuV9A*T3Yye}S|68++=;@hg zfRBY^Kmg4n5@P_+(>!LLn3w@H^#4X-pDyXQrT+RX09>=TZI^e!Jy94lbFez$jaKaFj#I!*6X|GotCANZSi*>b~ zu{HPDzPYr~QB%SYB!4hR%^jb>6`JnW4cj}}>d|(ZZV!res#kSh1?Oj_Fu0bN7 zgi?ikbf@x=L$?!CZz%$NFFTtpnQBp@O$8UzW31oV%OCCOiKj>Xwmkzj}7oC?x1vV;| zly_Pjg3Rs$-dN2i?%qN)y(82Es5N7)m8F4C@q+Y)K z@@hLr;B(r%TR31LrE6cgUhg_!>lEBC=R^A!a8SQxIb8iKp(jzUCrK_*` z<|0FCLOq*598!arzq%PiT*HJ+m3zSpdNP^0N?e$@fb?S=?@fG+`Wu1^Pr}cBXdQYo z+f?yzEhDfLBJb;W%7oG3l0J zq%`1VHtScH&7xSi5}S3y9B21Do|LxI5I+PqPW+7r><0C^qKZmGt?=Cwe_=C3D0^6S z3I>*tWAtoGRyH(2EL^y&h20YJjReZP-NVl~ub^0ugH9p7aQiGVT80S4K*jl__6HQf zRZOTXm9y2YcSD$$tY_gss^+c>8U>jX%>dE)>G%SpslSTie5lM}A4W&48+qsVO~GbF z2FFH)k)o}o|I_E2_@iB#14*qj7)xiB17zXufLTT%x<(z@4)$^uc5YW^IoT+5DXYN@ z)VliXyCn_j58*g7>dEVTxNNyb?^^peIX7aJMWMVS%j#n?d5xCd+73VDPT^8ibIa}{ zL<8wK7K_*uO%#unIeY5fFb2F*2URRA&U-=Eo)~%>f^O|m!O>gi81=SK>=jvqqb0ps ziq7z4;)l`^a!M`oassY4(4fZdivw{DfdWz~0p3v54-8reSkN5ac&aq(y@%)#B}V_!w`($UjT-jJrp)iCWS|yx51TRPtvRV%Y1Z$cnUA(*`#i6@M+` z_LBd4PjbPcw&E(syL=;df-i@LbCTD|cefDf(kR=^{LYftDlnk{2Z$G4?hq=F7ZlQ< zX`zCZzi7v-PF1^`wSDBv=*M?76VbHGm}!%6LmF7XVk>Eg8zC%}34tZ zL6Lp3@oan|ySmtR#wz2B_>I)c42lR9)9KEdW`)UOHjtBmYt{YJY13wv>PlQ?IyV>I zzRBy+py&*Q*SVMb8?ziOW;-r6*yF?}`ec42Unc#wzLHhP6>63esaKE0 z+p7~y41TxMd;T>tjZ1*+Wxh=;t~NVI*@?|%{+F^he#e-%i}{7I4>aQm6U;$F7GhF9 zKaQ^Ec5vN4VH?E2MQK$ zBNo1PteP4~o zx=!JW&2{Mg#%w89)GbLrdwRQ}qKBA-{8V>hMBu^s^lQ7uvLF zTsSmyt`Aah16*N=joT%4mw>-?znm0pEvRc^0)n=S`p(Pb-F#5U1kZvhBTH*}SV=LkOLc@)hG>_r2zy#L*{W5vNf;ad-lauD;uAhsqpf-hU++X1*64<%_YGnC5r*2yw+STqS zH#GryZWfJ3uOVm)r=qJvU^@GuZ*uxZryF3XEo&Zr;BAl#C_xjKTbH@=OwzTmZ{q{Rb$C0IYfg3&76ihkUv8yc zcy=ybPo0$-oA;cr(5ODa(7hn~C(oXfXV1yAXSDG@App;I z*0Tc73OpM;m~EsWy2qcpT3 zj)8O0?Ceh9F1`>7jL8rQ##DADB(E?vRKoO&!P(5cz`jh{Pgx7kh}+aAqU*4p)3L*W`3AZ6xMkziB;V zbV&?8nR@ogtODr|#l`};;*SH0gNp$LToO6OvONlegD{XZ@`Ed+$NQ;?Muv^odF4M! z-^Dcqm9RArY?LaXE-0H!i`(v?;6tS58uT+gsN5%CtBeX`iSFKSSb81y&^{NcygkA?=M^{-%Xg|x@KUDsbX z&U1SeIz!Yf;iXXqHE77_KnsZ6YzkC#8%qjRFdJzU3Jd#t-vQ`H8`Bm>Oh>)&Z$gPL z9w)<8?(BIub2hIfk7W{r9V?h{bP29NMxJ^IcQgCGq5zdATcmmz4jIC@j18+*vPYag zH2mt7{|chjbE_di;ENNvOsJ;>=<>Au%?zZNVgR`iFwh&!!bX$|p_6owFw&0_Rw2h3<{vgS#a)z%WO6s#t*xkOb z)NCE!j#`k(Woij40e;xn%I&_XLdWtoRNc)l4=>@hC4Cv4W@&taL|@KQ)6W+NDYxAN zriAC933J4s6Zv{PM?vM1XaJR(jR+27B5nvN3D=O$P#{aS)Lj-HgT-kTW-W1W37Pfs(&==OANW^ViTtUsAE`0byyWaUj{17$#XeykYxlSNW131?9G} zp`gIP_%jkK7W`$5LKe+9QUdFH#-v%dV54X5oo)S_Z)nEM?BJjZp9VSxT>> zW}~ue=X4GZ3i-G!vj^22M_s`(Wx6bX5HaLq9|7QfPSrVEbJ0{+zHF@&4*H$x`Dh3d zv-(|cvN406ut{o;9dcoSzk^XpDpl6}%odN8i&e7zGGB;>eebKMVchkFsDPs$uD_8N zDF1uoto+Y1MkXUA+yU?NTk7(!WxSgC2hfgQ7wEgza8&sEAFzQXzDUrv=p-@pwz;F^ zcB4X}8GqP9##`01vU!uT%#nWPvC`iB%gPxiO6;&a6aWt^H1kddyyt6}snpZCKM z(HAIRFMo;t;BI#==v^_F9~{ld*<2+vNY5?Vg=XaGVDf5Se+fP^yFYJ#qembFRcW*w z{eb8e=(efm$J146MIQ4?rheMAEGK=o0OIm`)#miu+G&{lOW}9Wr?ZoMauA*3)A&3k z541`b>D%+}WyP>8N-af&ALX@RzgK&A*VL|*U!nC`aPMe-mug*#s$pGZ&u%Z_BQu!& zjOnx*4*}hzZ&GYobI^$|r8%*Mz)JjG-twiazmF1zBjSNf!v2h+#d!87G{Hb%PF0Oe z{rEfH))HNT2A(pl_jhN9TPP|vS=qVqd@^LVE>1lZXm3kmC&s@b)TO z0d$QE6Etwyqd0gvn6^Q4HPyw?(nTxQy5q3&awcQN7|ZmZTraOat07k2+=_*pEWMan zq?QrjvE>-hX#&1mph$ekglr3V!;8M?72ZQGxkbHppFXFN)GPG0Ty|^ zMV!-s7(dSNI2*{lEPm(Uh_tRs^ zz@plFHTBJyX`c$kC$h3YUoE9udT3laTzD7!pNQ5_z|~uzuP@|zIOTp4%>il z$&4dGj+txEqV}UFFCxj?2jx6?1J&Jd=0TDWbG@ayscABQ2%$a;68#dan2eVYB7LJI zHo4-KK(c6fvS9ws6H2Oh%kjL0c3NQMhaemsVd0O<(+A%m0_!{V@sxu=)H$UxpjI6f z$rY8E2VfztD!Y-*NAND)==Pkr*cm_UKy?Jr(ABHKIfF68$@K@!Z0W3vQn$~)j%ZM2 z>^ArF@Yo|lhDX90k=e8ILUzQ5PW|SS(yybYQE9#O!znY!*>L^p)^DBkf{!>o8zX6m z9e|q5A5O3DThZ!!rH%_kzHmnJWiW-)Zc{4ErMOg221599g6@rE&47MuGSBF2jbNLI z{6S6zc@OLXWMCllW6qL?j#{6BM-vlIfryZ*0LWWm~6gI#0LN9Z<8)`IIebYHx=jyo@hmq(<+5!W`H>MO1 zEzKD4f@m(tR!e1+DOH-dGXI#J)2U-Nf*AH0sn=EV;7;T!)yR&@*we1){w5LEWH@}< z*FZS`2=51?O7haiDjT0r>+4dkk18I4wJ#52nUrAV>s&4o!6|*PAo8|fOzot|%H`P*#6Z$p7M+aDC#xxTbCI=cnv&7P!BL+QydB9pxjr zQdsd?zrQYk)TPC%HmMTm74vo?p=w;mr_r4j8$n|ZmDf=+!S!J^ad*-^S`ITsIYOh z=fi6^?kF83k{xn7j<+kn6FI3+E1vnP?d0DyYwVbQv^oYx3qsJ@y$WY)-ibYMS=y5w z&~0Aj33cXHbi*)~yOY-2y-&+)u}Gjk{mN0j;gdQ|FenF55g&NC8Vc6C4pF@88=Q@h zXt2XiM3Ld*SvPuR5j~pXK*G(+o!Dwrgw1ZyCq3Fo8lpCNWLUMHx2WI$?VL!|&7XsV zWwXeN1yX3y<>;k)*&PHLO;p={V`}k;2r09PP|I<&2If`(F5i@F+MMGSs1IIJzPp9# z8(6JDtK7F9IociY{KqN-9XAV`u83S+W@);Z$ttZH$%Qyy^<MS$}a| zApRa3Q)iBrK`DVi{KEgo!qDhPSBLhN)!W0}ZjePeH3&0vbd6|P#931{e&29`NM>$k zuLqo(is|}28dYgpzTU3AfTUgfsRvNr$Wc&D<2CaR%cU==ac3AKyAyJ@;r-jWayaA~zKyClvl(I4CEmp8qBw?5&=_{doc z--6&;MHRUE?TN1FvvoI3=?k@v{0z3OWSUpd{V@9L=sgW8M z=Y_9VYV0cX2^Yxny$2+ZIQ;0*IP!Y(Eh{(v0Ph6#abq{osQ|nkSa6A zgWj@@+e1_AU08Bmb(`JI;Re*_a@WMj0gF0|kBYffv-WKJ>KU`~=o$8_Bb&F}AdoMv zLC&Q93ikb}8shiech9iz8TS1S`v7bVfVXt?Y;<%)KOHOwGfOspYyHQuQ-62#)bIYy z-#lJIf=$3e*VgQDn(jLRP9j@dBRw`|26{%I9=#s5Hc%fx%?Qw8q-J3T=usOmG3c@A z8qn$JurU07c8~9WXa3Pf+gw-wkN30a00G(z%-YmUKzc@MMm=T#wYH89JvFO7Ga~~F zy*52FBlFYypL0wcw2vA*=a~K{kni_npAFt;1)ddnR^VBIX9b=W_#eQ&KlMlc{$ueG z-aLhnxVZkPnPm7GL;3?L{hniDcnT%`b&lz=3h~dwq@HM@>^~3r{!AhNNyzt~Lqty( z_=hC_Xo%=BYV~`Di2gA~^;1YLE?Q}83rl@#8zcRX92~R~{7k^dYD#-GX+0x$J6xbmY3}{q;@$fZb>%Ne(x4-dlUx~`_U{g z7Tr>B)gByeA51nDlpk6eEcjd(#TTWO?B52*Uxyuih4BHy$~*2 z^womwm`pH)!@_lz^syQdW2_tW{auFhzKTiZ{*)rweh8-0)CC?JPu}~gVR@27aTCHF z##InreS`?b*xIW*vFVfahVnyXFR*dl+5GffM<=o?H>aApWtV%0M#mz9(w&jUz|cFh zi~?Jx^8VU#+2m|8TW{FHe9tY8+WYSk)gBC)3e-ib5hk|3XxgHloujj#`;|5O%Cgz< zEWQQ)@htN+r^DzrVoQUgd-?*+dlG}%^l#Ih@W77ns`P@S8neR+r1r)A?M(5umNTcus{DrBq(a=G1npjIgl39fe_i&X&tIz>5nl};RJfsZ|PFAu8f zXR#oLzCi!$$6~>J+vU~b`SH0lqMtD$KT1;%XFF$}Mw-5K8Y_z~?f9<|N%<;~rasCP zvWYR@@MK=jSDTxWsZbWUf8i}m(fbOq<&2W3S~_fQbx?$)j>5-Es3p}^BFgfsT2P9| z8{&u5Pzdx=^90?G(me=~xryQA+b`nFpBS#RHx2a+pcO<}0-dn9urOswFvtpa_ z#WX5Wd@oD{F<`7EN3L`mtI{)-JO*t(u`2nJLNIX2PX5qzK5;Kg4+t*AM|SP?UE^4! z=%N_LnAwsUFMO=3qYfV_gmKqoNJMS%Cv?7#86`PFJ!j zbX}+vsDZ8bnZ8kPRbk(K@}g%Y-8B5tx-yokcx-rD8faDf*e^J6BW{agKO;7?183ey zFh0b_GcbT9Zwylrs?*UtfQ*$#x$}s_0DFNt^I_Y#BhAt}s)uwUc)zCY( z$PxjDWvP4>dMR4-^BwdsVv5r~elt812ty+uEM%mp3lfTHHSAtN-BwHX^dqp6!c8vQ z70~IigV1&Nhje`MBP#ZSYieYxH)Vv1oz0z9`lhtPESHHZsqAgaop&7UEeP#A;!dvp z<@j>0RKK%orF>RGfMQ^tD9DehEXd2WjD|0(Y@bd?bd&Jc$NL4HGK$1X|s!D98Evt8r=R4q_`jOt!EFJC!giy>xmB3wX}*f!EN6FInA}TrVbpA z;a(zp)5&Fa!Q0e%gz-Vs9~dK_>$h(jebp*RB5>$5F>*R8G+t@f-5QJ~d0@LPvGxoN zGS{Q467bn-m655^6mATD>6LDb5ZMNaOW+PFwgqH+6nsK8>y&#RQ`Twx@mQi(W z2^KC8+}#Nd!QCym2Y2`2PH+ew+}+*XU4pv>cXxMt+}wMs(p|T!tKRE={Re(<&K_rT z_S*9t#@K6pd(PSRk<^0Cg3tz@JZ0`hCxf+ITA$Uw#kX{u76uYV8YFq~0zh~^7QEwF zpg}r~uMJDHx??gI&8QU)+-&>_btR-WIkYbRJys3!VOjgpm|vbA*6}4JdisM1o@PO& z(P7xIGXZh>PSEX@z_D8fSnH{(E;$M}aV96>Kqf~!AljTDP3H%ENRnM;tAtwGb;Z^$ zx691(Y=>FuaC{4U-Q?!=*M;9jK%pcH&+%&jp&}!6Ne}Qu?(w$8^57AcV)4TL{hIVE ze-CcOizCA*sQ#njjq7K84&a!Hh#+-q(8Hb@rt)BXa1=U>PEIn|eNk&|?)cr$(Y9{g z>aj&ToIwHyN!rH5vnI!1m=rhB{Ua$fx%^3y+@jSnV!{lJe8iPBBC_X$KXnM+LY3cze%@rVzp0yK(@!1mcY#sf!yd zG4J%jAQla}1@8PkZ1h~$*AWMPj^#7N-Rblagr`8aQq(FjuZk@VWR3eMpOOsfh`pm} zwz##<^h*XmHfZWkDOP;(#8FLTOv*J;6EN9~FKN*iCoW_)D3qTQo=3Zh;Kl2(=6Y&c zu`Xp=!VM`m$3j7FAv`!ITwg^l zwVq8Npirb~wHQ2-bRKpW;M6pCrH;Y4Xx4^F_+iX6Xl|~JAH(jt;8du_Drw?0t_fUbtWFGV2**tmp(XQTB_~W)l_oB!f z;pJBm;*2=%_qS56nAu7`-;(htg&lY-E^W`Ul+&>Qsrb!p_k^&(@VSgR)$c-72XLX1 z%I14(i?5qabYliZy@PR_XIVXOflAGlmu)k1^CReJg~(AL-}*KdXM}#%%FqB(vs+K+ zJke)=EUZ$gtPfg+TX8GZb#5`$%E7`evSVUvdhi9OjE1Xxg{jGX<}ed0mYZcNX>s-a zJ#6uq9>A^F7FdXeo{8NH!fZCQwz!{Tq0;#tYHPk{m8*FX%gJ`x$nvKb>|*HnwiKnb zA6Rz)$x1wZd29ZU<1BgLJRmN{?dHRl$(|g=`R)-?J%!7$5m!P#+*+TmM2(8aoLMzM z7T-74p9I@_E8u-;B^F6yuR|764SaM63l+<#>p{UJ)xZ7b42RmZ-+A{Q>uOS&350@~ zf}NKiPG`$`Q%0(3xYa1bO6dSDXHsQJ;Ysb?N8mWJ0UO<_T32(s(3gEV%}NVpn%kvC zQsy0+^V6NqwQcK`VN0xavCV~?MH>sAQu@3HQ0V6+kIZfV;JKZ*0^r$gC+IdR*F!Nn<`6t%(MJ1gNGR{p0qn~ z$HP@%*O*;2p${$RY8_TCD&-5*P(s*eS$$(1mNt1(~lCq~~5v}=$8bSdpv zkAIBBPR+A(d5)+H9p`MzJkSHOQ-o!$ZwDj}OIaP8d_M^QvYih)a=Y=Uz+U>5Kb{Z> zmZsf2gcKR@x()Vfk~g=5(2wM+8N>{sp_Z>DbvEOSd<+t)R_vbl4P_!Re zDpA|dkf%H~sa8@c2r|wHiR~yCd?S<#Oeo$e0rf3JSAW*RV3);l++oa-;nZX-vqNk^N zt#P8VeWOi((k5DV2G)O_HvPrke=Z>WC2ji0{r`$KF}(sMMn)C}DmnvNHY!HF*JrwP zI?Pn8din;;^vtZfv{olA1D?P0~tsy-l z+Z%2AEhg{PO!h{b{=`TB=HuH6p!+_{XD%tWBp=t{BzR$KX9JRKkL+(8D9(J z{^sOkW~Tj5?QIOd(AobRCm-wUKha+>#$WRH|A~{2fsXAL##pVQCWYOP;g+T~9=1dw zIcZ-OsYuZQZ5qYo2)$v3%)tk&7X%DSqg{3_wZ*cXTvq7f?3pku?(<#VUcv2Vu;94m z>7n;v6fG`nI*jL->gCqCrmp_hrlrxbxmE4ya^C))B>)o1QI={C#UR>>=Cy;Cjg%mZXI zd*_(mm7<=y3`GqFg?=IKb9|a3!G%Y?JGApUUymeL)UWSwRga3`%}jM;fm}n#fOb1y zl$oe6%yQ?uk=4}NTI*jfAG)7nisf0*Jo;9T7xXsv_xVVQq~MENo4bgnibiL&T9;Vl zH@#f6i6aiJ*uKJRqnu{_Qfl_}TC??9YBuv*saaa-2InPg)<(s_)UBiD`%h(YEX^A( z2Yu}grPHvRUZyQ0*T4Dr5}Efur?V#--qDa(va~flI=Iw07hi`Ojr0b}oMMHlD@CQN zf{~;U>)_~|m=vm;a^~D#`I~^~ju)beH2@zdOww=AD|#dyrf4qVHMVLEA6pzBmsys* zKPjUrav57r;=0{G2h^?8s1z&y%)e9QFOKbFI3=P$Rx<(|f$ylMu{?0G&@cZboKOLp zTVW~MDY_wo4Ok|3C~w}gv6FHSuj-Fhv*DkuX0Th6j@pCocE}Sr(spFRwi}P4_eG;g z#TlCRp06{fA*VMU+hvHsR;w*5mT!A_K9pda{JAhZDZeaR_dPO0Tr`xl~ zO6qB9g7dKwTu5!Dcc60u7-X>wE2_54Qb~!6@M+=MWpL$Au4ub0Rua?wemC=#z#roV z2qkWE(2#`Efuj+BT3g(5>MJ4{)xAo|%?o9}H*==QovE%voNRh^^939czk??%cbheN zfW0(!E)&N1vBki73|5&=%HKnCMMl9wZ_gYX5-hD_PP{!%lT4JRG}n%ZG-trNKktLx z6BfuUT(%82dBbreiYbB`je}jI`AFXIK7y3oMa8wFVQ+_pzD{@lqkDWqv&od>_P{uO zP8}Ts8-Y*Fac|sZ?sS)WbCE9gHD4a*1@|cXH}(VKE!+EAjc{cl4BI3QOY>JD>eIT$Zp8n3~f4%}Ni8C@cW|OD~FD`i?bmH7Xc*eVoKYWP9 z+q-VwryrrD_mvr@M99If#6~;Bmv0(vpSGcLhanJjH-4CFy6>fa?P$hXuxwI{#aK(Ct2!Z+GTPMW#V9;=cq{`V* z0b?a7L%GUB2nFSUKvxOjDQaq_4nKOc-8C-KAc?%RF8Dx(r5?=qeVn1$b>Sz5p<(hR0Uw{Ig7H+)X2H}NU0 zzp#rX8}sGC`i>utN+ICK*iNfNl#eDN)8nbE=H|`1XLAsiO!f}dgTA1_HdI6TjHGh@ zrIh_XPoD?#hff&?#PVO*)4DV&viy6)nuA;!yEDIiaK3upU*A3!?-nd*Vr~jnR!1qb zKk!Kkth<*S2<9~8YanijHlS~ICx)6iGU8}vH=aE;5!;rDT7rW5uvL#B&8^Q<{%+HB zEI|W?>|#~i+fYlX?D(X03#>?rhGU=@svE2p!`v=ki^;AFLdyBvmfhykB&C;sRPVqH^n<;1uCnH=tw+dw~4)rv16KQK#dcI_}HIG=5H1 z2sy1XTyg1n{15hXcoBO#TtpD{mQZ7zv?vSs=uFrKjo-7gj_5hR%8Z09Bi3W|9;(B4 zN9Y#RvGcbr%MycE8o!ei2ZPI{QfQRS0#YIxHz5+8C;x^io>_NWbP2Hp23i=f=c5nr zkp2Y+lu{8iHvyZ$>M zcN+lPka##hevM<_8EUlgN_s0;qU+w<7xwG|=E3 zQ2;}UVFAtuZjJc9XBnU!3RP545(zEK>oM=Ov8Lad=?MUI9}jQBs(3n$qW<7lbjU$e zu65-9P$Af@E3X$An9tycBAzi+NLiv$gl>{9j(PfM9rt1z8jxVUAJi)Ph}dJRx>{XO5B!c~{rg=+ zxjQPlholkJwYDdpX^Md<#R{}*Nk8xRL%wu;%h@}XSL`U&IZj@iN?>#+PVym(f^$^LWhN*YZ|4q)552_ z8m=mjD?^)bnouQLk1*G8SR*hZWU5jcO}s1)&EKZ`CbgI7!fFNH+fuxQ7fFsWLd}ME zU$xpwB`C0OP7Kb$=Va3QWe9aouujmf0iGY*pF;2H+3clyTow`U`rJ}o8rkYh%s?J4 zH*wdYaV}L%y3a{aq~bCsuBBNktkg(4JC)(gZO0kH=Y{M}m}2dY+??(cwuYwi7x;Tc zO@i!b(*PAE9GboYni5h0U)YqLaIPiaEBD_T(9(EGk}u&`l3+A!=HX`DmEBCxmP(2; z6*vmlpMwXKSRnyPJgjbDG2Gbir;u!pLy#BZHf4_%6Xys+0j)7UIo5!K9F+Ps^F+f?zt62FA$ z=y!g?07N3HbTB(L$pbPZWKX3bZ2dx}4R9>9nO5>H%9GPlghtpO)}7QXgrGKxTXh8X z;lhN0q^)7L8)iOf3tZ|8yyBxeeeJ_Gc{}U}I1?To*s-(B=^0;}Wch=O;+hu}Z2;m3 zh_b)Hu#9gQ_N~0^t-S2b^k?^mVgF6;`k!`xTY7&};7x%y1>O{RQ{YX3e;vdABs%|q zVSmm`eo6Rb{RQ&;ox(Eyw4?nsg=PGm(Edqb8Gl0ASAg^fjb-|S#xnh+vHu!v3ZT8u*GAdr;)X^d(FSVqot8F&^OlMvvgGZ?OmDJn5bWKOIaD|HGbj9KM(l7 zz(@akbKuWY{I3Y5+W)s&H5P_nYt>j8{!^{m&kx~`L;nvm!~dq-?6vZY<@F=_qs#0c z{E94eudwwWi_U(2q5c@}KVyk3EWfbCXcaZvg&q|5ow8YBS|q+%jxaYa$BN z$?6&o%m6R_TI7uIXpO6x8;%{ykJ8LXc8r9Fa|;bCvBIN27P=+5Rtj<5SrfUIS%i14 zj&v0)(T?_eano?6goSxDKHE28aHWNW8ZzWnCoTmSHbWM+!k^uR4QHFJ0D)qKggH$(wX)|aT=Pa=#3bL9la|vr&BX1)a+Me zO7$@t;h3L>X=PViJRj(4T!vgM?LpcYB-g#JtLVtdOO%oy4|!pGaJtlG21@XiLBQ20 zT%0W|lt0#0h%s3Twh;OTqw*!AkD{uM8j)5MsMCGDh1_Px$A0~X{C5^m?g|{SQ-I7+ zF_zTb0R`qS>9&YxfEmr8V$%ykJ-E~H+>Nt&E#EgiFDpM>hTEweldVwU;?!OEHyPF_ z2; z6FxVAI=H~p!vM@q8TMZU6UT!Iy|h6?BHne(z3&0k=%jVv@RZ*GpPEbqJPhg+aT7EW z$3v>mN6#BE-$FJcmNBw)+v@M$6O;!J($k|3tK!c>VH-?C z$`^(axvY;z^Xi`{U;6=LbEwf94^38MKt>n`^3 z)9b8%D51o-ES13O7r|)Is}e@OJoMlV3Yeo5FlL2(w9<36+xzYbC|XdZ9Y;WSdGw=>iSE<8@5$Uj_47)j(FRKJ@9y=Je+b|Q~~Yv_L3 zVD1D&3dk2vy2D`y$fd>6N>iZLaNr%V(*$rU2{g*(x?SK)+wqQ)_A zVn&RxI#g1Ml;JM7aj%W=(e+7DkDmXoXvtk*vDmvYy;Q&a9yTkX{fU#GK0AoF? zCd7FkiQ#?-TpCu)Sxo8X9Gj%7Gw-{1aN@yzh6MLb#0k6id7xG!Yit=xyjN}YVG{wd zo~-5c-v^>Ynu0GUp;xa}?w=5n9KB@C1nOffK`-QU+)GsYtUuBDa5y{|x4$n#Cm0n< zq)NGp#}{8C%B|l{iCbt4jmB-@Ajd;lchakuwq+7LRwC-${Mbj7C3GIX$*K>RBm_$i z9?)ic$7kgVfU`@}doxj4K}t?`6bwm&tTLFJqPVwslySUqTN+2&Jr^O%iBE9X1oG3y9tF%02Fiog~%oeU-c|*3xfexofCh2FpGWI zW`Zg7@|o`-Xn3+EG>12YpK6lhoqpyu47@R6grBabsJCuU^dxW4QE4OJqe`7pIjSR< z7wK?~@q%lGdTo*uR1gtoUyTbO;){wjxGxLE{W{zeA*l#W zW(|T|A+*~C3Vm>3R$S1lYZQQsu@!KuP4~%=z~lo3knon2vaJ3X^4Wy5fQ`w4(11%& zofA5$5>kUmkm{luL*ZUt(!7mT9&UH!F1zGf>DLQQAW5JjMh)60$P zimFl)Ha+uF`Mt{&9vAKId&%l{+$Ar><;hBP3!nN7tEucR7qVM2mieT@6zdR<^PsFP zM>*@dD(rWSBq)c;gze~thGz2K;sb3A2453p#>(~ys=K-YmaqK8)CF9V9&-4&z0t>6 zSc?N|5kM4!>(IK|NBYWbI`SsF-E_zz{^ck>-b_{AeED|Ro*R}1Ym;ty!PA41kN;U!Y%aL zt+XDi0y7pIG5#zF=6cUUCUfOFxXV6S*=HcRmaHCmXRI@sEOkKvPm@go2HFfssT5U!_(2b9+*1O)7dP-yH!|}t0$fx`cHuvjC#KdFdE+li;=Z`mX zwn8*&`H$uf&wLx%!_mFDe;=Cf&R01zO84ttf_eb$-TttRv!sqcP0{1m! zRL0NL>7566>8@j_b_26m#=OXa)2*_JmZ)5Nd^rKm++w%3Kg8oK1XyRsAxR5i8d{`k zaC^A%k$?ypL(I5XX-m__yQh6h^1F(aLYF{{2LVOYEcauJJIE_WX>3WS+8I?L!@nhx zKctTfAB^kv>LU~RjtrDgcopokAB^!$;frd+@==?K}>>|w)uFtOpp)5)ZP zIP_ zLM2H_e&V81-BtV8+QF)k5Pujs1kZ5s!oD*TztN`1uobWF8(;{T;wT=@Nzx-Bq z9H+HZnEG=;Y?I$iPxOSjEQ26emh8hAMMO54oAhWYf1AhIA#lf*of!Su9F5+iOUN-7 z9nK&*-Ee>yFA;qfQgY&M78Erufj!-4#}%VC&EzyZ?7hJ#mhC52B};bRB~Eyh-D&}$ zZ~WqkM6exk9)%mr;o~>?M3@Sed+g(N^VG)^wBMjJy*MiS0;P|QLsr0L@vKDkiG4Z6 z>BTXLpy`X78jx%OMJ2U(uHaP6$;f#tPZ7rVyf`*v&PBVG!U*J@HQ<^+EA!bC8$sza znk;UKK~P0Qk>FTAm1(j8<4SWR6$}{>xM5;CXhtnM7WL_j$z%!@8MqUMklw*c`W)I^ z*Xa$HPUsNpd6(rYk|^5Am7S!pz&rWKI1n3s3?D{@Qc7I2+gs`~gff6?Cy&W3Q3 z1yKxTPubmlYA6HZq46-<5J8YGREO-(UDYWaaZh?aUmxC7u;bKuvF3|y(L$0tqG%hJ znV6U>;l!|3%u36yk6mhq+LqPNOYr6Vz{NPz-*t~Tm|jZ{iGp#T#5+oBrkY1JO&0sU zmt-l*Gk8~2l<>vp5F7nglL#ippARym)hff@0)?ajed=~FXu^_p%rR1 z#t7Z9#WE`X>d#wDCP1BWGsQVtR`*QLd-nC} zCsdP`zFJN11w8KVQwonlua=(lDPNGZ0rr8&9{z$tGX2GUt#8qzZ)VUpGw55-;9Jk& ze*%sFbn|WH`Ava01>O{RQ{YX3HwFH63i*=-{Q-XdZU+51FZqQ+(*5cg{X2$ybQ=_M4qGo$d|DaW=Mx9`S(PZXJGnSLdTs%n-CLa6RD zWvhg9ikFKtA7$EW^+|(z?B#NxSeL-cHuPJp4yUYTH&;$>QZQtr4GNTj%ePO55^UR` z6TG|pdy{VBl){F?c-|Mcx;1jMwmn+eez|kB^|+ao5)Rs!>)5kwygPMrs7}NwR?HnA z-hZNGfqb#i^E#<9t=vzMt5tDJF4*oeTc$8juWC%xS>vm^H@&WPn;hm(TTn{r){<wWI_n ze&8kM*mZ4gI7Eu=s?^Q;?)129(BHI!u`X^!-}+^%;=spclDE=TM`V7T*KeBkDCp~0f8HPLJ!tCPUY)Lx zYSlr0gosQsM72NZ5MX<6hIqI%RC8jUj{Ndi+R&xbzxQ734*G!U0ulk{Fs;gaW~MHn zv}~sLrtSug1-A?r*P=8LEZNQKk&RI)4d0=xa#B|iP2n@Q)>rsM8N#K_MHei=*NQ>g zE16V};|3Fz3tjgQEduZ;PKi{TRrPW&}d2I4`0_7SMf)_Z_knjbuk7&GQF?A zZ+{Td#)V8C0%mTRaAwWq9`7XN(*_J71MZkZ0R1W?(0Bz)JB{}>OBl0VQ&4B0!5AqX zMcH7jz$Hn8AO-cev#XP(G#SHBftM zA6-gE7E6NR2@D&aBH<$Gwh(2QI3}nEObY;ni?d39ThZLZiDBEPCv`jDrl>0I3b1gU6k~INUD3pGrzKBfKc;rTfo2^s?dkU*lpba~|4}w09^Wel=IruLNadFo#xxU(SHdoiae%5zvhSXh;&a@g|NA7HGuh2ICDti(0YDy&W z)paJ#WvQ-1oqVu{_?szl(b&cJ!uVdB1S1y`roYRPawLj)Bko>)sKj$Qr!fM7yg21M z=e=*-CR8K3vTkNQbav}#-)|LzVy_j0v~Fz^shscny(-_yzE%uI9zZx{2E}!w`5XbP zKpuXdig*OL^Qv)%yc1L9b;b&(LclK`E^p0bNx{%LEB$1=2$cef%=a}~AO$M&oY>6; zg*X1B$hr)h-E&H5`(#8Pn&otw8Dn^}x{i;4CP^RO8UC(Atqxm=%oZgQ{ z1EPO3g`u;~iapK$U6CHPA9)HDlOu;0Nnt#gh$NWm&V1>(v%6A{zFI*u_6mMNE+S$r z28-N(ED9tF0xV_^s#j2tnp|E#AImeci>dIlfC2`H^Zv;$AKm%hJaqRVw|W2+SW~60 zP}+@}L$oX!J}tgRvl;c^to?Paw$EVDE~T{1C9S84Keq)Yde)6junZS;8Y6@XcACzu zUi8FO{N?cq@7P!?1;b8WQYdr%!{Ts??wHhd9L|iE@3HFhGToHj19VAAb*>GW#K3iD z#T^k33;1{WZ_&JtQTWE>20EAaDT14-yTb0eI`aAyl3l8>%}~~Dm{TnF*W7Il{1aHF zK%sb6U+xg}>L5ORPk^geOb`LjUC;qjvHH9^XTrJ!{cLN@+M*|J3I&%(0(VS}VO(0W zNE-sZ2)*R|3B;Vk?1Yi2nS#3QFx@UjhiiTS*(hirlijCt7S}8>JLGr_UJ#=iy!UjC zFF6bsPu?gKL~jzKu4X(d&WgfLpCvwGZMTQ{oLy>dO4;e7c<;l2U5js~XR<#*c*R$G@ zUMU{JPyRqbzMMKCFhoL-4Gt<+&tIIp9#PnbqPx|;+#6&pxw0Z zy?2xJ2@p;J0iMt*|JYSodHP<%hnADydFWl_$|Dmtsw`5wbQ4`y3>DZjAX)|2c;BL+z@7LK#rO~0V>Xof@K32A) zKIev3JR3S5I%Ri0=RrF9stz73enOGx#dgZ{64LMK>E%RahQ@TJ)fZX>^um{_Z`S}H zq2;w0bNy(PPQ30nJCc#m#po3<9f_K^xLVLy1ld5hO_AYCLg?RJPB)#Xx5(IBAcn(W zhvtpV_=TO^4}|^Qj}H^kgVEZx%ZNAUaWjKRe9KVG=Y=%cDk2=&pS=jnudl)x*@$%Z zwIxU_xCKyA=Ax5&Qm2aKefh7I)u8zG*Esa=&IHUrQqG%oTxO3{!sd234YBu8j~8w+ zyguE|q)&!EGQiw9-!0e>!!s3rE8&uXhly)?EkYeYcZ1T1#ls1w#Bu$Gi1Qq<9ACEO zRWD;FH2g$8y!2@pVV(W4Eis7^^nlhc`s?=}o!GmUQ$@4xVINJEzj;lpe;KZn8~R9Q zy zjT4V!G8JM(oVfzBNMPuWu0PWbRb7e1r!_K0k5rO(CRdwi<<<{~uh-fO0O2IVnIvZ3u*%nGI5IFAypbsKNqRvfbvR22yFk>V=Svf7s}SVk$N7 z-Q!c>-_iXXWTFvb29@z6Dxycp+n=c>zFiu+Nw2Yx_{V-HCLc5f1%FNf!FK{6vHT)} zT|NN>T?G6Xlc73mQxhy;KNx&6+jR^jRyA=yE${J;nB{Skk zraCn#$aswsxgat7*ui-cWq~jP2C#?%-R#E5>I`gp)RR!mrnou%)9-ZLvdcBApj^uh z9Xmm&(-tx^Qu{E{A|^v;tEuuL7sh1q+%D>z`wLwZOni3pTZ>l zq&a(!2Z0mFXnjCXLTX2hJ`!gveEj46s!1U&vu zgGMmlXk+X;btn2jcGmvzjS(u{v`9Hi*7_q|q%qn&kEJcgZ1Z=x0XYm#JU1LJF}X+= zn+ksF8;&Jvx0Ek@_)?1DgSt+6VgzVn7{x*ZQ8sbYUQ2!6s!c7?I_LzUY&(waxj|;VM=kzuWSNQrUPT{3L*YshnR99N?ol1ft-#n6S@|&BTWCa zTcq|dd9m(Ru;Np`R4yMbDGG0hDGds~xdJekA~4MwhL2UBD}vDV17qmL4yl7biwVt@ zEHambbK(9OYTzzAyh}sRc`IY^cRu`P{;d#ZLYZ&;UWF+ArPdskdi5o~I`pB{yY;Y! z=gOMSewezhK?F4DJIp;cDp6|l1>f~0GFA;L6?NKEC8(_jW12U3X77n!F1G3(Xu`H^ zIkm2Zp^*bv+&?%JoB>W6-$51=@^FJspeBnyYEy{lt==$&#yQ}gW8xSr&wiKcD_Psw zSh{2$sBei{KHJipl|0c+9-zkU$-7Klk(zNvdlb0RjSF}HgiMwz{i3t+;JgGsJ9}gC z(Mal@CJ!4os~8I+X{*UndsokoLk^AZr$a81a5rpDE%oD#RkvF#*nDUgwpI74w08^U z^HqR#Nu=19B<~4#qr0v_PoDj}Lmt>pH(oSh2ab2zXNVtIm3@viVNr=qdB6_xuxrmg zKfJy^EIMD+q5WyGw<8_oa7+7EOE?#sx#Gt$Q^cAJQq}9tFU>ns%5E>D?EsO&9_@ccH2)%e>5XW<5zRk|=3AMf1&x)y;m`Ej*GKl(J{f&` zJp&sq0$zPfT?0I60UnOPcHYSGiu(`xCO*&AqCSZdkn7?~Mc z7-&iG2~yiS7;)14HXJkKpCkQ)TK+k>w|LusN-h7{i*KvgZwkCA@TS0<0&fbuDe!L) z&0pd=e<7Mb?T>%wQ@<0zzj3oa%M_V^x+DLZXfpp^wD>2{Wd0+@mid1v#`fp?|1rRS zB$~|3zq8jri6$d0!@ol`8R%a#Pya?V85mzPPyYka{CQG;9Q=QhX#V%6&7Ug&?Q{7* zXmn(KO}dZTu6@px^E)wt-Iwo+T5Qe8#Ov~Lp1HuKof zina(^<(9Qw#8)`4Vn*$w+tTBDTDchU2sttko1H>DS2wy~#xf*@d$(`7;Q&#zUK=q*JqJ(~ho#We|qnqyhp zYM0Mv_v_Ej74!K|TA_R+fnT3aQf0(zb{=L8462QY%!RW=L#Y8DSsiYRm-kt8Q!CMtC$`C2rmCGt6PX=)S;z!yb=d6M~gh^i}`ASbTLP^qr z^j!oN%n&qaz(nGf9l;Bc^*fLe$5->b5Deuh3_^NDWYn?wN<3$lPGxO+L_Kl93{Y|S zRBM>k^eAu`t{Fpcit=N@+&%RZ6q_8ABldN|O5{eh1t%&+%tX)W{zSmdWpNT%Jk&4a zjS=-wngB~s+Fk&sq&72`;p20u7AKU%cwLC=q{l*_q5SNiFH~6oFdTj=gDc(3TW~Hf z^AL;40D9Gg;QO-;`aR!@mLNnWX@dPBD*7T@Lf1EvqeSQs zQ93i)3$!)9R^AJ(ObR9GowcB?sIe~woOH1YsDHn!1PLdya(Di5H#_adZ0BAbC7C-& zP9H$1XBCYv7muni6yw>kBi2WRvZgulK2JOR2~Ejn>d|u*!?lXE*`m`x?=n7cvQu@@V7p^0IzJ&|3%` z^v*jZGyr%*=jhsEEym_+dVfnMGPSTje?(TlbZN+1Abo0M(e4BFCKX{mxKJC@cnw1t ze@~2y!~7t+upE+_cM!@#!QF|$K*fOX(x=0{43%FyAtiXnq8m$Yh4;i1&+ zZ{LHvio_VbzXJ~}Vo@eqN82)SFgGL~88cV&FqJB6oE0>heUF2v3{=?!>w_bBc?63P z>kX2(wiXgezi~)jZ5l&nup;y<^P6JU8D$hQWOBvwEd(~sJ_kY^rhixIdt;2eXWUo7 zI9w1snEQi5ei&_9VrInIAKEe$E$-q$HdVdmy%+Po1;YyE)V(ZDtILmKffBUjJg{<5v9#rsi%f*?3Ii!*%w*Bzy=U&pivM45<7y^vRFrJSGD*VeM&gm@=LXDOQE6M1J93(fkWG? z{XV_k0=TS$UAeM^7<0a>3G8Z0Ud-eN`N!fA!29>~o!t5)!6v3_3O|3Uy)z>D@VF4TB zMi?7O5N$^|yOEt`Jqc9Fo+Nn`CfyzR88;T*iRvEuxyUdw^PmXE@yXdDD5Y&s>A%li z%bzsi60+@J(3m;J9*rNjVHajNQ3sEMh7}L}5gp**N~< z03Vw9$tSH2g4=Z4ruxacM*4?X+;oS#eM@W09eJthyOX-5gpMET5J1Pmpw}?6w>DG^ zb^AyDSuCU{hV(CDsO(fhi+NH0Gcj4QtO-r(q0*0mshrNg**-i0jQLK1PYYOfyY1o!07-yK@v^4T}OgbJ0enX z3i~e1T?@26W(35-jta}H1hcrZ_@L^%&xC;t(}e4S!wV(ixUG((3Ej=zea2O@Iq7xT!Fr)z$cSv5viXYep70Hd|e^IDN9- z&l!L=t7rY2DoB(Pr*M+AedF2Kra7$2tHY~(?=g0BKDcy$HQJk*|1ip&tWB#NYB2o3 z?G&rvW~%R<9Emq(rv-DacRk|^8yk!m3f8F}27Zty%DmjL=tTxeIJLxz5{_D?oOmvg zqgk8HM2)+y+4gX?iGq(EUO-u6U`!+Kq+$dNv>~0a2@#bXxv5Wb26!zuF8Sb}G`3xc z9rRf#44kbh8y;MMQ;Z$wHgHQ?jt7J8M*ce`R8_uJbciU6Ii0c^>&#?CTWT{88(IMB zG?fLAj5G{_C2VFqwo0Cr28}2?o>M?MtyI3U37I~-(}>gj`Dyajv&tZjgX?3PYG^%y z%G2ydh_4{m2@FSpr({ARZp7z6Qkf~C^^px8WEN?0=C5GHPT8~@1BZ9H&JL`=E~b~4 z%~+7d@P%VUbDf8PD*_9|f(hI@0vN{0(EdD?xd5QBnSSvlq9G9DeaM>HwjXpV6I=CX zVj29$%0q~g(wb4t;x*M;?8=d?0}DeJBCPl#dTs=Hfx+Z25V>9x+gT zX+bWbfT>p3tYn+x)3Y7SF=TtWrM0jB!f4W;&ygpdJ1wnsn2}^W`ochxvvGWeCzvax zNgKQn=#P_|?Hj}3VYq3LL#0>@GkoeNoypE<`#esjcRx_e33V#stW6_aFp&sUDCijSV))g!`V>F+*#%|=8leFsO&dQoI)Q=sC9blAj!w>TJ?<#6 zG5=QmYFbY-9am+zK;a7P3lZ6N(M7F2>lt=Y^rsIxL(Dq&qCjF$s6Eh_Nq@SBKk17~a_kfV+Q|)?@+-XyMjspGSNW8?NYJvF z;G9fO)cPZPOM{GA_&H&)`wM&AJXZI|!kuE%Ezb3EvTlAS74}G#k3)$<_GWBhwH>f7$byAt>Wz%rCYVO@< zg3F}y5w&kqInBf+TQ2iz6Ei~33TvfMH$?5E8SGESuZ;;>{mm?V`aBVyupb$)ws=QO zs?l;nsd_Pjz@5Uda@Hj7E-$1^fX!d_YCM}Qr4v+k9?pF87J+RL1=eJL)bC+3aCe~#%N zNOGl9G}h%viW?LqRcGcy&BH$ievIBL9*)G z$3}g-Vk{M8*s3&Rut55g_O&lWlO|Q_OEla(COMmur00@GvcoSt?gJQ67j^emdMZ|e zfQsjMdRYad?AQmb%^Ih@urcfXXzw}9@Y2AJw=gRDKDITdC=Vxuw-!v?(yQgnNo!&` zio$K4cY|rMeM_pNZQQrAq1Mtp;<+d5Pr6Gxc#yoqfM|1ScZbSvt9)eT#fV29I6;z# zw9F6qz9dP6)Qy^5!zx3{dfn)^!UuaxbaPJKq0dEMA9M`@N-dgjPx)@N{W}`^ZM4Hw zTw1UMI`FfEwrOK}-Vd}HqU^+~0n?Sc`irh8G5D%GD6g?WxQ~!uo!WVH;n-g$Y-aar zZ-*q7I{3HHwkA8#h|8rY&bEpIM>)nxCwja>Tx}ElJc6<>G-}mcAzt2jL3m@n?66JU z@{DGXv|nJG00jf<-bVBHdv-i}r8=kIONj$tFo@WkAm$ic_e?{yTTQrz@Kx~aE)v+O(_PdH=8T3Yy~VX( zJXTAw2cm;o(O=`bNe$ZkNW2-QF=WRnX;n*css1w4b|2Nz%Tww53nVQ7S(s7zUjSz2 zzqrx+4KTj}=05@RKQ^4Q^V=A_rj`AReW>hzt{MJ|`@i+4z5(WchH?MP*WT9j-xPRL z;7x%y1>O{RQ{ewz0ZtxAD;+&k13Nqe3wzz1{yfFMiSmCyciCR^Z(lQT|AFrQ;^6(S=`Q`N(e)R)>#Dq9fhCOS zwxe{p5&VVi@BsuI=sRKwtLfmUA=Y-1hj!`cp^ro;k(Fz0D{=~4`~wvbfVxUbGe`3F z_BRslvp9-%7u~#WH%t20cRFlN9Bpdm+y2uI7f&~%G&Dj{MPG!Lj)X^zvii*oV`Qop zWMnWs1n1D%Hx$NGZsrT-oU^BwJ}(-Zwd1b%*>~r?n z`#$I1^ZlUyq&sU>)vQs~#awfaIY&xt>$MT>MpSWxwt2X9fBvP6Vi7}%;mWqEO#QsK)jTQ3Le;aT&0$V~VHeJfA1zc4lXthQsP$1n z9Bk&00!{cx_AvV3NlR;0m#CI_=%fk!%-(ca6ACUUMMMGWlHulep&*Z!R3%IHj=aTh zpRPzOVc$@ZoCNcx1)G!&6*X2O>`>fDIU7WwpbC$sJ(Eum#w}a*54X2|rJswKN!{Xn zjtj@qw8%g_^KD2-R!29$086=R1x>w3fkoQ~eP60-s8cgSc3X>*(aSxbLq5K32Th4a zP%eT_%+mIOSk_B@Ij5PQfwBH#VadGh^_~h+o&Ui=AAfNlv9(LHYY)wJZq7U-Y48^s z@*L?Mzc+g#p*r-_uRDk%$^uEm6po)e>Fx~H0`Vj9RClVJMy&<0Qxd;2an&aVynXdn z+gaY2lyW@r$cY@b&oNlo#9esdEx|+yL}kp1l4~%xjvTFx!1M{8Qm#O`j{*!jrCT%< zk)uIQR{z!^(04Qv9b{< z9Z@@x$ro`ogwOb?rDHwXUK$lr;Z(pG^J3w5eaX(%j=Wc$J(1O=S2oPeo*dU#Y$F(S z<7wJlQZ>6C(WP$oko4|WFuoLJ?Pv@%I#o;wN;G+Wa{IV~*b*xd%*5zhEDdypT&v9L zb7hrngTxdJY`-5zLZ3|$FiL=1vCpXwGTz!6xv4D5*^0(b?tVerN}UZ|%S9s!c-!qH zYy^(UEWophgl;q0reCwdyJkX;!TFr-0x*Z2EwC}D$jZo!IyVe5iy=GaD*V7t{O<1E z>o|uI!=omK+^0qp=@!IWVyi>A5r9E%ZEeUsvkmYjDO5b044gK0Am{R?*ynriaeD1u`h7;%cU>N58avt# zi_gwuO+gX5w5){LS#852@c?%zx8M}7Gf|U#!8|4lMa^$opMvs?gPTLZV6&V(dX1Rn z5o0<<3`8dZK!lTp^;-ud-ITbU~gH?{1wbLUR{=ouc_XUTxKhx{#YDz)D>Y+SD-Tp;z zBJLBcsI)09?FL6uwgjhqwkOX7Z_ zl)0|I+Hnq~@AK;PYrtNoaSCn|e%&j0-oW}VlL5(}Y(F_rp`8}zy=!d0b$e%dD5@J4 zXi-c;;YV5*>@$n7&`PrhqwOtH=v#wY4o?ivoNIuVQlHK+Mz4)~df_>z%;8Id&uyxI%4Qv|mtu~N0MjRV zgw-k17j2Kl-=yTY*Tg83;xQzln^-3xrW!+yCJonx@nq6+P9{D=eCZ_Af=QvL;*FNr zOQv^C5?_U>&+k5P9CNyz0YtgPMT_Fm49Pz$rXOraPA{@c`Qxh`cBO9y$QNo?s~(-FqMLTM*}C%!z+{Bri0iE&gQRK> z9mkn@@r9B*zA<|Opez>aS;^)lx%2wd$kLma)nHLM5}xAsdbR}pLj$~8;d@7Kr|R@m z%879Ib{Dl6Y__5%t34f}=8=Yk_EArJ-dWj9opRb3Ym^;H$HEbL$TOmvGvm7D+-nuz zon)eDE^f>sE?!%WJI;tef5|LD1={=Se9qv1#YvfVw5jaPS56Y3cJO7m=Wb(Z3BzWU z`9tecVNXz=o0`?R6LmP|m6OmXv+^P;bXyNByhaJ)aCcmJ#73{J<(T^qZ;4|l>V@T# zb$i@d7^uG($RAGioKM&X`R6ORMzET+%`X;drZ1Gw)wtAf$RiL=EYk68=;}O}oGeF1 z6qArH4@7z_zeDoPA2M#@vJ^X9>$&x5*!F5d2sc=FZqY2h`XNqJ2b~dL9*wv1MOdfX zm%Mdz$2cm}Hmp?t#8b}$;|2G1g&@ARf1~qcF-uAK5HXqZTezE%{nqlvB3t4i$_;HB zY7}D-E~@HUE<->M4~M{)q)-ZUs3Jz{HVjtD)_L#5!{}4F05!vYj8q&S?-r$-sU>5t zj>E1VX(a+OlH}u(gc)+U$pz9EtZ8CQ**eQ#Um6V0sH z?p!Zj#Qd9l9%x5BkLnro@OdY#>Vq@{TfQ5#=rD3}uG1dUlP=enfZ?6)S>sWUy=U<0faC+B7~&ZTBrHr&*!Zok;Sx$lS(>>%GQE-h2(4St0i2J-b*c|xa3CL588 z?B)eqm5X;xbLg{N`~~&g^;^a8Cmvw2f zeRLJ~m)ntoy%hs1iDaVMh+KB_iwUkuHBO5xTbzL}H+w8Q0G$3cNCt?vb}Bfl?~gu( z?P4w)oAgq=1brD%dM9_;xRKT%w*nl|=v=r;{UCq)9tlc5qYf>b-v+8|qZQ6@;fDi~ z<5joc`|gWA{;H!9IGVW{oY&UzCiIe7o<>kseGf=X0I|ZiN&m^(gYzfp^mqUH4^rr3 z_Tw@8@kjRKvApiFyw1o0Xm4igXl7##smS}SIPaaPIRDevjIKPcR<>3~j{3iq>iw6g ziT?)!|NHTt{|6WOPfziPz#{^W2s|S2h`=KP|N991%h``#!SjE}e*9XO{3ZJVDWdvw zo$|MSp`5=;<^J>PI!I$pNKpRIc}W%rEg*+qRl97W9|6*HAEEfzmp9V zXD0ovH!Gv~&m;f3Q~dmlYH|kVkWQ$OLr7UM|ID5Ip62?G!h#%3kT#&4za_V1ML3u^ zAm4sVXUU4NbF)Fd{a%3iw-QW_UysA@0Q}cU7dAGQzb0L3dFHG)zSJFFhYAbCz=RoF zY2gd`)VgEH0OX7fr zKfNFi6fmbW%f*Pvryf@??b~-o4{H&_>c^)}2&1ZJvAb!!#JX){OnF%=gv&rd@QR8p z0=)x1E2MgrN9(I397*ovXvRJMyNV|XQt}N1(5~7Y^m@?icnr!0A7RD4nm!MmOa^#& z+?}r9EWqhu)5Ua04T|PErf-q6n5CO!SKy?4=t=IE#gJ&?dA@~2=c3a8_yHPPvD~#$_*>VcZTMP!pODHxoWF~CyvPMrdvimQWSQp4VCWR(; zueJ(Lj{>gjE>D1}2Mx-+q2G4tQV4uKZd;?XagaX3R0V`TV|i=T$z1h`V;qs;F6vMe zsr6fUxX)9Lh8T=D2y0B?UOHO$b>@QnC{xNaj-G90pW7M4P~CNPo`ujLkSbwqiDS&k z;=eGU(I-R0Fr)dP+&PdKBZ*1zmHrukUKu%f6WZ*h*v4wOo-C6dd7XcPbk8|PrdA%T zk?s0Bp%;6IA17!gDw6`lTJd?pcPmxUEHMLF+5MHV-t08k^2H8ILg_=tt;2ih+;!E#)e83fMrDq+KmnGBjw;>!s@i zDM_Jb7w54YlfH_?$SW9#VTbMIh8o8JoWah;ai^je5e^X3zJ{WF1$U3$Bm`YRjRAj8 zw+XF>N0tJ-ro&A+#1Y6~(hxIC4t6uA#@JDMOEhGL z5R#u2;fb&$xpr1|9eb^v`3ZIpQ+i=E*729a_+)BdwD9kMQ}vE{e2t4Qb> zN`L^^;0&+0%gm|w)G?PK$+YT&CAR*C^LWm=)S*nvUn1CGH9j_l(BwKcl2swlnMyri z3{X;6>E(C5<06^F^D;D6^TEE)geQs4ZbaBdN+f0rN3@Hd zw*DTVP7LS5>R+k}65QkzOg8e1RB6{H7l=sKTdx?bHe*-}$)eP!p>ASDG~=_d#VVj2 z+D1UD{(^X^Jdi51qO05WkRi83TODd*_pOOK&DRXb36lbSn#Kx;uFnZmuHcJSrMe#< ztF;40uno||hbij%@boB^=<s2Q7H9d5AqEz%O-0>3^vYUVOhQ4DO3`%LG<1t3ce6RN;S@A#!>E+w+J2{vzb?y z3+_kv9EH71)89p=U~>J*MC*`5C*t6qMPc8Sip_)`r$dDjf3|jkM*SFxNgD7UiC`^{zi$YL&^Suk!4i;uskFx>Bq+N?@9A zP2!b&*Y?#(I-~hjHm!E;M!J(2UmFeYOln~gJbkPn!Y=S3(u8$~H(skUahs63_ACGg z*f$9X;iTIkSOX0Vd16xY5r3l!79tuWA&aryWJwoC^KDo+#*d z0gf3_KcSM9Ff+io`LCcbmy_H>3VcXn8eGKDImZo)Dezps27zb6EDrCAt7-rF4?R}!1rCI(>|O3$VGwtMJ>meW8`i8rQ*?ug~}4DTxp7&TzS>4 zX5q6GHY|=>oH~CETU4CsR~a2gi;POpR|x{Hp(T3?dnZzR82p#QuVYQ4+bFMid)$jY zJ`t9BPfcfM?{|mLiwn26vvcbap0IJ|YEv%~324-qBz%>%R400X&B=35D~ux?RwcZB z74iAG1n0p_k5$NLLQ4=F=65&}QmX+>2gCMcU06|SRG&@5_czU?*7X}#X_eWTU;^mg z4|LBRTdIr)Ov3`ds$d+nl&iVQI}`(ZlVK?hHFYX&Bp89~@|BKLw+q#yRiF5mRZoX= z0vA$GMlqjde6=2rTM7ipgIAM^3*7J+D#clZ@JU;`^lrxLM~tKRKpJ)6sn(z?j2B3=VJ&}eCESv(IZd>5Kw-?uKklhyQ9 zU)E>K2C}Tj_O)4f?#tDwaxYb!62(lJ`?r|H-n;f7ZQHm)#f`LezLC39?DDcD?44#O zDXw#{`NZW~aQE(pZ1CHlf?Zw`;@}16;c(96Wv{^--62^b>g}YiFRkPWFq8b^I9n?Pz#r)e z1-YFAfi#;@Ze+lyB&a~`u5;m#hvWfW4QMN)zZi({q%PYNhl*Kzvv@>F?#2p`J~#Ui&>s$o+WG z!Qn>N|MK}B^3(0haCN#Tc>ME_H(u}>h%ob=W-42_Ew@b?O(l4vF&8T5vLu?;}QVs}MW5qY^{4m77aC)-XiIf;xeb5Fo7VKb`@KF`pW^g=a*pg5GOoQjg z(9=Ed4XtfIF_}h$%(ri{c3OQ)tZ-@i~bXFPJYnY>FcLxY&aD zjc!AUZijxHE6X4lo$}4tK32+U&@vUIFS}KaU&3B^QKv#`4M(Y^xPB6B^?T;D2&=W~ayyulK#6s~fC3)m3WV8* zjo1_f>c@Je$zd}SFv}elI{CUW6g~A{g-3pF3crldP{2<$-Dv6k*tZ0@lZy>`tp zG>qJiw8ULVLlVl%F`aFk)2dG=%T2Vsu0)furGlXgUawe|-g`oUruv1>?ehsrnJ6*V zYe&Y=F^5o|VFiKqwDpZ={D}<87*xSm7F}&&-$+Qf8N89pvTTvtULD0k-xluGukXFQ zWI{z!vM1i|(U~$|T>@2Iv%fAOSaVP|pcSZ1#J&%VT`8fRh?<(%=GGz#HI`$RSbIlQ zK4xxH%PnRu{MD7GIL*9%G4Z8Ayz&H|x`F2_LOFsH$`dN?%Z;{*@y!rtX=w7M;vdYR zs$$IHHz&R&GkE4RLp`y{481hkx$o-wyT_#}6;C_AwB!^whwwX4P!3}mv($^<_{AXI zbj2C?N+RrX68d@aZmXE_Cslp_w7lUThq;+z9ajC(p)3|ygUwk5DsB=Lde1YgfoiZ} zjH8tQ#lMZfuT~nFms9OsMDg;`r)I<5u6J%jr|ct2T(v(S9jz(iZ+)<(Y!PeqUIBGUrceJ((>K#`l-t>Yt6|y@4Gks zTsup%<}2j{m7|#fXlZfm(;uq5;BcP2=}veRN=n1St{6=1&>x(y0~UK2yuj>(+U-HR z5+a26qaXu1wnOX6OsIeF{}y$EGm@eZW?Y*M-%g}wEY%4NE@lq)%T#4Kw0w!%b3f3U z3_idurfDlh#4DS~K|ErRl*;g#sF7Sx1=Pc0%WGKIc$p5R?`g&uGlx+Px*^5fcoNCL#pJ?Uix*){i?h#-npsU}T0v)6d%GX&sQi5KOmK~sc^fZP zp8DC&fc)sz+a3a*6b}&-CmzO_4w@=KXHsBojV8Yc$q4hE)~qcHw;ZaGUJR$ z)Z?b-w_qPyz@CD;Ly@etj_eUe82#@sn4S#e$7~z2X(|S!MmEH`bcy7w#QJ~+io5Vi}8w2}v?WeeJV6C_jLaVNBPyITuey*f8zHtu7`K|ZF|h2GR# zu^K-wPI`ZT%JvXFq^Jbne(CXbLAUZs^36DjxW@Qq%)sjGtib^V%cAVWj2*R8#^hRd z34yQfjUXW<>7W$0SK>zk$z$tsFWB1$@v}K=(R!^kGRB|rFQ;zV(UCY$7*9De*@QUpjkI?Fm z7s#sJlYPW?=iZ>ooOtkk*AUjaxeB+z8JDpLnB-<1cC8-4+xp@9IZftj#oO&Nwn)XF z+!yJipfjA|gZJ7?Lf|Ql^1-JEL{$fUvVmF_&W^Z}3}}z&_ih^Z^fJ%dfVCSZSKkum zT9}TVUA??KdPC$QGy0=?KYg$zPA%^7I*-BA?p%MN$9dK^-fJFCHioL%SX56dqY~}D zNgCH)zJ8N;r*AU#uf|HKs@(J2dotN7eii z$M1ZQL-(*Yg7wDF*`+J&*~K5rD5PvM|vz{q(AN*qHwKWBgO{;g`Y# zgthtm*&xdhK5|n>M_V37Mi&>|93r1_t^-PEMm=`$NP*jBV_#^c^A3q^+%`8BqTx`S)L!8DWRW zj4(cOh5z{d{o}unFYreM9uas%;1PjG1RfFiH=Oyubk+F7p(6dwcmF$Q{+|~$K05P| zXYSX6K=g01SJI!FYx)K@P7tT>mlOEMy2Q%%&y|9;1H-R13Iou_iqTcymJy;S$M_#6 z%gX-q=~h;Mw}&C{&*`>K_Ljd^A%;LkBTFMIBWp(o$QhXbZazff?#~_m&K$@9WHRe- zlYhGBvNnch#_#_{cl-anGjGhwtk1^I&Q1?xVK<^@Gc;zRhxqakXWW>L%Yd7cg9~WP z_RpMoLqm2ZV-{mJdM;*WF31vqg@fLJot>GUg_A|!kkyEj9mv7*=*<74>-|6fKaVf) zM+6=bctqe4fky-$5%@Qp`M;F({l%I8y#(#g?ufq%#D0&=i`e|p;g6L4x6(hUUwsf6 z-x*m#VwBECzb2SL;^+2eKt@R;GZRxsQf5d%`w#BXuPQdi-)qA}MU+I1fHsCkzeV<6&ZAV`AdrVqifw3|w3SLSjM! zTuRCpFDNP5Sy@@xdH>v?o*^MULwSaVf`W#Hf{KELg@%rfhDC&hg^h(pM1_wF+3=}I zDTs-QDM)E(sHkXYSRg@bmOuCBedq+BKLHp6jA5Y20nq4BFz8SZy-)%W`{1B{Z4gs` zZZH5SXjnLSgeQnkk)ZzLAQS)^=8r)%02C|~3^Xh>90L3kI2dGB$RIilEExtfoRES( zCb^w23p`d#R+TUXCHA{616DSBzcCR6s#wLNwPTz(OprBgcee@r}XyX+hV!u_IPWgEf1Zo~`Z81K<_y1AuvDIpbDk(sXy}t)B~i@!0?&#)9+6YRLV|>gtLS5cExH zEk`~Y@dX#E-G&`xeqYD^OP-Og2f)>3rj300h}>v4(%jFT%L}~Y z1IUg29I$Rk7~AdlRM9_N0;Z17xY=ND!?#Tnb!^aOt^U|l)|GL$D({~$3O}3I(pX1K zcOrY*ahx++QZ+p_zGoDfHe!=YXrf5@T(}j}ixD0C$rl_{^zi{;U-kefrYqQ5Z=scZY9%SGJl@pQ;V@>mOs@727g1eE`HUWF3FG4VT2Mh>d;#Y`mH5IO)zfCA!=3{@dqW%-u+5CvOd&FuH-JaI4Dc z`hEak*(!AT10bw)@S9hi{5O00s=yK{i{-6n1gp|KQ}b;04}c#-4}jB@d+X~xaKj#W zbw4e=VC*~9DCG9cJOC;l0NVkv9Rs?3jF&n0ag!bEpxX*r@5>^P%8FGEfAlN&bohR= z#*gdC8OPc)g_L7cbcHQD_;=zX{g&hqLv6qr4*-Uss|#;8)pF1^ueTz9zLBTaz(DhD z@(H{Mekb1e?7Y;p3dv;y%HBQpyC60K?lLwdlKBtRt}7Km9ggGI@_s3q8b(A!yq`hc0_&(E?*_M+mkWCHL!KOa2U)QGl)ttioEj0VW{PR$|U`4Tj}yV}7Vqs{59kVAXHD?LE87f!UuM^!5QJsu?Dq{{GPr}%uynx> zfU@fAISZlIeRmNb{u(F0QZO+^_#po{W5V9jNlA}GvUJv^GH`B>Km;htHuu(M z(&L8{Nk!88Ju@InN&9pqNYEisue)Z{`?kmlHBw^JVDh>MY(F-g2F`DxGbT|eJ68;a zLIqmWyK4X!a-Ef~8!nqcS7{cEMeE?F$M+M!^x0)%m==slOWiT)-H!DIfnuld_ADJM zu(S;ew02Cf7NrHn@aux@Ofod;9eW`^x*!i zq8z^$smIAy0IeKZ#j3fFM}mkUIp0` znrl+Wk{2B}+E>;MBdv+r!%R&BHU=6=1z}jq7>GJXy18v7>Gg&bp`m2*^`# z!^q%!$}`9Kg!qJ6VnkF++qes5<`&R_s@iqg1gdOPqIyMniuKnE_Cxp%TZi2X9;q}H z+{w^tB5)0B9+)@M!Oo&C(rDPJ?hrV;)KxECpo5^bE1!6)iua{0KWrldfi0 z)OaGWjI?Fh4f*P(v?GD|qmC9D;{c@cUG?`?kQ?PGK$bAOkji|aLA-j(%Cc&t=N(oI z8onN0OPv00lilAk4L#skv*krE4H1yvNx8DBFK?uy{83_(|NJUNk^ z_ODI^Tej9i;3h;4TmjnHl{jS`pt5Y)@5F`0f!7*5l?t+F&f`rc@kkQdUsyEoE)sRf zw-6o{b6*K4GJ922gHk?5s%e`o?W9Fge*U=9g-QovExRs|lPol-DN=##RsF&uZ~18H zI^dNT?Nr5iYR0Vv|Jbhj?p?a4)$j^`?c1wQ@w)dM9U5LE=eqNCp2-xWV1~1GV-E4j zn28Qem2!kB{;=uhx+eM^ZtET=S+iQmbGy0@+(z$xi33h=(yk~46DF1Q6`W1mPmr{> zp#JSIaMgXYlR)uRM0tBy$hl=`dF6M3aqI*9NTHd32&h(|G zo+GFZZ~Q|xYeS}-T%Dcdig0*2cj2|0&WwCzUs){PRS=(SzvuJ&ZhvhuEl}Jzxz+Gu zZ*T;_wxQ;NM$n3IBN6&y+BySVLQ-I`2`=r4i;7;go40Zb;2vCJ(x8}+2RZRKczTr- zuTIEGJBw4*Ewmk4gi*CfhFH413{vU5o*Hi!=Tzw^)&kj|TUuDx*n;saF%OQAG*Nd^ zFB>Z`LQiQ;>P69RoUcB`1#GDG?LUQYS?`o^ODuyT1pSBH0bKNJ4Rxr}{Q0@z}gM7Lmi2bVcR_2fwn z($jB9_@7Y_a`8pBxk`yp?LcMjk zA2?5GAKI130`X2ni31J$X&DI46Xb7f_EBr+&gYiS)A}b97kJz8DI>fj8z$N_@03AH z(6`@sd`daVO0B$7F3iVw(hx!yTp%w-REN}qq)4sxHz9hH>8hz`uU9J4-e0g5I1vzn zU}RJFkjEy__fIq)0968?GwwzN&TqU=5OXb>y`r2_#+p?;*%ea4bi|ar+4^hImN<4! zbUdf{^E_QasO;LFE(WT=vy+1!t+r zI{0-9i#VSx{>c+ms}w{ujD^CN@8wEd92pX(?02vGBUxUSmFJZrg9YoN{3M7Gl!ca5 z_81D{;>OCoh@9KjE97Ry#1@igZxTF5+q34ETP9hWf6#NTEn7NsF)WLu8Lv9;5Kr0U zuX_rDJmo0z*3Ur0Zy64ic(SK&h^j_+W|S<+Q!`2_&pL|Rc*`6&&UK2?c04OsDy-@c zOK0y64x75AH?DQ1KpAS+5p}?(`tPkgH@X(}2b0#65INBn2A{DhuUare&}4_kjv9Z# z9Mj%(^Bpgo<*Y#L1d>bU3Yag=g#sqm0NE=ia;AEVqk60^@|i8lVJVekvBwB1Xhdmf zm-9>m&fD_y3J8Jc`85kn*4U4_j^nE9iJQg;!1>|5L0x;9_mwOtgD~T|o*}GY_0FPV zS4Uh$NBki4P;solm6^F_jsz=2z^`ci8etmw0Z>9@dv;$JXV%>fEan1}DERh5FXhN# z5#DD_do_RuBhx1AOTBPJB5Hxl`{B<@VS4eVM9LjKmTSN(MS81&%_kSH5n4uM^7 z9ElXO9{@ucMUz_!ip)IU`O}=gPn6sp1l_cDYj{d03+Qco*FZefiaELmK$@nffUZf+ zWQK~T4d^{(6UltroAaQ3##({>iI#oL!^8yv=MW0%hPnp;R>pX9!mYM$+-~~U>kV*L zl4@0(^y>8Nd9Ax6R4?j~HtJ>WAI_|L6Uq9@u51#n+WgM^4e^irPqteaykvPPsuC`@ z8sO!sDOLvy&~v!~BPZrT)Cfd7*lp;td-?~!vBI<$#4{i1GxHR@E}7;*(a~-btE)?x z{#*mRFrUFN5li{IX)sfK$!bd?yB%t~?=Sfn3%Lj_=$&Vp%1nWluK?~(Auk0}aC*!X z%U%i9;MbwVMNr#UVtS!VM&syfZhqHyze@ zHhD>0&-dU9P{&&rEHwy!)RSw{E|6_!j5bHyAt4pr>C8n;*F4uwYI3h{{@j*Qx+rOT z!H5BW?$1&TzemEe7CN>AH^sCltG)wq!_q0H)%TH`M$v#%!uB! z5Z>$} zKNb};;if}j3QD|SuW+!SM_b8<8QZOHFS*k;_Llrwv0I?&UGyPNGucXi#UjI!y}A?O zpwzqc0ifZ~+-|I_Y@~6YVC6eGdISK7nqiJ4Z#VZp0AkxSjtlO~zL|hBrff>)GE|vZ zsw-*hZ_H7u`wt-0&> z2f(Vu*I3CrEdGk({>#bZZx4XZsiG%ZW#%*Y1P)==q~u<4(Uyy~z$z-DWIZsq_AE zk9#}54FAK;+a&4-2M>j}H7*?3;avB2{VU}6lR{yY-Z1~->TW-2VI^)$iW1V}xv+g~ zvP0i9&JtcKQPLzEsOL^3H7Ihk&R!KY#!Kyr#iydNH`wQG9e1(b z!;D8A4K5FW7n4gh2iJ%UWR&f_0uOWlScS*+7k!Su_0Hn@C%v<{{=Rn>*T2y_>)#O$ z`E}giLwo;|t+M_V;gDaK_zz)4NLwlq2aex^i;!+rVy=!7ijEMmKgfWDBBZ0$FJK@8 z^7b}=-yrK>?~L`^-nK^ej%G#L>o5JRe%+$qZTzqMS+Ov2v-}y0 zG+o|Pdt(C;5oteFJ<~^Jg__-Sxxf?hQS;KnjoIjB!>O!82+cM+c$X_O9(u=%v3YTz zs?66D^J=R;2o4%CwfvwQ4=w6OuH) z-`%u2ZBCmsF; zDfD%oD$ZfFJs5{;rUp7!x>DKSVL^OD8)k6=m-16)#ip1gFEtsEm3J6f7b`+Eq>pFgIVz5!JXoC8+yQiLsiP zZGc;+I3Yd8qT-!2=%r%?XjqtZ`LFp7(N~`v`TZuo$gu_{7^OBoubodYau5FR%P4ONqr2K zD(>BNvOzzoMrL%7Xn5#OVgQ4+D6duNzS!jRZzhH`gSigf(S9VROtXHqJo}wlIIo_Z zb;9r@4+edXbVWkJ#JAI^j%Y_RPj)YB%Ll^_3b|}KGFj$PVRoja?Mq3gTPn)=JvTk> zF(!h+%m;RhQ?JSyw(Z*nIukW%^YTEc4Lu=gAy6X@+{C-(358~x#4_sf{x;BJLoE=o z@v5GDv9Dhtu?-&;gNhgck`nw}v%&Z1nUSY&TXF9QSBDQ&#Jup<`F zw_@DlLQQ+Vxov5T83gAx3U5tkPr|?_NH^)M06gErQ&mqJ(hRMPMVg-QBQ$sXUSVQX z#12C_?K!6BB1Hsigd1xw;FLjdcFI2$6jKK~%k+KKkP79XVGLf)(H78o$L3F98d*+n zG>eoHAT34HQ-~Czs57pbGN=Y0N}Ejmj_}CQj4-`m%jEK|DE@l>IsHIF;c=mJl25Dv zXcWyd@IE+q{XRJDX~Y<=4`NzOO12oduFzQ9FW69)k= zjUY@|D2$`w7ChYSD_*wbhKP4uGdVmHrfjzp`G+3RXUg@aeAe67g(XujK@XH3%h?hi zjD_~O*%B5@IGGd+jHlpl*E=Vww^= zm8_5VjU5{M*iMSM1uq(5J`@I>zVJ|3OTVdCKhV%?Xws;1B;^P0rlqNH#M(3Uu#ci) zqu{ehFgj7DY3gE>gQv_FFmMR8E~npIQmnHro*KR@r~j0#RrIlMP1(<(O%Ce)Ijset zUgo9DfJB^D-DXu^av^||7xsiScFg>>;c`rP^{a&5Pwrjxv9z3&IsqHfP{-dcL>cSP z+}mQ+tMH9-7dewq@H*kVv#Do)R4z`Ca_piSx}i^)F(sx?cutUMVj1?NBw$~rfQPQX zxNO3Rfdf3dd$1lF&q(7N6P*A>yjk6GF~*FNBrC1_H)}gl6DGM~HmO;3Hs&WOTP}je zvZ6LB1Fl39QaU7yxV=VVldQ2qRYUZPQO-}?ClRP)t0vFp$CSnDju<~DYg=_%;~+@F&7tQcX**KLD74#;*Tayj6cf^|5z!XPr#g8t@w%di+o*jgXzW+hlA>&2S zba895(h>jcd<{#$Xy8G=I;ZYRf$F?xxAmh&tJ-}Kzp|FVI}(nt9(2Zt1Oi9UyxGv* zQDeJCfCl|J-BApBmiqKfXI%4bDUP{%!9{->ay>ovlj-w!#?EY~MTeFwokVIk^5pu>2!u`X~qS*stM_ehrUu5dTIQ6oei7 zv-A$awS^Q^Ihr|I8vQ1d@QZpYWa;?Zx8In!mS#Wswmg>l)+T)9uJndR#`;c{j^zAe zk7C&WM~ux!BF-ZMj|e;>@QA=80*?s%?<4THE(!mQ81}C)=>$v|HV%q<`{KKyc{QpZt8=@q^ z!Tmdx_pi6@FR6%s647Si{;NX6p1PF{K|lKaux=H}_1Z8X;ilb19E~*AC`;@U7xM@5 z@*CfLniP659?I|Y9XVp&HW^8Cyu{eFri-(ZzMX;ACMGV6Ot?O)+tSc~iTw@VS+Zv6>*yG%&$HdR0p&x{@R4x?(~gVmP0|)F}0<>#Vrh z)Vq%(6fF?QJplGw2_-u1Aqa=cg5IEtD%B4}?0xMpIL@I>iUghTAco08e^ zW;tqgf8Ca*K8Pcw_Iy~s9Pit~fm`B>96MoZESFM+mf|X zsdurij{9Sj->^TW?|e56YoBVd%FJG`a3iYua4T81)^469MF>8qs7~+QWVmgSd+Jb z&pXGT(~Q6Do-9_*BFN{P8120lj!Fx1MJjemDuRCzNkmPC8CGNcIzZhrb}8|R5xIDu zql_@8wcyWqwdkim;?>n;a4QqDdIYea_2E$JW}z?6+yx~*UX9K|5_Ca`RvP>h<}2_Y z)Mz!_Wp4v$J{%11=*>#Mq1JP8uBV`#s+J`R8RFqyVVq`W($}E2G=z2~ceV;+t+haO z-rt55RSSH;vli78pwv5>iNVdxqUI#H=Oobt5yw;6gF9N%fbgoR=yz6{s?>1Im-*Z z$Z}pq(#?p>UjXofUEMb>NZqZD@_c~qD7*WygKUC zk9vv6{Sb>C%>Am@Y&P^X=CkW8wIqPoO&-33LR1R=1THV=DbQfVzL`@Jo6gJF25q0$ ztPy-Cin!EDCJgn#z%!ra0@PPh?W=}Gs2Ha;Be1#mU$-iIU7~*n^k0*xOUEuroQjY zn5;x*Obbq_E{}p^UOudcGe#c7R#RucD%?~6Ci}nsHiH!=?MM<+SU?F=LZ`c1?`Fq= zE~L~~-92P_l*k{mA5yQ1TaXa7yR(vs%V422-!1muaP6VIpLiy%Bz>d(eCks!Z}VJM zx%}{hq}XX$xtyMBAH!qy;z&`<4P9cfBr*t7Zj$?8C`0&z@N$BtwLPC1V*xE18#| zbV$92Nk4Jrt+BYQOH696R(71egMnaY_J#eipmq&i0Pv3#-w)ja=)kz32k7d>r=$(Hy~L!cf}P&ZbGNI4%NsNy8ePfLKk;rT=L{?Avv@_K6njiDH9O0pA+No;Vzv= z=YpAV#{7V!e|vX)JGoku0FiD2<8Tx{(kB!a&ADbzpj3Na(`P$OsECE`gm#bZZ_po zAlEjd(HE2RQ!hBSu}N-ml*=CBC%s^a&D zOnEO+IM?m47S^)2Lf-{fVmCPoFzqu?sq*rjtTPz`HV#Xsgt?E32}Ryq%%&6%)l=8;7bZwPOI|KDTCn&0=8oght31}Si&vc^H~E~5 z2thWe6*<_XV@W4&Z!-Rk_SOo)tDpGue-k=(nnyO!dY&M2HZ-pID)m^!` zuyL&sordq*iFp-uf`;gKaO`+$`1+GPDJiLI_|Li`LG}Y;EFM*@m1AZ7ku^1XU=Kw zNut@EF}^qdffRuDrX)HnHTM%)b!~|j&UF!z4|`ayK72E;SQBD6sShRsO}8x$@8cu% zWG&N^XIr#d^`iN`zk7V1*GCfoF~O8_r%Nhm{dy0HKo)&gXty5wW{X=}DuYsZ0YCZ% z0-Fq}JB9gek`5YAu7|3ol@S|>3x*(QXiw!m8C|JTgIFE9GFwI=&3W)fA`v9&`^8(h zX^h+@z&L)xL0A+u&-F_iZUL`6*e9?ye;(QL$GUC6FA8{SR?{n)iNR4$P5TQd-(Zkr z$YMcJY}FZ|L@XD)cr!z|Oh|__fN%Q7FSdI`4_bYi^v~Jy0CpTIYSnFXm;!;od>4K8isn=fGfs;F0v^U(b zITL|%CcEQF<7GG1zO&AQ*fUdrV~ z1z2HjFWW)6{TxveHR$$mS7W`U1NOzj!Z=};-03tuZMe=_{E zbV3Z|{5~68wGz1ocK+b^y$ej>-sl>)q%kO;+H&V~=RjBs4nq|oj_ zk4X2yF3&-#GFMs;)ElQos&4Nz*y~yWGS@OL^69MZ!FSQOpNjxvfW*)4=O=}vBM&q{ z_+ksZU}j)7kW;3b7?VxwH};+nqCJRD7bAXF56oXZ8h3&Vdfk*BGJYRi5qAhMdjIx7*N{)C(Ky_voxh?SrI#Ink=ds?J zznt@?<+WIYvqMsd30Z}B=$BEEBxhkB*k8_hM3f(`J64V$Q*^9$QgV+uNK9)03i5kX zhT?+_`32?fdGp~SVnN4E`&zd9Nv zItO}CLb%Fwer232wd}N(V|MPyt@l2lGnAuSW3;b{1 z;@FpkP>yhuy9N4FB3%&0m6J{-mjj5|g~kbV`Z=lGa3LXt$|fY^CsU+8?@`>@%PfR4 z*AA;~VlHN<(KAX4dO6n90M@u}i?+;%^*vL^ zp+-TJvxT3m4XvQPi1l|=v9xU&>?PrEkh#{eBkDM3{Fq%jC9%ONO#wKBbc74J!X_V~ zjto#qC-v8c>{;h@(d=Zp44_S3h420-d$S&|CD)p!0hAAU$QA7kyx3C+Dnd8Lcawu6 zvpF#-3d4Ae>v7EY^=Z`7-If7S;+ajFZLsv?T&|yCA6o{+>*6+29dS_MvCeZ(g$W!n z9vlf=1C%};MHPl^Nrm#+5$NSqJR^6!`7sDaewl+P47x%{wUg0xb-_=0z)We9M&Yr! z^u!UG*SDYD$B#&mw|T$LlMT^O59XHqcxuX+Zgivn{Nk6ProE!nQDNM|B^^bhGP2@T zt<)9+hNY=8o;`Ev1V~;(plHvD@?DXNdS=A=C!lwI1@xoM1qi$N9%K|4>aG+A@AA*A!9z5gO9pgL5 z?yYB&I_aLAQ}NWnkdwXKbXIf5sl}vwTFHEC?`g3@{Z_gAM1gs2xmdaL*>hSFiv^xW zMP-U{7e009>PieghuckB%r+gSG?Fn~WM%_uzJC1<_CiycOxfP72k?e&yY8x7;|C0| zhcZipxFR~OaSNzx^Gb2|gk|;<5u%xqus(I)CLL|+;MfE`A0iD)$NK? z(~5Yuk-Y&VQUH?^B|ucByBK|`pl+q(^&zc!4j?RU2YSEuH6rn0ECcg{Cj1}Wn0`P< zdzJ4qY{}Zx2TK+#ncC#IhPiprh|dUXzACreC0gs(KPqXj9_?^WV?vGZ7iANIZ%2rL;S zD1;KO;qzd~(O_o#@QxpzgU$6}z$5P{@$&6x4CXfGEn01d4|GHCI~BQn(OUqd*=`^G z#)M;hD!_@rlZATR_P$p)>lyx2(xYtK=OZi_P=Rw1>7;0EMO4iE^-myZ0FZQ?r2mTX z|K>=%G5$Bk|BsCS&B1?T{BMl^&!F))uJe|_TLNzhye06Kz*_?U7mWWmx&ChsKHD$3 z{%@$5h4#1Q?tf+cEVRGG`hUszS!jP5;s3?>S!jQap!r{C{D1k}KN$A^CgcAbcet&Ggt-Ac52tO_JZ-oCyO~VFJ>@S4h^}DcL)8T4_FhLBQa@k-f zRHQP*k)JL5y4FBOH5Q5&SngfhL%My*(lK0)p3h`O9Z>$^Ofmb7Mk5I+MKM8+j_$%Q@UlCN=z@gEfVlIhq zb#mO9xiVKQo+r>!f(hEor7JgQdYb<(biQ@%v6z^xnq+_#THQ6}eqBS_RuT3(@3oF9 zGTe4ShDKslX@?ahY?cVv&c>dp2Qao8a|ul!WcMgOm}w~KQ|7ay13eaB$#98(P;o*- zg&BIjr+KQn)wjtVQVA*-9Ez0%;8s%0+U^`H<@(~fn;bGS6AXF8FkQhBB)nSbzPul@ z#pe;z?W`07?_q<7SVc>`tc{+O6Rv1yvyYgV!E)x6i~@5Yo0B}EGB`EID=WXvN=r#c z5!4azJhRiAXA8IcRL2){vW?B1C8K}N(#k9S)KaK?rga<eh0#fNImTLKn$kkn!&5rwA9JyKGi&c1)wVH8P725vP8z{=*=2_DV<6^ zoDohDD!~bq_0#@Q!SaLFUS*^DwjESLwv+oz2Ih;=U^QDvvhY&8;l=`<;`@h4G5horrqtCV2vI zjc&11`$rB%&@{14b>}|b#4%+s%mH?bpCSs9)#)*Td$OeAQB z)OR0mXf*uT(*XN~;H9@^4}mMH`*{GJmn$a5Z`~ zk91i1XnOncpAtUnOAb7uy=w6EN94y>2|xLp1c1g*37;qo0eTx!92U8MFk{D|GKO6E z@#`w?g5z1N-gv)sFRUya<+o7)h&yx8p*8QcUXa>`6*uYUtA?P(j)IDZ+6l2Nw09+} zCm!2BC48k#(*kZ@SzMWQv#kj}lEQ)82Si8I0}_Krk*SWT8sG~qjw{c97|0G^BFCqw3zEZcRYZpU zi39_7Y&#A9o8nY#D0|Vq06Zl^)8^Ifkr&$&7@^=2F^aEHwxMxHl0i_vN!Z@^<%;Ck z!9=%KiZoBcXlLkZn7+F$v-kx4f@Zu7Mi|)-!||ob4`rj%y#<66Ss~r-eM@~Pz&;LB zu+J>@t3|LP9dt~+R#1^#Djb}!S7?$XQ7VLqG$<|a-W!Cfi2)BGj7Akhq6F!Kla?6D zu-ES@m^Xb_TcHfr4PIb?Z>#j2%ce4fr-Fomne^F~pV z#oCa3amt61$_d8OWJLpAAyJCZQcJRxQ%k;fHlU2B#&vwisjRiijXPnGW7HoJyeA-J zNS?PFfg4+uNfVn=s)CNVy@Yb!is0nCnhV!6^c(rv(N6n^8PdqP+R;8M zAJUW2T|DP>d^p7Z5rjB%L5ErvEp(n70D6S*I>%=h4@ILZtc~FAw1_yt;-tP=C?5q> zifePOT-$Nv&ycRheMP41@`jSR^BIYTrb&mHNd-S@ryRyFEl3XLC8z!BoL4mb_q=H` zMt5KG8sq10W@$zior2CUXYHz2>M>?1no$RwHgBcVP#Q(SbiNMtR-4kyl-|4od{_5c zjS+n%dHve5N<$MVj#EL+VH>PbZpz6_`{bL*UegGUMny1*9ww!rN;!<@)?r%k7n*Wt zmd7h4^IqKilQMWegS9+y53z_ZY^V#t>Ki;J)Mw|9+YL3ek#&NJ&zeY{?FpdVj~y);T-A8)|o zo^n?`O_rXC_n(P|o{KBD8q6K8$X)MG2-=cZ^SCK4zA#WJMBIYdK6=($COC@LtD0V0 z*0z%lS$8wcLuuP2TUC6l%BY?T&gLUqie-^0cvLgL zn6%-OF+QE2=eWZX^@^n}-drR6ZjbCldw)p-Q&3YUq?#1Ngr2-k+ZVVbS5e|nDNDdxSOVs?-HaZfEq9&tzY|`{X+jyjvSUPyhl_I`Dty^enVLWtKm_ z>%DRMH%|YLoc_(lf8+FToc@i|za{XNz*_=u3A`onmcXA%;Lpy=`3v0r;|=7moc=HG zCBM1&EVO^8o&Q>xX#Yxve+l;g4X6L*h5wDy|04hY22TH*>GZ$J>Hoz1cNW^;Y8-!C zEBPOq|4vVb%R={SKGE+k{$C!^AJ6xHAocW2EPqGp|JAgd&RC*sp>0;W2%yl{X*tsL z8leuN!}Xs;{2o_18Cq`m)tU7vAVPDZJC_z0T{9OyV3WwaqWW3OcEmLgh7>QCCCQBO z3e?g?>uPP!hvUiVt;y}90F?Qq$w>#s6zPZweK)3(fNmWs$uoHlIg0(X8htkg`As** zMDY(K1()zB)WQ25B0R`6Bev0ww%Y0*=0K8iA|zgxQ}^kle&GSiJF4>)Ipt`G=JHJ! zIMW?59!WbR?1ads@Hvcpz2Ec7V^N|l)BxrC_RG4OsN3oY>oEl`;lfNB7m7pgYSf~t zr}EI^KYEOvtGAMmMImH2#AH^ASF383r#RR%EYa-%x%JdshbnQUL}n$><8NkD;6;=l zs*9o?HY0pCc3>J-CuxNgpWB6lbz{Yc8KlU+issDbIKXa0t(a&ILtUjX<6ID;@e_j) z2xe0aOe+}F5i%Ot=NXC~n!bMgQJ{9*yZy+DMny{H1voVRS9m1?Wc;LC!{4|LvRS^hj@vx+VLSG!WX+f3u!_>Wg}E?gcfO%xyQhuOAEL} z%CN|{v$~7eW%FZv!aD}&9Ki_aB$ifGsE6qFVJKaKggL6P)?77V|86MsIB?%uEGQtY z?6P}AxDq~JUS~${wouBMc|i?6RbQo1`ue(h#H|H$E20fxJD6q+f^>ZfrWAmK;4|as zP?CcQUtHmag_EkQNI)fqEH2!d(JTor=uffHeBX9+tfZfGGubn(!d z&5pb82b14}^wQ$W!JUnsaK9?EXXYG;ic#4AW&V3N^3MArf@1Sm7eBE_MPj&!sHcnJ z)y3bi#t;7DD4VZYAoa2Q0|o(+ul^-qpe{RHpYvoYI%7w;{u;Vx1b{gAA9nT*qWP5nro}b`0xltZReUgi86;(N(P(4DGN-=Wo$dA{qffVHk?!Uk>! zj36Iu=he4Wd9T2*KD2kQJS&_Z?VLN4tVPL8Y8Kmku($1|Dm~s;chv;OSG~$yo=IsP z+n$Nj>XMYs#Urk!B~oAO8zQ93(Rkh1=tAe2Y6?7wvNu43qafo$g>IrwdBVT@Xu;ON zWWBK|6e6uH8B*WXN!t*>RQNu$KiUIU?*ooF8lGxZnHaSTMza;Yvr?nI_h{T8=+if3 zG>!?{Q0FizVXX5^k`7wPJfpKjU~${cuiH#oWq0wa)Yd2*8P%$!T6=uo^SmgkkxgTHZ>1SOk6N-w*iZeCnm)p2aCI`t zQStlqJa-xk=0)1WrbS;Z<2tDJyzB?d%X9fumMKdfG=QTRzdQ@TF8S=+mk z{^|sBl{zLe(4yp{K#*nF%q0d#Qm>w&bXdXkB}Sd_VuZfZ_j>Nm4f|E?N`ysy#}P*8urFWT8W{u_uRz)G z%b(Vl+R;H?yN!%0$BuZa-C-C%@`7h+B7y*!h33%3mhAU0(vg)G{BL(8JzL` zq{O`PR26n9C4gnDmzKIGE%E#gE@$dP5cEgT)PT5qx#>hy03Plzd`&3sTdk+8Yt$s% zXquBeohI1U#SBxZ8!n-#JgQwJ0#$}SsyhS@d^Ej%_Uuw72xLEShb~fAHzo>py6NtK`q;r2y<8M6U#6;(IK|bB3&nYg!xI; zqx&Gh;eNSmrHt?m`HqejC8m`>r1})(V3e0q2wHq8O8JcvOjn#o#A8{kn>8%7zav+> zNqXCcoSZT%l47ghvW8UZiqI>1#}u6x=v(p8TpVy`oi3T;Z zwq@gsRpLCjs*8jcx@PYNVOHtZEeG*T+zBA%!S*kP)U(k2CNR8#_c!qVkKp}HkbeX3 zZ{Yn;p@u(m(7*GTH!Jfkfwu(S5_n7CErI`h0)HC3|6;ZO1m1tWm;4UiUx%Ro)e!od zAW!$-FJ5J#`@6-fEOh^##jAh$+&`}We-pg_p9T3}H~7by|MS?L@fExO8awoN*!|Z7 z`V-il`R|9+tNmQN>a|z-J&5xwny&r*37s!Mj0bNRsjWT)Bl56*@$ zYo9Ai+y?Ooz??jEt5p{!Bpn@BRBBDGj@>vqG9()h4l6~r8Y_)+N#am1cMLgns5m6})DJ8Hv5G|Yz3!5r?Zjh2>R_nWu38uNai zV?ngU#X8K6z!TP`9t%BnIh9+$2obOHb zWI0!7SXaZZ3H3|D?lg(9whcrK=Lq4dDfA9=#pp(`aq4UA$E9Iz$!FfF;eG`Ng(y+e61=W zP!hngrU1BjCN=~MTpz1^$!e~PVWJKyxf9?qWSNP|&~zzwsh;TlV3q%EbxVv-i;5l8 zv-+={s=I+NQeg8ppghWu3oavZxzMG1q-%EZNR zg0g@warAkRdyK6bsYecv6+8{(5M=m(=`O>vjxF?*>tQvv2l|2gNE;s2i8~1(7GzB3 z)PcLCc{DIhhDvwuQMf3WZ4Tf#BLV>>f%}$^a{2i#F-nXw{@z_tc;=MQ38)<0e{IIHEyI$8 z{)C0br1sd8n5F{hn=z{MErM4+8R0OQ-~tOr$F{UDxC=x>BIe2ZjXf*J9gEFs(a?qX zaX#({$A#=5nH`p=u3I*YpyxXqNt13}4;iRScjz0h1thJJkRb*0s**fixc994Tppp9 z2zPUe(9$kPoM}8Y{#=c1!S(KRB&9?52dszU6>98Cv+?gq3JL^XAB^bT#?)C%9{3&x zl@>R42IGaf`O34}T+!t-K)8Tx7j*rJizNvz|oxUVOw z>T^4b4_{BJN^d;J2d5Y`D@}@K)rX=sbVgtHZf%(cqEff^vFtS|5uy9CdM*MeQrk1+ zFMI5&f$__HuuWyisVLso7AM&QHvpsacz?iJ{EPA7PlWiVW=LPkB4%juI<>&?+44bIuih}A|^ zq5t>-F1O|2r*@QcI_OO;)XfGn$5#Qq`5>lR3Sp{(S-d>rFg_bGdF%ADPf{)DAS^*y zX0Eg}wSVT=K_hInN40G`pG_-nz`RGJF)L!$Tq{o9X5*BMrZSPAS!b1gG+am%xvgbO zsK&uBEqXcIS!?2|`@HAAM6raqTu@q586k6%PHWbo+LOs(m9OzVhWkVK&lxpbE7BI> zSLUVSaS8DpoLY&pgRJyf5g=|Len zQ#6!1)udhl{4}1eoQ8|t^fi=>2&~v;#e;JQg2F(ux_e1*8|}asfQNkvUlNJ?2YupF z{n|-~9=B-E3KsdF0KS-cnyJm-{8{|Oy}>SZ$h}8_lligAqH1;!&(T5n6YK8hqDdU? z7Y`QKmgbe=LYzAYJ^7wYyzhY!6kQ`Cpwp`A_+=D>;kLxAX&yZ*r1Ol;E4ic`ZmKr4 zj!vr2DAPTl&7al|6(-yhhEZ|AL^O7CCmo_(Z~KDISQYkQtYDNP>7qUS9>3;f@bCg} zJYsj4Xtl!2%1OH-DK`6YvI_hn@RXQhES`N&F?#N;(JjnopVvlL?>^$Ti_m;)=|}X- zxCeW*a`3#4T-3k>zu$ySqsCh)rDhfHT6>2EKs3Qp|1Z>cy5EK#co%0{b)oZt7l?FBX4AH<7j7K^lHV^{ZifkYQEF|lCuBz&G%oQ`)>g7f5v?O zb&LNI1WzMOhs*TGBchSDv-xOb=U`@J|0>-}2{6;M;Ih1W@UKgG{~pHw=IQ?r4m=Y* z!*33Jo!XN1D~$KtQ@Pp-2ZVW8W#EI;B^qf!kxT1kM4@oUF*P@|=Zk?6qBwUx!e47C zEODdGGvZJq{n)vGa8U73p0K#)^e}s^-=wnU#qs%*$J&^eW~-JJ%EPSF<%%;&lnhC2 zAx*fOI|o~ejPYfYlJICHNyOCcJSm2NQLDMbPMedt7sKSiv7x$|Ra+_ziRx|9Zilq? ztN(6Rmb#haaLWX;kRzu3v^;z-olq?vFu60s*j`XR18%PTaG`VAf%qx&PDKg{-V|QJ z=#}fMRG^S`&Q}8vhO(&nLMj9f!_V763>lDn-Fl}tt5z%>BT)$W@_~=lUME(bSfjYNH~B74ShncNjqf6g=9i1#CaWvWF-hf;XQmb?w>a5x4aq~U zifA$YK#O{y6-3vntY_Xj^gXeG{SNHhH>TMN&ZolctqGx2r%(GOIo)W;H1$YnrXua- zGg1oIDmBk?-RHJctu*1!NCAB`Uuxvz3`+orzai>r^Z=Th>*k8eKW@c*^Hx39OI8mc zR#cYyWx%I=^|F55!PoM`p=I?%ndR84QN0AqbX?jdSACWLNStN03fXf?ZiU(wJ~c*I zm`Ms*Q~!hfmAPxr14~@-Y;>+15|D5?gC@ffS|Dz}k&8K25e6?bBViF}PjMfy>E_20 z^M9IW)73Ebujbj9F{J@0_)&ax_yqQGA|xd+@MKPxxXL=Yuvl|zmeEnZCG-rQ4s5Z! zyw#Wry4ZVZC>17eTa4HVmzqFb^V1iI48RpyT_E5plA2YI zFVhUs-qvrw6IG2#VtUb#uE3GP z(wq-zLUV%X;#((FC6O&Z8NABNEzeC}t=*?uT&mg^=l$}48OqbIrjtoYUpY* zl3sEIs~Ow9CTl<T(UhbdUk(T!671WAGtkcIAAEJ^yDS2^KC{E~@bXoSg=a@s8b`N64~hxW zS}c_(ZA;m>C%0J~H1j~FgM`ZAj>_#36t?EsIrg*w+ZzHrhnln7%q-G)_2Yq&nv3XueLZGAC1>H%RRF0^)U+GOU%?3j8yC0jWJbC3tuzl6_8fT

    zFLS@O-y5#f`@J`flR@+(u8ER^>7tkHV(-`Vel&&GVf%Z!C&4W=)Z-@n{-GZH7BJ?| zF7ldb?T>-zG?>=j*=>3mZc2|Z223b^{b5r*0+$FILJG(bfJimtvEh=dS`fP)*nK5R z0-C(j@?>L*lY|%1+ZV|V6k-jD)P?x&FFY@gG;zFR{p+me7C|<|_~r-^n4)_alOOAK zLUzLtEW_%D&k0pAL-DdcJN#I@AgkA2Df@9`WGvoBEpK?oXE{w**A2(4aBSVUKw}u( z>N(%=U{hnW7;dKmJ3V@8XyE@b9uDQAxzT9=Fd%@fRaanOlV392P0{6H>F%gl-Le8i z8JH*U6N%XhJo>aPa5}gOT{^{r@#1h|bSe)5f>*R#)u>e>PhnP}tls$$C7#LR;pS0* z6Er+iz$hOiz|hxhSr!5sW?+m8paHpsfeeu^J~}?d$->sDDQuso6cxp_uI@HH+;SN1 zA>~9bYGU{ix^z%kApNDaEN4C+=Li}%>pK&zl?fe~OQS0_nZk%mzivLo_qf<<3D_=0tQ040O-$i`bA#4ru+=7AMe zOJ|~{Z2};xp{1n=O${sVv-CtYO{@focx6s?gzG%H`k7n+kMO#9I z1tLxBF3etyf(R%{R#tYCZM0JVBrwJ9>00U^(dwLu+dmVPN%b*4T6YU_f%-#)Me>Awj%*-casU7 z&tau<>r>!V)^rYtoHZ^dOOJ$@Gtqds35!~SbvHY_IDBz79GYX`e+??OU~u=IyBpN42>QbC3Rm9iI|tggO)_ipR97C?L6WcF zjzP>p_#(0bN9Y-xs+^y^LA&n&KS`>CoU*~rznq~tDvF%R_XD8oG911rVx2cd$^vgp zeH7x#WPu$P0d3GEWG3=@*3D)sB8cdL6uXPR?|AsQ>4?QLzlxYj4dk*h8h${22|whx zBCcrfbBsVkD9vflxk|f3tnk%Qx4I=Zpg9f#&wk9h{(DK#f$|EUF|bWjMNvdxs#|;* zp>a&%sr3)~nR?jM1?t0Y+z(Fyp+vdwO1gX*Bdh4qUC)&pifgw6F;C%lUML^~u|Ey; zABr%sNTM9n8hk|>U5o=Okm9L>zEouayBs8$4%*-u9(wFk=phES*_Jr6yU8Xjah;Gh zfGmh9ktyp9l}>V4L6LFw+Q@k54GvB=66IYPj|*U*!pmfBFvDKYWUa}es%t@lAMX@s z;NC#mO1kTHQ5_TdaCVjxR1KRyaLype%Da7%etx74^Mm5*xH=({sY+^Y{gM0rM=AP( z3=`|-I(C{L#$xdD!B&s!eTIuT|J1h*+Kku*a*K=TbBA8M-k|YT18h33x`CD^x)-A^ z)JTl3olb9@YDL#(ydRY=J0oicdt4@F8hINBJqIIPS{f-M zLo+>o8yAhAl?^5~ChFJgWM!n+`diV2<=2V+4aJH7b9cb6JN|#KG{L~|TAKLhK7n7) z?vJbe?@JR5tc+~Gl_tv2m+Y1su01<|q6|y&!Ou>H4EqyfXHuFSj~wseZhUt2iHyH& zr*4Z+O5)r@KW%1Ue91FZ8)nS?00_qV`s3{F{#=7|sHGNhuw*mN;tb~g+|XLGWLMVm zqvcdvOS^3BlSk$3Y?WKRTDlE$^^|$71~Okv3EXp0oUxCXQeq!pd{E4{BbDrC^>=z` zfOhrj1~e+4mu4$hVdrF8%a5NJ2V19fEGp%m*{`XapPm=)#iCv6JD7dW$$1Gu30Q#-m?dkbJ!jX@+sSkAS2)3@ISfW5d?b@ri34X9g zdT?qL%*&GF-Zt9ZuY=kFJ#RIL1${S^^2ba4o!Rx1@jDXeh~ccsCJ0GIqyUJ`GE%$Q zrCDpD*!4SBXuodCUA16g|3L(#b7VcZ0ZJ~UWHMQY2{A?qYFIw$&TUt0;3XkVLB1o; zv-uBPVlW?Ok^ zn<i9gytZUEm zBgdk-eRZk2l42J4_%aMnX%@g2q8opX@CPfT0+V7D97qWL3^`ram*|wiDODx+Q~%)2+hwu#!x}; zj*I<@f{_ zu_+l{SP04%;hMreq*#&A>VmfK*rnk}>9?7HQo1(;KM8p=&%&h_6-82!{FqID83D^POZ@VYnFm3O{agG=TI0=*q zCk9=7DMmb3#^g5H2siN}2GSSeMG^(nEBBh#!;(lv@K3vP7(_w|#=R z{_y!d@zVik=En_5sC+U3yhW|F*!fy8Fg@Y9a94nA5GKHKu|0_U=h1hMFRm#$a!TQS zTw+pkFxe5)P{O@rd;*W_jKKQ~-tc?{fs9(rp$zs~a$>Y_s<4+c5_da~V53X=m~(aK zy9h@>MM0tq3eUTZADq!*B}4Bg<*_vBF@&eET?oz<#N}@$bGamsafN{w)0fKYRMBy9 z*2DB-E>A@Jj3x)+P#=Jm0W|@_QKlEYJUmNJ43ZxB*^#Mc`QA)g>E1Gh8w z&&iVC;`ZExkPHpR5MlFSC*&b=&r>a@P4yFE`^NiZwHP%FCIHPPn?tQhE)tO0!4prV zY-K~Oty2;mR&V54O*+L0;>qX-uJzpzAcO(Hz-4dLkxN#rp`OJtU`i^Bzgu76{S3Un zNIh^=(UM!MvQ70Ft!&)}BP~o_OFDXGIsXRSX|jhvY`-Q?{AZ!H)5r)cpzA1LG#quz zY9P!mXmz=B4H~ee5|owC_j;#r=cH zkno5c$`Hk0`j@1Yv|0jEX)nxm1KE$yd`UlD0nB9dqtjn@Lc{q^)BnhFN!c-r&LdJi z9^sgE$}Jh*!W9sWNJ^k29Gug0LYNv7TV!wb>fm55u@+f6wY1S-)GJ!j_f)4ZWiWB!k@Zy(YctWK8v|$Pw&=OgGbM?E9xY~2v%ne94*Wit53d* z!I&E<`C8&pzg?{HW?m~RxZpIDb8xU=oro+JF_s|c>ek6@HKXdeX^W0A1dZKQ!0TCt z{2zFVF z9HPn0nO;o${zE;Y`+(W3-Z&zaOt}9DBRh-XC!RAeC$v-!C2SbRHRqXSTA%WJ2No5g zWuse8Ron^JRh!jY7g#3KMJ@-9FZle5W|m9{-z)iAs3P9atsj96On6l^9cm_c#|%Xu z3&>hgI62GeBcUF(9JTHBIV0S4YnEa}H(3}zws5gq}O zOUttY7QX+I^nJX5;Ela$GUo~WZWA{A9{R!Y;;KF?2DNa7=O~-^*EvgbxPTUb#-6SL)~}W15$!Z^ymn@OWAn7PU&a0Xjn!_ z2-wd49l$YWCoaIlm%gM>XKF?Yvokdn*a0LvKMqq+y^hVqQvyL_v~B52DpB~+gC&j}l1wA=LV&NMIOkzSfJRV8fDgu^#rZA_W`KjGr$2W0s1Av8bwO6= z#i;iUlyZK@R%>hU~7v#lO2n|e2ElOE`$QMlMw}rG^3-*iH0{uBGV-V4VCr zn`(a85394%hf8LeWtG9JkK7ZdA4x7ld6MX1gpi4lXgc~}n$zZ&J$^XE)05xdE_i-K4TS}=lkFtCHlmGU;e{B^{O6dO%!Vw@1%$>cb$!(v1F z>Pr+j(3K3_sk-!L_C&5;B8ooJ!I1B!9)iQ|vU4pP6OXr}8H3%zRa?I>;###i(Zv-M z5oWE%Nce(F0M+k=akSeJFYfO?R}I&t!7Q@U+D8WxsrHTNBKy4)JYrOYX<+a)$k_0W z{%+g2H%KUN_jNfgHshdXSuE2gKcek?KDL4Wp1NzR1l3>s8}M+5n=hbt7|KWYh~0Pl zzyj~bOJ!<6r`|a)TR&khfeTP}IZ*`bdS`xd55ke2afyHD3$C(`xEuVQ6)0+xycb&H zrnbe}fSQLEcS#)+F_fTo?bsut%OnVCxDT)~xIB$_3<)`Bka4yoMX_WOf2iqeBuXu= z@YWcPwb*89y2|jE%7sw+S*}h(#0^(_voz zak4s-S;6dqYDzTwZ4K5}eZ*=#n_#_VN0}OysPK4!BWT9Db(9A4vz6nhO2oGT=u&j* ztCqWpc}<|Ricz1e^>Yz#(`WE#?q_?jFCbDIFi(2T$xSMG9X(u!?~r5)yv-*byo=xA z3(RjCrIti(G&hXSS?8DC`6ms%?D-I;aqG*`?h|AVLHqD z&VmqBgL66~Li$0r>6RlD_EcxMF@q+Q%Ijn)L@cLi`74sdijW;J_n=IJg#eT37*V0m z=dRKMOA1-igd*(@&$P_#p)#e3!VET!8y*$Un2+~Ie*JE?6JRViNe_Lc4q6F*ZYGt> z&Y+Rv2R7jjO3VjqhGaZH!as5r>3Bpqv)6gJ4SFpt-AT14+Q6j|ezyzcfyJ}4M#beg z?mPv#a8KJx3+rMwfne$3Xml@$vY!|l;p*VIx}At=It2`K4yFleBuge-+@EF2AHZ>2 z+{*-aoEy0LD$HG=>#~J&61@!WHzL;?n{d07#ZaXWw`!45)V_JTs1T^1Lo3=TD|tQu zMf9m5`@5wc>760zdkNxP(@g&Z-R%Od-1o~yx&K(m zeQPRvE9AZva^DKMZwb65@Rq<^0&fYtCGe*b_|s$Ee%1K?DCqrdpUP`v(yykZpRX)G z|H$^6aQa&z_kU)mui#z()UD0XI$gwYQ7~&U3ADUBelevX3K42o5)K zxmyT}u{xA{#&co#kT-xjB=5_2k{>kpsU6=_@SLd%r8JO$>No|)0_+1Z$F#Af4pKU7Tl8Z{<=(9WigvM9=QKXcy<-Nb-NLZB7y! zJ4Qy4xFjCZs6>%KFHNa}3`!lmQ#l)TeItcoSW<}*_DQO9hK&8(cyJ4B66AwLd8#tW zd`uC=h;h1wm%5k0v(`C{!XVxRuArH3@TAz%4(a-Q?5EJ)euU0?YfO(GvuR>1LzPcV z%g~e@R~U3_HjOw=hf1G(N#{g6WA;FNi_GjiIr$e{_G^wBb^~;n%6on9ta@axS~zSS z?VI2(z2<8JS~9{rmdYMh$R7|{7RAn)ENfi`3Zz9=k9TUi`{Uu^|3CKLIxLQ?TOUP( zd+-2_JKc>#aCZn0+}+(nu;4BM65QPh9w4{{hY(x?2?Pxq>^4khCi8uB&Tr0r?z!LZ zkMKN2Rdv_yz4ofTcGX_*deW8pNKP)j}68dPWp?ETLEpe=zKhA+&~|An5*eC-?Ha0hZcY@+frHyIg37q z7`82c#n{<(;4M>MgPO0s0EbRv8OkQ6Z89|%1#nkng{F^3zmbymdWqBnS$n0Fd8py3 z^}{u(fI+DU`3@4}Ap(C3Z3kK1zTpr4inJ$*$qMa(ie zm@i9>^7Fb&Zc-sR^@H1B4WYnz4Ye!nr)Q%jGh2LHtpR%@-`P^iT?A^k>7*D@wmx!5 z<=LzzeS=fZQ({&QZ^iu{pBFv{LeBt~;dGuzgqFz{sZ@M6OJg7Hl?ux8xb!1`g=FL! z%egIG<;k!z=wjpX@E{uXwYtB`f@@r>1x--ZDEFpj>JwU0x|27OlR;@-eZ^ca?T3rc zCNbYQ>|MZRNyJ{B&n_^2ESy4ccI#CAKz;&x9mG$nd_Cba_&ykt@JUk&cd&wFhR-W@ zs|(*n0W>34fLfwQlV<4cvgLF_^nL8`d6suP+9N!j$4ajLo}X%$R?|MeXLT0-812#Z z(XoW`0`|!B!?yEAgq43cXY4R;N5wF=9#-mz+^gAXU9R$;3|3@v%ATiNFk~0r-udA= zLq$eLDxPc5`ZyzBn%-ut-?}z!%Q{b+2-f!IPMAKbxT>YW=(75HSn@_=PV5B=40F$i zCIp4&7|fM|Xj8C_E&dhbNHOdcxG$mXA_h?OoAbjaL;0%s->fKA5*P1`gQyAwIGPgi zFf1OwX`LNz@sX@d-MN?+DY`21{Qk=G=oOuRVBj1`1<+{Iw-q=P7s*T-^?2%9cU0d8 zUuPI-uhm2F{UJDp9*s|fW99vauH4>++5S<@Hy6s0qv=Wn(9<700vmPYzR-oykCt8x zsV963wo7iVL)-41TB~pCkDr%#pOUl;tgNt{n8vrg(JEuWe%tP`OCUOc{asvgdI((9 zM+%gU*wVS(u|BfQzJ87d$G34)`~Z(ky$SAn7vZ4;`Q8I)|4Q}C#p|0Y-AndS4yE!f84qubO$Q&r@?~EOx=B%m#V_n26M?-Eb20QXM~)tv8_ZNvIX_tSu7yGw zefF&NZ8JxnHY&7Ms$1np4`T3klxc#GaC@Pmo|mDq$Y?K>6$_uQ^sDniy> zwirmK{Tn|aBpTtI!b2~-Ta(4|DGjvK*Iat)A;$d{Ig?YXAW)7)LE;GX?6X~^yug| zPv)L!uj3OB7j{x&@}6@i)#N%H+^Z*D7s@=Vt@N%%ZwP=AiH$_Krcb3AdlRtOO8~v? zQ9dIqM-(p^tiN?8v9zRq3StzkkDi&v-XD_F`NrXD9~-#0_xxt`uz@mH#`wA4mp=1; zok=F#(Syyz_qLWbbHrZf-h40@4Agu&CYbpXuDTHu=gsIj){@D&bb@EZ>WOu8U$*i~ z8(s9=bs!;Ay$30dttHVrb@BN~$jK|Uxdulf9|}GI=2G7tI6w;*V^HDZGdJvuMdNGk z4w9~H3LQhGkc5vcwrK<(K-`af#Z(0AQp|vvhqobWMEXVO4Gj@h9PdQX z#5+Bhr;LiKeuOCST>i9tUx%{%Uk3{F5!t=+=+Y8?<0^;1k@Zwl`n5*)vg{iKT+(_8j6tB3&Iz^|F6*z*ppe ztemGZIxvx^GBnr{$$HIVohuXci-I~@qGC^R$eFRS6c2PYyB_72JlW0fBz)J!_c3M) zjr1ee6YxRl7&5>x%&g+GJ!|uo(eY$-_R}w|_^xP4Rj`jmxivUTh3Q=hqtJ&QFy=JN zSTbSPNosO@eu#&^tDgK>#W^RPny8Tyo;>KG(@wF{Ywn~kTFRAYpOJ9O$)vVa6+0&@ zru0s^He0y*InclgTo&TUv+B_d3CnT%IfKyYB{Sl1m}*?v(rBFk;fsnMW{ zDap`c(L`g|BWgE88vklo0UR^`m5AnvrYOw(R|bK%3doU3^i=|AgAEX$$_?& zP!mk?{DAl2Y!#t%NG4*Ix$K-T>~={64&%*rMmTHDMld2;>S4)Wchyi4jxlnqCdk*y z2p&K^&F!LpJIMVC+9&tk3iAvKjA{m8(1?6e^LbY$IQcODxW zjJyNW#rm|BC}&BZWjr_)T|HLbo>n7uNrmb|Dj;GGol_OZ%ohiYC%|oO=}rUxjdpRS zEPRG}RKwsYy--L>YF~hC{kP=-=9Lkr*5`Je%vErx`mQ^$FfUGZ1l`;9Sl>}@usnSK zf|{7+F%p^4h2^Q3J5}@QCRqJ-W#1ZeUpEuNYjrvoZ-SuB4~FAtX5r74U1bm_RGMKE|@P8)b#rnCAhC0v2i$H3E2oE|ZPr+ZM}k+#b|shs8rr8{Wy2 zsDw}@D%ls)UQ#@?GZ8rM^ILb9MehszSV%ow3pxrRM*vM+C{P>1{ zS^z2Zo9J3tNv96BlyagG%~Gb~yu#ZZGZmW3xfg~o2jNzpC2F`yQs4C=Td17R2?R(a zXta7wa32fc^Cf<;J<&Nq8ZOeai0u+$=zI7w+l62nOBt&;8oqPVriWZhsxP#*G{SJa za|Qk|^SOg`?4c-YADIj`**EKFWajv#dou_>aV~(F&0>g}Zv) zCxwIR?nYqUkBbp`sLMu1kU*yPl)i(~{mgs>EjsfOM``H&h;O@Pl8%PlJUv&pOHb6f z&+9^M#kIv$&ZXIIVk2h~j1I%+-V#1@nTT`mKc_rfZi|E+Xrwc7^+1uW^jXY)Ue>U; z%e7XZeaL)#d2*PP2o8(;02_791$04M3bNmVrm9J`d{L4>Xom7ufC7E@!-5y4|GS4q z4ui~*A|@8Kqs|5d&H#lQm?IaIkxw;d2?!3;^DN00*Dpv*hvu#v9~NOF$0nN#b~YRK z7>&E!W-wQ}>C3H_wW;2aKg5#n4wft;8(;iz*#q6K7#1N?fGTp%o0lqnF1*ItMkx&t z+m}VRD1nj(=Unl$b&m;`7bW7?GD-x^KjM39O&M#ji%7Vo6rQYD*|evraX}S@io|E_ zo&3Q;qdm1@0aKaGg-su0mhe(c(@RqEL?4KJ{@C;S@%QvCxX(9OHD7s`*Rdmw)aOfR z538P=A*HGFi5bXh0H??)ap%?^TX|*roagJ%DcD`~4B)89H<5r-grZIU#1#c1@kv>X3Y|Kz76Sdg-y6fW(Uam!rK%?$B)_O_#uB@ty z|HYy+oV~FuVbZn9pkXN~WfMz2@m#)4rrDQs%MSy?@-30{MyD<3-!;-Q)`>w(B4(*) zAx_O3$+FXS*Z9sfxlhY13&0VJOL7+q--<*=s)y^h6|~`Yzp7cO)(7wiZ6~bT8kUw^ zbAg^U@omoIS-lEo+vDqFmhiT39b6qTavai?%`;0-jqiv$X+GF7NbFqfw{$@aP$0rL&wUQLeM+ zpw>Mu%-m+=>lU9khi#HXH>`WkY3W~uG{y!*pas3*3e*Gj8;<8c5e?J0cp~ypOfD7? zk}~VQVLa_ZZ%86~||Ko5` zA3eXEw0Ggh2W?dzMsgSvYN8H~h#P5@yP3L;KJVV@pmng2#L;Rgk)j0P(9xw1+v3}L z_s2qW5@Z&AZ^W>G-Fks6MV%>o`5yD^HQw24N7_o+Pv~#UNJBZa3(7w`wVQBc<|!q8 zc|&1q^Z*5#?*U;C5Olm_X1(Y0xXdBy3-?S&|$cmd0#z{{_ zh0STb{W$Y?OLD)3#H`nNYp7S|`W8AXK~*dyj3#0t3Qj!0*hg=8j1VK(wNfR1cEfa1 zA9yf(ge6?+7HwN1;J!!VZ`6rE|Fi_xgkTD}l?>z9qd8^dK=gU4ZtqSY2>!G>F8bNb zH}Labk2h!Sh;7zOm3CZLf`}foP?1@|S`#5(X%HhahX`-vbf4lWN>r;C0{5AhA{O-$ zS9FV01?@VImI})%o#3PX7x3u?_W;BE{&NVU-`*>RjEXy>xS(*WE==N z*G351uNTZ3asPgp@csY_*7#}!b$_@#kg8u;h= z*X}`_e~07l1M+_ccJ89^{{WwUUkrx*J{TYPw|E@;Z!!47c6RnI&e~k;_Yvd(F82GJ zZIJyvln2@WgT!pV<8=QL&(Hlois!!<;71F7pK8fj+F1j|Or2e{AwljCo&r!qQwsow zBvNDJVF%c`+Sus+*0%db07dMbOiZ2bbH9OfA?w~4yJ)jos0V)Rn5){Vqv?li-Q}$!OG485t)+}%)T>`M)Q?ySJSmGXB?4 zDJz%<^b=HCrZMkC+(6j2rLyA0g%iLHTRLDOQIMv<_GDh;__%WqUcTJK%|ppUsV`=G98Gdd*O6TtcPN?9tM_euZxY&v_mfjE44W3O&eu~* zzgQd<5;O0e<#N@In{cv;M9eN0VIliQfjVS&#d7nU2g7H*^181rm>>j-_jr`vjR3P- zqUS|RGwXoV?dJM(bsU2d8s5W-;pLbdbXGO3Y2(F4G1-C5a%ra%K{G)dU-k1L8WXI~ zl)q6ZQfnTrSo0%gA%C;hefA}kT%`sH<`NF3w)6>*dBE1S*G~hW&d+iNS-$=40vXhf zRm){3+|r1|N=ans`M9){acTk9L{EXV(MgeNF^J=rv5}SS0&Ij%8j$oM~uBSWn8#Om6j)*j%g)4rVoY z1yt=|^3ZyCQeu>CA|+!AQ6$v92N79eT~BCGjEJNNiBT$;Jb(nJ_P!a&ia&hDLPO7MFYG)JK_iN=`W zD2+Wq$l^OQvG{;&Dj?&HcH~|g@ip(U^9S5Lh5p5a)bczzY9KH6#Ne8<1sZL9ol*cF zv^>=lW;x`=@Jk|TtU4oZk6__+z!Ygfs1^qGCuF-|;^7vsGJsBv%mA?f!I4*r*tNaN ztNC5rmsbuA?}!K~Dq&K&^ZRw!9|sv^uD)`&4UYGqnoNkM39|9(E8sG*A1*k%rd{Z) z-$E6Ne0O>%KF>HtJBh&74@z`I$Ni9nFI=}~L258ZRJfebO&fq)uVRs4^YX*)=sIkm~N_;B;+df=}gr{d0` zk38{BeV{G^w1kd00jZP$nyGuwgqK_jLS|cd^KY1329*#Al^?mXI1FwbCo(uOQHBqs z4knNtSa*)`+gBD|-EO4ve^&4-FUVM zU$7I3%$x)>C`~(5ingjlf7vzAzS@ZX0tqK*q|Q?sw$>$?({?;hTUC48-sG*GOVeVd z7E_$8^$4qBck!w*2a-tVg@z56`_N^i<3hCrVSm@Vnh=>wjHcyuUIchx9m)ZirF&tF z-T>>G&zH)>pE`Yb$5Cdw&}$(pPL(l03bL}6d|$SUY7IU|q?}TEb3tB#v?(4XGOkg{ zN;QbtxI1L~=(JvrqhT431ots2Rbgy@0*)7=tN`TaxZga+0(R?1M?7sIu|8(v9P8Wu zj;8a)(xC)xmfNT7@%*B#`;N`T@=gI(`O;kSw900a6J1c5j-zMb5O^SNR6pBj(&ha} zg?i$KSSfMIn&gU_g6}ZAMcak-Or+5l#_GAGo#vNpMAYoZ!h(>AMGw0n4*}}1)RI6V$=Fs*^H`_3raVRCl+SPZ#@d)r> zadraldx|sXL0byrO)+M(qS*|RV`lCb4N-pVK&VxH%#B;T^}sMJ;H7p*0%Fm4EEMy{ zSX2VxV_Da>1pi88U9)qM?PtQCc>3z^qmK0%ooxuWQK}*<-SXR3?VKf;tQvrQPs4R} z(B@)x_ZHe(x+@xDf~4(+kEb`Y_8ONHm(eA@?)MmKi{;S3#G`v@5LI`4e^- zeMef19FMpl!>%QkOk0aks(OVnG_G74)il$=*H9?4m>ipjLsg&%QX3F5Ff@jF!tz$ zsT}KNkKKh|P5h|s(UH@)aH~h4SE%8MU-ZeoX6by|u7aOc8V9J3pWFmAqbDtIEvC-p z$_Vqx<9uMMB{l!Pz@{*6h@hrzGqbZEY#mgI=S=asMAU!ul;MDV)H%aj9Zn*8A&Gr- zQgTl&HRW=nVG9-qfjWoLS2y`X5cklU)Cj6YS=5_Cr0^hp>w@9LMwi2F_srm4)b679x3j6H7BsF-XR2{(prtezA*w!5P2c zjDG?G{BmLa(!ehb{L;WL4gAu;FAe;2IOE>Y`opXGXBMLSdC5=gA};oy;;#Or7qS1A zYUpp_436KRj^E)7j(c?DpV5o{1-9V%AHf#)75!+?zrhxClAJ7H5EumFV5k2-AQfEf zEL@xbZY~xGB)|0%eF@x}tU+>+vvgfgKi{q`r%sw^yBVbuCd`M_2Tm@Hk}WC^qKweVyaDWz`n zZmGJ?UpY~xp?7DW>KBP)1j|eeTCujcT4sNT2LzCh2Wx%mr7;~8Y3wZNiN<@EL<29( z5X3oc#podIAOVufVe>DffjuJ18srX;S>fgYA+a0Q$_}E2S_&E2ysBc2+X`QKYtYCJ zZ4<9*tteu~e&_%I`S*fE3~Qku!0Cz@L_YQ_e(=y?)DPAngV!iUJyaWpEqF5AW1Fh9 zEISx7Cyv&X~P;r=XhqW!DNX$r&cl=>#7m@!e!#^W0C93YB}>ZN0EdyP_36lL<@B-B zqFj2E`c~?BV)jOw`K>O@E0Ny9hkgL)^_Ed0vYKWF4x)CDaD0Ab5L~!FNGXpz7-U7o zs14(+Jt%>yY-C6OH5+;JrEicKj*3RrBoywsC{dSWauV#v6$G~PKs<4xSfG+u6$o!b zQ|1g?S-duLfEdx7bP3yL;3N74^viEn1)_BLs?g)hP^OP?y64UhVXiHQp%Q#uHPN35 z(*}nJWWQV+!Fzd<)*-!3mvZ<>h)z=R-OR)67kc{oUlXC7iqM@=SA=q*BCj;I+L z+wg5i9yRWX1j@sr2-m5aBDBHkPaH&(!Nz4c3lEGMxSO_yGbAdPZYVR<;=+fDHuL%I zw2uf1O?R*`bZ|~e+PCU3_$QY8N1_beiGk4m&(kEf&pt2r-@2iv`E~pH#9)cdQQ;%W zc3X%!)4sEoAPl_FVt<-3l>WZot19v3)5me!#<~4O)@dhROP+*4xM$=^Up1KOGaanX z6gjzAt1C>aFr6N^cNWn0uviqibsEr%smod85bswI!Sj8$TxFsX6GyFjD}~I*w^><~ zSsLJj-c64~!tA;J(p`b0JE>>)p$gGi7v0b!N~}_bxt`c;Uf!&m16#$s3D9Wx({U_# z7>&3r!Z{+ShuAu0*&Jb0{_bwzt2calOF#noDnG=W>9@_2M6#r#@3cm8v5+WyUJ{PF zMbS|-VRqRLshtZ^;*a4`jqXeFVKR7LAL`H^heh+jp8&i?K2VH2mwLYJbp(wYSJ)91 zX5;M++#Xod+Sm><-m+b&^vuBxEGLkzBOB3}_UDkw9+!KiX*DG43MjAIZaqks>sO0E z>o%Ht)^2Ga?D&dSTrbk!g1V|K$*IojF`^_27F?g0Dw#18ltV;Vwj&ho24nVMcSiTN z5)_I)6u6ryP@``qz%7g-CA4cu#zl+a0htHVae)3{JTxeqxhH86 zbR3%bVg}*@k_2N~aun+KKueKXDs=9PG~->Q-8_Y<|@?J$Sgz5i*KhAh9FfH- zqDW%WhG!R5BCg@86M@qjK@B}=gy3%+k8(P^HA$Xs5gCsl-vc7h1Mmi_7R6{gg?S0BTs9kD=1PcEICNZ zDf|28YeY>{fwOUr2dnUsYP-hDi_eCi5(mO;n2^ssmmff!0H92+;HBadU%-*MpSF4! z%)pt5(Mk7~_v=TL!)*kxH#_U)S~3mEu>*j?4`B_P8-{(@SLq zPx(Y~Z<*mxvUss~7VQU1UAcj!nMg;}*G|)TpFTus1yeWSH|=+>5Vg7=R1e!3x6T;C zACum`QMw^CCp^{BKe|8(d)*rQs#n;a2B_(gOxM$x#cF-UInqIb{=D4}s(bT8c7TQ5 z149q!5X#T9R}ls1Rk@V%4#56GX;Ga{wvd$7VRU!l6~9~tnwr3{h*vVyan_F5-Vt9P zZPphk6RW?^;KJH;i(uDyyUuS@;Rqui{8npY3YC?FOfw#xUU+>shY|~y2mE=Tpjx6$ zvRkJFg9?UxsrTc^h;iAzS{QHIug*wb zm-~Wncs5c%D=K=!wuRtDlfW8!ii8M5C>7pAYlJFFr*MmCDhqB0%mogf^tU6tSchm@ z;uIDot+!(|+%rLXNpI`qp`=s=*Xcbs1$nN~K}BWahIHGP)PbIaIH0<`Rl zX2s?BJo#8J-r;yY2EOb|xM*FYM3q_?nkYJMGTWpcW`Ud07H=GCP!VZKv?lrP3>9 z&@B>8T#$kEqC0OZLxQ{APe_t;vQrPYss%rWs3`NIkFK0^*RzX?3cgXJ#1$BS@u5KF zCSdwm{LM-t2`y0JvI^r_K&{GE5Ic*Wl(Fs_nb}qLLGZ0wH}hh+e-hMM;x`hynDfC^ z4S0uXy@610B2y*OTx2D|%2mFOFy7f!z9it00iB6*@4MZQ;KIkREs{{VU@)Z9f=Dbl zPd9lq=}{`nMvR%HlFZ)M^6}2B+>WK!f0f+q#8|UfaiBmqM?53nXRhR{0koEpyxmA$ z#mX_^|Ki$FTXq&>6!!wL84JgKdn2kJ<+{-6>!{6G_>yuF8(-t=`nR~BuGHAIbR!Q% zj+@MLM^`ed0ar)ZU86pGSZD1PPZExdvj%$I70M2kR*P{7mpm^H)%5Tij&%De4QUm_ zFIUC!W5iFAn_m{LFi(H9@Br~gSHkFD!?mF99tc9A!)0IDSgn@cUdvLtYLj zXKH8eVgXtYpa3$T2d{^%gRQBHA;81d#?G17gP+0>l4jPF7jk^}F^~dqe~F7V zKZWq!7XS@;C4i{ClPLfawZv=;f}V9ClfPXWig2#wL#AKDJ)!E9C(31cXxLdcQzLLU+~Yr!#`}ytZb@aE?#y{ zURE|n5SSMPqPX*EK<*u{sFNuKDEb*J`tPp(z2E%9>*eKn#q5n;Z6WKpN{jJRxVl=J z@N#f~Iayf^jUhMK7|hJh&BMuT$PH#?2639Ovl?-LL99G~NCzn|ZRhM_XlHEtXL%zw z6Ej0rQ)Uh$Rt{!%V>Ux(BQUoiv#}AF!<3udgp13B{l0Z3#=K_sPPT?FkUr_)U}I@) z=wfOAe=Gc>te~T;00Qx_>!_&e+t{1i>qATdq;F*7YN~H%ukT`LZewX@sxK!Z&f@H5 z&Ii0R1RG0;NkIO7I}^5Xxj*FtLK^O3>0)Dgclz6RkO)g-CrgLB_TO32eQAgpK@1r9 zhXw!kTR)8LKeGGfX8WaqUmEzOfnOT_|I$L&p82P?FfEa_q#WK$RFgy*#+{} zXnS{bhYI`#8T`Npet$!R015X*lbR$E4dm4l00N3y7&@t#y3qYr^hYszps1mP zl&Ph;#oZ+;E~d6>03HsYguR^$B;MbY4I<(_5c1oU=RDn z>^-!Z!Q3DKGb;}p01V;*LH>au9F)643Wm0(Kq&~#kG}j;y~HzwO!}!qOwEp*(34?G!nfB z8e3NZgE{YyBeCJ5OyuE3Wl>Z}FfGw(n1%E5{R50;e3VN>984Xht?a4;%=Mgno(TIq zF_N|l&j<)GR)~iA7-$rfGqpCA!1a z_II7_rx3?~sv_0cYP)U#YOs4o=XyG?&?{as&5Dwj*}KI}Zd>mL$T=SHnDo!%H-0Wb zC%9-3ydw78*7rI>+Ianf1k~|)0L)@&8sX40fWuCd)?hhm^`f?M@(2;z`#%u!6~d!v0Ek`vrGo)Q44qBy`tQH= z-M`ua#72JLhLBEq-`#<~TP@_PdkPs))&8lSCB%|VA)nl5Bm~MC{;2fMhSe=iTr8Zm zSt01=ukAml19nc(Pva<<>t|Pyi<7Idi;^K^1a<*%{n1VSB%kvL%9w!&qKVrzS zv9Yso05~B$HOQ(%Y@D1dJOC~*7;^HnAdpe@&k^uvL3sX7kl*^(?<_$si2LXtu>{$` zEL`l6%I`#A<78(6Lrz$^S$O^;$j?LZ&w_x#e<#U3LGurluyJwxBT2xlY%DyS5PBmQ z3pY0a%)!Y5(JecO2XgkaERd(n-zx>o_BXPCf0*5!S|9|*yC?5I(h4LPfejK2z+@-Dnz#5^mhOJzaM>m z8cu#hfBZR|K$v@Xq|l#+6V^Y56G#9B7i38JuQoPFLfgCT_wQLD*WaDr?e8`Y$g}^S zY`>TPyFDjF*>@WkD`bTx$aa4Y2LGi_4iM=6^SipZ@8r3=7E=FxxjXrPF8AY@2NELk z`}dqb{ocRI@Q3`6I(|R?xoxbF-@DuH%H8en>i_+^yZzngcRIO~@sDzl<2#w|KKrr# z)G=8>KhcnX4yyrx|IS>0;~f8CB>%Kyva@oqfPRo^A=beOF*47po(yxu!Qh1d#2uz#23r$_EzW%;||6#T;o{)hGo z=DxR7PBzGB!3ls6Q|~CxoE&$O{pA+@m*W`c-{|H4<=F9K9Qz>)m=nap3F-g;CJXqE z)&1l3R#6FHW@zJV3bc3laq!zDz{5pS#RcMn2CB#dKrE2OqZO6p0gxeA7yucBP5*pX zW(EE9>i<_IbNo%ieo*6ozu|Xw^iKxG-y6nxujJnw#{Dl!=HmFt4*tPhh8+ZSxO=?X zn3}o#cI0$FEn#N|8r$328Uigm9V|>C&S_I8OM4TbvyGv%1<=dX$sTBLX9{$2w+Fgd zIGLIP&Fo#BfM%9%ra)&)51_ND8>Gzr1P5pbaSuao08khx0u%*`0mXq5kOa{{X`l>H z7AOal2Pyy+fl2^wR-iIa1*i&q3RDBC12q6VAfOh2hYM&3Gy)m}O@NR(faX98pe4`> zGRNTt+5l~Vc0hZe1KGKlh=Op+E<(if$uyiZvQwBV!eabYf{1(S%B+Q=xrQp_N|D?*l;oNmjRp zB6enXjKx38CGHyLsMC-Q{;uiSmG-o?cb2PtIm1ErKx`{yoFsN?f6TNfCOsVOCFX&- zv**12w15YncX;nCELq`q05NCTw{@@$r}zey49pEJh6*kN7^l=PR7d6{K1$ ze73*ub9UKwsasC3c_}oI!ePz%)^jClX7EhlnwY#c+xT{Tnx@gOtZ?z!gZ2xY!H`>H zD&6G+ed6|KQ>Qpulg6cr*}m5`CTNEYo)+>0lrb-_UaHmvDJx5`csAv2)P=~LRxG;ed_-X-coV-7A=$CTkdGL;TJE1$GX<}Xx~?%s%a-4?`8?mEbd6z3Zqws!U;TuZ z5_Mbs2qycVQdC^wyu{ zaG+OIjyzw`gXO`{LVx6UXv=Z#tbJhn+4SgZ{(}8vLm2cabfjDSBsI7slA&B-iO?>) z4*^zB6442cSv2>+U6Eg0Gkuf7KxKa|j&;x~nevS<=YC6eZonokk0lqOeH$%pBFqHB zR7y2UCq~=_y*Xc0{`$D%DBlK;y>=Vy@@K87Ev4%~FR{2-S~1}YVkgJfAMo^B6-E?wHTxC2R9@Gv!>+V?-!%Apo({sqgba;rwb4ld$Hj5D&KOwA?NLf@Dh?w)AG!=-kj~C zBOhwykLe=IKhdV${FEGrv5caiV0=+L)`@Ts$-=0Rvqm*EN+8wL>7xjD zBvuwi&TT5kuwFz#j#|wZ8!~NYD-u0bqQR_zqU|E$`?(_A?zKySh%g;y_&l2wZ$Z~aigAREsbjjdB9yw9QiAC4#H-v0^0L@N+Hc}J5@=uc0uikZ71rVmVvEY9?n&Uu| zdfZ3)&@d;T;(+uy7Y6A( zFwj>-sM#%E<72=Y!%@gk*x8YWTd(^e!&|1-{#RnOgt#5o{fE39A^xTvVC?>-4(N-h zwYgE(Xula87aO-gifbejFV(InL&u8RVxkHqE{9pV)cFD{p*il;*w`Z3M9xo{99XVF zR!+8Jy7py!it_d84rCH;q#I=AT_vYT8J%E)Wdep&fnd^9%{AXhIWq(pILhm4a(%p` zC^WeZ^$07faH}$OQW1?Z1rCHAB_uKB(=WsyBKFFjF}(_f2dIOLq9|XJmxCgCrromZ zu4C|;zvP(I*b;PJ9%@gCG3`F0J@u{Ipc@_6K~uLRzIgPK(x6lu)4zWW+t{d(A}dOC zuJQH9Axm>kQd;is_KXCaR@_p!Eh9=aH?bG;Rp3CpG7`AUd7rYYR{8l`A z)NrI;XrBGaXfopE#PSQp;x%;LZ;l?mRZca~wy$(vE(r-}p>EJr4}`5;QG3W_;$q~k z8LAa6y>{&N&HxDmv?<_6hYGbM#^aK#_61CQ>vCFgt!)!#)H~&f`zXa)b6$C?s#KcG zh>>7=Aj&;~?P%nW%3Je7>Yxlu9}Rwd>|OjYQRe)+TVA?3h7`zBiA=FW^wa_rDsw<> z#gyc@89NXeOxCx?XZ{R!AxFfZoybOQvcAkCv zgZ4eaG~=wug)|o=Lg`sPQH=>=WUqCltuGaJjdi8NAEVvscRaO<)e2MxU1HT3IKN@l zzuQbSWUZvJBk~;=Yj}h-6@$sx;6JZg;a6-aLiBnBj%>Y;BiHl%En6~yLqz^n3UO33~-Z_U(fa!|@eV7CpC)-$l00 zs*vy=AnA$rJ?qbZx}rVja$4p}Agh)80$TmuE*dXRESsTp!D^b_MjHkQ7FBW%2dU9G zmex$3O8vQzK;U2q9C;FsQ}s4;A10qijPT9SpcjKj0H5H5F|q-4f~2{+kehhBDjdqN zays@j1FqK-+Nu1NV|KuK?FRl#Kyv?uoVz(egv)n!bi$37+F~#o3)CD8PhN-=P7_Q^ z1W8YzoorLlKE}L4bNVvd36-VvSZikr7cqC7l!E)=Mt-8F6xdd$5pGnYKv$)Rk!(0p zBKza#H|x44ZgR8~cy#Cu9t^jS@`ftGqcf30xf}?sEpbO(M0W6M1GY9RB>s((9QM0` zXWWC7A!Gd=Y#0qCeYiq?3FcZkO6?SDtjutCZmUe-mG}&lyBa=KZ57lKX8{2z0B&-PC zqS6i3elU@q^NsX{@^@~J^apkUm3u4t&`%Umn?$nGwo-E> zd`(d2iKxMs9m)pZq@48SY&F2kdR)lz^J>aQk!FPo<4LR@`3^R@&8nZiKRwL%@g;Zt zv2n#cH!@kQ40U+DZ2!rJBBfLYJ^OL*a!szZ3=uS-5~#d!2!SizunxX!0U>S(w?3xV z*FZlQy&PPhEWd&^j67g}R{nvy@?qt28R&rQ$w7J#i+Ey1zDiXSTiIxl*?~HW`ImD= zq(;Pd`USX2bU`OQ)Dz2U1oPpt8*AS?u`P$TyUyBS9v4+pHZ{X@c58X)*F}0CWH46y z=@O*8l4BAH6Q7x0?%-lq_lTg|H=0!K0lh5a{=5`%W%Kykuv6KU{3-AtWc7OFMKvW| z7G6eyLZ&vVuA~{QOAb>KF11FRS131T=~8ee?!Lg2D78#(s)eYxH*OmXNMFy>JT7F< zLkr%wG60ltFU|&|9ne0PtZo8%E zN)(QlABFR;VKR=Kque<2YDo5S1;`kxf!@d1d_W&W2zoJ8|1DdUNXB#_VQUrK70MJe z7>oq{7=Tb((Eg}Huvxz^R~0}FjQm0!NJag^n}&%~_6@5lqk54z9DGpOo)=stE!}y~ za&g=Tc6S-{fkGC7N{X#Q+crn0E#l}0%1R(xvVl%bQ7z8ELF_b#7cpB!4*LgR52m~x zl1lA?ALKZ6Vud{z6Jj#=#qi`R#ObRJ{5brz^s-lEKYh%cnqInuazY9&s$jhyIj_D= zWjd0Bo`*scfy$IvqZ~9ecyjP020)>}Xp~}k!g2C+I&Z|BXab%c9d|=X%LH4)DBcRU zNP

    |}mq-M9Fx(3^P)o=3h!}32|~iy0&Gh>2{NGs+!N<8}efiBeSL3z~jj2 zL;t~_u)o=2Fz|=Ni_4#ZQr%BzZ{{mX=KO_wj_TC(7t7|_HXffo2PI_R98FvJJzWK? zjU{a;nGV|S#iL~u!YM$IVIt>J@ug;t#{|+sX;sAM9XmMRRU6EIG>)I10$8YYA^ z#7(3Z-+;fMA1JMut%^l*;1mG&zKkUQ0&qO?T`J}O03Zp&C4(jzVde9q7jI~n3R04p zD-!)b=u?J|H6H4_#|SFWbUgewkB#@XJF4*?KuHR4-J|~peF-&OAG^0f14xKH`~O3N zTpyADy#I(43=eyE6hty~{~thdvGgY7{ReY1%?Uvl==W72u` z)oavULQLq_{s%+dAADOzG%Pd=Q%r!;Wh{t=q|KB zY3~0LNUZ<4avEWav$ z;WRv7)v_o5ML9kHWgbWTTZ$DeOGGPjTbw#FUqGDl&kB9uc4gY7W}5$3uYB)sL+)CX zRX`d|Bh)Kst*NA+EdTJOJp(6a2Cv+TM8kLzvxJ{XTix8MQ7Z?ITIi!0w9o_-t)7BS#eYK|QmxNyAzMFOnV5iye0zN*{@ZyVz z5ke>K_LpSD)M7>#$sNfrJO0z*+!tC?LHk4m;Tw+lCSiN1c-+7$MhI*So34#aJq>t@ zn(0aiMEqc1R(z~SMBzGsu)R+FqTvM^!xka}IcZB3Rbh=#B7U{Ho2<03J!gE1ustTj zRq-<4U4O0%c{ZG3d9r9OVRN{M-YerB!IQu_Kj`xSmS*V)Wj8FosiIQ|)_&ZxouWZ# z|Jt^p8(Zqgm(uYp$O2}U^`Dd9sksaZoonxfFDf^pXXtihGhv&~KT(Bm;0;1_Z|qOA zuBUDlmee8q$7}!5#spw!MF@Vs=R(de+EQE0G*~-rNDZ zs=D;NH$1${8uaYdfo=qVzkF&JmRLJ(W9XF!K@BuwK7PCplFk9#lb2?0tcjB;zu+3$ z)<(B_uN+sLR~#ifw(JI~I*KwkV`wUA{Agl}7Dso#2_-|P{an$XrM@cc{?w|$v=mlQ z&nitMdIWh!bP63wjpOdYeV8bzgoG0?vF*y7lY=7MQ`O{ZN_)VQAO5P0{bc6%Xb=Vcjf#cq4 z7D6;w;Y1_i1{g?va{;R0sp)k%2KF%=8x^NI>4-JVAjT$`qV-lM#zw~@k<8Wa6Z7R$ ztZS_8&i$&ohI|v;0u%GT<<+m-{kHAr<@CMVZ1kx|k=Cp+yT2aqy4pJ&6D`9al+v-cR%38{T=aJpZ2A37x`;z|z zVF}e0-^=lyNK+-}CXyR#l>g_E>6*W^zT}I1mwxgRV{12>q%Ug^qGB;@Wk$Bdx?0B2 zl?80Ev+V1koc==b1yI+8y2-k@bim?vvDqxvDnI^M#qKfg4KGXy?fvBGci!5qEJZ0AyzLnp^cyyM-EwnndVMZ4rC5JHKI0Ge>*a#gWBa;hhFVF=K)2i**!u zG2?;3oU@qffm$AHfQzVxh~s}5&UHZ%2i625+;h#>xAe;8NXtq}U`!ccxS}N$T1jbG zcC8Rn2NLj%@2YE?7g=oyz`Jq$vc+qztE8{6)zra8GJmlTOU%1sOS-0Coz4}nduMR0y?f zJCkv9neTU^N8MT{^;7)fzp3|msMub9)=A~j1THcsMaQAtDzLJQH|Qw}#01jF-XB0C z#oW#Sf<{yLP0~3|fU4DSFp4+Sw6kRNSgU3$#!U#DpP?ZLF-K-W&oKg+3d|=xK9oVO zGbqj{Y#$_}%O9Do2(D$rc)D6h34w$Y`2Nn&hJ@gaI!q6f_4G*7A+f5SdQOq(-(L=Vf{8p2<+}SiDSCg(DSogTN&0HeaOoFQuFbmeBrEy zyI3+}zQq&sJM@ZSYa%JNS*EICpKrXDaS`37emTowG_*~y2*7?AyeSIujE2U_w0O4E zfdh&LL|fYqpL0?EixXYdAM6x4{eJIov4&3jxpxW7R~skMnAzF#k}QxC`I)5Yxn9mv zv3y~Z37m=ehY%G+5jcT@VG<+Y`*Ja7=yx}p3m7~&BOlBzY(8U&8i>Ol^r}B7O5}xCCd76Ntm& z)VzyHkZewhNSH&bO8ipK*M^?om(#x{>se$+oT((omBKX&pHTq|0(qmf0t#PKDhuZe zrgNp^$fWQ)-9>%>Q#(|TzNIlaLg~bV``vbzd)IYGbk(tT+CO7L_^8*W_yb)ZgXa#J zzgI9wlB&KF*&HsNgNW4VC-nNG)-fHy<-O^TW4(oyoWW-&Q$|KY{nO~ew%_`r)b#XG z0)ajrQwtMzIEu?gJ6;n6ddT`o0uL1HJ5-%1lR=e#g~dG!kuTDAS%k8OgVRMq&C0o^ zE~A76p5Hnw3@B0A*HdAB&Zhb^7;+RyG3ZD6JciIoC<@*Eh|U&H_r$>tF#Y4RX0aAk zAU|J+$VeCZp3~di*8%khtYlP52qZ}2R2XC%yuTG%3S$5p`#brS`?%&diE`5Maph5d zaeA@0l9q;c+3BtBXnkV<4nj8?+xrCNz>)A}3W)(|Wq007y*&OzL<@fa)AcXpC~OC~ z!*mqlFN4k7lRK7o^=<9u;AEKOTx4J5p#GSU<~E>7E=L^&_pM}8*dqRn?HuW$@2Vo8 z%(<5=Dk#@`2<;k_#WzS9z<;sBT#B#im9XK#TWcm|Sk;*@Ue4J&SMyFFwb#e7N5ywA zsEn{f%cV-_6(?xXLw&V?thY8R%7)k9y~^0o)BUNfZnaY1AU#KzVzat>A7(O)q=cd9 z1a;6fR2F%$b|1++V6oW)qu9G~$M|dyoG7Js$Gzn)jLUGsq)NoYf$+?`Np28^{E<;E z>;C6`Y=B4|<3~m;Hr_GMSQV65#K0&?o<;x;O0cwu2KQj-D$~YveWl{{Fs}oyOj%8S zs3(r^qZ=@Q4pjtZ9{Nj-Lje0 zldYQ@*v^^m3;s*~OWsS&5%A%{I2eT|{waa8tsy%_Ob=#IHICYJV8< zTtudPW;mB%p0Ngea2*@A&+t$>2n^%A_68ewCzYCw7;B@^ulOnrYvOKVOte*dtJ?hh zP+*#A6$fTiOH%pEA3W!aznoQbc9>!)lr$|d~Fz| z$-jZYNVHoBson@JN|9TlS6MbC)1Qjfg5jWGluA4{eF3PX644gD@-V$o{3_>EU^YpE z4Fw=6mGhJPB%G`5y$zkc|LWfswmY2!9iHloC;Fq**dGtr5v#WA9vkwFXuP8 zbz#}pHJa)^mj;BIf;k_YiXDD@tg&Upm{^5TS5Z@WE+x>xbK80F67LNp*0)PDlB=*rh443m9((2cK#ja82n{#ktswGH*kBp?!78 zwP4_f%&&$yckhbTa%=((^}(9u5juWIiLodPi=R?)nw?UWFr+{bS~sjQ2)U;n;mF1u zflZiWAQlP1?Z*g*)AkKL?>Cm>Z!l{mcn9#^PJMk2TbgiAa}Ru+e^h<5#U>KJ5{80? zcVHuH^xH!9@=Fd;JuZbS-8swL&WZ0+Oa5S51=&0tjn;dFA=kbnA?x~&0gmTq2&CRNF%SY6&fHy ztZup;-IX%L{xp%Y-Q0M0DB(o&b~USG-W|E-fg8PpK-9^`b+CI#>ibOmoJ)xc2*LG~MhiVbswA?zntiNvgf2^HTb1q!eWlwC| zw(aC3C$??dwr$(CZR3e;+jjE3eb-gp)mQx=cJ11Gt~JLXaDG`6aIUn_uIQ1}I@uBP zt;2JLsw+g;cSVQM4MT?vS}Y{S1tx~JZmTE|7Yr6I?u?iDm4s36 z@~>3dQi@;yiQv1)0h?p*`3=f@tm^c)@n^yW!A`vgS)Lp2Y88f3WiFF`7(RP0Z1c)N zhOW7ptq4OcYQ9FSIS``y0BpEmal`=)LW{}c(RFZ>Cbw)Z=Vgdit#x81rV;p?rf%CEQGO7yyT6bprd<3kI(lumnz(G2}?!mstd!)Pow ztB20+&Fb}cCjIrV-Bg$RL$a2cbVbg5dbjDkTq;9u(-+gEtXP1ncF>BudL4m9UF)o3 zYQ*J8&X%l0mrIuu!G+MwKV2(lG{QB9Ek9L$-)hWxh69&g> zgwiM$G^)SD1=Y||vp6Pf60`ZB}P z+Pc}V2>GYq+9?j7DjOql~^xF0<}rrPW}>lZRbB?_*&c0irxt0 zQ+aFFEJib{AOJ|fBZ<1k#x&xf*&(CaIFfDK+d!1*jHJ?GB+H-V$zEl6kmSi9{Ar>3 zI0XxzpWBM;;Pl^tSMZ|~U}M6f&UnVTDk+m=2Yw9bfnZx(iR{$^{~}!Zc6rN` z@Ff{$BpAA4Mn<1J$IELBMMi=%xWHr%E{Ch?N``@He|c&e%a6Z)VEtA`riv}D@0(VS zJa>ZF-4egAIsO0Ixe5_6N>>~%pjw>2`OC=u<=Xpe7rn{!-RG+ z4Ljn&0SvL6SfO4+?mcWBeiirdSP@f?JAM$Wn0VT+?|F3=}qYoLjFP>F89egu)8 z&y%UVysMq0w^A>#Q<4d+5wm#M6OKGW7A+RVj5coA5>iegERDFbOjnbG;h>J_cQw5G zRZdQ>L2`vldWr{s!SDm5IrL`pl6TYl2>aOljC{3vF=rS+DUK&0i|U&Y&nziC+Ktxk zI$@yXkj4E9&!uTaIH#AiHXHIPxnb`!<8er-U?+O>!-Uy6)AhS?T8S3O_Zn?wB6xF>N{eg?!f6l=-UdL~^` zx-zU%SL_S-PsTEEw^r9lJPeSD5!o zP~=wmb_}Y`muq6%TRcPIl)`GuJPzX{jxVrc4VaBSXSmUP!T@6LFht_T$IVb{bw`>DZE6O?^|@=pC`l^vu!adYyM&uNch>^>xY$P!_~_wi1Silt#aWbZC#?go{l{!eo!q zCzjHHMh5H6Bsw$!6&@#0g;BvnMp1<}$_xY{)fY{jGBc(|OqUh$8;MItgLpxuJ?+o! zul|o-7`fk1l3^uokuY#A(faVEHy&Y}PIb~bi(U7#X#PPF?aLDVLxkBAjDC^A=$Si( zJZM<(7W&pPjvkI9LL5oS1_m{%H?bPH+MW;~9QigRSs;{5Gsf_{_J&dcmS7wb1phNO z+Lm!H6-(+ynpa@t^<(|uK)s%!?*lRLF}Sxs8iT3GXIxpYu5GPB$8W50?LkR+kI%n% z#|hl=qHR|z;1{{O+E&ZpcsJfXG0KcK1 zd6IvXA6!97gFM>mqlew4dvWgh^cv5Oj=;tb)wA|-f}z)w>h1yy^~JKz)v-&du;Y&n$0pJ6Ye&|Yf(MUa{o@lCuPW$L6n$1!tDxDV~RNIkS{5S3Y3$L zc_P4S@jB!gS}UuFqhcDcQHcz_%syVEkwQsj@;diSj8Z$ThP^k)5;4H$%3>@e=wf@2 z!gN1bJou;P2doZ*(BZxd>NJr$+vB=W#FtO z_-LmveK_XQH*OU6FO@5lkv3RSB2_peNmwG(`qCdC#XXJi4z@&{S)NuS)n9QUdN>@q z8C(?1@Lwb`H1Vdy&DvB9M!^DJ;Q8pdh_<%9VVj;%NE~)B2U;k7;2=b~|Jp5;SUph2 zL*^yLNvyb=aE*|6R)L8~q{?;DU?eugQg@#k0LWG391UBk?z8b7*Mg)g#Lj4Q9beTw z+%Moel5YqI2bXhR!PQ8+(0)8PhxP~C<3F7A`lNMAZlW90Hoz;|JDdxUot9yBG!Lr* zDGfW8i3~^nA6}u38&{HSm+LO&rkN_my5J&o@SxIoD6$Ay)Ukc&L~2=8t}+ze6fOqf zS--7Y)r73`6AC{LH-Z$a|Aum<1$3%(Z#1FHJfm*11vfytE{!kybMi&K@PlAPYyNP$ zSCV(CD~j7G`YMM^8LF{|A#Tjo_cwjYWESDJa|;LuRf=sw5Hf2e<;Cgj7WDO@1M0U} z7hRjKSaN$@sJpX}aow|lUP6;-+&+)KY;_eKsQ6=q{*qc?v4__E z7}zRXdwR4a!LdXoKgze8bi!hI)|1r0o`@baFp+GA7*eErS<$$+m*{|0A%>=z(aZwO zIHHq{qIM2gmaoXlSqup)lO0)5lz7C}1Wd$QG670^t_<(GhypkVgyh*^PAVOZtb(!y zR036l-VpK%*&Wu%0II=XK_U>d(a?%QmB)X&aoaffyw_H?cq_HcRx+Cg+1a2Zd?biQ z5C$Tp-VmsbNyyE=Z=rx_p#X^*T44h|PN4!~<%4KC+5&CY63sU+)moBW<*f&sl-gd1 zXI*%8ReDMaYttlSN~=w)O}{eOMLmK4rW1QskZ#LY_YT@ z7lOqw5)?^0emp!FG8*&&Sc8c+he^r|fPPPqd258f;?v12VWFH3CRru4k&GWwoE}aI z$G_C z7?Beu2cU*Km=>$k{6I9xSR1)UT?23m6D13xqz;4`*p<#uIyL1{DGW3rYmqsdG}4IQ z9hkwOo1WW=3^)UYNCWrCqQx*J9fK2!)zihD_tBYE;kpAoJgrxm29Rj0b$47u({HynYfluv8Rk zcKid^zbOl3*HrN5qr`X7)V8U8q;X_2QoTNseIV;lhq#3^82vM`neEEcp~ob~rNyYn zN@s(6mirdFPsIKY@|OjC-_)(lBg~9JG@fz*y1LD5}s$q)jC_dSvXn{CLKQ_Wv z6Urpgm)q0}qPD+03VoPAZ&KYq)VI}iI-7^bX&;Eo*I+WRv$j0SH>xx`8{s>bHzmsk%^TJMw9PoKHubCJGFdlQhRuZdxI&4`$D^HDFPJZXoZ8k{hRvS{%>G# z;N3xR+O;E1u8;Y?BmEUN@k*)#ff5$EYv73s`xz;HL;vNA^aoYTPP@VC9ce`UBGFnxTDhg;@Q?0@{A4cSje!`TgKkzi0Uc% z66=)(-#4OlYY|zOq^_ZMaiwDgLYSY@V-MD3!k z?yuoqAHT0dDbM#O;cY)>Il%8Y8rn_WaNK@}JN%A5E0&htu6rE+$@6E7(`nM5=H`Ti z91&ED=+YGUTCxm-OT`2853{@Jh^ZZ@3|cJbKk^KY2(_wS*+}Gh!bPs1WZhyM z30}V+VJjR6Z1j1%244qjgH&xZwQo-dk2IEJX1$J)m$g2>6tjNW{D#v}qj~nnQE&-I zEP!Fa$NcK9^**Isr|+KBi=F>Z!CSqrOj-|1yTEHsLG>Q$0@tfu%t7 zNSWO$;$JW8WC@NguWnppS+4pVy!YyP)4gS9xOYv`p!{<`09;}B2O_islNEo_pJ{*~ zb`c{u$)02SR&Gm)?#TG_alo|MHO74TjmJwe zpx2;};7N4Z<=HEqzi>bsiuD()M4&aEUVv@n1EnFU!qec26jPqMJYAUw$ z!S6c>bzg0Zu~PGGL+Pp)WAx0KN~_D1XLJU&{jGmb0D20&Z*hS2OZ|E4EJ-><0gnAj zP)h6V-m6*n^U|~F>>(}U%~fIiya__6d%NX1M_6aVhMq5+(w5OH7lSDlYK_k#rHj`r ziAn4?iZyP4MT)2N;PrJSGZAu6r+*Z}#324KV)isQt4nidML=dAOTf~ z2ZhTHcg}IoA(c)lwajXsexb9F>vA)P*di$N8OYm5TQ&@ERvUMeL?^5E6+f@-$VW^^ zHHT${0j>c;hDQb80OkW?_a}OU|AhA8;~y2n3;6xjmOo?33CIZNAtHH6r>3C;VlUKy zA{|qDUiItMSd&YOj1f-X+l6hX&?*f7lB@cbi>*Vn*Sy!!#3EU;57hz){2b z@3+qK5AP{zIze0wUibj9j*L@smc;<-@A5tVJtn_sY||K5Y$>5s`?NdC`lRiCYXor+ zYVY4-3)!NzKrjNwN3{ZLU*OKzJaA28NGPKt;N#K-%g1T3MG4aj=s4j_g`lpZ&Iy|* z4j%C} z-D*F#w653$qKn+o5RvgqJxK89@R-O)!%_@GzyzteA>kiRR^ZDsrY?GVk@Zi?oFjUh z?gb4x?1Rsc#J&xJcreX|e&c)?;^HdDUu!Cr%mm6_`Lx_pZ%E-tiSUF7GeLq8!~d$6 z3_x;{B4Q9KpyJ^pxl8vKagC30t3CLi<{K`&JZLUKEj7N1im@Q-P~uerX+~vcDm=zMQFbU~DfSpm zC8bm#X{7gm^zVM8Xlij^tl^OD_AU9rL8%KVQLL!Nf{KuJV2lAlpLhbHfr)Rrg1>%# zlxw@lnA)R_?SlzFEW7wWIc(_3Az)!iv&U(VFf@})K|`_5#{KYk(JEX0)V4_`_Er}T z+AmNm{e^qK{UsH26|0H_W&A)?&W?JoM3IDw)?c+*HphR~vkXqkL~-J{EK-?cW>n5t zzLE}D9#Agq?!5}_t2&Vc`fUz4!n2Mbmhj%7WZ@0!)T4_Gk!R8Ej%`ov(vCs#=@Rpi zOHCyCdc-rzs!QFdUl(d7*+1~Va|+cK6DGf%n;g@73%X@QLdFBB9TW2vWe<=5v~k9e z3qzoVB<7Hn*rMGKJMg7&E)j#DUi2>K8OSAj?Ura49(xfjJC0FD!QjJ^K5GP?KMvRbOe}jVuMx{zLlp78^CO zvG7Y80dx{<5WiVVl)M;X4w!n`*ibdo6+smk;sPF2DuKT}e#U)perX3Xyi`xN@X)IOlS;8|7Y2cRu&Dzx!C(m?Na>M?^FhM)pa;&wG{^v94h>k( zm>3LL%*5oNVT3+9#9!U;^PzBOlwV)((S55;x~6-B%W1)}dHVEQ)j>jVf82w|` z^@WTXE%DP6$bq059TFye8t@dhbQvdPyQg(eh2>g z28Ile2=-Rp?XFc_667n%E+}lEe^Fpi!wLpF^EdXi0U3&uz+@3z3XFTfh@s%-&b8rz zF-Ft{Wwiu5Z1@}qruO=lU_(;r5@4cLy^$=?yC!B0 zcMbO()va?XoPGQvrTL6s#i*@tIKFt=Q z{RdVsYM8th`1a5npgLO~4q!NA^dzGGJLC}7APg(9?j6g(N>#<=WjJq#m&bjKG!VKs zGT*v)aAq_A8TcBO3yU|-jqAxLg#x;Li4xeGE`J~cUPscFKoQb2XchS4c<>Kmf(lo6 zAGZ(#``#czh@=k_ejt0eaj;iNaZTS)Zp!9m7>q03z}W4?JL{j5W9XiwaleLDznMt1 zwVBTFxMM#NqNH%=1e;ey`S~Q`D6ai9?S7-iAl|<{_@TS<4m5=dVfvLMj}6tBqkxd5 zdeb#;C^}ZQ02CO1lv~r2u0~Sk-8g~g!-}8x9~0jhf@*65miCuFlZ8cPBbla6E=x~= z`ugh!e^3EM?1zQzBYj~h@VFa!DM{%X?d9>!!%5bn{tR4MLyqs{oY3cbKtO~TQ$S0*3*pa<7K1B?gN8^2gG$&q8~^;n zuv8Pr?rT+4W*Xb{a9ZqJW4)*Bx5yz49`*Zoy$k~B>b0E9uE(Xw`m$g}fx+rVhZ~y- zMo3dx3_*_P7#lBQ6&|0Ldvj+!TBtXi{Sb)hC@Bt~(i<)|Hyno==3Y2-(vKw!&Ez0=mvQ+l}&H!UoZCE zL}9uUZp5J#jr^SS)$98_5uuuafh@P4M9F7@^w;ivN$Kw1KGySybzbl zW1u~Fo;Z!7U-P%Q<)=(lt-0-^q1@MSAHLi-(x<2Hd$c#3_b9+C&(~tA+r*^L9V{Am zgqVI~=7E64;0nF;{RH2roQ8@trFiNfHKrfC%*4aPR*fEOgsfR%N7x=&txo1)1%e>e zByNJ9`Vf1lG?JZ1I^=+%;auTAn}e-b!E>}5u_B|H>~#iyqYL*aQ>mD_3Cc7r=w0Lv zeKXB9eUw&ksxhfz%1wTiWBV@Fz-c42PWp!};L+)|tXHb1@zNCc$}MGix8DBxVkBzC zIwNhEDSXt1^X-qr6P@2jVTpj3;jfXFjPVu*D|q*T;QCt`L_Ek*v0#md`cARH(b|BW z8vG>0^G!UdhdoIKAuFYlliS&6uus9zfiS$|zgV*kZ zqizz8<0W9>BrI{u#N0KjYQ6Qp)hZwi7P8Mk4Jhq%<^mcn1DL@?J;Rjy0#&SWcBvuw z3um0iXWYAuM;-cBz1YgyKR7o+D#A$GCoSpav~IpPjaxMxtgHA5u-m!*Kyp??ZyxHY z_^o=gD2$POE2YmXjFRjx@;F}I)BXdE-Yh7DD_C(sUOE<{ zRrz#`M)!=M<n*3GnX>2&_&TWmbEv5n4Bk z##3Atlq)n2iVejwoO+WuyO`LVvv?$VALrS3i3skQa{s)JU_90F{@tJpcf(bt-ZWZW zRrD$(b4LaHE3FQVP!12zHk8qo)%x#7lI4(jiy>2UVCwE3$xKjRYI=x9RTmG>Z68eK zwmXTtHAFPaPK&STPDO0Gxm51s#R)}bT~4M5MjD|IR*s3ji{4BI-ofJIA#(HSKcvYh zSg}9NSW0ms%N-|kY?hgvXQD`xl}kf}MAMkMfl_EAkXnx+A!TxE`MkV86&@ERY{-h*9<;pRc4#0q3`wp2JJz)mag84? zU+hm&&}ikau;+;B+z-j)F(B+VaDr+5)%qe6=`nwi{6GJw){Cp0*Ze_ZtGic5e|2^q z$31xIb{(?x8-tO)pUUugLqZ@UC-iAxK;4*8arVKwR8`d0ha9|*{h|qG-&Ykqt#13* z-p5j&->%zK;D}qD&Vr}ZKkH%+j)N-7cIU??uhqUd72JS*!F^oj688TV?Z0okMb4Mrq zz-w!HCr$-b)HpSuGCh^TMC)J}l-UXQKe#vX9Rky7dg<*H^>lwzAv{5jF8{z2$}OF; zEu(LBO-Fh4tl>PZYJlv{W61?&=DRX)H!=ew&OZ02f5^N_R?7Ul%Ls~>>h7O}THJ!F zj?B~eTo|NNa#9={T%5LK0WiI!^jI0rrA${>@HXg zjWl4I*^NmhJ(r(+v%2oPlyTsJ9t|?Mjzs_pv}+gOf6gzhKTYKK8&j^9e%l}0Wy13!91%3;ZO-=?)Sy@A z?0*F2!~Y9&1dU3RCV)_9@i9CwAqJuZ7zuC|tv9(#S)YlYeB8KN>@ zueThETnHhd;RSnfZ<)FP@a_7%p1eq1zvxb4klCK}8fd!U+3$Fxus!#w_g*D!cP?r- zvD-q!%Y$hgaTXn5%OD!q#v@Ub8gxhvP)`>%poC(?*iq3eJT~A*q}_km}QPqmo0cg$xI=iQU<*F0I=tPE~BKr zCRf8E>XojXKxtU3L}82ULNv8+%~yRrbUxEBw8f?10nVpVXXR^;Hmvz=TJu0u8R~rb zpyx^qrD+1dUsyND%Lbh#yKsh69CTDb+}Bk2N%=Uz{0i+#c-vfz{z%+<62Vl4V@?FWkpdBfmF6qmW1|FT5G;K%}8Q+PKj!{O#gWoOQu zhU%*;b7`Q2MxH|YGNv}m02BrgpmTD#P`+fe>4{LMsmA+2>uk8w7gUiVrW1)9Axcwj zHAg&}7^J%$rgk>dXrM|k>S!{<(Q+7MlZH0KyirasT80(0LwQC~H4 z8_t4CD)s|Vu^;gjjY#~IJ$_Sp_QcPlYOf`-+p$9kbUGhVCK4Iuaaz{qPXoY?wo1oH;rpXa<(g*lvVX z`8X8v&T3~r*riMxKJ|HB6~EY}fZw?|WM3;Phiq}87jwwiv-mFT(}B`IzQuhU<>;h~ z56|>QE=s3Od|{)OXCwO7_<s?45WHB0Dt6uf%8=cH} z9Wnf;wa>Hl@ji9R@|&?f!VYe?bb4{a9Kms?-MoYCjnNx$GO6WiC2KvVuN;Tf5nQnX z!LC>=wG_T2U&SITghZY}5~LDs{70XbHl++-<7nfO@LsD@d#Y{MRX!C^Xzc*P=^szu z9aCe=c?rK~&6-}%MKVW`VWtm`$%sj~%Qi%3diGa_=bI(dRW-v)GBgfUHauO?hkOY! z+Z`wA+(B)LL0p%2KX86=%G`Mf?OA0P7U$9VLT+x%G2R^FsUf-B5EnUHC;;`5=Nk-1 z2Zs;G5FvH4ux#L;HpDYX>rlchwCp9tV+gWO>Q1FVxvjm;tU!K*(SHEckF$d>k$JXS zw%pAJJF4WWqQekymqh;M9Ym}wh7*j@!evdB;2L=~w8+3go@4R9aTf3I?_h=oy-Y`z zHYKN?w=HBelB=F-X|@mi>YWsRasfLbtDm>9yxJei=QBis0tYO_U{HApIcejM`*K9- zRmsHDB9~F(2}t7-s3D>0i2v$&rvKT$BWkmQB22_2gE*-iKuT_sTj@nAnrWDZ+QN*g zxi%5qf_NF7kS=mBZQTyhDj-On&Q__v;C|Y(`Uw{kF{4m?N7;A%lB(9{&B>GIp?0~% zpoX0&N#jH=pCH1swTpy<@9#=xX)P@zjQj<%YgDIRut|9*H!qBDiMyJiY2Fx!UuSR3 zcMORYJK{L9TOt_uWBcv#CQ7V3PY#vm*8G=ZD!MDs3}5!ot0_m%m~70c|AWMui0BM> zE5wT_%>NdPJ}}d*)5o4-bktb*-g`p|>N0TFKkr551MW?y4@lsGqp}jkH`VC{M}*AY zf4AlH5%5I+JPVDb-*-i{g^LZD;Cw1$9{g_b>7>cMCYvK$WdSFOJr%#h37LluBd5oC z7fMuB%l%)eOCPs)qioe2za~`0%>owh{`k=n?!Om!lGzKc5F^AWzbdBG?JY0D$(|V_ zgnZ&L=aJ?|QB`FW?@mO8+3ELD(Ja4b9jD7Am)}g|gS{*2Z`@Hfik{zE+|X6)DI7ti+=FeUsummf!IS9dyA%=S1< zxao?l(lK)htl*cx?$(iP%)uXw=Ppx^OI|I$S&w$GT>4qQ+%~=*e0&dF{MMQr&%lC| zP4MlC(wyad3el|3Cti>+Oy$B^AxTcz^o}TeCl@eVKFd(-^DPmW7j#a(lk|@eR?q?(k&W;^RRzfQ{&#V0+tQP8S|7NI_@(E4DD1!x|V$h=TY{IcAh#$ zW>oODIWFrZastAwCBR~uVsbhTs04xfNFNn}6r30z6$i9KQy5{B$izI5SA-29!RmeM za3xr!q5q1IvnbIyRT5EULe#U{+v9N+ALiws>nj7SU$J|$?S%xpF9Zc!AX6%#SS59W zj-D+00$rxR=u}=PC`Fmk;|Eo-)(vx7Jnh<*i{(`kOoZzHxO9Xb56Ap512;s9T|3RwHP2j_q61t*TMv6V6|J`*=G=Kz(baSIBU#@KgR(;;8(I?jt4l zP&ShE&^9SybM}ga<%pfIpMpgk)B@gs5eP87#=RPO?~j_W*c%ZUwFmEh)6$0?09K+j zY32T}8wYI>9c@Di8{~?n7yvtLu$jvUe^H0uPc*fzuOG>pIvA+f{6(No1-_4r=P>;A zjK4f*m7i7Sb`GoSjGf$KX?`WmKxs8Y4I1j9q;WZ(e<6E|!34x*%kepe6gxDrBzwi% zO|Y5ghvKC)Tl~}QhhQ&Ca&G#xEq0XgqtTCpJ-!L7w8M_=h8k6;)TqGgFEDx=)x*sY zL4=JM8Dhz*XgMt5O`=Ogt$>Rmwx?hSl1plnj}-(BQ^5h$e^|}@I^fT&@OirCiw6yxUR#_}&}Le6@OT(7ot zSGmyjzK^Qgm#Bzw*RlMx<0l3Smhy>)QF8q4+mERDmOikluyE!ERN_#)v`2bend%^z zzXTsGUPC3|fx7Pb*vG1C;fs;E3+8uPqTqsdfL)NIwuhIzVfcfr#pHponXgV+k5FFG zz0%(~(mq>wiq8jUAi0>Fl6S*;sSjZV=o_X)@J3=WGiiaMtT^st8ym-o7Yj1xsx6|k z+YT(IvtMz5L5fy6H69Ktqz=#7Bczdu6ix$V%GeC07G)tY&lL3Y-4q}^4gQ!~ z<-dB&1c(h%WbD9yh8Qg7xY&mAKtibH$C_D#!>Qf`x_UmQkO>#Y1f^4&(*NDC=XJrx zC|-%7!FIc^Jh4y;G^(QSVub`YA@RE*fzYJyBHVp%@O4Ry@#PohBj`WlxjW*k<)8$= zweK&t=R|=H4GJd<$sR02Q>>AdCpUMx!(E8ooS;s7qVm!D%*3BBR}>{cK}fWU4@Tuh z>9(GeZ89XN5~8Q!AvoBEYn)rJ}vTDLgiz$KDRUL40|`eR-cw5+HoM)3#eixN*z+OZ6kB zUjZUEa@{Ewy}oyGLyQn;9LDtVkr29V){g!HZzd?hmk>)@)62+9GvmbuY_z)4qttIk zY8G*`5^gU!NhoWCh$+Jm&+ZW)ginTZ5bXMBXoxsj;WQ zA2w!09HM4#f;fj?80m}RWSy};te?v2H-O~IT7ZC|1)|RJpsQHD^})t2ESMLigi(mARv>LfU^&k&_lbXZ=at9i5&`fk*r|B_}9pe&6T*LP;f2*7m-02cK@903xi3$*DR9bW~x(LE^$&{C_F( zBu%Iqd8MdwTs0P3=L_(q=l5GER169Qn+~hT&z z#Tl>2`%AS`HXiPmO6PR%>u;y50)IsDCGgT%$>kk?F&ZD(AtP=|5%e2OQHD8`Wr!oQ zW@sv~PEc(alBwCKU=_;6RXgHLTb3Ja5RK7X${mL2;{KN5+Yj5BWz#aW3C)%d9WKT| zj#2&&{I1DsYt}0*Xy@oxkBI%Oio9zf&E6LC(o3F4TG`;x6b~kvR4P17T*t%Y-P6m6RBZ=0Z_o3^%8Zp32Aowkv#a%Y`dj+UONbgg zR@c>}h~ICp227hCo-Sr@sndSpN)@`RE|Tub%Mij31MZP1D_BfOpXZzCS2hs=@M)@G z$N1NbVKeZBb^Gtn_is4QPo5lK5>h-WU&K$e<-F&f6L6wlsA<62Qeg9=1>c01@0XF* z>Hho6hFx*KapcOOUpbq~4o=dj-1n5;#|NG`buf7nozlxs+aHiWlgeL3|5wQt`~NA~ zVr66H_8IoukP!L#Xm zXa;pY?iD9agD2oL*jyl1R)Tb{5#GmZaPf67rncRXSMJ5CK#<8AOTdXqOPt*k%+n4b8K zZzXao>3w}HGE$d>G=pMq{$2L2a*R90nnf7aSAr({ci}uK{414TmGlG;W5iTVXEo;8 zL}ZP;IqbU+KD#&d#{LsjNCov)LZy`gGWj_fHo0nP=aT!8fp1Kn4t65!l-n`SYv~J{ zvq2f~Q81I>%#u=|);7|(mDfsQj< z+UQ};z|)U!R#(6JMyWg6c9#_Sk4kWJQ(4hzIl!{xBQuP5oA=edl8+%v27vw8?lDmv z#BMX^p=HjR5owOA*_(7z@@(D^`H}$BH}ph*GLA8){l`}oeV|Ys#}!1%l!`V6&NxUN zDy!eL>D`)3YlwQ?^M%o=K~ww@)ho4UXr`%djbjdW2@vE?*>=Cu%_nz)=T4N@ul69> zn?ZayJz;+^`-Q~_A3FUM0{seGfdNy(mN?`63Q%>QAev5~qxIh*3#i ze!a}IbbZ19jP_jkk%V4cGk>#+zr?@Hzbw&q!Epid9Pt6tspYpRA1yfQZq(2)tZs|j z96w^PF2k0Nw|r(1B*34@zIb^>_2GXlPwb9{GfrS~sg6RHSxWh9SZ4|LB$qp)Q!QXu z>OFPR*nwKXJ6gM-!ac6y8%kCY*C^u*%5sj+A2O@-ZyoZPsU>z=RZj`cH`euzL4?OM z_=8e2E9%)C`!Zk-G*dM04$?EhZio_4_*)?M^hY}1fkQpK}Ma4G7A=JTAYRf%gl(L9ekPR8st%fqGU zjK?ReQ>|iUVF~>NCiivC)pe2=&{yjG2xgLQ2JEFCGtjLazV1cCiYlx^`OBypjb|)a zPIq#My=Fza()tJR;9af#eP;RsX9|Eer-~tyC$f`Ka+e#b6+^_jHcz86eQ@p!=qb7x z{;*$LcPeM;8jI1`W?|_pal203=ujF>w9!OK)6v5>Yv7gxa9P`~HkL;kta8E|aa}dRx?vcy?2Zm5 zM8*h1e(64o=y1iLX*un9IF+p)rZ%YwPBL|CfiKfHRI8vO96u~i&Fy~lBYuVF&YN4b? zn5EKOXXH?=7>~*|#+Z+cOmST;6%>JUIvj3l$8^c3wae1eOo%JJMbeCx4ImWqo=3AQ z8|8WsmOMA^qUoQXVrnpSqyjJY2#ErpjjOWQ+430w3eP-UrwK*pI?!Hkh&^cBLSIHw zW^(tniC)Ba@{x7?w6scB%UYHZ@(I|B4-Ig5_FyJm7b(}b@8QmfAD@RX-D`|%_?Jiw z!Mz~EJL*yt$+X=c+GiN5SlfUZDpBj7y#Q@hDvoiiqp4Ljq5*wvx(pBeJl{`@N;4xJFmre? zT)uPdx?nD>Ed_z*ap;PTr}b|px!4ec0gY?yiz{JBct+7r*5_Uk0^lY9<;JjJ5>fYy zVQI6%YG+s)#rBzW<*@S=NE90=NG9^q9jTaS3lpk~@p$4^HTxK%E#nlKtbPM?uP$;j zh%C0k5+$xsFJ%+u*V%m3U^@h?luQN4y=_c=dEt)&IXw6=C2D(5p(LAVG2~~`$&gqb z>(ayMlkMJv1_Q3T@76b$5E0pP@pxBvqo)2J075{$zw#aS{EE_wGPk*Ow8L(7R2<^l z`SyyLd8Pglb(@Fpj&4aWj%>7JnL}F>dZnv&uC5 zC1d0<`bG~=A>{<_`sMwf2L}qz(rCDm1^lQ>6T!ZlQIB%|7K>EN=@wv;(UOj9!)Y^H z2|soh?cux3`<0e-N!?9u@ODDlEueFGhWQ})?^VlL3g|pwCNK(E15^P&0_%Ya@Xrxt zMIH4hXR5_UGqmC6TWGIXJ5Gmzn-CtUo~2QG2IM$S!$nz@C>QBBp{~LDE-FF#SmZx} zv?977we2(TA&uTq`{Z@Iac?_!ClvjoUR8|9!= zfyv+#{pc#v*>Sp&9XBn=&qp{7?dM4TavF;|E()DNC!&0z&?Vwa5#9nhHmYRSAxuC5 zJc23ka*pub=1}y1o44P9=r{UY-zUiLlj*nc$~d_p(B`6sxF*|gu(S~lE2%6D^dZ0r z^x!9Hn%WQJcb58UpVANk3$bYEsRVtztd6CH7Bcrh&b``xlta88V~`p z`a1d=>BKt$-_xV~60OzS(i5<+5vYGXup4#Gm9{XJhN652Sy^`HK(rn2*OSnV zg=m|xnwCNDzgmP1@1irnX?C1h07ChtXn&s2xfpjgyzV^e83dj(AP(s>B+4lWx;lh$ z{TlXt26e6S+2P1*lt%jOwjM$TKct=cAa%bBKH)Ap2Alxih0fLhy%FvYxBw^O7PK7= zJBpX~3Y!tODeVKcA?#m_m9$xJABv=n$vML`l#fC^4+4+ryXkhI3U~}W=f!*xHY?=x z*DY*I*o>b_`<_AvP*0lZr?9DdD&`*1%=$S9+wxN}?}Y6O%AM3rP$iYpgv||;m=pE%T3=1b7c1$TG|gx0Oa0WZi+Wl~Bc#4-Xg|W^)mv$_atGz9uhUrWA(z$- zV>!iKEc(VT(ruPw6oauGfcW}G^g#jRO*UCOMqf&OzDXNEXQ^-U*6N!~)2hrbG-4;T zD!y2Te?uFC9rNZ1D$p?R|C;{)0)ASnqVWh{(B3pn^yfY?Cya}%BVhMaq}KpTfkaCZ zd(bk4xs73D)rqd^VlFr-Q*%Bo+27*5Kb#^F7Spbz*N2>$BV+ zutrNrdo`!m+vf=y4cfkWMXjnSW_iAoC^ zt(Ty^OH`_-QY`xYW&NIdvw6w4pLX`|r9w~0zvSOXwT@(w>)A^*LasM2QL@}eA)DAg z_3c!rHzUJW_KKK?at_X-JTVUHcv`34XMTZxJfa+czLBnupye`;C`IZbCwbd`N7mSwk?OGI79aPx8X3mT&xLK+~;EHp3r^fA1l zE~E_$U7${Vq};QQYOf-1483cNq7lYuv^$GFH=>Xx+FFhA?*Ka6d_nHlOR>Kt(^%yj z*w$2Oi<7lw=Cg{MZ2o;%IgWX^!aSob1f2kgzDtUAAM;208{*z%AN(`nPu!0^z774i zzK!PVuh4w;N1Csl1%Fq{R<2+ksR-wr=Y8vTo}wfD2PzZx?e%56{*2MvJfm-v?d3@s zgr8DQi{ev6c+7?1HU~q}|BMCNl2_ws=8vLBI8(&I@)7^iI$Y$RDCu>1%}h z@>E(*q`ZK)q7!#@8i4QD^m040=a)fcclWe9l@F1$`&$XYMw zD)>o4#@~@o^jp@8a)L+w7o|f_?*VPS)HA37ZT2_tUIS_u6l1zg>1CWMs_SAwl0mdU8Jmxb<%T;4BSG?=g?MR!9 zd^gfD&UMctj?+lpaiU)@15LcBivYbBSP0w)GyGyN1*bZiK$%{{P2Wr=lJtc_wlmg|v^N86kstQSL9pX*tS_5PL56_2=Q!oWp*zNuDFI?_l3OD$f{C(yMZPuJ?~k zu`i_}90ncyEYIJO)JIuHfk>Mo>KEq;r4Z}rZn{;}7l^ey0R6I{KNfM0&V&!YhSHEW z)>s33$&}~Dx&HCRT>R;Gd>Y}S>Gi&vug{HbQ_4r?Ua`+KY}a4Tl8-U{n$&v#>@Vh+ zZ@*9T(M7-S$2B-_G`79#&mU##Nb|I^*gR10YnFgwu1NTF+*lTWTNs9}e>5lka|Gd4 zzPp56-`zqMVD+U5%+j{dT;WGaU*Iwjjx$Y$+0A$F&=od6R^_xqy&})n3;lJ$zHC4b z{M9y-gu^eJ;PrKQk!cg{DG$g#P6D6s9ak7rXsXczI8IZwo1rfk=4KUqB2J^U z);NzI#a#OYb#$Yq{5HxIpr6ex>}kpbS^@3&2Fg?;=yiSq`@Xo-dW%K+?+d(sH^Z5o z?SVc&0N!kHN3}-)d2f5~KUg9V2Yo#NJ>3lY3v@9P{{3LYfAL{Q16TnLY{A*|G(Cm$ z|9bfH)u3nx{?WR|0Pkoo%;Ua$gwyb)*EK>;W1y^{DUE@2LEAQlFTHtVAYIU2je+!D zzaz&V@%@n;f0Q2I7)X!*56JOH^*R~@>5e~HB(q0Yw{is}a87l||(H z;SqJCe|0v*I{`_+5CBz}3^;*&oLx-#tY%A~DdHb{WiTPD`7+QC@l)P)!TbXAbbuO& zIXIrOw8M18XhI7GmFuopbC;X1H`uG5muul!8l~tsH=8)8Wx+q9?PQA2SDM#N-Ew$Sz z$I=Pmx26BnM{%2V`gYbFXZC07_rD18;2gBYPc0wN6Gk!8H_|}(Hmw4CA3PB5 zc7r>~4Cu4Odj=uc2z7=pk1_eRpHJqc(nrJj)_)(WC1Cz_k@Ad@`={Sm{F**jD`>tE zN5i#$;S5^~pXG7sL*9+H2Km~YDt#;LkwyplT)Tl>;yf?U)F;WQMUc%;g}>qVOEnd3 zCQzcdE0E{)WPHzaQ1Wr;xsCz3=Pq52)Bb>-+DczwtL# zQ;9h9i~9-bn>VIDndJS%S*gE1!v8QfP#?VtbP2QQEwI;p!7OOkZJaSL>d%;GEX`q$ z+bLW6YuKZ;_sFzdpd5_fzcEhpQ8mtF;+qK*bIo(eJ7(q^0M2$M(mgdubHPJNLwq{o z;`=e2*XTxsKlQC2`!NSU@vaeK9(!EGOo$cvtjCcbC_wSqHoQ_S&7Mna7TMzVrLDem}Y~ z_1$BhZ$w*rsYlpwiLm3wwDGp>hr(LeY6|s z3Fu-csCX|ovytDv=nv15!?DhYcWgfT+j~{t*|47a{PM={7yQ(F&s^?(XD9vl68XME zymR;y)W4frPHy0}2K20e^zVNMdMjEER2h3|J8;xb<=yF@Kwpb;YpKd&qwT=a2K1D` zwfnu_hr(z%P^I2W+kvAE=qZWce{VQe-+*>EpxtD&mkfDNK;9E5C;b0E0dyexc+?Uu z`*>FDg@1|#G)2G?h;{-|W(V5YA?bSpe!ctk=+}$CfAuo^+xOM`|2vNAEUfu|g1;J< z|5U&KO!kI=Uot`XBtCjfzPr6nW}!F8y_{YJ7V6)EehZ&Pyd&5}ZXeG6ksbN(-<5In zDzFf|ulaEHH+JxVc}~I?_r6!4D`@vI{WG+UdEk3LTPDs}zo#Dq?ZEyP8ofY;uEhHY z@0qL;<1KuNwPKC;t$jHGfmCX&rWCo3o~5nWci$4{RP|{Z@ALP{0I_HJ&eNtvO)@vj zI~kp(@)FagS+FKNZ?4vc(HsHD*UE>1K6C_jWBv00F9WwjCyzs>ySxzZY;fl2U_J#L zLH_etTDk*$(JuUN&;NLRRf(Ry^xM6@sAr3R?NvD^q@Wiu_1I0bC* z;UaBOUZDl74=v!k5nm5*TAhk9I_x1NRC&MTk>6){ZGJF((hEj^T55#BrwgV@zVAxJ z`=Yy0_D1!r`84V+1>)81g!38WK0mA&RRsx~!yH}fzXKIiyfYJH?Dfe^;rI38uTdLu z7V_OiO~syl3TGAU8>Sm*0UO^W;QbcHJm%e7%_~X}#&|YqdNg$Cy}z=__gmv(JIg4p zL47N<<dfqrgPv2HGL)g>9$*X4}mR!fyC!+7H_)07m;zz&^&XnA8I{ z@G_r37=!mfNfHp zhyNA7vWaeoJT}NPPrO$~7~=uE^SzhX1Eo(n3Hia0Hx>CCecwc7$+Zkr`dTIC3Y>?_ z8vAbI&RvWtZ2V2N$~*)8i~=?SILDhfGnk1$JJ{tp@43V?$heyvSZjiOYhP>B-w85( z>C;<^uvgexdcA+{_qYB2ptwUnMZ=X9I5Tyl8Q%As^1kFHU?<=b_agFr(+Qjx#C!A; zv zY*3ccOKc_lyOq-4T`#~hSR&9K`KK^9Rzdb>P~V>Fp&g%qp0QK#oi!P$PB-6KYmMwI zXpJGFkJSc;cV5ynjPIa*z)|1|pkh1Q0heJ1-2x~mzN2QX;NMYmizKy$gE}u2v|s? zx79|r^cD+<5XH9EHjnKbdX#Sk$5xcvDn;Dt3`BPL0DXYLuk=i37g5_r`CKBOx5#I< ze5S}}s4w?|Xk9*!%I7}$Op(uQ`5YjhGvrh9v&;Bh!tWw}7uW@7IJIY3%&hI1)yACd znbXD&u>clS)5UhLn*}-3yVyF|b8MY~RQo?{?Llq8?TNi@$v|9Ut}UIRUJAf+Y@w(q z*jRX&#p!0dANQ;bsaqLB0dAIEli1JJGk|5{3@e&T10Dj@n#5aePocD3Mv3gas>b$9 zvYQRBvHfgwTUd?lC!3oyXH(mcwsW>0Y){y}v-P!|NUX9Q0@p(|Hn+{Kf@@Qvn^!qQ zZFk!SL%4IcdA6yx>2{elH4elXWE+D*C5a`rBD-6(QfQa0{KJN74%+gNnVaZl?4ZqQ z`=>26Sr+XqiXOCevfXOyV3Wjz(pQQJG{^wlykS8WEOoi`CM^5^M>ySS-3lwQvhrBHZRj z&Lr_AY=mwVL|4UAm0>FvPwxFtmNN^7%jIgS6!3x}y;y_T~*1=}P=l_gnhHn7CmBnzgBO|sY+mn`|$Sdx7# z9qL&+$d(j#jmPHY39irFKuR>*+Y(dNKB9I zv4`n3vHK(yy8<1(TtSXrl${-&WX)nJdaz4Jk^u=o!5>BEP0FqRSMK|p_NXgo+)Ip+Z!PIRD2G%v?H zZHb2V&#@z9H-FV$_l#hvMh{lwBzE+}AK+EQYlr2V3>EWRc**!-r!c5*HD^!2Fm#+8 z(`!gm-K@iYg#rx`IKU{zqH7|blfrUp18#Z>1NMDZT~?v>YF7WcEXqdM`YRrtI>v>? zg|)>4s|x*USC0Ma)aVx+l#anYWRXg(G95C+Q(zb|g96z|@#dwLF`eA3;PKw=;Cpkr zfs&Y5rI@PJ@^J8LG|QRgq-`3XsWDAuD$^1;W4Wplp{k0;IG&_3gRA!{tdb{?_J*P) zK-z-_#ppNP5uH?MJ=foQj}87wS3Dr04n4oTV~>oZAVG9jZ= zM>c8}Ynq;FC`=9R@^aTnN-Ycj?mN$y(Ci-1Af-@yotjXZGjv0fJx#b_rSRZFZu!x0 zv+rtolONHSs|R>Y=(Gi*;j8_xUbf=jWf;1snenhL;pu7VsXFmUYg5*D!;KRHkr+H@CXkkm}w-i0?=BzbwS4^9eDEg@;9OLpL006yDXUuyv0tSw7;( z>e)=6Qx417=~?%!r}X5iGmF`C?7#=CFDpaeJ9*BqiFA?zsL&bK#^`FGh5*H)Y6|I- z4L4t26F`caKjaMOoaIrVvXAGnebhg2+GoOIuGV1$U@4caS>Im{i^^c(8Du>n#7Tv& zb=`ngW+c7bw)NVwu-oEK)^1)GG$76`Jry_KgR_M~cBhryPJEy8kcNt5)VaO9Dn4iZ z{v4%T?$ohqdYo}fJWpuL+y@SbIU)Wp<#fobP|QIg6C{F~YSm03(p3x!3W?_DCY@}5 z@+6qe2hH;^AiYhx6Y1JvZGVu#zjnunc&b`*>$a%Jv1adn_}9=W(PEnpFd0vZ2!xm|DqqF zCm7jLViBQPqndUJ@4-_$H;arA!{lhq(<7pqrFKqF3-8(`-qBje;OqC!Sasj(;X6Mr z9Jy`r=Iv+qCEqx2((r|g=8x&UC}U7oD*N~_Tl(4Z8-Kp^?U$ayXH5KbNo7${aSH!nUA62Pn!br?pMNMfhYVo3|EU$f|xQ3$5^ z!XhZ=vp~w_m0E`+Oktq`^gXVruBE575wi@Q>G^e@uUfBUMz4f6lRPKYA#?w+Ep2bl zgp8lX{}q~tb#V!#kE2bG7lszLnABWp;x=D$_HPo_CD@KfyU;ex+qTG1(n2%Boh^H{ zD(2IICN>@4yfn77#h8|(TiwoYS1Ndga)0c0-K|8~?9?(g%&IARi{`qjVh4@T>~Qhu zU>pV8zjs&haTr`ckLMAffH7}8OxJve%VpKk!$ zrKMqf#Q^IXW>1_kuf>>c7uIwsXdSh7;)I9ds+<4v#G)6+Pjq^oziUMtuXL=a-uT3< zw8DbatZ}Q?KGOW(A5T0o_P&)vf0(=~YloOCGhwfDpo>IW?`)FNjz!o*!@2|rB{YLd zYC#pDnkWR)qdK?Cp^8)^>>Oo+6%&{TUu2onPwOfgAD zcH0fMlo%E*6!5=C?G#2LpKbq4&;4|QgKqRxnnBP9IJeMuLbna{ud z^xc=2GXoDJ?F-U-+9HJ4EihDCZ9fkE(Pw`fn|GL{M=bReU=93HWN@IIBMO1 z;;adT+V;~nYq*@eKuv-og(Y)dbgr4b@{I?UXca-f_mSOeCQo|Ay|?10XZD?0v}n}G z%qQ=^^#fk&et5-^J8s^AO_UlR7z-$;D!e{|pb|W!aqhC9Fg}oIuj7qn@@(yz@AW-k1nJXN4a#WujD5*b%P9 z)l9VzVHgJ{Q--CVE@8TFdE;dGs zj_q&o-0mU4*2^Ea=(Yq$xhO-a19eOQrmebqm1c&WR1TiJ%V{FC9hZ)<5N2}V zBrM>vq=-~jPbpY_p0 zX?ZrUd~Sp+wuBy7Vp|lsy?j+U7Z$tcH=yZgk-o;WCL(y;sP*!9MzLZ`pyC1)4KWuy zgWVtcLk=z+l2y;6#Yz5Ax4)twsrq8AWaUdEvpM%1^;5UyLNpD?CsvnLqH+6U;dI^4lCsYlqb;6{e>aGcYv;q1}EL&G&30y4XR6R*+X|R-(w&M6+ zf0Aj%gIc=BlYsQO zbK0_ZX#`#iNS^`rD?nY)PDAU?H}GU-CH9Khob+)E7?8kdNN^Gj0r120Q3IUJ_s#P! zDq2vvwus9UDr|M)An_(~CFU^_?ErbPBq$b!lnW3rJ`9ut=Gjm{#g7J))<=;qUXpbC zyDrK`&W;?N!@T|v zEn?5xC@nV`Y?eI&4>tdzvG>X|OMwwHW8Q!N(r(I&$>raJUwsQ%5S$0?Fd9UjC%h;M zoFrRVUeqiWffucuq+7OGFbP4DFAywFL9h@D%N8&MG9JN#l?9K{Ef*mzNn)7eTk#=7 z69f=&3=%C*S?^uujrsKR$eXO!>F;?;h3d~?AVZ+eRzd24%mazXlxt=Lu3M#R$GPLj zSD8mauU|!JU<6k?B21XUVWy;%7s{CASO0i@VETps#Yy}{U0AG|$Q}Ht0q@F;CB5;S z&mOqzIk43j(8RrAhISM{QS>`wUrZSEk$fzAdDwQSyGT6j@psvDHmJGRzuawQPHrXiA76`*rK9V{5zu{U!vvB zKtRgRUm{sioEG02%1rXxFrtn44%NRineXBuKTFX1Kj`(eDL-Oq1nC*nT|L+&4jHTd z%1q^{6YA@;9I6_Jt2_myjVA#>;X)RQVJ2J!5t{mPcnpY{6L-|#xN7iZ;y2;AT#G}fE>`DS!S8_TgX-(9z{aPraNlFRjiq&LIQ6yy_Nm#vGJK5 zpJjf?y!FjTxYu8C*z-#M{*9UMcWpYo_n`}f&C6si;Rf6lugA<+KmFF${OGqQGhh7q zn-kO@#{shT0Y_8-DXG!(Opb0pEcddB)VBQGLKh!5e@O+KoD3=rU%vn zMwk5?kE_|j$fcbVnL&|DtuvWNBHY2SgdC~bHU zgaVOs!qbw>!c=9V@Ek|LK188F0etYhBnV4H5QFe8tz@kcQ6)koMfo?MK%59}A$l5~ zHQOgNz2des@^cNi*mRlyP;R0B)jrZ>1Nx}VO>%j;E#E*Y1~^rN91J_Eal{d3M&ar= z?j}FpeLQpW_@=aUKX)+QOeX!*Kw8p6s8uLynFUs1&=y0|IV|BMM?eK0X(=gBn4>ph zjz+1|l^_h0GZVE31Ga#9Gt3Ti-pH^=k_ge)+WdtH9p3#h){F~}ZR->lV8LN-KaUJ; zb*1*A5+%gcEvoT+m_p_xh7BcmfG_39H}%vvvg_~&DL9_kOXr8(>y6SF@WqwR>n z5YI>+lgC5+5@nfV7#5BS>3}Z-WRET2WGBbT0?!vnq7z=qbFw4~45wOTST2QQO*ltI z0f}5It~FG3Zl|br0M`Qdj;FE-jn>BMb49=Udb=k}Zp2kXvXfnvD@kxUC<l+P)_gZL0%VMgAQ-%gniTB#035g!y(&u|&%yo;#n8n1o{l_=%W21I&0>{Qs zFk?u=5sVqgiN`lH0qjhV#@~OI8IyT`3gEd9IhKCr(j(+6APPkk)7Jr4sWN*Q^9}En zkOhy9(iYD#wiTBdd4dVwE8UjQrm_RL4E=wy8BO!;Ijj?ODQLsOb#SwpzmtDKcKYdS zGc%2a2=o)&mzNANlFfcx!_*43QjI=P7$`O9SKG%hA0bf$Ay3M9JoGzE$ z?eThDt2I#ywO*du!r5)1Rvb01bAk9E99IBx%g%{*mk8%J&1vI^O_OA~K+(V%H7ZZM zE+@PLhrO<`MADXsQ3xQI021sro1(}vL=;H^tU?Cf&rzxliMN*l$qOygS!%Zv!Z{IA1xJ?|H$YGB2 z`8CDm1xNQ@5uJTBzyd!riArqo|D#9!A5Dw(RMSyvHD7z6UVJ3`fWE}1o1CW8Nj6|3 z`kpZwzRmGmeYOX7W$<1l!pL<#fe)ez>-c{?pdLMX;2u53nDv9XfH#NRQ&Wp+$ggqm z0*;spj2Vlo{=Ow&>b;SWA2KJ8Ztp%hnM$92ZL?GIdEej;UK)Jp*)3^iJ!FG-{)wwM zK6$Ax^Xxs(9&Nfb2GBYM(5eAi9eA=aV;-}BTcj~{+8AvbH$z*hbETGA%M{xJd4aNu ztWgCKOe|(hpszx)sb^M3&bW_aKh6>SJeW6fIua%)P&M4VXVcW>SIY%wOC;7 zRkaoWWcV$RPM2Upie zq#A4NAcVY6FFiZu=>u;vH~iGV4Ey|?ve%J;0p^k!RtKlytQH3mWEO!zw zl|3B-$drSMo+vOYUNjy%q=4Iz7ht1!kax5LI3UBgDpko}&QlL7>X9(Vyh3v{;5HLW zfgX=3@wdWK}(NkDNYIBuad-nv16rw}; zP23;b@tDzlPc&`a^J3=AgGXn5G{5P+$5TJ-`!chGOv9`37nw&gAAS7Fnzw2O?ZK5h z?)k&wS%-Z3U`uBd#V>nwxJL+%&J>hE19K?`X#TUwv_A zk7@U3R&xihe(Bb6uP*Lm4X2+Y{~EC?HE3K6Ze=3O`u8wv4=O_68ux^$#LuT`ceH|O z6xZ!`tJ_=T$@97$5*I9XxQjfV-0baO2TgIptL#>VDb`AYirpivbmvXv5TrA>(I`-`Pud5G`I6TW{5O`jY4x3xVk03iMg<;_LKE7j3i{fnGN zpxXqx#5C$jT-LKtM`eZj6#eU{u$ZM4j{1;;hCeW4gqk#4T>+a;1e;EO`PEr}%Um2@ zHonK4&t}wJU3Js^v#X!N)>rFh-#4`94NaLs?qKgdOIyB<^s2jOZRTri+j8?Y@$`JA zoKuD_zt)&gNb~rn%U^L5z|U&YCkIdk_>yW%lv7`#-DL|k`MfU6%18}cBlZY0QVnM5 zlL=ZOr6m`E9*W~Nm0J|Xsw(9bU3ho*{JH?D!}x1-b@EJa8)Td4LJDl65V0Z^KgP zKR`-5pFST0k(O%eRG6{V#r3ng+40LMG8&9vaMTLUT-UF(g#OYxbX)IJNR)N~ZxtxF z^_aiZ_AL1GrXytNzB^t#(5>psbIHj!-0|(!mJ74-Yl`#w&Es~A8PMbE#2b&*4Ef?bRbU40(9+RdqckUK8!R{l=!3CoX(@oaD0hiS)j{dQk1SnvrGsqZ*>! zw@tkLom)Sl*1rrmf5NPZHXAj01cy*ar~xs{NFwGL5~Ttzi)xO9fem*kHo$8TQ=vkG zS-3D^N)=0>AQ4Ah(TgB~z}#=XESmgpBi-25-U-j5H%9&Z-<#p}?L~_#xbBy2}amukxV4>ch0eU_fO~OOio<>l9wnSglJtQ>5?r`s)zjJTr*s^uoN-LReXnwqtEnkW79Qd4_Zpf-F zzQi(GdOn+K0w04oeHO-85}k_yDXedkE-+>(h=~TE-Z}Y_RWEI=v|7CN_^Y0S8|ECH zQ~A{KmM&W}7hc@;{h~6U6iepREZ8*t?a!FfOHceUbNP{5k1ZMUD%?XR$0lU-OT#e$ z4w%586V2AkvyB*s1Feie15fR1(gmV%?o35fs6lI`?OGHC{Lp+E*V8R~_ z3m3vm46>6uq=a&7=JV@m?|J_@7|(gn`p$X%dUn4EP1QdqU!8~+opQK?N)d17%h#Tc zYvX$n<=snvgt=|~=7CplWsaCS^jnxu9Oh#|Ul`ZjB;6zvpgX^m-CuR z{b{5B)yj5oO>5Lf2wih*1x2B0HkV6vIV}q7!Bn0qoMy8XV9tqwpW8fGvv4bwuxcUB zm9{W$#WgK1pop)Ltw;mk^MIn;YA9A9J8|&c7u_!&z}--mgbV?MQ)TvL`U`N+FKk(- z%(gO+EoU|=QUzTzQ_1oAY-P5(7Sk3|q*M^7?_yr4sSXQO4s!aTF0oW`*PeA5$S&X8 zE}gr-v_fTqk6oX`J=H|g{%T)R^3HG5B6N=2>OK* zxhlvgo<^%1gFnAv6vRV(NsTpBfExA4oCt|rE--BN1S-%AW*0C8uabq3pi1Cq*5p&M zAs15TbhDY3zL4!gCeNi)X3;{+5tO>N_+{<@$)*HI2gSLv1w;Y5!G~sq_T3+}ODWJO z;fa(ufYfOu7cdz8hUX`5-Zx_9q~3VsU{{yqqPh2nU+MAvfj4h!^z{n3U$OQs89nW> zW&LJM8oO)a@)6fOw`$CqU)U{L{@`xOqN=GW{johaG|U+}C-dW7!>Vpb;4iJZq{VKi zyY|KjPg5HY02|XfuLDKUC1aYO);|mAfejBUp6^;~d&+je#+TXR#mVAZ1gM-<84pjDAV_GAE~Ks=BP3=4c4-~s{4 z2`!*XNt^xvR2n|XWWh-^3{9XLWJeX5DP+{tpVbfM{+sadwyDWfQ~&XeEpr06HkKNb zt)Nn8PoM3mE425OsIEAEysec$$xn6LUI&eVg(?*sg1H9myUqsSs{OZ&T7T_>Zw+5? z*Ye&oc2t(%f|pI2&^+y)2{%4b3voMrepuhrA8q(s^Mv^9x$oe8g{$vdf&I6y+_LS_ z`QXd9fvw$u=RCCDkn5Nk&gpsEc-HJ4jijAAd7X`n&zqs&AuZCkiyZHCJIkd0xREr9 zytPRCg@TK^0zutGb1Z8QDuOS_DyT7py8@N|PIHHZl7O`lX}U(VYw~+s)3$%o-1Cs# z70}U0I-A>bXEu+r$TZ8wuIwI%8~~Z{{s9+vJoo#x7(e;U+k5eCH{7yg+``7j$ML<6 zw~l`P&hvQq3rCwRQ|GSDe6?)#>Xm?~n_-skn7Sc|_8dU@!0cdhb~?)mbYB3&Yiz!c znPF*FU)BV-=FBe>BCdhjXpMJza2$u_3fCxkn#}dYRdT)S8r)AF?BcyvD@b6cWI=gB zNw8|NGe{KmJ+0C5o^G9Boo(G=Wv#8a=;g2;;z~j#2XHa!*zEzKEZh37hd)}IfM|86 zx%Mf#bs!ci&RKx^qA49aZx7H2qd)T0ws)GpU3g;Z!hM;OyE9!YW)5C5W##fIeP_)W z^zhy@A05MecN`|Yermw4&0ack>C->mbzjeQA5#yT3HImmk~7(phIa@zk^6H}SScl-c8_n;n~IU}+2e_B#C?fz#Vs2sqV51*#)bTWxM2@(5-m z5$GZp+a_OiZ+Lv4?zK+uyZP@PWgcF?t!YZ%{KvfAC(m7fX&OaP_snQ^ zIUuMIRpa~vs3hxA-CDC_6~$1JM(l3RZiMyLNh3?9mMrbg700@EC&l(+R}$3+Sr8W$ z9*->hqH5IZ^F?G2WJV7zLP&>?XeIX<)oMKG6ueOn9}TK9AI!IUy^_z@2wc(w){;DT zdvMSb_bl~%;9)0tFoK-gN{aVMkr1U?9~c1=+6Zj@O}!*3V?RoB^$E$@_yX!>z68^TR5j(I%1 zJG)o7wziW;*H$wc$->s*^td~sx<-1wLJEt!4SixzJZj(2{P-u&e0}GKO+~vtj?De_ zilqm}edQ{cJz&g>x7Nw_KR8vM zxSCY&RzFZ#T^*)QP-m;Gsalta-hFcRQxi?PrPBCt;+kkZV=Xs6L%rZ0#90 z+o>7y^EMmE;m$oAa}C?KHYd-uc}82|LC|t-+Ei*TN;P%LxB?S#wC&6i7@BD3Pkny; z@&`iq?q8KZXuyqorj#U6e4eF$w2bic zS{U$gbHceWkqydp-UuSI&Y625vLMXv88f?zRF%_0Dj1<9mexaky7s)P_{{zdhvx1u9*U!-2k3VzYnC~DF={z`8%Ub(Gwxg;Ks2t*>&tg?8BTO zvDnH)n8)QW=dFajlh0s zr2_6pEM&0qtg2^o0}bRW0VDMaMb$$ux(?g zo}#p~qvvUYu$_2!>F9KJZh5xVc7<%?Q>-6D1A7xhc9WZfV#X0hU>b1szSoKVZKfBt zpZMsHgSms3Zo~h|%u7!r;ny>d(zsg#z|A)?n=wXC%PeTr>9qcbL1( z34~!H?JZ5jKr}Vmn76hCNjo}>K0stCI)&(dbkKx1W&7}>CbUPcHlmJgUIoWSxd=hWSNhT?Blau3z1`jad*>g# zo3^xY4FuEnzJGs&_@%%k%JJ1k?*<#LFeEwATyZFJxZ(rPJCUylzumJv^1S=`!WSwI zdHAceQQ}B#w0)X(cLguuLa|WmE>>#|B40soe3+^yn6h$0%FC%GaY(NNIt2#JFDQrv zL(UMr5W>M=$ZEGo98RZ`UT|WE!&w~UeL;)G%w&9duz-riidOs^Lv>mud!tiF4&6cQ zy=8P9%aS$PVrFKRY%xO%ZL!77*g}h$87wA?Wic}|+Cqz&!2*k!!IED4oO|xQ^R1cr z=Eqxae!P=fs|zwBb7xejaz|xVrU>3R`>bJ?{v%LtsT=qED!>vFPMI-FLISM^Ri;~^CeB=Z^?7S8B& zhu*~RZeu6&iCtM8LS?*4exR$*2b>7nWxJ3owuqB{nG!|Zb*C8`ffKOvZQxQW0BCk_kiw$Ims923A5L-XQG!_ zAFJ4yE#TQkW?JUn>3Gy_r)OnwgJ)ncX+@8t76cMJ#YIg#Oj(qPv_Bvp?!5#$YYV~v zD(Y8%G38?3@W|Q~5b_ocA>8ry7xb0J8A(s;JKmy7V+)_2StSd?I+<>M4#?F-OYpjV z!k(@g-I;c-r^koBUfp+Yf{nG+T^e2KNU=hzwdON|u>;L)8@?q4j`RK5bjpf3{H*jk z5vxw`;kjc2V}!1K_C<>ciHfPG)7L(aoj0k*@(1ai>Tg<(T8tjwHke)3+Y}fO+1sJm ze&_wZJk8Dr0p%c$^3K^&8YZ|Nk^-GGSJE&fdTDdKo${PNM4)VT@}xhlwP9$joq>`w zE>EYBaid{-^qhs++nfC2`+3T`uG`_!8pk7Pga`Ybjb>AEbHXuQd~=Mx-;wMVoa91R zlij|8jCS+5mEFtZCe1{L=*jJ1IJ2$LxWXsKdo@n}TQ@a1~Bk}z^_$p-ful2I(oS)1*sr4l9oSJjBEETXA6Uq6r%T$Jv zH-6<$q(83c>q}K9@mEG34T4J z_&H(TbZp?czZGhpZ6&bL_|QVSU+%U0ZG3)FWUoRj*03=&3=gL9KV{_r0?v z-)ymfM^gGmF9f$&<*<-MBp+ZQyQ-C3>hL(3&f8HGo_5R;L&wf|RJVFr6d|;bk+=B}`AdG;E$J2oO|1|=W5j)N zdW(w_->X#*HE_S1BrUQ4ga^f#*JXV-gIi<@p!k`Ssj?r4thFLjw_n01XFJq?sR5N7j`xg4qcRsetp1M>|1*NDcLgT>XbR$_~}nx4-u>iOLgTt4)MwpMb{goNlo!K__1XA_e& zHQ#b3CxryfsH?8t4dscBjg3j1UJzb2oV;X!}5-NTz|t*S+bf@5y)aei;WZ z9sApRkr3=c&E|X;Gn|{Y7}p=c^VyCu)II$LF)bO?Pg=A$!TAOcq@N=qxp1gW5)xFR zBGo?MeyDIxUgA&C=6WA#!X&;8+HNo>F&L~6wB&H1l76qDP{mq17)&drivhaIOp~J| zGoM{;7t$tNZRPQ?+sUM%V~?@B`H8E3CY+#!%+YakBkL5+lp5He>(L!?F_iuvz|+f#gMtAd4RJtEE84 zx$@*ktDN^%r*1rlBUpkwvq9VpQAH8?u+ztc)^FLp&G$~S?r|ADTlR5csYz0OOY4Ij zH&_LJ>D-=OQ2w~bw7QV=Q_fECW@eA2<7u{RX~Pt_J(7~7I-y_F$#zNYffXbSx$zDS zgziD#+nnd}*28*8NF!z$ZzUVuRfhlAPd;YI9Ny+AsZ%#g7sgq|ymje1(g`cgv7XWp zm&AiWG?EsbEB>9W`(!KY<@^G|irg(`=&JH~yl4LCdvSW(+Y*`@)nAHM@SyXpk=MYKi$Mfh?s_-QV54emhekNZq5RZ!-1ttZl22{d2NG=NgAREUAbH=vrh5w)~yS=oM~`?u0O@*40I-ut1YEkn4Mj_vQC zHNLmTm7I__A?>AF{~$08-lZv_r|R?h5M=8NH4*P7LUnwBk>3uo{$d;53+VA~obFKn zzGCjEELId=&0ju7rA&(_-WD+O=8j1GjW$h}17)$Ypw|)X%OXzRG0%R<&V?Gh^O{73 zh%F|?&yw5db8eO|lA4#UTf)p$Z${`$$*9dRp86Y?#KRZTR3$u2ZHSggx`|&O+H2Lq zZDv1xVBBn?P*}5n<7qIYQzmwzbhce5SI2-_z4I9 zE_8A4TO`6GbO-T~y1qzuBFEglTt0*szMr(=UqD%~XzXx!+1%=mjnHzL@rJe90O$JQ zhb7g>i5nUkWy4ag4*gNvbhuA0m$B7-8Dzj&A&%NZai+Mr zj!=d$10nBJpC4w=BR;dBp}{2ZH=&2DgnAv39@AgXhiNb0G>e})=qlagmwqN_ryM~| zrXc>B6Kt>Bs&$w2bg-;Njh?H!BOO!rQKZtZmAT!!3n+cGU@1m5(_?lXJZV>A+2M=a z%~i(B@Z)o$LKNk)dpySbnAoXF2k(k^`GIg8N7c8=>QQ42G2B)u*}ENU4e*^3)cT|p z4WzyrYy!fWt$XWjnQGy36iw4)-K>o^EfL;yR%lmr;F*MbeJ;LAl{@4A++El~smidF zP+;)^kJw$8Aj?j|LYxxSBPZqfK-1jdtJWlGk;be@tuHpCrQEb1!&wKNoQXi<35YLj zC1Ds4R({nB$E8vl!m|+l6~|hDav*t6^$p`Bj2Wq;O1h`TgkQ-xXE=?WD%+Ga((Ev> zOMS*2k}bJ!5hUA5IY|6r)M1p={?3{$(i)^{VH>6O9)uk?xroJ}SNJ^Ca9?5`#`-o8 zQQ}94bn{zv22cJkjm>f^s^{Z25ndP73cg-aTG&7yhdz&Is3)IWh!ts&e*RR4u8>CL z`3z^w%Ng@0#@e_%pq3o2646yorP_^b=G1G12eM6S(1V$3eftjnN4rpia>O)9s!5ss zuN)f!V^ZiK_|$_|td8BO;SrVmwmr^qjw0gEM-IDej>wId#~7gH<>-rhd}D9x)~QZ0 zg30%d=Qhi-iw{MwutbzU8=SEE^L}xQuZr94nVs3pz+J94yR*hk=nb@zHLwg5X7UC; z?7tEV++~ofg{80(SF|Acg|`Gcp;7`Bn{WX+22cX9RPWjR@2UN-f&RaQ{OcfkV($2T z5q~f~8JD30cQ{ZG6`v4VmwW#eSgS;O>?sbNRus({dZVe zco4K>Fhf)5-LOMGN2uQx0GBT(kxG*QMR(MkeJ%g36bM=a$kfZXA_tKG)3<=1_kL>L z1cut&A_atoz;QQN-vvP<|HJCEmb)haM=^w0CxDALMT-MqmJJ*BJ?seJR84d7)Yn0b zbg#4U9#fh+UhIGP)Ax!75N-Fm*n~}1rVjhD>E~Tzm=C8_3ssVywdLs5A>>D%nx1Tn zI`kc7?5GlZ?^IJIC@N zVprJqA+MU44`FZQuPnVg0s7fLKU0p7~tjE|Q7-ten=LY~eL^roV@v%~eH%5OV z$hah+u!eX!2kmyF)%VEYGaiAy|>cuwUX+~c>*6QnHAkB1O(}~ z`tXVB;AX~j7(5ExF^ZEW3(^CDNL)kuw09cNbW;=5M~vwrslWR22<#IwaL3-K#xtgi zw)N$C6r^Zzz6g)1yF={h!xpmQWK*<|&5O{H9_12olRp}vx5{j!MVtFb%`9+xcaPw+ z!Bo%oczTD%&FIw-o}GP)9?+sA<#)ISbh-W*A}nY>*9SI@;Ln8a^9WT#4GLKQl0Q1{ zwAH-nelXC7PfC){By97OGcW1#5_NkuR4})2bu&$Je!W_I<^L0Y#mX?&`|?tIeh^m)uh| zgI_m(BI*;LU{hgSz-u*pn9_@48MyKdIt+B(?wYGXn0T}8*X-q+o&Pd{2fZc=m}c2j zDUo0@5=EHGflkg%SPRzd^m4R`gp_A=Wh|V;`XG^uMjJ&jo$vc3wJSh z`RSXSK;fm?(6%1}D?q18%jrLz;F#xM6CA6!7(08|o3d!i$+F0r+L}9CkhAl#aOm>~3!avNClhH#Rl5v=yW~YwxBbw=@x?)8LY0m9rN$ zwXl@(bTn1*lvf3LT7mdX=!At31>Aw|Hug5)!pPlitZkiu?t+v?CU(ZAK=A(W(=3$a ze~36+2~xiMok6ZCr${bp=V(gK&CJRKVrAtf=iy`K`*#QaCIm_c?nEbd13ENslIEdPhFoZNpeZe#NwG)zGM6t{PAwEhFQ35dni+SJC> z*4YVM1KWR)xBs`c{s%bV46rcApTgj)0hF^du{84#GjcW+qy*cOgNc=wiIr28l?TYh z2jt*jU}Xogvi<@7x3nnu@;jUUPo@9zoByG_oE%Wh4&?H?Mbct|lrAooCO}?eHZxvc z4kIQ`9upAw$BdPU&xFl{iOZCmgNKukmlp)$`U@RcU)t8m*~k`T`cHjRPLLU=2_HKX zH;97+yk;+#36l}05f>8&9}h1tH!rstuMzhj`X(TtnVqAJ5%{_q+1p!Nf{cD&ZWge; z{>TPzfr^fHCN3aT$G@CY5$syBmd1`ojvnM}T+FPLEWe$Op2Z)OgtZK~1#m2<>yCh>%4sIr6BUUyeb}m+KGfvL`SNJ?QX8R)m zBo_cj2F{kw)~0`Q_&w&sGA?o1}8W=1a7&XhvpK;8F7 zwhk_)x~d8avMRcAqGATBc6QcIx+<;{@Vh7Ti|aC{B421E%3Jm{_R=+|20tgxA@~Ps`xul z`F;971S;JBwz8tAowc2#3V1ZZl$?v>w;+p@sinDvGdUk0E6ZO|jI@oBxhac;rM0uE zBa4`*s+cLr&cyW3U`A8H_@gPvnMK3W1UzWL#m2+(-qP7g(bQ4Y&c@!(7W^=oocFIG z4L%MwUcP^hV!STCo&r$+7-#^P_yYg{h}RWBFaQ}I9v%T6836$q0|^lc0|yNm84U*? z3kwGe3m*>?8=Nrl@Q8>>iHY#2sp;sbskt~fIJo%#nIKS*kWf%j&{0v*u~E@bv9ZxH zFwn6{u(5Hlu}NqM@xY0YhMbC&l$476%^Mn;H*eU%6p#I%`uwjw01SAjbx0T}2uc7X z1_Tra#A_dfFc=>U#2*O^^>=~-KtMvnz{0^JAR%0qn5Y@wv6{si<+3zZ!FJItEUO!qFtCoNr!$oValF z>Vjy+KC7CDf6E8YaWSp7WqMg3+&km4eI-GcSP;^X)Hl0xUD((^_wz>G%r!K*sA*t+ z_m)Re!`$stN^$ex!rmPQ01^_67WxlNaIi33e?XvM!-NJSaG=D3VGoGM{*BgyU(r6SVxUg0Suk?y$G3jkCx6R+Sr7iV{Yj+asTOcreV6lpXFr)`U)wz;sN^L~Jl89n@}ElGr29>EpGwOI z$_U@~3-53IAp=I2kO`gacM|bCQ`U3rcLsH_I8El$$Lwp{r_a7CgU5r|iC{=*`h&!^ zUwKY`*{!;PmBf+_e(%~#xg0z7l7MxTXi|YEaG`uMw_^tT*?$!B#j_vu3+rX4+j`+8 z91Qb=v$EDJpo!rXAa9ake+U|*HVU4KE%2Hh2*6B?LsjXN>z36q;*5Ty z*F98ap5x^~_=;xZpuYd+NVZZaYZ|f2&pG5KQUICC_%(t@0?y(aWrCG_tVc$C^ z79>d1aMfR;;Qt(Zlzexk%3xSQdgfZ?u#;q7{t95&vYV`J^m;fawZp@(g=X3?FGy;n7^ERt|&Ce8a)+u`RtwlKPilUN+dx zPS%v7x>Vw3DpFJqGWiq~hRaV8I?lJ>w$N~?!Qi4q=;t9q$)jy;)qp)B4CBYwK$s&WouWljfSbwEh?cg3>O)D zVv;{v!1 z8F2np@lqQ1v7P2ero_^ls3Sp{A{RJN(;q0G@Z4l@Psro%^& z^ob;XmdW1`qPaK38;}0pHN&C2A)xgHx*U+WlmWb+IW_BeT4#NYOaT2=;%JTf#x_77-@%9py2a@mnufLN)&bz+LdUt?R!}s|Lal(y zI&kM%?qK|2jbd>eT09hCC+w$HXA?JQKO2U^hZ_9fc^`b+(inWTiBM)l(fvW{1fdS! zwx=~%{+1VzgyIy{rY&h4f#MQf_=D8tP3iVa;j?yofeTTc{_|LC6#xT+MrX)ouw>l| z&dPw9!a1O){XN=Or9@CFpqjg&S^}jx^NeEM!zMbRQcMH^GsEC2pp~!*SM|C zYWg{~lWJ=(Z^X}5m3f4da0yxLIh>0dLCBkZ^6e29r1F*{ZDXfSnL248LP@Ah^{Q>+ z5@6fLbvl}lr1OEi$Q+_7ImnHfLFJgy$YV24@%TIPARn|8q>*6P;p#XKe3H^h>*65k zAOPO~>`N{NY3I$QY*b_JYp#E& z@GK(7I(r3h7Q+u6BWRoB@0-A7d{jPxh7`aNonsSDxmZ>@Y)nyStXxSwMTBK13dAB9 z&_;mTQ8C_OFJFnIyY_Dl`o^b?M3VojIL0sGXH6b|U36lCGS#c~x+3Pe=98A$@_|2aiyB6GArDsv~(wh zfi3|%^%oZHWE_Z*VNNSL&5~(iUPyL6n)6)!1B{kJ^C54-D0!xZ8)}9n*fU+yR=jfM z%Sjh%1UvW@GsSbba`S7B3v#VPXrT+#%s{lp9A@LeWIod?O|{3}Iw04`mOx71f^}%gs3~ynW^;D#FN^ zyFw*$%ViVk|88@W^6AspXnqe$zDYhM^mloq@Z=b^PFHQodRo0`xJ{iaJPF&RR*{ca zv%V`c4wuXpm2;h=$E0~ z4c7O%B^1uoyiAI_5VUTq-_vUj6!$ed+U$^N?(^kkm)~p{t}-zh#OpG_M)D?O-(_Y;7|IIbkL`SKaJKc@@`X$@*rAu1IN6MdjP zK+JcskCUR?4o+;Hkw4_9picOpXRhyKog&nfK%kPoy|-i`bs=l$j)uKI@=2{e7QOt! zaZS9cWO?_|+QzgnKS2ZIrm}BEL0DAlzUqn}^EmRLseR04*5{a*&(Ozgzm?$btgzBa z)X)kVhczxys@4(i;75-k=*@7ngy_I8XYb7iEv6Rrg%-7^IwNgp+_2+D>&NP3vQyBz z^CT;*0+X#dQ`_8RB4|hjM2HA|GAonaSWCYMeb?2FFYdnlBM7H6Fl4w3dLTVZX0NaW z4+gPkoe}rB%GikZnV0gPjVqnBEn)})WY|Vg;XygGR#uLt;lcjUi$Eo>m(;0SdT&?M z!{yT8UUfmW1Rky&e04MBlu_^D{L5JKG3vF?MOC6nv3e&KUeD|^O!guJJ;fwHM;n$# zp;p}J$3`n};?1Egq@&f%6)MMuXZCA&`IXJwl?O&?4#`oYIC2x{f-ybUyN%^5wOl#! zmAOL9x(cVG87m(ct%5}CY58e~pc@W)bTd0i+pqLeZ}DbNtT095w-E`VS?UF(+D<2q z;16y%b!O_|8z+bb+NIC+vTz!@Ap<}IC(+d7buFGNT0_3_63|MD{zSA2aq3nR&Bu+) z3{GxBf`TS&>?wkcX8xBaPhZY{bZl3ctiE(7X|;}(Um5iQ8Vu%#Zsgo=zfv@0I)h2~ zl-?cu0QWJ(StiX|U*QK&-*`8LV$?Xt42rf>=d_2Mt)_OTOKZ#I6fa%=UwBOMGb=WE ztGaF)Rufl-sYqo9BFOgh(OM^N{XoE>7p0g5f7|<}GqhEoJgT~cNY$C-iV#&2$b)FK~-ujM^JVXO*EPr9%wff)IsQ zscWDe@d^K+a)Jmhn693T;RJROqPBv~CinzE-i*a*`nX#(C!>8b=>lXRA#Ga=9U<+}Um&TXQVa#+ z|Liwcx;^~zMUs)%!jh$~*X!lF_61e@hzv|T*U^90cN@Kh?~>-t^>iN(Lk zG=C_21ytqdkQYmq(M*kev#^!7ipe_L%3PYCFv`pbeGfyYJc0~zmoJn4VkJtKecH9)!qQ}AJx0l6gyOu8czlt zoE_bjMZ`XLwCiAxZeuj?&v%2ASD+qO^m$z{g^V1!HCO%2Y8+7}W}akfz84GpRLh7| z^wLwbv^=43>elp>SMgLKvsk|E9Cwnuj4EZ zGB$ipVZmQO_ zCpuk=uX!|s8tE#><2~Fx^qv(evNRL3I)Pt9Bi?z|F-2k3UxY3fM9bfp?w@Gf>e<~V z+G*h3V%rT6DwPr0eRATnmqn8Wl^!1uudG;o#6EKXo(P~}HUQYl2EPrW+EookJWEPj z0xLuN;D2fiQNBSdH^+#F9;sTF-4pu^eaNkj=f}B;DYa?7t>Wav%@@)Hhq;GUz>pU0 zRJ%%eQY>KxRg~|kuECb??S`x za7vl1M-wO$d{m7{B2h6?VP0&-P#P-z>xUPW<}fjXuiV!vi|C#n_VCor*b62?M8t0M3RpivTv4h(1TDORl@NUci|j`DL;7{t;RCvy6bvu(sr%z*Ok>Ex z1rFEg3Wx)63NGC9qQqlSl;4G=9+>D;dqvAzH&4v>JX}RU%r2W%ja7!0I4vYDTPp6y zQkr@vQ{j28r201R#IuWG3ae{gLeZw~51SaQ(g%V{0g$!Ug`+L=+u&OnQ^J|+md8(F zNCv>0kqgwW5C4p>NA;kaUwv+dS&P65tw?&m7HV;foy%(SEY z;%==yxY^?ib?as>v`|rGd3&y_c^L6waq~~^9BF-0ed%Su`^s6JcKk3)!Dd-s#9}Fa z-NQ^HuU4pbe!fS zB9qCdlO9UtSfn}PHUNHQ?0HuSiPd(^Bi+F!L2|g}-TYwL3Rv9)^gKyz)S%ij`?>s0 zM6&u4=FI$4RY$wg9NG9XOc<-C-NcGK$w z`um2(23CKXJ}ss_Vy>+&-Mf~BQmoLLr8lg$3Sa@oLeIMpJ0vV?|9EHVmAMIza0=tu zpljqT_vo^5_2JmlFgjmf_2Xb!@=0a8&Tx|Jf|c9+kJ~I_UU^xXl9_XfPX_(w2$ooc zjyQy!W=1-8Wiq{C(6&2yy|=Jxs|xLKG~&Zq@K@n)KW%({N*eyr+<+>mJ&9NQ95 zF}Ud_OeeBRmEQ+=t7?r6KdmrBC{^|N%I_`p5JJ!;)U7WbiJ^`n;yhdAp4R5obA3EP zZ?knj-GC z(iaaJ^+PqC!$<$P1x|F4OGye?@|a7ok3!PETbLnRUNuv5P1$aj2{D~ora&v;xuJap zXjZI$zFpy9uBmAzh_Xj@V`go5WcxyPg1z_(;9)o;TkbL#AAG8N20y7flO+1_v!-?w zH8=X)aZyReRjCdf7h&$XWbc-}v;|`p&--PRKOTt4$EwxsZ~LVBx{NEaqTc6mV7U*EPT8HYP)ZB+VSK8s0XcpZciQvL2 z)arD5Y}wH9>|CQOLb4z$Y+NB!u;Usx?XH@eA(~~J2(o}l3Qz26jmaBNl?U|>mUG!0 z;}O0TW@*m@7X+ix=RfBtnbufH5gw046fg#|v`=17R6HxCRg}|S9&Y5))#`^&SL1E6 z50dmH@Hnt`Sizk6g2;>#(2y3os$0A3EoVtp<9VVsq+$6GM;R*Qa=s5lSzVx1kd{(^ zCz)aZSz(`bhBQb_BKsV{m8yBM*HzTUIyiL(LzZ>6`dQ@ru-N!zO`~>w#8{9JozbZ1 z>oxUA`B~c&6+jIIEcWnQ89{#Zg3T;6f7Y?pL6u>#O7B4^Xz%?hsHF*=yk-;lW1n3L zv$!9NTnf%M@XlzA4GUcg*G@&p*_n8e5S~)j~O;RG$f* ziJWM}Sf=&P5n9A@=#MZ*o_A)6B2v_OW>$ObD{QO7`3{tB4wxk?VYg<+&*@Ew;I0}H zdC=4gIH5qW11as#Uq-ol>>Xvcv$#TJ9nq^pWauVxzTT8+C7F51mj(jfgN9Z`d{5v4 zTNik`u~1^+EDfC+Ps{dbPub15{*)mJwf(R-&s%S*X&pIN3Ne( zstb3P4EZ4-f=OJz#maOwyAaYBR%4v`UKPC8lvuc~7^X6^^0y8LhRaRY9uFKk0|)Vl zbZYRp1+K+3ik{xAH!KYMM31)~XX}kqeBU{1;cBp?E0eyd>0kwYs3I}l^+-K8&~CNcgwsr#l;(}O1d->3`o4Ioj>Jy2 zs15(eM4=I_M8qjN(}7lMFbOgmfoJ8^In%+ja&hNQ(^O+6CE!B-dU4IR!PTklJBY)! zYXEPZ4B7dRYfAm`xbUhpS`&WP`3(SnJu!U0fUhHtE_7~mHk~zB;);By8wi;BDbE^`<3%Q{q z3^iOCsGHj%juWYXJM2Kdh@ADJ85K#y+xpe%MY?_@s(izrtP3D$bl5C? zcpkI3JwzL18$(a)*utHP?H&E&;`w`&r4}^E;99cOhav)yH|6z|>-Di`s%8(-p~apX zg%F*>;J4B_Medq}{i`?fU58f(gI>*%)S2XH0it~Krygi9-zH>LR>OZ%gk4uwN^(lv zb^62vxl5HT-Yr`-&PNZ_8SJg4>;z+&{50AH)s_CFgh?YZ#q8@>0Kf8!Wv!1>adZP}~i6giTTr|qe4+~QmWCg&M zjom%6{Twi*6kh`{*p-VcWS~`b1U}czEQ&E?GB;_25oj?l%Wrvml}rWs^4r+h-N3|9 z!?{`>S8Rcvv$AFHKc6QSlN*arIjAz6sPjrmNd?um^XSVe{L25`No$_I0umj%P<0R+ znr%@22yyuxLH#*FbMQ=YCt0Y%!1=zv&L-r+J8R#0wc#7w3jI&Ne zTs?V8*tl;q&Bcqhcr$MtT|gCZt)uBizBHH$Bcv+C*4IEZ+y{>a?*j~?P^1}wSPnYL z^ClLIKOU-;_PyW~3@w%+$XO`+y5}b+z8dD9qz#DHBWhq9OJ0W*HzY~KPz<( zD#DrMr;`JWosYwf;;F;YG#u*J*$R`m3QhyAJJe~~t;eGxGMG5@K=1t$RbQ%HkfR1o z*!L9jPwei>Ck}4fdY!W5W|;i^&*l4B8MAV2tsUdS$nvb$$4yV@s-e&Yp^Cqo+GGW! z3n#t;hSldP>8kx*gu=61O_DJtIU0UF3BOfQc<4SideQ`sI0F5;Ki>+F$?oIcj5g0R z;lTqK z8S@Cl<+Un5@L@-rQz5oie9Xj1YTtkK91P5sw0jPlxWsyvja4C+$Pk<8|A@BBk$nV5 zEBYwOC|u@eRReG(Z=NQNvRQkWY&Z;1fpW2(B7@O2QfhNq;iz*?GI50pQFes0Jz2G9 zTW^B+QY?$9RL6MBb;9JDlS^#vdeZbgVxr-5UuipKa>4?TcwN$&Uw1XVGB2N-HSpb~ zJjSf%OdE0or}eG&z*b{b&NC8(Q#v1eR;aOH{*3vRsO=z%$UOx_A`=f{=xx0fp;ugF z)F6A^6QPdPjfdb&6eXqCLj_iR90}L{#Bpj)_Y&+kq_7J_R9mDI(^&GZ&nTDl-O7iv zh6`;A(&r?f(swr&*pQC>suo$$!cWlil9P&#bJil4j%4iftz(^odyhr9zJ6`jLE%_r zR=J&jWB+W_rg^Z?-%-L-Wo%=Ga>7cylyx3*4?Q!tU?68$!`6EpEcke|qxywa55!pe zb)v+7%$+V|=w}M0TD$S4)?q)f2{(POkvUcdplJ@;i4_)U0{V- zudW-xf^sD$QF#L?bs>eySYuRIinc-yo!@Gdc)D2O`xSXFPHKNN7(M_(rhppKC2O`c zve4Gd!32B-nwuO`P)ChF|F8fd)arb>`weAP$bQJZ<^vx~%gRaYm~awgRdh2lXmz(W ztLnuAy;xl1!o@d3M~if0T)bJA*a41bNJWofLdk^$w@ z1a*!JbL`O!NMhSGx$&F3zo`xVgZ$!059r#eJ4sV~e`;7Q`2c2Gjk!BbdXT~-3$^Q< zPTzq-F|a(0pFqn}$a3|GhkLAw$!C2dxH8bBSOGtpsIg|G-&2k2(z+~y%(M~>1RW<< zk>1vYrsU$@ylu072J$7DJFS0)oMPp^Y+a8-S^E%V9i-oQJI6X2E(R%H{R5Vr8uD~$ zuFXb+A7_*z>QS;GL}0v9o|(xNaV(|*r4bFXHLGZsxTEROAGcd+b+~>(chp+`%PT;& z!Fr{rpy&NW$*mj;RJ(@qx3-j3EtDJ-H1e#kiUSk9Bld-J{G@~JR)){C?QQwfdF$bs z?oQ3T`9%C$iVP{s#G2H|1LzIzDXPJfpdWu^~ETvmPyJ82}+~} zju2H9OAKe!oePcJ&MydEY7r;YdR|!xN*vS#G9(9V<>NHEm&jVkNbek@+P7{HOdZua3lo*N2+ zmI(d`f1&Z9FJ&@d&X0Hb3c%y}av#te_Z~p_aCYg#3iVySz70>2U=i6VYQ>=O6~KHX zuKWd=+So0;Sd@4>0pp7cChmgYuiVJreGYi|Es@O^+~>Tt9p+Y+rVi9St^c)Vupp}f z9ydFDX^U|7tW1$;T#Bh$n4h=BX?B`O@T@1W$x5}vT>Fs?Ef59a(xhw6xH`$Xt$sC-Nxl13eZVYX+pyZqRjfr!)n+sAZk_L<#|{%g!_ zAg9|rUXG7_+elaSr|#vgd$xiKvgI|>@u*b}`IY5K61#{`Z#TKLgUUg8C3^c30b`G? zk$OvGDgL)!sX|C|k188xBzA7(aiH(+r{ty{vOd&7Kt9h`fC#{OST;fS^B%nv#L4Gp z!$EeRN#QbutzI51z>ctwwGq?m!LO^`;@FU2JHS?Ly^`;j{RWaq@q)I2PnZJDS3v8YJme5Au4%WB~z%h7oOdxbN6S zqkWlpB2s8d&sDZ|9|-tF-=+XFpj=11ajdhl*nonIH&{-Y)DyJot(R&}6+Z6sf}zB) zpS}v1#BYZ45D_vZ^P;{DP|h#k<0Iia@rZIGV@>9fWvP+p2!3&9?&iQ905#6)>zRhM z;REBcaeozO^do0zlGnUg#;82EWQxp;r;cmIO2@Yy5ZU<{401WAA2il(Ex0ogmK2p< zr_L*|)>*ZaUpHkSg=7Tjo?g(8%_hwA5T04MbvsNUh@}y6Ir7`&8L?6j#^NErTiBhO zLAa}NG%~tD%{QZyX}y7D0`)>Y5wKCf<*wLjbkjedMr+Hyp>;PEuSHW83!44}^)(5J z87CZw#x=G!d)7Y`y3$r>*kFYytm|Z5UR1+2c|=6ho48Ki9x^@DcxzVwHb)!?J9Ou* z79~)7TOOHCxbTcc;bA{MjvU~1d;h`P``5sRuI@f6$*-9hV{yghu1E<0O&{uB*u(MT z&)fBRxXB6S?@*TK0*S<<<@5n4folUEiMl))Y#$wVYB0-g$OYv<`(zQ+2T!W7#RmD` zd~7FtlIOKrvlXcNlpb45ftwIaBQixOext`0=fDW3(d2fJ?IkMdj@TxW3{_W!+zx_i;j& z4wgVVYAql&L>cJ8*tXG?kB^U)H)FGA z|28?zOVx%WtU(r#5$i%xSlBze0`?`}j&d1#_lAhEc0x321!vugY4&W9q# zTa*AVgCDu064jl)OdZL1Qy`*Jdva!n4fU!%E|Y1P0$w~;*~>PwLkMDYc(uo*m~xCW zOY(zqkDsif5hCt06xqnK?MP1bT~+P;w5x&IYvfSpO4Wr1=5pnT17Wx%EB7%&C!MEN z+j22B*2^@jpXAHdwa}~>EFBuRmx&%eIP^+Xy9DvF)qs~Mw%BuCk2ix;qiJkxNG4$X zkyIV;<320&Bou9!P$*~CrlaNHVKJL^L|5YC2rLw2PUfEP=)bCfjA4{e&Mk=^dVnz< zrXmvhOdoc1$S=^Jbc0&?+16AIIJsRMCtiHGr_m%VT0?rO*C>h`bnEG=E{PKcgZrVy zmx7fZ9V6_)u3p5Og*X;hdf1U}PH)vVX!7(RuNrFBd}KQP zP&(DN4J^9F^;ECd>!MIk9Z8ho42cf6>Dl}S3ZxC66$iif^B{`%<7Zv%@k@5(b74)n zjO*l*G<5=kQ`^Lqi=UoYsY`6G#?9=9KYEsGMW>8UMt1hjx;It1bBgpijhnqrd0cwn zHxL}MHis~4&e|R?%IdUrKs_s)Z$EcY#@Bc2rmvhM;gy-_>FY1&_U&sE!Tq{vHn_6M z2GrHzZzxYC5K9Z^GhtHVe1V2C(a+#&^_LZaZCvYpT!}bpgRqjAo^Y_9*y>np_-+*} z$uK3zEK%Qjeypu#J-%_m5BJeJ566!Q49)b`wM`0l zRoiTg%`dy@3Wh&uXt?BL_+GPFZgsN`P6%l8JoEE*1l z6^e0>Pq1t@bV&qP*U(ltwf;)387h}C{#Ptu9(Tm@9(a6a{87veOb-T(zn<)>bkm3W z@C59HZ}Bg~0SMJ#R)?)BKKU~cPau?;(IjE$Xj|Z4*H$GamhDQpx*BDI%$W+gsk-79C@yCnexIrHoHPl7csn;1!JCTkyuk zY?mirF!kil76qOB{ac;=L7JqN!HYoUb1uRT zIz#M(*amgpJG9}?4wuBP5v$;rv<}K9^jj@SHy>0qguePyVa6dp!R@F$rc9q)qnY?- zA=w{5zZm`s6O=HQ^C^~6CP{tla=1xFQz3JbI|C%Y!PYTtX>-w0fH)<3M3SL3^wL9)q(|ZK8*P&(U9jkxV1H)f_A{>#lQbCR<}pr8s2AL=$HFY39apW#R@Rg$}y;TRf(@rn=o* zbeH;pvNsPUV;#8pY;IHQ9-+{m7**KpY|L@?YaZ~!4uWT1WnHW`mNKfCOFN$g(=cKv z>-K2AIOHa54jSvOsZ%+vaEA#V1v;SI>!I#^G`4?6bSbfkJ9J+u#x;FgkEW-{a{=AY znsCMf2mlZuA0?khQo6zhk8_w`97HR)*1GB$YlJ!CNJdbUcUugQpUe3p>KTl|#C}80 zphHKCj|-9LSI=B+*O`5!^VpshkFFVyeq%7twz|gei7O79O*s|Z(FUyoMaNzKUX7B-hS&T zI>tR6Ud-o@Ylef(P{_%CRz;Z?J8`g+&t&|Zxy)k;4hTXcQMo;qW9FlRGn`4B@<`}* z1HaYidhQlqQ9o?NxMgDvMNQ?uC5eHVW(I37)uo0W)692J!q3UUv{{X5Z;N{$lH6uj zN9&E-lpBeezHM|Ra{1(c!jqd`8~)>+s}8yBk3o=y#sD#`B+z0WtIX* z&26qvq+(}a=244z7EsdTO@Q(W>lQ9ph~$uo;vElzzlKIw<0%dqbqBhVNhKR2oz^~u zKi5n_Pu4z#Ki5cVQ=`>vx+AusV;sk7*9a<~>})f)aC3tQEo|u_LUOLi$UkW`)lPr_ z5F3`qS}OgZ;OA)4S|F(<2m&~#5hKvs6mm{LnB$ayCtW%^@d7&_TC2D1RA<*smz%4x zh0s9l@K$Xcr6|>Fb}vN6d{?238X&x%*UH~#L$hd|rb_b-_CSNe^-r#O10SN={kx|~ zTumQVv$DE*>WmB(5xOT;9!eFfEH7OgoJUyuKx<2&$zI3xdF}m|j|1~plXTqAv1K&A zu=?Gh2c1`}^di@E>YOwVl0Irke>8u*7^nR7f4YA+F8=_k`LDkF7+OW7xxd_k&#C=D zTdl)6|4IX7kSy|!0&zg^fGFfpjx-Ml^U0sh1^+`E~Hsigx zCXuW5N6lX)u`(mYWPN7p7IglTc1;9&qedT!JZ6CC4Xc1zBY6sHWHHy{`VFA8zz-xs zYVhtaExoRDD+AMebtEolq~-Olr&IDR>LVP?HJWNwX8mL9Sb2La$S!W}uF!ClbL+x1 z*X*=Um(z&qK>KT>=$$#1x73hZRQ`-RLkjp={UbDA)2hDf1iW&4tp>igH*;JId{W2{ z5wWqQVj#jacNebut^huN6yB9nI~zVQ6yYSsMj?zGHKXK(ZZ%g<_RNSs1a!$M&HaJX zi=K;_59X%lQ^bD*q zp`d6uRJws^u<8e}@>f5H*6)60R15u2QNml`C3Jb1*I8k6BWBVkyrXszR9`sy(>|s! z)Q@*90CU*)Sf@{d=zh22+QUnOT<=Cjr?8VNoG)i|(mSpPvhn`Z@_12m*&L|v2gL-l zm=KvTa0wSQqNh*l;f}FPZU@DU-KW5>Ld0`hjLAQpsX7q6{{ZT>Zhu&tw(rtwHTz4$=f4xo6*n zMn)Uy-ZpOz^(5#)M1#;E5!9i+j+sZM`tZx%=-3B!XQo|?Ypyg@DR3ZK(c*~4QcEm- zjkUBk1RfJ$V%1f*-Alunc?Jh)M1#e2fJJVXHy*5t+AL{)*KPr%jebjq`qznd^_yE> z%WFR2Ga+{w8Q8d?rsqR!J41%LuRHqbd-0*Pw_z{U`-v`}igZprMDFye3uH2SOe(dz zG#pGk9IT5P(Ob6{Y9V@ON1Czf!>=8}vOi4c6VHnBdU}>?ENK=NnH+pqsPtzt+9}H| zb$*k5?vg=~=7=~zJKnpG?OH~1M!;!&C;J^Prf{pQGV1q&AbADmUs#)4dV{?;Zmq-G zCyyoRxtR{GfwI4}Zw1mvW5V-4trfPuq)2AX;#}VXqC2w$UsmX4{aT8gVXk(%uU4Z6 z8kV)yk8=UqWqCh9FK1Mx%mk2RFHytIzRMd!=`EJYcVvYqytY;LGbY;0LxHnX9&1ml z-umB&Km-9tQ$vq`{qk|j;!~sFMmy6RgS-&Qt?T2jeP(SAHMBBJkE#_pS zwU5f8!oom#)SV=FA<+8i!22#mqN^XcG&t)7=%$RaMl~785Pnd@C}Na>lpsMD#zTXX zXVv-%Oc zH8ss;V3zLWg1RNo`c^Zl(D#wG+B6Q(x&>1uahmXM;=TY6OHxTBn7z*HA3~q&q&W$7 zgYBpK=>w{Cdaaj7A@sWUwc+S303gz&EQtUL>PQ<~7w>f8D<-ehoxg##lmcLm+i z{Tx{iAQ;q1&?BE86v?ruh}X$)FFJtVNl5-KeGRd>7$V=N=$Vy%-sm^&JyRTwe@5@h zjcDabEusD2+Oi)uPw#%f@?T$!bPIkf&+$6WeOTiiwx3C&1A8w>`rv3C8t-4|FEaZh z$n|Nh{)zf7gAwsjWCKEJmJ&LReAt5LS{!vGuVebQ2ke|_=W6nfk@hy^0hE1?&>uDG z{RjcmsSWxfcKj5O{%HRIcrnlU*#7`@{%<$ce%)?HOG$XDc^rjat20H_RL9zDZ!^Sr zuKZC!)zvhU$s?67Lmj|=jlNna_&ixSoGzD9wYg4x6k_kV?>0D=Ggt)nSbtgC z;q`jayL`HS6WMiEv9TLOE_drwn_oz}bZ-!emk_P2?ok*R8{UNgH+<5(+`6j_rR9Jb zguPoVv_0o>B^9_i87=iFVRdsHqIAi1~r@>X|E+e7lS8Fy~1%Bb3LN`;@=r+~1w|hg(YD({%J1jwqiDRkQ1#Ye4 zUK&J@cw3NB5tKzpQn;fnVr|IJ=&!xk5aychg7!PfVPDfQx7g8$$Rt)H%T?Jv+Uxpm z0(ey0)9~LeYsvTTKNw1PDkN>y5fOkiMC}J&%}neJMh)(>+8iEC=`G`S!(1bn5Vi2}{>@OTWb#T> znj58V2Y$LK`qYOIULkih(yfBt zWPR#FJ4eYlN`+TjT484IrEJiCD96OG#Mxm6$WgABT>Azyy(T$;7mpZMGA;CKF9UIq z0Qjo5bsH)6SzJCuM-WruXCa`nPx8@; z+*f(^S+;)9uFGfyvV8#U2|qO0P9njM{e_j;G04#p*o_GwJy3gGJ)}nqC#Zc}>D@x_ zTJ{5B?t|6uunH(A&^C?Fj>T8$nRx=d*|5LSZ|K}pMCj?F;{ZmY+jxIfI%XxJ<}=*W zA!+4(pkur2+eN>dE1IXNbqD3+Hp`3KW59DqV4JFS9od&Y%iUA4y|uNxABtY-H6!Yy z)n3p5#CmLW(Z88ve%!|AS+q8t$8Q^!P|cuNcgbixgUY`4w=1PplJDnU&>Zv3N~U^t zIc&)u0axca?3j!MGzL2}@|>0_iJZEgEf0r_cMB^M_LXLf9qF3R<{#dT6!SWdsgX@L zS6Q1Tp;X+>gMp{=h;>2Vyw3_8oHs^$zzEL=RC4Q9PEi0i>~Zr1aZ$K z0J!lJA18{;>%Cg8t&xZ_%h_9w*SCm9r|Tb8q5H+<`bO7qu&u{_CsxSEvJ2}Z7}O)~ z)4>}lr%-)n>gW79@>@SvdcRV?ChUvygQ}&nXnFcy1;_wa$JLIS?40N2y}R-8+ct@W zi9OX`529gpn=&Z%OBlugUGNQAJ!;qA)iG_T2f6K#-of$T#&Ib~&Wh?ZoPMAeC|K0j%8*bD8Q8{=oyu<%tC3t2!-@JBC-o3*p~E z)vM9+FJ-ho*7|RI%Sb=F8cNg3>KU~*+iNX;+dVeb+=+=<_2%Q3dWM6Mw}IFcN;<|B z&U>4LWriQ~Rz?{C8;2hVqqlz+vF0L7xN>lDn~2vfXeG` zP8;iP&GJY*t@TGGaheqAV!jC3v)xG~l4AEatq>bT{`#+z)G@Iws=J8}xWgb$s>fOS zG=E)0)l=Nk_BF+)+DMrAC*!O20!M<(oK@){9~U!g?6)Ge7;~%wekB*E^mpRo`qbJ> znmyp1Bf0^)y7F=vVSR4hxvmY7$|g@yt0t2}?sx=2hH6uJj$b6^WgKQ#7}5G8m*z3T z0y4-VM5SQ)v7ix^hpz9@J71d7v9hx!Wj-O=LOF5#4G%O)x-!JBYF4kdeq@ zY#EyS9!u-(bI>lI5V=m9^|K!zp`Md<9_?b&<`;MMvlH!o7V1DGn26_<<~My_ytsk8 z+riqHaLQu9u*fq)2ZmCk;?S+(){q99q%UUrw$0rq8OK4jd5=%}x_5zicxaO$y2|xF zh{IP*qO%~haC9m`2}2R$6>=YwAGsOj946`}{@0$(>fKG2WFK2@*AZKL01%nhx`DpB z&PInv5Ie55{VS*1=Q_$kbN-i_L!@-pnHcQ{iBRyK?APGq;B{<^u`&&>bDc|rWxSC* ztvat`*>jDqZaX3z?HxV})i_v`f-0yq9(>fS$D+oTy?}=qBgCm;AR++lFxV!|QB>^Z2DW}v$FKu*NVXRnmE%6>GV6unUZnt%f ztN`)G-w>>1icOV=vwMudKLwxD#aG#FR z$73s~jDu!7-W}(-p5g$r0)~310NY!{{UDS zLn<}Y52)t@U>cGyEM89wB@^DE}@l}1nLix&bs{PI9S>J zEt^KV^WA9l7nWwdt!ssDtdLwIP^cR3Wt;3jp>31!*OdoI-_@I`ucOl4O^&=+>fC8- z15Vd|ba*THEPFAUAI~FM(NnFqW7%b%pnI?X00Lduj>`5ePO65n-%FU8k0om%^nqig zHF1wwG;H0gCZTmDh1)ngk7z(6g$Pz2+5BXHaYhja{&vwe`78bd>x zbn!wLLXPIPPDH7Pw z1hCcY_^MrAjN7_p6;w#?Z1P8fQzS=<_pjyjOgK!qcz#=>=fB$3cS-3s2G?8Vwesej zPsLus!|Ba+Ww{P)wt9v#89snXyMmB5js_c5)(8n-a+euLj**2mB zDZ`A>G*9JD$JQoR`)C%sYfQ`h6<(QR1~zq79pbT}J=W(@ZW}9Q*%kV-LmJOFXshGn zU{-RRS?IVl8*3VXAt8=L@qxaGIU+p->NRliFJ*bS(03QQ2=GDcxcRQm$uu#Jq?vgn z2WjBC7Gw15uBE<@qVRoMoIg4=QR*4ESav%e+;-UOb=-N^M5BU#AR6yVmV97)l9roW zTDSV07Kg+F(11y6VY-r~pKEZ9SZtGy+QXXIl1VC^y-O~c^)TKe$f`X5056tPfdh-v z`L{Vd_{3x`s#W$o7`}^W-+rtF6Fw@BRQ;cPSB~2od*swWBVQ!=S(W7)nQyZmz~?x4 z7N+Wy;+ln+S!eAG2hjsl@Cw9n${4YOK=6XXaz=SF5qe06%dD>Ix~28qKC4OHyOe*; z^FVX2e^b5L1e=LF%ehuG+ME9I^Wcz=D)cUae!_*y* zU8byC2kFdd9%&);JZkziwEdl-&la@Hdq;R$493J}Gg=r8RF7oq04(f$PLwDWjG2~} zw`qbWm|AqxSi}`I#r5smySWMRzM6R~ARYDdd92Q;%Wr#`U2mqdp^Z!-+10m=?XA0O z;5bU3QEx?NM#mRacR>JYDlD&|*7Dcb=QMpN)F$3bzC8zucwt0vYJ44BD_MOt9ClY& zx#SlC@Q7d!5!eqx$MHuiH&`04y7T)F^$Dli3hy1Y41w>Ri@VNcA_j zPVp+JsJ_bs7=32EZG3w`3w-qaQsDByTsSI24uQjBRI$y%C<4PQ98$7J*x^ME%nYIN za|ky(xAkFPaaPAn!Vcam0mqW3_AGzhO_o4weFs(0#AADEdN{eE-)FG5n9*Zf)2MbH z`d#{WhR=OTU68_vOpS6lAQEY#+ zvHt*h-+4{q@J|nll7zow9@x9m{F>~-#AE$N z)&u_lc^OakUO%7SQ~u1y^ZUw2Av@Vn#s<_pf(KJm-MQcs8+GtdW+pot=55^9bGXX4 z`!gTU?h&ziM&_?0lc~GYUs-5aQ`mY)^yRce6v+?{7-ctRakKlgto$i|9=(pOB z7Z+Bc$Dq7gYXRVG5uk#G{0ujO9Z(^{2=e7is#Y+m85Ec;B3b*x!4w$)_<(-&1r(bO zb)7BtT0@BRcW-Nf_X8RQevxBqYiv85G$+MPLf4j7=M6|2DJ3~b0D3XU9AZfuo!P-X zlG*@`qC6l%3pzV;D{Z#i{qGWB=p{Jl6p!$)`_x=ayeVKE2nIZ1B0NN){=uXDEB^Gq zVAKAU{{VViO5ta+zuX^iNNpMb2goQ6gGc&T{ptSzVbT7P{{VViO5yQA)Sp{0-($cb zp5PCNRXTmFb`|+Hy|xPkk~)|<72+QEICv7NGaa~juIc{(&STiZ;pH8QmN{d? z!a=fb{1~T(Mtoq35hA>Mq3M?g^#ao7ky}4T$&vxp4=$@4k&yQWSRUY8yXiCii%yJ8 zY7ErC)8pMoCl4DXr`2qpWEeUredzJn2ZVqaPcI{ngpgzjmxEs;r#|e8@|gCA1_qX% zH13_)y-CFHy^d)Sw1BoT8B4L)-~j|9dNlY23C+@htp$#Z;{e{|EZM0MtIht$bL`(` zjSUSg3}_LmuaVL+80KA7jP)r5SD=l2S6Ap$`=KL`LFJ(`a}ywuu&p#-2yj@5I-57H zuZ(8>RqJ+j3$m_wxF_nF37w^1 zOMET8C#|``qJkvABrc=s$42Ye&$f$OTXF9Y_ws&A(*FQwVE+K3YLjrWTl820M8NbT z>Zpm0^!W3V(mh8kMK0zp02jda+|Pei_K+D7rFr@GVoH6OZ{*{J>0fdit5 zC#%6`eO>DBxAbAJ8@F$A2>PqmJ;B>y&D){;SD}5HpAW?^veV)Cq3P_Md%U+z=-IfK zOvm0gS0EFtf~;AEk!xE+sc)jjjlOR4T@Tr4@JsBp_$gzY!~h1{Fwd5Zi6oy^?>mo; zZCyr-ED#U04}$6tKE;k+*Otqf^iP61j&n1Sk!8mL2#AiC_^mp^NzzSR(&|>*U2GM* zX>*{JS>I}(ZbP9Tt9=^3tf8VH1QJng%>MwnE={*H{^Y;#MoBt?-Xe!)XZ^{4;Gbq! z{mOsfik&J7vWL>k{{Xp9{1WuCr}|Z&f-*@^R}n+$P%HYEe)&!6U;E`H z3#>KN>p9vuNJjKgkpkKJm;U)pzVrSNs10SM*akA3BweQ z(I?4a%>j2XE4y=j{{ZONKk0YrzD?BNN+BU6l}_K8Ib7yXOA>Jvvpdo_XS

    K`~LvaxkQ`)0MfZqZcba7QEQk@#v7p#-8*`nK>AndaB*n>X(2n=Jq7tK8ROLO z_>?_Ut7l=|T{O77yb?>il`-{$s}sN=YTypB;-9ZF%Q}W9q|a5*NNM2wP%Nh*_WJi@ zwxZTKvsu&ToyCqP91b|1Ff5zBOBop?GR$R^cnJUstp)aa2Hf)2lafJj1|)Y`y+^Bc z3~ZrBJB^;*#F$nwjsq$J-MipVb(_>ftm{=AdWG9-+}6xDp6PJH98xjj5d`|SOs6>r zfiV*)0dY1$^mXp5W57DLKztD*R`2PiKG5&HE^K4m%)2rz#}xXFX!eC_hlJrjgxA|b!Zn3=+Iftks%xsX2~=4JKHrA^i{vQ1T(-eZ+KKC9I(zP4QA%B=kG zLa$pN_WYyhw)#Pht~zR;6C2UB&9T0l9CtOO=?B3cEPmm|?Z>Tl~6k z?_Hgz*HxA^*IaGdeXS%!s|u?f)5h4^4`b%6rWk>+Bh8vJGTejYZFI&6gTYB87bDwi zL^9_wqkt0;lONI__#zb)C??AgGJozv{{RFVGaFmBS(f&@!~H@zD>JFxL9(#Nx9tow zu#RU${CLErL?5FbT#|%r5%ooz*1CmR2CP>)<_3os>D>mtxzl`hm5BV+qjen(t8OTIW^iQ)5^_nG-s~ec~AgFK#Bl^=o?dVf|^xEZ*#+MEV7A z>CxQ#V3_-B$>UESxfPW)j&-HQ?|;&<+`^{JzMoZK2K!t2+e))@!?E034i0a(c7s&I z00^K*n>2C~4az39sHOF5m<|N|LW#M6mX{5~QaqL&SXni;y4_P)_Bg-R2a(_wEuBX( z``r%pi}pFw&ZuRGfgC4_)rLl3nMZ=2!Srr3RrXRHsSJqxRDR2M{mi@YQ=&x`gU-<^ zM5fSWvV`Mb{{V9?{1O=V{{Y;}zXVd`+mhi5;yu_3F8=_zmwpNU&w2jSg-F0=1 z);KVDxWZND;#zjsYaH(Y;V5v0aTR@<@B5i|;HCC!kNvB=@I(bnCBi!^i1$PGTc`SE z--3U#-~Rw}F8mR~l3XLQxQ}+Y#J~RLUHB*aCI0~TGT*^i3Bp_>vbc|Sx7jj3?q$D% zf3siz0CMg86_k?U9hJm;w9B%w^6|jPd)V?rn&?}L6Da<-i~VJ`m_-u28v#iql1gZG zU!zy`lni%M>c2*>>neGBO_d8Bwz5v+G1)!>^jVP5w$D1Gvu`hD?0zfDnOj_3&O?MI z!?%5y_7{*}zu4pF;HCDBU9kJZi-x-WI)4{UI<1UH+A)2daD(^xqt%zNY`7gbaPFHRx+S==`lt@-Sb@JyzT^E> zcFv(&LG;0Uy8snR>v^@=7i(*@_zwVp8l;^QB$vT2%AT=FB$7%}L^y&PcApfINlU1` zf$S`I4iHnyQj(gZ0l%E`=o?u*f)MD`f*c($6C~;&_6q*sv(9_|%4`k==F-9UsbegM zW7sgx7=>|0^e60YQI6bt{Wg6bP;S6=uCTV!#@qCofr)+Xv7c!qdW43LHKQm?iW0lB zdZs)XAzU;EO{uB&2g3)g{NAjA!i)M{ZUAo}&gVP1D(XjsJ<0b^vkuM=TfO+bOAXbx z)F2jy%u0q%ZbOfuWh7GwH6y(+ejX;fuO;2XRO)vmMQ2Yq5Y2dE`i0x8$52sY7dF$PTIi zZ+R<7Vmi2Gc}!yfg2Nj8Q19t?*LyF@H>=QN+u)8Py!0>i2~)llJS}9^XZ^ z`#?Q`@m3qtb1@$0P(zEN9!wNmexGT)*>hUfyIXacO);$JD3GJ$;n^ekfrP|~4+C5G zUBFpQbU4%mfxWOw)ZhW&Apw|BA1cwL%ss;NJ$0(jMPfay$GT`T&18@wob`su4gsZuAHQ0Y=Cu;xzxcCGC z(<+m>#oxGM3ssBp{cLki=+XiBBPqnnCMT_(I`>o|AsGn(Y*5#yW`pZ3b#!{c%&*?U zewQ3BQqNhhb-Rm)sWBRJ;=1bkUO}^VMU5=n9iZ1i5Ujta;n_#o%|p|f)1SRFHoQDC z2Dh&3@Cp**i5IXvlpKe|v*e>@ zHJ5BTE)Ls1&@_UKcqnmr-*r!p&ku!m@w89MaciGbLz=?`lL0ewocaZUggwR@&lA}O zoE9=zE#F&QTv^}9AA-Gz80^Y}xCUB0(#gUHr(YFg<0j>&hl*TZt94uj{YaavcD|YV z0C+-{XVo5&kk8Q9_FG5Mvae|e{dox5O)W_Frx(bKDtVCSTYD>0^|4rLfHze71CLq^n_DZGrpF<^v3TM1^Kk zNTW;Qc!l?BW&Z$6#Qw6|Sebv)v45<#)NNqsV=8qKoV3R|3JcI#q*>>IqkFKhOSETTy9WD+vG&#RSsumX; z-qw-}-vi1@xtr3)J}|kK66XCWIws}LVJQI)E&wd?cL~Rfjxi>6#7zz!#VdD$UfPD| zaz}Ljt%E|q51CZ3ud$sg)Dm4T1MgF-qgk1TZ?e}i#{yabLRr30A;d`H-=g7%dz}Dl zZmp-?Wo_R2{k|a@Csl#dugY~7<6iB?rwzU%Rlg7dk}?y7WCdNUAjWcuH&m!gM1n&^ zl%NM~5>ApzQe^3ansfvhic6EO=BMPcRx&Zpw4Kh$Z}@;p<c(aHbY$dO;`Zn(F3>;Z`Berq zIn8N+c-0^3$S&y_6x@9>+1&oxs3HNLrJh8H=sUOT#mHvHI5Y=YxLZBE0@w0cZDv!O zSiaU83x}p#J%ZDnAx)IsSqFWXZ~y_IM&B~DOGKn3ehM~azG<}aL4IyC3&Y6mpt%hDNjt<1IdS+`^W1P_v!#sCsP15ZB?*AvKO03^#&dsjmAF6vE- zT*6Cgfj%Umr6N5WEXRRpxTrM0}4hxvmGiyvIEHrqyJ zPj$8tzQ*>C1;3TmdSkjpc3#)jK?7s-l2RVoI(>WxbQ72jduif(7WO~Z5d5P>m6ozd z5ACOl)5goL>V<{DlfWyDXVwp^jlK65*jr;Z77#S^TfGxIrsP#sG_}?={Rt zz&b34}t(d#_MhC_N1JmL;^KB1NhgIXCP4bdX?PTt{uVC=ARod z2ZL+a*RcH{bSud2>JMf1FuCNl$6duh^+#yk&)1DggyhnQwsDLDVAp>a-|Fia1*6ox zjdAnkHcwsYc+8jNFuU_u4yWL}0om5D``-5hz}!>)zw@Q!jtL~DGCtLhyE85QM&b*I z+f`uB*7^CXvmXWLf8y_|vcI)IA?|Q|1_Ecln(iIcaURz&mlil}bH^I?Qo<4-5DhTT zBm{^u7CI-hF1q%b{{Tu}U~SYOdPCJV3do&HLDE%QFsQ)CV{yy2x?d#gsr~15_Ot6Efl)`{9pN?v9 zt>#ISDwj%qpS1laIGv1eI`aiz#-ZIyD$iBrwNzj{k5D8w6y2EL4QKc!;-09kGZ@pySjMI zXn_V><`8K8t(%VQx||x_O>Oan?1vwu5M`#EJ&_STO@Xer-LsF=>7uu`OP^uf_nt{s zmS0EekInTdy}NIx=?^8PJ}O8MVmN+YizEnnFG0tZABn4Nfeo3p&t=JT^Z>aZ(Xg)qAUBj)kQY!{Y zGVh65!S#*|0HIz+O|E;f@)#?x=>pPY;*iS;+(hCm-s(7|3Xz1RLx(}Lxhb3h(CV_O z%*$>@ICZSLoQ)--I9gY@wx_rlEd+v$qr+N*V)Rre#d;W+njo+kc%Ts4nkSeGJ3FLc z20h`vleUv1MN1E@Uz*~;=P=hH?j6dfMrKb@$i9oLacxEf9?C8#-prI=JK{v98CfSsYUn0W zvbuggU3Ie8k?DOB3d89(lVgc=7}Z`jKXHfrmTq5(NRmCVSKZKu!^A7rNujMaDyI*p zTevm%?{3qmh*}tV*tT5CKFn%!hp?X|jhAs|8E|wKo_x`|eeQln4UTuH=Q;96!Cd6C zjL;*4hPbwo=o)&@mYwY5wSJ9zWOmOw7$^Sz9J8j8#-n^Y`v9|22ax+gm^6G zQIP)tR^|vb*yjg#ARnTndY)@*FK8B+FLOZEvH7acv#rE}N9MurjuIyl0s7c)4xh7Y zD|}GW39rm}E1;|jy0=uag7Z(N;^5IEfOVC310y#3BI3~24L}@}XO4ApBh%uHS#j8m zBQIBmj+t{tq=E6#HtRJyI7EP`bsE~@+Y5)Ze>Uz42ivk4LAsU}wSWfJK_tp($6ye5 zHLCe$;W7jr1?jGx(!U!j=GySjP4+pvT6+ebQH2&`@4Wt=*8m6}1Ho6fsov()%0HcX z0rC&FQ$I5;g2G-L`o@((mnWFcwV~noF$rS397O*2OW*C)$?Kycj*FQ0OHGd4$@)V@ z!t^S7enxp`Qhv&$GqvFn*xxg94b?J=}!Ba!61>chMd=ck@)v@Kt&Y~9rc=NL6v zALgpWkrLV(m36+Ifruz}7FXJxXq!RhuJBdzI*x7ZuNvzM>7ag%or0@v_GIK58pgBI zaT}N*pNdryEgEl;R9xQ?guQegRxx1_%&Ec(EIdOw@!kIp8?*HuQ%nmCA5@+qpUEQeQ5qFxDb@hVs^ zCnF>J$el1^mhURoHa1lh`aY<0M;xl2jm>|o>f2LlCGLB9a7vpx&V5eF z!~vB8b~N%~R_1-aDA+PIXh(k?n>@J5!y&M}&tBWMF?v~}H08R@#I(0)^HzS$_FQhq ze#blMH1Jg(k=1O7hk_4^(f78uNu3JJ!rpR& z*a0nck>sDre>xMN`I-G4+*Y=~us24Az0=@5%W#_RY1%{B?Iamk)_NHh;Ozuf_Yg-1 zomRFsEjX2WG=dl;>#E+BdvMh9UtYer7leEN@ODCY<(#P3L z-&3HHL%H~+Pu43h$-5bi+Hu@NoCM0LUsb=UTYf!_(UQ@$c=u3oN3%T_%zA*?)NcUK zxaxbVwir667_e~?_Zemj2Cd#|bP0n)(GO#IhS(IF#CUC#z|)y_J+3Wo(sT`Q))5a?%H1Fjgf5hH><49Ba;qi#ho*jAkjG2I139g% zlQQ2PJ1Awy;Uu0B)A3$8?6s_{>ORMxW`0Iz=qwXiCTf^TEHWE3yoQH34J1mS+j8vLZE{%B*0qJa>+U6J zWH!f8@mcpqi!P>Q7Xe!>Yl&{sNsSM=O^6N?w*6Y!$r2TMj*XV$7y90 zQG0K&(AR#9xEmwgmPs^n1SkyivSX>uP>6Y~y{?ALcyz4x#L;Az(W%S&)F^=@efYLSH z9haKbk(Y&WeQq?`-8>49n7$bI*X_}hmaZw))|KU}TdHGOi+k~``gJ-Awa{;_j-=N( z!%H67J)|nnuQRD-LuTxYPsEiM;#)>jskx=DX@`7OdbrVTT^CEO;$Y%q(Pl8)eT2Hp z1E+MXwloZlb&)buZez`xM&~qe2_!3d9WOSldh?#&(AXe5!aP!lAZx0Lv)R9g(Q1O9a16;sy#ES-Gx`A!V7uWeu+M7XX>~)9aZ^PfXgkC#xz4t ziTH1b-(&eP=J`jQe>bkhwwkWB&#-&UfUIY@eTLK9Vf>3Avf43SyfN*u-$?S;EexIi z0J4aA1>T>yjwTfOA^-#%>Gm*UnIwWLwfkQj&v9;Dq-*Xd40(AIq!p)b6D+#N7i+kn zoCdVWCrv`FO{6&=&57|<+hd$|ksfOAqw47X0wBPzZz(D0ex$O;W8bim1aM+?U6oYz zHaVrI-rzuX`4D_Q3zGVf##MX6!<|zPx;0&;6u*5o2D@mr#<`suc$Ie)s}x3^cOQd8 zG%qxczoW7ITi7_+Lkak~j|Rs~K4igGa5HjxelOWyL9nuRIlK_4RaR|%RNru#+#RSs z*0gY}9~dsVWz+(jBqa=NZn&E8QnD3SQb6P7{Sb=Iqa>4?;))mlBzeU^uq83jSf5#6DN!k zeL_5X8~ux;GegsSElPTRK9iC#wVW5XnS8K?Ui{R&$n5SU;r4c?8xU?=94U3q{UQfa9ukPYJ#M z0JRd25Ua23d3%LegY{c1B=Sp9@vg}&1@;p@A#_6$x1VqXcF|3}FC6}8P|pS#8}`Nc z>d6ebVk?fvhK?w8t_8cNc8HiY;p4r*?0L2zJPib`#&p^nz*ZTK#&8GRmOL0>Y(RZ9 zO!H-wctq>ZS0^2;`$io!HSTRBxzBKpOs&(bj};bxI0NLQ6w;710HF~~Fo_04o+BfB zQ$ru5^wv7dX>Y#6`bUox7xZj;L)}A*9cM0D!UljsV$epRGlX_zviV<8`m1aDjqTny zPU262RNwVZ!TICwUa5ab!N{=LZF2LWD!aa~bkFo(iB93i;DdQ|eXnrdW2^kW5^n1Kho;st2@N08U&^QCK*9~4}U)SEU*EG4T1eiV` zFF@!Sw)GC4+>1yqJ;DU>jv+&Y0BJEE+2ACeGbsz#=-%2UU`X!@F7bd5!5e8@pVtqp znVlads&S$$Y?)2{i_c9rF7wUiIxNF8Jcn6UwR=<4UsbQA&1Q~p_LZJpnPXc?0fMJD-@8!h<4~NUFP7EwQ`oanaiRR1wNz2c#c1#IbQ7n2v_Jy>3P`g`^AX zYh<0TI+EnCZB#Oi?)4~a?t>oUx!Rq$`iD~}BIXfny;W3O0oyJZtXPpkDekVt-QA%;aCZn=2wEJ9YjJmXcZcBa?(S9!g`RxB z%$!+kZg%$NUb%Sfv1|TmuwNeE)Z{~&iRClLKC%o`cm>WunyEjo^xyG0^M{;@m1_`F zZHrsjRR5{4Kg8#7;f_E#GWC2@KEX8pHJ1j$86fRU+BX*$jO z+{2=4PKEmlsOX^c`iNo0;(V+g8Er%Lr$MaK+CHLqrG?45gH#OK zyt5b{H|x|2aGj~zJGHOzESP;u5|MZdX*%!PI7Wjoz;48$jQ;8$U?{fLqHRVI-IuZ3 zVNSs2`76&$bZp|;_tQfArX93R|DqMIo8ZUfekDR%JvV*sxgSjRXP7lTgEt#WUg~tp|7ff%It8cV!9fykR zCj#Lj!$Hc0$aCr(yJ2PF{?h4l7x;%1#>OBYW(>Kq=`*cE&AAC5QISq)7M!vrjnv*7 z(S2LBP(Sq-pczbI;OtJ)@;(suH;f?MSm+w;Cn8|KW8`Gc291o;LkUM2OwJPHD~H_2 zz*j`rFKZu!rK#)Jh~~Tl#@O9>k%DS)KhOCX;!0oDfEH`21*RA>+S-7>_ksdN^MThJQicVG4!!AoUWgBxy!V3L{B`& zE$5XZ&{}*rzi2cZV_ciNF=*ScS^Y3^ZawzEVjW#?$cx}vl%wqx9fR(xzNPm7mVJEB zGk-}_rtbgvOi2`XPLdO`YL;EhUf?J1L;KWKxdb4CYer6EZ7FqoS=-N}F!7k@fAW?d zK1gLnx-*P^w5mcaDA^0`QyhZs|}*l5;48kP?90TSB@(3Rn=pTlP_VxS<%u1I_7o5vcmMzPM?wX zs@^x}>69hoSqQm>$I3l=Zexx`ppMZwN;7*h5&K?N>Ln#wDGIk;evRHbW<8u=p2$Xi*3he8j?9?rsi04hS#svJty_p>(kws(wm% zQP4$@>E}ZUU5X;S6N3FNgoPeM;VKlx{GJI>4^>j0ov{0~6B!>Fn=sje?C7s{n1YKr z@AEgcb|6@Cb?xN2vqTia+w_RS#Zh`88f#`A&KTc(k&cp4-vgOx#BT;sVYbY)^p*CESRp}l?WuSyg(uZ|x zyofgmH-l>foP!Za+{)Wr*SJcXKy_x7$Sdr4?oiF#Q_%p~5&QuD=*Ah5p~K|T#u%_M z+u>H?9NXnh`>i*xl@FsRmv>1Q}*TkCBiz*dea#=bp2H(n(-YCBPOu*fXN*`PfntJT?#$T-0J;H*E z$z?E%^haMY8%B9_sGD0`mnl&dZdg6TO9NJ&GUG4op=8vXw>X4-s#$d67xtMuX?O~u zHL+$P=tEsDH?g-Tk+@^h7tYdak1aBjfYO`H?8Ff4R@iMlyb;U3%`~*$tNg61Zq`07 zjB0_0DKIQ|-u?y3oLu4@KDNy%<#}ZED_8U3Lu*bU=o87_+m& zqVeutOaXvd9P{(ynj{O$_R0)K0IBmL(lOr*syMD{WoE^P9@(>s`j)0%eEw2GwB^Gu zfXfDYqGKd~%z7BEFq8%xC)aZlWcmlkTYJm<$BziQJ!gKp~kkReQmmOGI7_y9YvL)f~HLB^I|tX1*unLR5lkR=GJ zKA!JVEDqf?zh*f!*;(yM>ApGI*g+!;BFi4PtrRzx=Z=qYAA&ZPgp&2;BZs6&fa!nxn{Ks8| zdO)l}cXzX1uXhxrPe*wO4&YeUP6%(F8czb7uCqPICQ|cP^Q?dJJwEau03Z6Qw)yS9 zeAuiXRq~7;6F#ZxUe)}vH!Wv~JKt<jj;$t%}#fYvc47r8_V(L^{PgCxr~&4f2B0KBcV*7&GkG!x_M zn+PjxCaI5}=)!NbR^7GLRmHM_9-w1MBETj*Jo|#Tj?%z-=Ug|5WAK9cQN=h$R`%u; zB3^!OcoMw#^#S~sz)0)9-rncAjn*@F+-Q&H^Idz$^V62TpICwR?w@MWUiZ~3Ye?nV zY*h_E7Vzeb-J1JDD0?3jj@4(27|IPIT~v^H=J#KhNx4zJ)$+A;fkA~s(!j)YeYslHqCJ|=tn165d zK^YFIjVrI&ocXP2Zf(|e<>Ky9qx_P)C(RQt67NJ2(Sn59`BH?&dr3kgd}x-k=C^5k zuLl)PtYx093IsJC^w&e0CeaB3sc@9{UrC&)`-ITGu#{nv?PF5L`CK*~JN4l?dl0_EGFq$Aaft^o* z8OY&-vUXZiH0*3b1T33~JDNaV9qxtSc;%ckhb3os%E*{PouBYTnaLLItOn(M>H|#eaO8U*El)bS1@-C1)efB-8hN0`7 zA{YfefwCvUj8dXp^Og0|jH)%7+<5()FEP%ddWOBE*D$5ZUVGr|gQx04??P-u0zNMS z@Z3&^^){n@KJ=+InXj_^Z-gyPy!MBBGG0iaL-=D5>x-+AOztu-cOE7Z1N2N`;(!or zy;%}6I9W470oxVI=mzoB7ZVVt|PJVK^KYxbpXZ=vmV~Fo^*WuleQHoueug^^R zfQZYTx?ehyB&BXal zu2F#Ft4r1y>PK!_qUwPnw&>nm%?~qMYiC)6RA)iD)(2LPFSiE9)p1IGN*g%ta8yUe zS_zVbx{3%8AL9<3E_H^4-wI)Ch@@OA55-Gc84|WHFzsTA1#Whwiys15n2YoDB2Qf+ z2W=n`KQ&n{81Jf$K^xmlolKwPP zJD%2mgrzR*mtX+`5ut0gl#MymdZj5o)pL9sK-HulZC{7C9_4sHAxa;4lwz-N`R#TMmH08?VbBOSF?Gvup>N1mIU z{X)}ss*@#ydDwji5q9Hr3iV$nbW>)&0O|b+=vo6#xLKLbSr0XONxL}^Gy@H-@b^A{ zELb6V<^E$A6gZV|CVSZ-lV@%b)lqxx_EaxRHHulex9kTz!)1F)FD+QZ+eD_z5-8^R zblrCK__g7b56@IbS)$&V1n(7Is3fppC#MAyTu|DbZI`LKPi0daIy5=zt0HqYk*j@f z-OTCMdD61vcd@*gx-qf*5?gb^>Qqx=8dZSp^FTPG7Z)hyw$OOrQ)ucpj4{@tiSGUo zrSjbONAr&T|F;(*2n#U%QYd@GsMDO8ZC_Vp^(=4G1aBxhC*LeDkEim%XrHBi9euE` z(W7&6a*S}O$jC_XzfiO#TCXHj$ihSfqbmzjO6z%fxItB@LBZOjOHJoz8angu8=U4? zGN~G64OPcx0vtqKO}5(e8}PbbDn+vp6_%7Zi|sMGR$>WCIDknH@pOHygn}swAMb?& z0;unGEc8PMwqMaIWMHg^Jv04GIP3mJ6aB3Sj^wp=^LpdBX(%Q(e@B3eu&{Ce*x($w zhbm!5IFfMZTRrQ*cVZ8#3WeaNf_r^|idJfJ7@`6l)LUkvoA8`3*mvpkssgPdhSfEc z`3p~2LN75p&tEwkXodysl1_~D>+VFXB5R)bu4XU_NVi(e0wJ~r@>QSDB{>`xGEST|lrWU4p9>rshuJRM2^(@bWZ^b`= z%E^ivf~b7zA(uB5haTChOvkBbzPM@v=BheV<PwYzI=aeq;Pdtqky89ws!x{r#Di>2TX6Y0tb2T$YVR%G%uo4r`%%X;4Z z;*N`f`NZM4Xob^G-i6D)5*uM#=~@`tfHK*YnLPw!yI_E2$dO3IgN|Dmxmli?oBhuU65J413VVl;P_=iy@>U-UHdwbLg3GCYKHN!B%r$Eqc5-(PS1tM}KF) zBxwsqslQdna-?2Tcwu)0#aB)iUhtR;oLnks%qGg)^caexTQH8s&8KH6)o`k_$E2pg zjvL&K_J6)-Tm)b@fA({U{bYh<~nUb!ws+ zf7-2~79Yd=D^CEatJQlE>kC@_6hlBL^u_zvvRKg@*o8kux_Vl7z@vPf79>mX%=ku} zouX2nBFOh>{j7e5_jF*S&gddN&|0^Vs(_Ney!HFbl_%D)(~81+SS-#<2jfOs4w{#v zUqNinOx;)RuIp8ogUf)j=ZZ~+6tjmj8&?NoG6+$bg_(h+Q&&K&h0>Z%<@rXL2{aRL zO`k|#Zr(p00%d9ut4MuJU}s}1jVw3_Dz)IwN+K@YFh;~f$(B}%863%9YKkq(gyUxv z5^EHoh<9;Eaw};>NJaG#OxOX}JUF;txv3$=I-D21Gg*Gew|$`LPW+QC#pEkhO=&QM zc=3onMl9Yx(E(;_J*lX_`wgI>20HKv(ey|_%-=)wF+;q=9MUmWKS*D~U&*Q1KN3F! zb-2Re&R8L8XAw1ei}wPinnjoHFrhp*PGi%9p81DJsxPOn zlNZ&yQ9`Y0_~3SaPL6Tf4ssY0e=IJ?{bS?($(hTTnKdx>Yx$5-bAzp$GMO1YcCA2) zcwXo{sH?@Kt3$i*GPa-<3L}-x-+%XV!yKqD5t@iwjzI!?c3=_F0CnTbZtU)U?kEO3 zJP>;3oopKXY3?B;?_g)lNkuB*;rPn-oF!E7U^JzDMGZXZ;-KhUce(p8U>>pJ8MIN6 zn*W}b0j?_Dlsa~8iPPZuwI02~Q{|}m9>W1_&Zei(3cJhi8<@Ui1soOL)-?ISw zRv7}D2%O8Vt`wQ4?y@2ygKrIA3?Eu((ITpviep^g3b5ZuhuJ{_Rxf_>u&FI+;y)%j#0>Q_izVn-wfa{DE2 z&&5-{5?GLsK#*GdJWJ-oRot02&&tyPBb=%RAG_TV4M{_3a^#=B({j(Ztny{1|&{b^jNsx4`R3MuNs|Zso)Y8G)Mki$D3Pb zeJ=P#6+jTC-9hnqQ7TUJu(wn;*xJDv>E*euuGc*54i74~`#3KK+kY+9kL=cceej3g zl?mjdSaD%v!TqYcMQix&JKG)1=emH!+DXTYrEIZ3jH%ym_hF6g59TIA*GDX(vYs|P zdHswo5esH5%z1IGwK6+6L&X3%n?7E@f`A@t`&?`P0D7ZNUre?4S@T91lrq&?w`1=L zC&#>tIptQXErWk7*cK0S2Ck~MkgQ{1V&fLoFi$(dwHby#N)>)I^={ja_iVy@V(X1B ztWkI=A#WY{qx?4l!rb^by>sdLAE5KmQ^znQDX0L6>eu0_@E4`0wWBovyn~$^iERrI z4ZUzfB^P=7!^bDOF5bF7UkLP#L;;Arqls6aE;m7XZ<=Z2Tf1Ff9XfoKe|>`u`UV@4 ztEWSJPB- z&`zaLa9!_rF&HeYV8y8DtXaG;NH7Y_cnKnX@{&Ep*f}CdhOF3^x(%PDd2HOLs2l27 z^it}l<6_qjsXlV>SH8?FH`3xy+EgedRE9Eon=#@3z!zLAM4B||Zkq}Uq<2(3f^Tjw zEUKMNs_Q9jIBFs?&PQv=Ru$mZZa;W4S|t*77$^%Rx2W}zQCZrh6RJtG0svWP>qZor z6^_O(JZ7A7a2=fsc74tb4`8l@ookKn4F<~%JR0i+1a?j!1;2=se*jKuqT4;8@t?C3FH3m;?4LIbBB!qs@Qyt^zIE?b|*ar zMXf(Ej^?|GpI`ys~^qQMMBEHR|2bBq>tvyd6v1r=4vVVdR72I1ecLcGXb9 zyZ3-3$^J%@&FQ1sQ74ui0W@a0i{CcY^(mCp^C6t&;qRDVC+}#3L0RP0-P53l49>N^ zVy11D6oy4{^W|!1IOZizCIJFZC;#@hS6z7LMl_t_MeT|?N*4+wI^I^r;if09E4O!; z3q<48@A`^%&mQ$rK;99UxyNsx(%Wh*cH@gq5 zi=X(aY1$!SemIjJ9&$}u7q&0b%Kx~024RWS;&|Zk(roL#_E!nf!#fZ6eGP}5PC@b1 zrX+tIim4iui1hB)FykQ-*f2bnJ|<c!Om%|nVeb|JdxHuS_qDkhvI}LsuhpQe#f4K1pT2F%6P-UIS zWa!e|+dDG|C}oIM*OT{igJ^-k=BY9FX4ue`eI2ZD`)V_Ibk**KA4Swc?hB!N^XEL& zQ}7rjj>4dZiqZ$Kb3#L1Q4wP4lD$^PGEd$n{qy?OwysW?d(<+ER2|Flbx|}Mgq^sL zDn}vi=&a6z*$h|c8EqTG>O}R`s25^g)tl8R@$=flJS5A41}uba^Jy|dwsHFORlm7% z%^FMc%ViFCF*RwSF5JoL!W;F~G5Ow$65vS^8VKLm%QDHs)4B~a3^ z%aZ&OXR`=csB2hLLjTQk%6j*?1{%9)RiH-w#U@73%7dj^af zqy3+LSJG#m+BFG6%@#F&k-RD6`C6C~9aG_FmS6E0vVNK6EpT^hKqj!8Q9IAR;e5Z7%hW8SLHh3qcJs3iSlYke;WR znS;4Tl__?eN`4O}jvH>9HUY=F&m~&L1p?r{4V`H?N6XPl=(2$yyu^oF31a+PLgfE0 zb8szu;=FvLYz^1>2ha*F{o8486fu99J(WGS<-oXd#GQOqnbveAOhkW!H$|2+hxTL7 znMx*)#8;VgdAPniR=Te#V2<4L_Zs7^!SwPgGckMmg@;S#DH?I$J&54$^0UjFV(TQN zA(tJ`I}1BAqBl^!O!Q~kw-Iz2Slg$47R%kKA}`!U6S&Xk66AMW9!dJ;a3^0nndM=_OL5(bJz1F=m(gDvj3YGY&6b!dt#3XORq$6Yslp@Qbs;HOjO-uq+ zyCw$!XhvpazwG;1VzjD_6|Ni)P$~g^Lu2Ek7ejP%Uk>a0!Sj2K?NE&@7(+Gyq!`Xx zvGb7m_s5a>rsY$ubg_IC+|aPrc1g$ymLf9czz}~@^w7)1a(hH-Kf&JVh6iSukIp0g z8IQ&t$+=!@Td{Oxeh)$B&z~DSFsrD9#k+ee%talt@G!UX(QB^d_9Q^VJW`9=cxJQ( zMnh{IF2YhD38nr|5)hL`AN(XeZ@IjypV*~I>!3!a3@?19vZT)By1twJKHa~;6VAJz z+rKw};HOzR4@HozJ$Hpb!;ckqmOhnb9jVvH9~D`kLMlxW#bWbj@?2Xv;Kk7Rn@wI6 zQ%9goD~0QY-uY>2CFMh7YirZyA0-H>PIt+LvbYB#`i@U^(09Behqc|m30$+R6S?!} zirl6G)4_D+0$dC4;n81s`<8!}w-F0Nyp*fjH8JY_cw-AoqDB#c zZ$lob7XL15ZGotlJjT-ob>q@C9z*vr?G#WxCN;OUP+^|3w07~0s}zrM{(8EE`(1~2 zuQ2Hb(!|yuRkXEDc=kEvKv$HlwY+K8jEIwszltd8r((-!Z0CgU<5bZ+x+Rn?x+RT;yEt&YGbDBXKS9BC}C;*x?9*wg1 zUUH~U>|&E^Xg9;t2X1<-IQ!Z0nSJcAm>03W<0l7iC{ou;+n>WI%lPHImL|C*tg6A5B{i~Xh`KZ^^Gd#ZM8m)Cd&7-znIXE_A|h`rX$(P}sRVkFOV5UaMX z(2@W~>EU9EOAUuTv|4a2US?n$CmuW{Muw~KO__TMC~RzK-ok3%(1dvkoZan63V8gX zCj%TAGHcoQ-xGvEuzi^Da&fscO1koq0cl?WZdJVt`>D{(K?Z4EwNzsKFwmSHZ#H5P zOQ!5s({IZ>3*`>D1{D_$l+Gl5ec4*F>UGmmggE^mrT7FQDv%p<-h$u%&i0k)1%KaZ z|62d8@S%4A{?C{Xe0S(MCr?x^`@8A4BtZ{_LE??1bZ}nWT3kGzag?tjBb}|guIr+A zgHH;U>aR*>hV#~$M%`wh!5tNkG4b*9PCqU9RKQ74F)CKUC~r6jorQSX;wCC=PM@dN z^^JeXH_jR-fY4jK$WgIuf-90}EbEW|Nsx-B_Y3Lz#`c`Qq-QiWlX6H>*1WRCDvSLS z?32GM(v&9+ZyE$<~>J^+R31_lYm&g#}a7Xz@KSKF)D) zL|{|wY2^nEPE^O;`53=69jv~fd91>U_1*}B z54Gf&F&I$l_eqj4=1IlLfk471A zyDs=Y4_*0xj5Gd@oBO8o$&fsD+Wo-LDB3LhX*%KoYL`elxu@KH>?;L5EBPuJf`0gI zz2%+N({Ee2C2#`;);xmctx2ujBxE1903ew|rC)C(+h>W2DkqD68st`?@3v<|e)ruS z+=M$}p9u=*sRAi@=_6Nz6ZQAz$O}iK1?UTtJ`+mD5l};7pYjD;Ig`UF1NQQl){eZA z1JwDPuGDE)*{t@juil2f)lv-N+Z1cmTqB|CVg0NjBV3zO9RneYbWE#hp()c5) z_^okH-^c3_gmgTFPLb}-^GJYqH=3fnLc)N4meiCnPW)%h{i&L{ZBZ-E(LoBjY%65Z zw$17^RKLU!)-xVXieS0YJL9M?##AWEndP>4JkygDNJvEXS!4RLw;ny_OUSG-#47c| zt#1^*Hyc878i9mx!Ow8W&J5XuR%({CGWLIpUwy>-3h@&JyV|SOw%Yq?FM+8}w8!~) z=U#oIrLSAU=HF930fn5-G_Wy!!`(;d-j^VREnEY3eslV9=c9uD72O5+s0Xuk$QgbGGMneIs6tiUdT}k+sAO3Ynx7St63uL z#jCNwDEvR4)C*=nB0A&wk?3bLKOrDWeE0o8Mvrxdo#6wjuNjbZU24J_ALnd1=N=r~ z8c}wRpM^6vSB`M3#0ZM-gWxWD5!PDxjELkjqRxbRM>!_Yp66(apy%A!V$@g@(;ZGuQ z>sJZ5B>&JdpaUy<$d^{tv*+-r)_84hltLYVAyxvKmr(PsM?cEOwIRmRyoyaaRptr)IJQBX_%GoQZ_J zI42g4^d3_<6J|MAvcs?b87C8h;B|a#7-}J&1qGH$y{4fk5#`}<=GSF|a9^b?ses<@ z6f}2Ex!5Vj#FqpRdQ%ORhHs{A`(M9C<^6VmM~%uPoOtza4alUFJTLogzbx0(+K)MF z7^tqVpgp5P1<2*MH*+e7s4c(IG@dlv+zFA}Pq6p^7u))_dk89oc#6!yir87c>T9o5 zOG|HG{L7C^le5_8j75xw5R_iQZ8GyV6iisGTP! zfAUw=GtQ5Cb|8j%mr$Bd_xEXGOX&8Emu215>Suh!jQ`)Cu0wEEhvmhleD>JoZL)4N@bwW0$ch6Yzk~zC#+{@ z(pDA)v_3Obfun!*hqR$rtvRK))o(~6at8IG&URN}%sUGT9Z&{6}{=W zHeh*dU5`|Le^nW+m~@PN-EI`Jlzhwnf2;g~PR zxU_4+BoARtZA<+JaFz|VX-14H{}anK+lmJW7glSy|62FwD^6#7K{Ht||9N==-bDL6 ziDEu6^D5;)FryNhi&AxRda~b>e5!?lp6C?pbFK2iu>Pm&5!nGOkYMe}F1)g7ZiKHh<$NV`|Mw z@BoFB>sCrwVxm+zl4*HYF@0tj) z^(mO7N)QhcSH(j9oLGH2FYlW0D5B-H@6bbvNL^)>@6P@H;>I|8duLPUU%zvDg>vhg zO8B(xP6&0FYbW-2G=8aJwgYuI(cPv4&S0mI0%bp2baW-zYp2$6?qWnO8cBZef5+n zRdS>V|C*iA5pDr9)L1wTE3&Sbzc2GIzBRSqbL>H#`$MqEHElhQfi6*if71 zr`I8^>Sexu*TBZ_Y(ZCbgYV9>h!_1!XE4KHf)H*X)S2UQ5Hls3_#`c+WG<(4nPIA}jk&#DT zITnOVocQtJyK5Y8cvE8*z;#E?#FX)rB$O}0|*n+5!Te3n^%f?PdRUH*E^wCd4Y#r7hsK9eXt<`o|H(G#d(gJGF-x^Zf%zUFspPwDS58KXzRY;q%rkK3vS48BOp00}RKGwJeJ)`~ze| z&)(2F>58my#1JzkM-`_aQ|`y}pL;j0#(xdQ+3NfCqkLJQ4;MKSw4{2@2CbaGk$jBG zj*fTU5;C6t1Qf8K3SLAfwlP|E+ug+aIP)b_5B9V>|j1T?Nu-gRz->_Fv z4(U;r6zdqtyrO2ksBP-=CX~c3v9q@Ot&&Fl)*nu8Y{WuoJJ&e#G2T7>)ZuQebA8UV za&B8ZPVdMakgr1tBRL)FddKen#T*;wnI}ubYl^Ns#|S^a zCDeP9IO*xpPT|%dNukBxE!o%gnf$G2xKCM~V0eYPedHO(3W*f}(T*Le$u3s3D2&xz zZy0)WsTwFY3pF|u?$_60hp#4HQT8+peP?CJ&(0AugOhXCM;fi9yBm*?tT&1M2zXzt zfPhV@xl)HG1t=OQT6os5MW~|=#LX!9or(4OvS6^fIL^kUC%&z_^ibTp9W+f@6OS0i zK7JP+B>VoK0Xg~q8j#V^*_>HyIJSVzoSYw`0hq!lltzIWk~SksR9TbtNCCAllrpdJ z09D&mCHccDCuS#fn*+>z`a+KRkJeUK{pqfD<)IeoOLZN(aH1Dq{M1(*3I#a>kzfXT zAoa7rJ4EyuNEiaQ9+j}gW22o&F7g zA%6QGpVUTagLcv}oD!gD2vrePf)q79)eu!;yNw+5Aw@Mx?;2>3Aje#t(r_8-l;e=# zT_bf$9#otn)YO(w0}u(kua4VX9Yi8oU4H&5ce1GCsLX`1Dl{%x7}PPl-_W)%Rr^kM-;))E9))@0NFCH z5tcey6xoAUAJ&Luuo~KnfDN#h(P+tO!FytGKHZU`6f(B7XMfNwu4^dzST~2XEY&l2 zVCKFx(1Fu1*e*9zbt0a4@vbTw3Y(@X74L#KAUim}XV_mc>K1vtD;Ao-p~VNnkaZAGq&wzt>YBlg z=FRX2$_QYOX11p}wjdkUy7Q|+C|83`9Y4!5?W~0WmArUcrGxq$erq_tbaVZFOP$nmGkZw#Zs#2oZOvxn zPc&zz2`fK2eiS*r71@_LG=M#R$+>^dxh=S>4xs-r^ljz8EIddm{%hl=%ToUXHKD2e z`Co;`z%$vm#sgtd~IkY<(;CmW0l%Dvrmd!^WduAVV5W}j5 zqn|v6a7#%?XMv#iCo(#xmw5auB$~;Sod+UH=dq?sCP?Fe0EmNJL4;_xy@Sc6ig48U zMwr?$NXPggcll3;2k`F1Qp&RA28`GlVg}z;hqpQC&^IA!(FRl~81QlL;C|1NsK;+A zI;f3B=v`IVI7OX(@0oeIsmp#v4WrI=gK>IId+n1+XpLsytAla(WwyoBoo}Tx*F4U# z*5YYtOTztmGV6k6beZ{_SD25Mr)B-ev%pYkkHF2uTel>12cZ+MVq@625zUloLEt$t6_ zBR%IHPB7R-TI?SsA#l4DOF@?h#23AETo#nC+^S=KhK>8iLFuL_Gyx)d%aIMPny}aH zioqEn{5yuw5~XK&{moxQTQC?qdHs7xb6Yh8;APhMoJ59sQ+_q9_+qeWUtrTEozee+Tm$G9H!yMhj~(Q z_#e3Rso#etA0k~7CL7uX4+Rf)Nx$OQwyf`^Ob(c42L>1L^$AUjx)~wS_KEb z5D**-e#k~iL__#MAxbkcOCv#QDO71S=OT;TU2|k+>e?ei_Mt0e{os3~UQpL0I|e+R zwiA!kP)0{!!6>XO8B|+z(T$xeWpG}QG^73M)vat`w;GGk4M@-|kr%N%(-E=#)H&%0 zjSeA+={p&oTK7=vxaiB>ZuF3MY*GzFJmj&m*g7sv{}ZAfy_oSd)ge3RP#HZkcAzHPTThhFVvbgmsNM7OC9N2+h5HPY8Wq3}d@}mcAHWoGxmXaAk^sG{r{ekw4u5YYqQ`5ZcJnQPF1`vpr_rq}w+i(lLg}r6}(a`&jqk zw<>}s)x#hC7Wuw<4>a@j6lC{-4kSVF&pGh+Rd8#7XNEL;mb&Z{>kpE$bn#+@DO0{} zeAUe}+}0wTpx@OxIif5z=RrQppFWXxG<~;wf#GtJ!?;zR*>P9Q;Uboed5e%ZNlb{Z zX$ln;8WQVmP1vt-4R?z6lpVg5EG%k%P7Q7*kyG=)W}}bn>U7^JEczMRG9(b-)-G=H zK@R&M+g$V$ae_K=UFC_|YT;BkX?`}Z^;N`|6;rWP*~YM6HGwJUaQEV-R0*E9V)83y zNmxj%MSlwRvigcPJ|{-=DsA#gF=wZWPH>RQ{OW&r4_sQEe;WAs{PpW;HQM9?95b}~ zWl^rr!+b!ZR2`rv>mpXN$*Uy$0wIpu3<*=dmdo2BqnNLj^q(kTw>b{yPGpe3(r)Q>=DIKDx9rw z?SvUR>^*(ws!`HK(XTSqHT>Z}Cq8bguFb1&g523+Qjn5XmJMQuTnG(Qw0&5;S*z90 zNF2BczYCdR=f+a6G*r3n4ROvrW1mVKWirL$3EcE_fF;yM3gvfjGRf+<> zVhZ`L6CYzzzgNFeH;;NxlRcB~gNc}8RpxznU4ORhPL#cm1Na(s&p^%zef?EApGR>C zx8-ae?RG~2Xe4VxlP^6!1?5IjtDFN-Zt!@hDn*Ni?rNia*~c2D?bDz3qC@8EZgj6@ zv3{p{Z;q-rz$EKBRt;CkhVpg2GlSVsxNlF_*i zSp4trHBL@77X8-jXx3$BU0O*ITwdpIMSBU)973hqsHmh|34go1l)iD3{&n$VIMEVX z^vj0c(_$PC4N%nnL=@CRHv+8Lvz-6BorN#@WmrANP1D~%1tePy8E(AA5$Nme`gp`U zA&dOd4kWm1rDL9L>2b;-dS?bgZHtW#tM)Qr#~X63se+0lvlg5uuB5Py8!&7BD1I6N z{OM@{@Msk7(mlyhJZ`0vGqz>0z#Au&(wT@pn5r3g&%X;mXNvs~qQbdf8dn!Tz*x(X{K=3_vxeqy8D7Q6wb5}Z{ z*R9oMlc)TA_QcB~akViOO=#J1))Dd$0+bc(`y>pSszn3yw;-YgopNTMH@wup)U@g@ z%M@?sw-EcvTMttagDZ>^i>Wwg(!G)?0m9ZyY`0RjW)zzKVE_p``bM&3WB6Af=33=w zRVd}$jE@dm7j9ci9!Ed_6o7`rKUT>ESTr+K zs;O<7yvsoVF*@vUrn1u3JILO|LXE(Nd@-QI7$yq~e?W1=Gm9Lwk~N&iIh+^6M3ar` zX9)X$s}DJNQp_yMms8V=kV_DMjgTysLtsHhmOBE=QE9XciKIf;#4_iDqSisGG-}3r zwI6DV2^!eJeY|o?*~ycuy^1#5Dnnj=a(PpydWL&~9xBO2nKy4enP*C}5!IlgPC)DLf*)BLki@ z+xiNrz9G||*%79fcTTRmm1G-(Ep)W}>8{FYp{0&d*L^;roQ?FwqHUsqcKKm#+1`v& zS)ht%UT&KSapA@MH_-01RoVBqmN0Xc@FA5I3IPzjwQ?9sPZbV}JY!2g;tm`Ib2S}X zOkET3b(0GNQ-D$rLhlU*a=uqPaZSvFL*4`sP4av^?+_J%FXd2|j7sllOF52QWO*kx zE`kn8wgapWYJ0FvJuA;kz^m%zX9qf_&dz5>{Lh3;p2F0~twS-hKnHf8SU6`12OXCC zi-@!EPYiG$;ppivee>00c3vG#ns#2b1*+30eB;g$8zb%A?|<0Gj6*3JxQiP{x@V#; z=NyQl?Io^}o9=6}psL!eeq-(*I1?PO6bEJ{;UsblV`}ee$ z1zB%sf!-x1hmV3H&E5MtKhjsWV6(LMFZN01TkTiw_ws_YzMVc#4Gg>3m8%r%g8}88 zeVHRvW5(L^ejm2K;)zoM$ducgUUa96ApJG%T$X0nu5p~V?Lh&h@=bPGo zA6PyZRvB_NXZ{Bw@Q!E9-TAvDdy0Gb_~qEDlT<}hb5pbBcgY?W;J+E6H)?2Jcg zy}YS(IDwb7LA_a&qLjb)>S~jbDx?MRa#AEeT;$WLhQ%9*5r5UAv1M+d3F>S~Gkp35 z2*sYQ+O~^a5l}~$2yF>ZU0zgOv_%c+*AHi%mHAr1R7-Bd6$BW2fuqOD6 z;FlNo1=C?a9Kp+)7wsN9!*;=z<-fyk$<3_Tr~iksw`^;3?Yf17TaiMGYmpW&?pBJsyL*GX zYm2)}vEuIT#odD>NP!~39fGW#b>Gi^_w(`n3%PR4bB-~Gw4Zs|T^RC#%dT~-ZqEr} zaE5Y7pw5Txeq+Pu={WF|z*RM=rr9Vm1z`CJy744e|K$GtbedI2!7~1k-y@}+0{tu^ zdBIrHkAdBZkI!uo$+W{uOoz7>qBGj1D*M1LFbw=LmFr=gbnEswWx^TsAwT0WA?Z0& zAV2lr7&|aus&yrCn4{GRa(X{CxlfdQ)v|3}DT!^7E`!ypr0&ez&X z(yax5Xc!D+22zH^#ToN+GLuPMVUDYQP6XKhl9Sd#J7~|yhO$>S;RM|?d-3jAmxYg7 zY*@t#aq7cjdhn<^PS0?Y)8EFIe*L_cI*cCnto39bVbQwJojcTKw)c+ZXPH4%fOb8c zx0Y@934M2VIOSEU`%+siaUp8!!@t5s_~hj6H!M{X4?qw!UZ$iP4MIs2Xpf)@2YdHQ z225Ll_*!=>@y37&(e@)mkPBqLXl%`%czgjZgSaA~bp0<*eRql!kqApbRcj9E(MdA= zYNc>(IB5`mn&7iM8cd{mQro4vD(gAey!JG7M7!P5S8kkDaoYCSv-uAo6q=(!F4?0# zqIp0{ED?b-afirH>w-4JdvJ|tyjST;=D>8c_E8B+p}0PAwXQ$WM&>{(gEJ{Z^|8XL z;r`D8s*sJH7h3`f1J9#3N<0~r1^VOf2nA?{I|L~rN$!?8@i%I?mhv~tG3Nw(pg-cR z!_^!j!=5X_SC^w-${8S%y!*L*n9utFhKJx-H>WS! zS{z4gtAA@@v_Z0$$bnd7erA$P6HC^WMmq2BKjpvPWAAn5gzSaFQ_NE`GY?0T0~Rkv z7P%QYX$IKdt%A&UXEuq=P0&Utg_ez-&(fophPm(;x+A}aJ#q$dpn-74%4B6*>8}1@ zXX7=Bi*g|QXCjT;1AK^Rj*&r|R(CqpL_3kdcH|PnULlwC=+_<2&!}G#RFAn9{9!H! znDk3XsC2GX?)Oyw_u><{R<*R9-|m$X3KGf4%A~*nhOb`l9`nb#ck$XG(~y*3H$hb? z&|MjGn==j9e*hd;Vku}`R|)4b*S-+*z^z0f2gfRjD#5Bs5<>lvp5fO8agJYeHV7m) zSLh?|f}3TRjQXW;tvnjsp_^+R5bL+oZwTh)&D*0j30S=c!Mnc9L|5k!_8Z+`2TG&x zcy*N9PK8~g1KBjg0P|AtDuu%2PfLzbB_DOa%-(_8SkX-*4@mTi6JHRS&?%olfv-Js zI3ka`7m6s*dZe2OyWql1>quuY&ErSGYbfvd9Fgn$sSXH#B&WQ!B*E3mc&s9>ap{&Y z48jN7>sZH~Sz?odZ=r+7enXbnJmhimyO{wsYK`FoJk6+`ir$|f`VW4A7-ZQ&pFiH_ zJVjL)Pa1A?DJNCN?cuNBJeS>OJw^GRkT=jXp1T=h`Os?K;n_NNKF}YpJcUS4BK3!# ze%EXw#ZtVJl}I7QL5wjJr*Tr}Aozf5nL6G?dG<_? zrnlP|n=72mwvSqtn%d!5yQn;lJ(x@*ZHRC!FR>J-{^gV|e=lCs9WJ1jiIy!9Q%2s8 z+!tb3IpmJmcJMnPIQV@nnta-^eRSh@(IZ(XGIdn4u=ey@LHX=dmDx5cWFqMYrs>iG zPtuyaa0;kebz`UWONg8z`S(NVPmbsnSZT^dFPQ%Tph8!)i1(J=M1G{)--y&-!-8%p z9`QehMIQR2=!ciYcm&ZPh7-+n8*Qjr0Iws8_^t`zX1OqlZgUZs!)jel|ik>cRJ+C%Ry517k@%gnP9`SeK} z8l+_-lZ>S1-8$>8+k-Br;Z&dDx%R6U)_>MQx&L(~g}WsFonOlTy<+@}e$$=p>~H{W z-4_+nFg5Q4rCBs_S;5LaUCx^Fow>F5#xJeyp7=2hNweh+#X;Z)hT zXb~Dg4gNHylppxJ_+&Nfs!ECSbxd9M6q)#QB|p6w3Mhj>h)CTL9!|g9XYz9wL>KLD zSz3xa_Ab=&l!7DE;@Q<{vB%TYY6u)^sIIZ}^Ef@ z40(Ba-wZU$vz>+FMWqvY%P~6=h}V5iMt|NBoPytj1wDba_j_kOr9%3q{1f&Drk;zY z0-fX?8^e(j%;5RwFc(1_`PITdw;a0>0B!W%pT>pOLQvj}S2v8$6l+fENV;?L*Z^^L zt9P`euq{s()TtJ*GUX5h`7gxqO*J$o!ok`77d1z@CWpjHqweO1Qn)eK5 z4XAS@3dT++?5PWnIw|zaoQj@SNxr924deKWF^I>|EyVG&nY{$gc7JWB5Ib>f=#Q+& zKpJulw9OI@mW|~4#0jzit>>~jd+u^orU$~~v+G9*53K>T``>qeqeZq{9J zJ&J%J;7bSFb`WNo8kww6Ob3L_Y|-}P4zbBn0Mr^$-59Bb=wm$>J`R(UEIF&nr;Jq4 zqZdjDmwrEDv^=6q&X}i5f!|(p+3?keIRmWV)gj|wyp@xa|6SBvwy~{zO%z*NZbBuq z$;D4;e9Dv)TB9x&J8w4Aq}p!!M~vztS8VCw2MRyRe*iLstzAHe`596>OivJ*oL#S^ zWu#y}ooIoQ2>mPo&dNx{S-A<2Xuss;81HOfS$F)P87Cexir!MNxckdUTI2^*kuVBB z`FsyCu!7n=Zc0A!@E$GVS;2X z%DnqV+GthL+F+T01rk*k4Og<(V7YDA&^?5>TMD3&Q1j=iTiipPAhoR|HK4tKQ%4qd zX@sD7R??r!o+aLw=-uatz^~6q95s%El#EeGC2CLpCZvs>)1VBDd#Z`TBW>Jf>U~|< zd6UVkp#NM&&$(we(`owVNZuD0t$@)1)Jb$R+AmdY45NbZ5hu2D&rJ5dL;Ao0O}ciz zN2lxr*kgt&`^bg`W!2OLQ~4?7sI<1;GnaQ^l}0NYuY{k6&WVOxXkS`-i0YgcV)OtSI<<9pB)dSF==hYUyfriDn4Q0Lfvi-eliv za6%Sct~;I9uauu^*yv_4`#3(0eECf7RaYfF%J%V7mgP;5m-Y)wNm_`kt<9B&i7|7q z0GWSGGc#7ffbr?Xr86+m%G_yj!}Z z&k?=puh!W`x591nM;dA|oD?m!7iv&l5?ybZ4_%uMj-3}O*$H$Fmp~lae}&NmHS{`- z*1HQ6qB9@_Su#)0A79<`<;&*d{EkYV>pk<4Z#-=D7AW%WUXy|&_?qJg%-qk4^26>9 z_6P;GJF1-k$gc9FhTb;~MoH+C`oe-M%enzVWMvEAM(rIr?zyO`l!Woiut{OwU#v$!ht z-^7i7+`i6U1&S

    Kn>ZWB^X?Q&15YQ?|5a9e&-Y!YnDDKj}q2Q3-yFQkJZxqnzNi z7Azy|582>wy)n}>nsOmUs=T49%GOILb9*F0P&JIPa}JBito{gLl{e2WNc} zri4~R*Eu0}Yz|)udJ!`2u$+i{mOuwDoO4E(nhuT@FZ$4yWYoC0uT|~Ses(o=FjK&J zbfBb5{K`YaPE_pQ9;$}=CFw%AStKh@^tB`>B`s0z=7or`9>>&cna4W}e4yge zh)_lH_jvvK{@}(qMuNnkzwa;c69V+yRXE~}K=CigJ*8TH?rI7E)>bVdA_+emU2FTs zNW(V01|?vaBceR~JIr|0d?tVrhq_9ZU}%uYpgKYPdK$ti9QQWiKaqHxTIJ?WtfstNg7nx)8G@o>4rJxqi2zy8`wFGSiw>HEM zs5VZtsWr;Js&(;E%NPK4%kih!ccZ^<#p&iu`Mf5~m^Gw?nah58NN`Ckh`ob1919l1 zBmV-^w=(6I=9KFKhoJSi1*T^SCs#Rl?3z(GxF}oHX%)o;Rb{_!RmlU8PNN=+ec3fL zZ{Eyo{gjutV_-TzajcZ33|UGP{i04mP=$xPHubjs8=TUlP}fnBf)-`J=@*Wt_?>td zpf$udM>7av$WuyI$8GLe6WdBi?lAL5Zz zU}uyu57v!4gR!c7rbcvc<@jtV{_@T^jBU_?J@-3sr)hQ>LgQ4qpb#7>%!*kDInXj) z1Nt~!qL&|Pl)Et<>hfd=Ox^Nf*Lwr1(v~KG4qA~G$lM>&@Y6obr;=q;7!1a8htZRa z@G!MX#lnl3=nOr-OCJjHpRs#j7jC~17bhAiR*pVUwclPRb$|0YP_}N+Y=pREbkS)G zNt1kKq9tu z{*K`td(S1 z0JY#MfR`@>v)6*GLMHOKz}_6S_TzWEE{ihvDSN=RD#PTP-ceW0lcN()iSfey90<*f zQ&9BmVUGgqV}|?ltVi0oRUS3-avN>AWp8Em4X`S6uQbbIn=%JY;{9)~UBP*>G`0DP zI`-2H{*9ph4hTwsg@KpqQGiq`1a6%s9n0F2Uz2$oMVT}xquNHDC|cyTJOkaD`JWN& zwqfwUpmXW}RNmA)FN#$cXu{gA6<-^}Qg#}ZM9UU?H;nv@8lkcQj@OvIZi1VhpNE

    L%O*wuNci|8=UzUW(> zsLhcQ5jzo+`;K?uowA`_;4wum^G8+B$~U@NI9O}q`m%QD31|fSlpKiJ$-?w_3|gYBvZzjC48H>g_gK@1ZTG{5eSld{K;vUHt=(B@5ueKOhV>DT+G7 zg9T~Um2+(KS+x-RVa8i~=-z87JT|{PWdR|yV}+1q`eiVkX`H0O%{PwaDFIui_U#t^E>_(ZuI8?1cN0$Kr3)=nh}MecPA3 zRL=Y;$6^eEhpulQn(0*GcbYWBJENuZPa0x2Y6#T$d3Npj<0UqSDdDYb8?<_vt-9lg zLT4ie#58In%ysYAT7LA+;7p7d*^gCQbmaV$ZlxT+{m|KPLAj-{QrimGw~xE3As=mt zSK#rSR``sVnrHXlLOv8I_p)ga6DL3PjG$O-+x+PIq0FYt#qRm3c$KF*PMjiXgpimH zrnEG^n4A=X95(+&JXpra*keO9_<^^bO4IJI*nq4%40Q$Tr_2%Uo{tgH8b_H+HNh-K zI0GMLW?T@Z>L0D{KmDT)4h<_E1xx1{9n8KSR;{mT{SNklq-?Q&b~?H!G-M;ghCcGQ zpWy+ia6=85+VX;w%ZaG8=BVl*;ZrPL0I4(1V_e~*iKBQCWC>HQF;!xIi)ejn@sOPi z%$ohcK{-~$1H|=l!H0yM(zASpw&8^EmXx|kgR{Ca&`Vz87J!)GSJ2Y;w#BFe@D%Oo zBs>=e!jFbpv(0;e_e3i2DsR25ajZS7H~e>R=!zQJ$#i+~Q$crRQZap`X(#`LKXkyf z+R-*x{f`Qskns7*dSR2r9!QpJU>UVro=nc-irlj$_kr%Bv#xRXA3zbg%(%=6v1R^T zn{KW!zvK_?>$v_AHm@T{z$y9T<&`o-kR&Cp;oOB!Jf$#f!(C}$h&0-O>!b23lOzE# zVY{|SsllH5AFWETL5v!;qtATJG0xPOxVxa6icmPlu(R(7wTYzR42&zo}e~A zno0WTvA{^fp4H`VxWT22C|W9CyiBwZbd#qTe7VORZuRe?W5yzlYgr$qBR@F6w7}Ia^NX{oXkXolVONT!#b#{{Tx}HsT zdhd)$;RPiVx4`ZdnX;TB_MotzIs~_$oJJ;DeazAaP=%mfEL?tW(gy_5mO5<7 zDjq;8qE_@Yts^DHpQ1;obQ|BWD!&n`+cl})oqA1cAKiGc!3($K(HnosRbS%QoB>IV zcd>1g{X^>#GV;?`3?jt2oBRC|IJPVQZZAeA`Z}Mjj}F(NH|*4AEKuX>?OC}V=t5V*kHSFZ z;maJ_X3;qDB~X--b<|@nwMzC+sPY?xpqdv=b*8-vE4=EsSng$>{Ft6|7)mBkpi`Qi{Nd2VGT zZYgdQ>HXzm0;wpE_Kq6ZXXKLl(-;)7Q?b?QQ2aUFehAx+@Mu|S78xiRArqO%ASysW zS}dZ}&tfnQFlK)?GhfzGDji8!Ad<}8#hM{!$--I`8$oIABh3D|xuOv9y_RP6VVhxQ zs>LM!yZf1^-41T?k7RP=L!Dud=1J~Ll|ywSHjsu6cLV7iWO~H1P7c;R7Rc2t|7S#$ zpSmg0-DpKzaMxQt2kn0`2`1Vi9wM{0LismQoYgG|=?z2S?f3%AM1CYU5VA}0watZ3 z*i0!rcr=aZiI$Y;UjYT_5`w1rn#pxz|J6IoF~)4P#QTrTb2dSte7elutZv4qT|&=V zkg|?cktzJIwpzS@Rqg9ePEh*~1CvC}6k=@ygiRVESRxb24Miyy^_DJ=*>UpcXU>y< z7-?r{7!BcpZJfqkOmwR!Y;?xn|0S(TR5C@xjeXY6*LSl!)K#h7mF&PFow|DOCzv-K z$4#-B9ABm_t(1BD4&|n&B(Gt-IgyE2dH7k?+tlD?rFC?lbrH%0PKy zkc`4W|0F%%O$KedY>8-qN~FE9mpNo;xNprfs)JkhmQq>r z0rAJZO3^2SWQ7`@jARxv>1jfb;!TT&wtiX(0-k>xc<@1tb=0iMuQ}-HWvDLw7p9334P} zd<<>AUR>g5mflSH_2+E2L|y|=&2l`(*o z|LEQn2iq9Ehkq_q2AOp#>tn?;+U}|)iw!we!oX;Fn@(l&dub@lfc$J%$H*>n%8e66 zhnt(92=PrKTC}}rnY0y1N9RElH}X>biFP9qeCF-hFaCB+l*z+l>rjVXzDcyA6b&P`@=W|^XM zN~x~2&yKFMQI5fnIji1<<$hF6>mcz~f z@+ZfmE*_rY{J5IwxTyK`qX7y~TBMZ^Jv-BYiyz<}50hpSBgCFsKd)I^CJ6FN{a5#* znj5=4{uw(YcC9$(IwgZO&7ZMAJ5=mAYAVk18{@hlxqeR^BQ{=j<=?3BD0V-LHdNja zVDmZrodyI~?nTaJIlH$)=sXaGm4hUPCYs8(mg>wZ5>Tq`=CaP38|p;(cvtjS1gku7 z5!EiaT$+eY;)7-hgd@;%|NelqY$zB-rz|a_(8D-A$$J}LW>8t) zSQ*LIvTiF(xb|0jbauFNR;tYE=ZSNuR;tSog6XB+zuED*Qrf8p9!~#nSl9gj7}oaM z3PnjP)3L-W5*{o;M}UhoiyE7owi_FSmdzrw8YER&9YavZk2*l}6)t9ub0<8;2guBZ zCLt&v?;RUOouFC-r+PU70BV?@Kqj{pZdH8w%+-nmTBbe)7IPU+G>I+HcqGZfkSERI z_Z!>}^**vPq7+k8mjh$9ybrda+~lIgGSr}frOkM%WsvaSzw7-5vAd^eBU-&hKaw(R z-N=b2T5NLCGixi4|7C&)x@o!|Dx5bz13+Pvrkoom#ze=bY9U4mbyl^a2Y1Y~RKUTE zGPpUA(CH|(ZFq9Fx8EjpEhnvRgo!%=)2KZUuhDS7XU@#HjBFlaBe^E^z_3Uc5v5$VbYtyF%nF?cunJXajcXLBYK^K%|0$T|e-? zV^LQmv=fs!KbcxhcCFPm8sKTkuZ(G$pAe0est%VK6(`F+(v`B2g*XA?wLW$+WN;>~ z34PZGz(j4+YFI0=p(TT$-4Q;o#j^})MI1)8d9{;`oMCr z`kX#{Xy3;>$;G9=Y*@X)1|AFP2~(j6o{SNqX`Y^;t^VHh_hS() z@NLo2fEtpMo1W&s2Wvfp#VW-b8^8*n8RvY=`dypsvehQATG&0Zw#?Dy7Qd?_BFQ9NvW! zkum$pQBpG#MGys(ODCHlQFm*uELwyBgPD5eTx7GK$nX;0hKoqlQ8z<_l8pn#bzj&8qT}SgX|qBkRu5(7&$*JG|%3+}-snRfd~7wwqbf z^DS_{I9!qFe$51erywIPO~%X6B%Wn~^pX~hVK)s^!rr5nTiRTm0Y)qK% z+IBT94J}QF&Ej9+)N#+En?CuON7O^*n%OYTr@5*HW7ePQf8yk4zye}A8_?VCs>=}K z?{DkyTLiUk=9gXdQfUsfMIbWC9SKtJKxC5oBc9;je&r+0NZyV=`42TjiU#n|+jCZ| zHn(>e+TQQ%-ufA&ff`2e?zy4+ugv~eA#n*&YHXuG%d2h6qB4t+NM8FCU;C)Z$Y?T5 zH(0ap|98!Ti<~gU7XOFo{U0w5PR;Y=f4GIdYnIn~RmMwbtFnsGctDW#F9oKaKdr)c z#YG;qX+IJcHmp7_`pLAyUH>E2?*&?X`FIT`Rh+f3Iw{&XT1-;!=eDN8e#fve%70y6 zT{KDa=llv_D?i>@>4ys79DZb&^@v4wyhQ5knui6cKq*+PjBo>jGXy=*;Z-};K}{UN z>Zlu4Vt34y7FEMNOAG%1iU;=N67}c`JK~LV_D)GKp9+dN+g?r%<>b+(y_Tn5sj6lmSfe*tr+ToH6K_P zo)qghaUJV#v+PD+i~;xm1=5lkLk+3^z0c#q@ADjwJ$e(YY@#udPV@#P(+zRnq(YiR z`SYS;?iFT8cb+Prjs`vS3v|;6d=2ah6;V(tzAsOOM=c^B(aX{Or*NLGw8opY*cwOuq{2z{{rriP z;#VM-(6e=2EZNVw@hyk3-=m?c(|*UJ9O}sI~X9GCER3&6yYj5{c}kJyW|%;Gtl^S%CB;k28Je19B5@ z7nL)a@@0V(H75!Su8sHSh~&xYavcNYGvs7%RTaHt6g}$^rnkszNS>PCRveZdsI{?t zf95F#4a0ZXiea#||Bc#>gQxd6>uUdWnI1@$$l~jb{Tttapk{l@W{0iKw-_|V56B^C zVPeQoscM)ex$D`P3%!w^uGdTQftq8Qu0mCiop5Li0iuA>;BAWc z-LdJQ1yGjH9Ew^fS)Isy^cq{T+{YSoRfpbiA&V`XPs7&xZ4PGviVUL(*wb`@Uk|?$ zNLkAf$6(}V#6f)n3n1L0q>rV%OFJ)hXf_L1dOBK($1K)kevk!HveK~oBq?Pv2McCa z_D~@t03*()=vzh)-*}5lKC~U|)#psMp?>-sd^^tJ$0>8~)z7{4!U0D!mUDEWs4^|~jzcl2>KDn}7 zz%#KgwhRWynNCyBTc+%s2adK z22Z6HKDUZHM1+-Lmwx~|%e7AHVO`vTTI*K9F1cW}N6q$QaVap4!cv%K`mvICT}yX( z2C`_x;sq>0S%8G?*4{@b7WzJ%r?#9s3Z-5qxpXELrhjL)2?f@V+k)sN(^0?T@dx)Q ztt@B2gV?4CmT{$gswyna8n?95$ALbP+Au$oC0M)yr-v0OZ{JSTqM$UNG7d||hIL*2 zmFCvsN4me}tF|4#DWwZaf2!Z@5yEjslFzh2oISdLRr;Qotj^pqDs^{?!d>dlw|H}-d z_L`TUy!$t4G(zXqDj%fE6kzh09;Ev(9grcNp)u7&uB9bt;MW=fCj^B`Z{HYdq!`Pw zn?fZ1kd>RgY30&wEb54uMq-+2NQviA&>y+5&-)VbDJ!X#wMSUwSqGlX>Csm?zjLb= zq#!V3FHjJ{H)UHAwRkNUPPG2IpnDLii-zeAPq^*px6y8=7@exj+^unc_S!K&4Ui7t zqnbt`54DN#t??G~QT@E)aIj7V}!DRZGawXsJ(FwN?ESG*XK>?=GNtXtE^=T2P$3XqCL*F{X_b}*fqgZ52 z@q0UPeR@#x!f54T!0{|5_5jx(+P$_cu-;s5b4@rTstVOg2FtCY$7_hOa16cuT^G6v z;rTKF%(ci~{DqZMJ#7^a6kkf)d@;5K$E!qND@qeWrv2)aV4WhFuwy1eD!#c_Md8uO zTdJHzOUpH_KYf|{rrvo2M2L_Kkz4SfIQ5WQHPePnG-UiX`^eJUOXo9HGW6i1VOu7}qxt}p^Na;$x=$EA?tIw$?ASch$ z^SDW!`mvP3bFE2tsdy5Sr9c6-E8IPaE2tzZ!w!4u_p4y$DBBOXq(78$;kDJy_kcIv zaT?=MBNA0LLJgWDs!|u5J>Bbyb+;1`37%XpPyNR-M=r>QEGh0gghdv1yT%3GF(K(t zt(>DRJhvy=&K?S<17gJEKBAc}EXjy|>usj}@W%EJ6`0cw#|mk7s;3P&|b~-q{A0 zqq9doGvoM_xePW6%#HBeAoUx~MpHv6ttVVjpD@ZsA8$!fDoEJRUhle~w3 zA$HWC0ldoPtWET5&7Nhd+c-`8Wvw7R0p4c)3sQ2S$BLYvoOXrVXag`&qz=U~G`w4P ztjm<&0X!8OJVR}?@HpP=xHlt}B}!vH<93`Vp*)NBo=n$+Tefdl@4o4uL1WH%R}z7& z(Oa|FjN&*PwH0G32#fOeKV7G?bLH0OZ}z4lwM(paz@Exd)?i{K%cT0e4>Wc}G6Q1N z)WJ%LgytW_Goz7fFGgF*>1d+G=j)s)@io~dDwC0lJ0RSyX?h+8LY8kQRT#q=YMYH2 z*u!s6Qc*RrW*y`NQ-4hHz=|H*vm`qPZhPy+{k{Xj;*Y^`hrQ))`d{zp`2kW7ujr|f zX)-b(+oeuNELg~l2hJ!l1q4D{(LRS_L{Um)uMOG1cov$Pmz#sU>|FR(pl#!kov9;;NaT~PXkEZP9xiQjiD%Cx?i>xU<( zLL(4il^xR`&i5h0T7iWi90uCP;kbr4n!>OnN0GD>h_sC%*opOp>e>NGywdt6y78L_ z%|J_g7yw7cgQ_NFA%PjxJEa)X+{!1I?2{P6(%3zzFR80XnWFM`gGw-`va(E#jfW4| zS6TVFc_q;^o$9NkN_c0L7Obu$ko5~t#Eb92-G7vsF>O!x&C7?dy9tOmdVqSH7(>69 zcV)BYoh}~^w8&%5W7-s=Ded7J;U`xeGOh_uFm+~Rys5i%eu2MEUXfI3o<5&#xps&M zURk}zF4x7FPC55fRWj9gU#NIlaumkvkEQtc7w9;e(D}WMBr7b)`mq#4`vZ@as}WUj0233B(js>Q@sp3?dmp{?2lU|8s%XgVSf`Rj^cC8{XSK1|_ySs_ zue(GrES<_L$#8flHeVTs6<(Z*ld#}0(mOSkfo5qSh|^!hMaG9FI91Kx*wvdW({QOs z`>~Czt53^+sh!v*yQaCPruuoNaG^7YgR}bf^6bw%O4Dz!?p6~QS7+kP3=JF%ED=rw zvM~wk^k($1jt`o50Sydtm;3Vm-*eD*y=wBW%Iufy^RT2T+=PtWEco9Y{C}PUxI*^U zfGx6-lS~pR5^`V*ivO!MJ^>|O_Pw)6P)H&F9ay6+Syg)yU?c}I*um?}FuuJI!L0D< z*}*csDx4IE!owi-b?;)n9HB#$x?iy#*2}!N2&kxic_(-E!{k(;b>aB(5U1Q(g&qmF zbjw7_lQo>Jo=E096wyemW@8a%HZ)!UTMh*!a(~+UIf~Ow$U|-1lZHODb)>eaKkrXj zqU>f!$_v(usK1l;rr6zYc&#)0%lmZgN>*=BL1am6z-*Q)~&lFM?!YS zfB4|RDgS?!Wf}@(ZWPCH!*$N~N58nLi{2Kk2kev1Ln=3B@z_)hLaTh3#h)-Se8XC`IktN7)wXblWr=K*v*0vT4pEMxM5^QA?+_3HtkC87 zr871PHGOa!dpmM*7_m1ukwqvZUuP+c%woGb(&EE-jZjO8aKT*M@!Gtj6r05f8d#5J zi%y!bC*+iK1p~9iW6yrv&U)dI=W#+*$atN2<#3z&2YE1=mt}16w^8LymOr0V(1t&W zEb3H$k^3UYh@-5)k;zhzj}a%Vp_MwRkWIS1kHIPohs{=eqMdAXNNjWcWPYWi4#qXh zPHxq#1eUM%@L2lM&_no{Lz-6LDHZ(>_*mXat#F7U9;ndj$bnzx=;2{>m@Y6I_nY>I za;f|N-a*m~7TZ*Um&*}>dYCDOKe$mUn28>!U+`tC$>vAVDR@MtlQ>KAWR0i&mOElZ$ zXn%kD*04FCtrqx0zpj__Niy5Q53;xE10 zv38$0YALf=+%Q#);?_b}Wm^M`%0g@R)S^!~ddEt_oT-OWS*RqLGB%8cdUh>-D%VhA zB*n^9BNdu3DkjAyLE}~e&peTKV0jNftZ_Svjkj3#ne#Rl8WDG4k!)=51oeMQr0g}r zGwgDILL!vJHg#C>sU@h77yGnJN(*z;G8^CE%iy&bF}?fPbojE;egXn zUEfi=zGJvZit}X%sto+>V2`J~<`(-zt4v@;wM>H5OIlZH!48T%GSmrDnT|)(@z~Ic zZ5^}&%HdvUZrn!Q*|c_^JG<4a>+Lnccv8En?Q6g}^-t*z9?c#b`vcEsg*x%`Jv_^e zUOctgA~rg(v1hj8XU`n+jjl*byUR42G7n}qLDfFD7z#UF_it!c(;gE)eTz1XPOCeD z3ELHG6QIXlH_>Yv!zc+fXD>VXkst-VRAWw|@B@{xu|N%gTi&d*w{s-YVU76(&f;V& zw|AO;C6%#+-x);q)u~Z$8B4eSz=74gCkD%7Mk+`wA)kNZSQt# zDl*4;%`{ZC(owAGzR9n}by+(*Rsw2oXuf2_*Yqt#y83MNLxKF!+1bT8I1rOL!}?o- z=khuG=q>X)@gKUb{z|1m5B`kRd$e<#<^+U(?X$YYBQV8H_8hvBH&v5jP|+)@?ay{d3I zr{X2=QW$R@Mb#wV#l}wdPh}dP9;@Dnl7f}Q++d^EZ{R!=Q-H-|I2`h%9>U+aSo_va;4O}gm``DZcq>MZYs+PU%XDXPsfCQ zZiEhjucAhfVRNO;&kzee@ocWbV5uI=7G_~EIVgvjuTuB%4r|@U2ZseMGtI5|);N78 zq49#m0)g;zoE78W#6OjU{5>YgMQ{TMH*jI1I-3)C%joUr_mB`>m1)Ob=fkC!2*}fR zT0U2M?9i@%8-L#AUZtn#;S=929FZOuOFHHKLlkKQIsSV%`EF?ciVoKC?wXf;bzA6z zAz;9kJj6d$de@3x;;pRSl1x>`wd4@G7X~DWa*K8w^xVENwtku5 zknon7L<@;EG<;}9>4C3rOV=+OFewUUMUH{v4LaF(654AOrd}$|npM7yqYwjQ=H2wN z*!j1A_{vs?oX2KkofAso`LkLcg4JaUqhyBEk!<);fg%FDJ$HX#@n(1J`6a(9qLvt& z*s{ObEF?=T0pe_oY>F?|h`9SdMy1BPn8uv?{*cL-8(MbK#OX7)Pj6V7kA{+B-Msm@ zzJyVP+Eqz>`k9O-|0lT_ua~BSag0;dvX8AhU-Nycuw(J0b0a5lzm#M6EGMqJwCyt4 znanc&zz2Ig?gC(mhSU38-ZCvm9-0K72&9{A&AgfX46B5(nyyu|kfCp1X8NHzTT8?& zHObp@erCKn>J`>eDD}`izZ~jeFOuE^}&%y zV!mx5)Jevw#W)tz*IO)Di5744X-%n$3rT5w|HGr>?3L9~6p_}&$ zsV)kUvd+)lVr;FcTts9Xg_%2Y`RWqXX(eXs918a zY^jS)W}py$=hjYE!FTyp z#BH#fKHv^osQF9?G@!7W{<>YrF6z>dYZyS#GjS&d!pd66ja=k;eT1o9s$H7DEZ#La z@4vulvFMLKjI2iRhrjlREO+aFpG6 zXW)WS1uGo-WtsMohevU8Q@v*s+1(#bvGd`rq_qe{K%FW2zJj-H&$1UjhG9r`C)1Lz zVuO_T4n}CA?4-=v-qOk$Abutv!zM`rU^d`m&En|Clk54UsuY(7ul~Ieb0&Q|Kt)$K zJzN~F@5TRWN)|nQ=ydBlqO`gltBy|F`ZuW9NGU*pp?l1sc#{0}^eT1B`$AeA01q3_ z@Uw`F;YN9Az4Q&Il#J8t0*>y#ZLVTQs$A20@eB#OS@mGqozZ^$EA(z^pf|jE%~2K| zZXAN{-v%9A{DobbUY=S1kdk$d*yz0<_PIogc+X$N|psZQ38aPYrsZGz=5UY ztQ2bGcpSF3Hcv!fkQ1`obC3b1=m1+GCOu*io^u9JGyfICd;d4bTMHBcdB@D@5#GTy z?%gbkoB4M+CLL$Ux!%$~XvOH4t2--=MMyQ1;c>R(X<8accD6tvK;@bN^EeT{m@MzW z)rF=YgH{CJM*^1s7P3qg2lMw+kJ&~j1GOL_Mi*H{MDCq8dNSCX-3*%+$0O`z3oV?- zEOat<%(FO1QyCb{^S!;6pKnI&vv6{Ca`S#|dmzd?D(ox=3jVn{nIxlFo_WQ^Br+Er z&G;HWwq%(zzW80J$w=$FIQ+kM^=Pp##@9yvt3|`3LuvDmfr7!ud=wkfE&cShx9!d$QHU!Th(OKLzi+!~ zTHaB2B>t<|lz+P+{5@D6U%i-~<`c$_y86(McY9wfQ?E?)D@q~zF^2ntmJW0zRLGz0 z;AB+mKqwW?x@Apm!y>0EyTe1j0E}k<@+FRf;&$68R=UpF&3*4)5j2xid&sjk0;``` z`w7ZW-k zKl1gGfxg>se2)83@D}@&@yeIt&d5T4{?2Q%ln0_-B&n3NbljD7TkA_P!x!!LcP(upVo5?l*c8M)~0#7Zxpf2(OUa8@DodkET*6{AU=1 zbvfW38*iS@5e!@z73DU>`#jI7KswHH=DEJj)G^c40IOWW+aiC=<+@)g$Ado@J93?t zp42SH$(B6s*sz3^ z$srIZh&fWb3ie|~Pa)HFD34}XVt{$;)ZPURHCFMP0yy7fEsTWKPOxKBo*1#ra&Fr+ zhYV@Ixek^Ks_-rW@zK0;D*Ak@xe2PI(C)2?=VE$OQ@eQ{yex>9D$DS6(x@IA0*D9q zfI9;o*()a!3Wx`Ik?JThuX8MpDpI@kC4>EDlF>NB|HL^&oUHhIUI@SOS3R!ZL5M`F zykvK4KhQGw;=kAgW7Y^lK@o50tn?Lpkj!D2wzonjRh;R6pa;Ya!O55vD`#UiDukNb zQ{nB1Nb%ci2j4(#yqzaL#Jg6`EI|jr?O)0i34H;i3w(0VewqVP?r6?EE&)8_uh?RxrJKlL{vKmJm#eg2kh(dyH#_(?;LYH;|>;FwfyZR zGLktZfqE`yJY3x;E8cB#$o~X;TNPg^kfXteOmZPi8IcWzpax$(K0Js+>-ss#_8}G%vxuOpxD<&HMbU{AR*MTG*?u_;>P0``_fR_5FXhp+wgDck(Vs zZxH>rH;`56)Rpn*&^clTXg@kDFdJ3=#o)n!I>bL;kC!AOB|T@K^`bg7en8>yogNQr z&3C52)25I9y?{%D&^XQJpJ~b1QAzJU4~XJOSuR0}Cf2?>u10s_xZ=_H(5V2LgD8f@D!rW9osRhAJ$RIplaaNHga|lR&c9(ruyaKxHTv z?K{{oEPFYh>1b7S`~qrfK_)9=wV07N9S;w5VVe1<4Co@a@3PG4hEXwHf7f}@+CN6g zPF{!X@e9d9#(p+Nc7>2g(?%k&^Eanx6y%!f zp43(`Z_0->PY6=7&n`|K2rm7WZq-&EYJ4_YpOyn<4*Tc7%F+RW4eaSQFSZ;xWQo9w z<>d!`(+RwDu>!krwVJ(sW6m2@7l#&P7~zCGaGx^EN2DXVr{hbDOLXv+KH2$Ym#xTV zBE=(5I>g%+oV8WqW7*J5{gIxcU+$7wXb2(ElhxJ7tCzdmMv`6J{m@Q*u$x9_cG59{ z@?Nks#D-eHZL@;2@6U856?7*DF)@`aMd-fJOk8=~oWraq{+_cv@vX$0T|z}-t@7~q zs?^I!0{%F&W*yc zEbAQF@|V^A-LY?JB?s!3M@Ng?4UxA8pz{8Ow5b68qS7i)d`n?wWaNze+nQ}@6kfy# z>^9ct(|5U0e(ksAlpE>O)DF?HtW_(Qq<9RLIo0x&SO9(1=wJxZhn-4?j6_$eYi6c$ z`h5KzW5cSnarH9!rZ8ZA%&}z9Z6&(uhVyCzTD|8~go~lvcS_rJ8JOY?Xg1l~{VDLc zbiz)=EI3*lbt>opYIa3y8(Zi(*?RH=BABe7aVY%ZUBr3J+Q(2goaX>Fs2cLNg+$X; zHO1BNWleAV5t zDrWnAYPE;xd_yOTDhP1s!9rvS*MSe~mC4dG37_hao525SIQPi}{`!Ntk7#xCi44WM zdEUsa?u_FT8|28n^l30lyfqV*y-F%XwMSyE5V|sfFqaFE0U~Fiz%H z0d6jV4$C)sTDv-0evH8@u4y*;)H%Wn9fj=@$jZ2zfZ}rv;grX42FOC>KKa*T?N|%g zyHR-lMUL&*yqV6^l~{{UcT)$duhOzX^cpr)t!*jdH~_O&GUSbzDKObrC(4tjyD|YA&)G^C12vGfpx>)+t0=%Ej8bJ zFKv2Jay~b@R&&A`G-0KCg!Li3y~zN!kWVRTJ71Id0t;>=<0SiT!`$fdS?Wknj+klR07;jm9&m?YV z`}M9SQyse&AL4Bm_)K4AP34KIjL??_ou6KfmU!nfaGug?^X~ani7$~S`I!rtXZ-&) zkzl6|-4_|m|EG!kPd;jWH-8cGtHAU6^$z;KFV8NztdX|)!H-}`*rtvmtt%dN>UY~! z(g>Z6JZSGbR7r-z>50qvOp+~}G7~d%;N00tG!MEQqL$jhWA(fo9Z0`jQ!=W93LCPH z^|PeszUE{6h>#Grb<z722 z^p%Ds7c+{!ziJtK+l52o80cV*{Z<_M){VQX%H_POm^n+gD)J^we?9cTUMc$yg*T(r z6TmT#Z=pTRu8vy$i}4>><&fVa<-3;|6@~oQ`Gn4 z)gp&S9femzLY`d(o}STIxCnMYH2ll=vIhcy8Nbbx6LDM8-L1yG_;~4KZDfW2_DLLW zZb3-nZZlO2wr0_UqPMU)P-jgJ+)5`nDU*31od{G{!UvD!Sdq-!$GIug)1;qu&JJmv zBv~N+;=5l^ z@7Ga2n61^Wj&U-D@C)Q%58r7BE;2~}sP}NT32E#Ur3bdb(*0ZnJ%k}T{Yl9>t@tHX z0JWQ^YtP9ME*b}tEj`Jkp5LEIcxqT4Oo~C`6pr<+hlCH*U7~SNjXyJg1Otj$)6boL zODbqhVRBacm|KeQ9?yM^`RlCb=G|cNsiBz0dk%wkLbzMhvZ+-{Ql<_(Rk9Y;Ar5yL zsKV||NOiF)9|IwTmlR2)yZ{q^$_eF(W0$zzda_Du+jU{*1OLQ$&rY7S3b=%5@McdY zPUGMqBd=52Br~BFYDdZzO;p$iiL%~loDaF&gWL0#TIWbCut&5z494KCxd}aAE@1oa zy5JdvYOz52m}blga0SvQC0F-=XAg$5va-P!9!xJk_uzqdBM&p6Zhw4^zL=^RAXBuf zPD@wUcoFtKTrYZ&uw7rCqb1-=#?TmyoM@@XyQbsA06UFr5yOiQkw~qJJsft)Wj3zf zwJiE9zJg;=wXt>U1lCsu^2zstX(?Nj_D=Qv71GdY4vy1iie{1Aehj%p^Ye!oSbry3 zbYbSIwAU(Q`(~q(3-k-5Bp0;YtW`-=E9#zt=mPNrU80fI1_GV9QG@~#&K-<$ZCxIa zq%X9BN z`-bot)=16Uur%l~oi-D)X%7nar#P@S)K~88mC77Is>|(jxl!e6yoJ6W`H`{)+kf3i zg^PiMmkyA6$4hwh+Z}&(^eclD?0Ts^%HMvB5Fg&anz*aR1Qq6J8(KbpaV>nEsFj}A z&_pQf?5(nu&GKQf1;sLBCw>R87}$o9G_G~0rQ>WCZF1ZZYfaR-3z4jd+-8jP{*`bWJ z?6?3bWEf$broTktBC#2pq+qgOrN&{?#fwr^%TOG=0Ju($qYjueGE&?6Z#Whx_U zsDhvF2v#CCJG)!&ojtrT5*Z&a=S2&d9_!0E)03*>oM5?@m`_`8$_tTOOT@tfI5&8dO^8+}Gb&oJ|L$pw(r`X!&W{mh+aj zGJ@pSV4*igF5^?h!}H+z=`MUe*eDt438wtuu;#0tu*~2`lDBuK_U|1EJey4hCpktsO1(9K=3YBvBGuQ4ID>jQCxS5x^WpAh#&9D6- zDNR!05~{&bj~*kPgVmA;A*uNUX-C7zXM@onZCPgt%Y(|X&@DGH>Qv!lq6JEiiof(( zeEp&PV-Q1tJoI>(eB{I?WaEzxbG4=Av_OulwwRV9V_Tul%SLOBgs4S|%Ua~Gals0> zj(&m5aFBU|_hoW)>>Op_kfg!#rm*+-jGxS~o+k&p6;2r?qr!ro?XQR<;vBTKDsUEpj9UNXUCXBi~s`gp?h*H}fPMYbR%Fa87o` zGnN0g%eD63EzJ!X>F9w_jT?%)>H$qL%}W@@#%MMylj}57p;=y`Dj1&9{FP&?(`C2X z%hG|(>{;0U$8X~q4%(elGmT*K^)hm{TH=$uuQ94&i6S{RMFH92Ysi@<{BsTDSXzCpw6v!9%&|g%MFB=yu z_x5arBH8tQh|iuO2Qe3&@Cd`qLVdDVoFJN4w3U+EN|t^$Wr|6`CZlP8X{R1Hu1&%O zh0gx6X0F_j&mr%tkL41rd|=Spk%k|K+)dy4avnlV*}>ULT^YMM9CX;a_2H;(ZrKYU zn|wm@Uz^7m^8rjo`{uv}{iH?aWp28&Pl@s73u~F~qj`O&w}_^tkF3>+s%1;ozwgzpn; z*%`1eWmmt=zd}T6cp?nf&uvAt$hjE6vW7t{Sp2)YMdYaz3zXKW&XQ>u!_<@DV z9`D*YT|a;BACl$mZ5Nb!OV9>>92%q2NCTLzch-l+JxPs^8%(()&N;^r$|iZ0MWwxt?VS)}gzzf6NSaa3si(wJaZ()mztTpu zxZvFB4=P3_4-^WlM7se_@gqp2UD_P#YoH+!y4K#~3K^inZehpaz=}`6urO}-mdMpl zG|GA9-<-gue=;clQ_ov3OW&CgKiGW%(*^&poN(FrPW*4zc<4HwfOL`VOp0>TncRg9 zQx{E;%wcVJM<=yH>4QPKx#D!=R1FmAI!;w`veizydGFx%I^b7!VM4v~jv6MUXwy zSS)dA9f7xICKvH|Z2`Bx0K|u3?;8YR!hBH~yYx;G$PCTC@Ek%LvIDu= zIQzS3a9DBAu8zbBeBri=m<~uv%yV~Xqfb|Z`TaFT!iiLZM;JqHMsv``t^%OS+-yzw z%w!2CRq}m~l<(dVG&DYtPaWyIdxSab7IdEx5TjBIkW!G2gqh@18L(siDAiom*Wl8< zl%o3=4_%uqWcXTYs;q*S zy+vecy#Ivai;0=O`mhD5&h&)`*LMBw8`pX^nVF9Pd3wtS4aZQ38q^?o^Fp~`OmrM! z!dy%3q~+#j#ow@xjhvM>QJp}tSzkE1z?Tqp_hzq(Yv z3Prhm8WcIgU=Y>qh?f{oxtnIm^llZU24?{pnx?kK1X>p}T!I#jFQJP}TGp_{1w3m| z_VWn{K4!Ixb;-Mph3n)zDM*Tm|8hU zh0!A_rt5R=l(snA{hpOe-pjY<#LS^CnSzW_<7-d8P$8^`T}E@cKR?xTNy-T>i;j-E zP)x$CU3`r`>EO5EBJ*(57STQ90&7);0y++IMxI$->)2|eeY{XV+wQeksRZ6_Rjw!x zpQaB75}|p2C6>pUcX?%T#X>vB1sJ$2F!?s|ww<>x%GbxZQ^NDUyXLN@^)o9c&#o=7 zl(n6|vS`CKCbbYTy1whZbdHfn8)_T73&}CN2{R7ywyjzJgtA~NpI&&Fj6`LOX=Lwg zUhqJ((Ro^MAl8T9pcBZpAVff)WU<^XxnC0>&F$`XS{n*rRMdtmfX+jPsyz(AiiHb= zrhZ7j>;$K}c)4mfAZPN?>@2^QvwC~U&gsYq#7LTi zsIV7hKn;27H{R@Xj>8Vp;-pZPHnM zthZpL5FYp@r{NO3tej3)H@}q$`UG?jmVUXXys^K9pcvvuAhZ9v@i|Bh((X!h=-Sk}egq$RZhtD9OE z&PToMieHB1!Z<|ro;lDM=Hr8SOfqhRXB4)vD(S^q}3JQ--^-fP1rHvDfAo@ z!mF6@c*+c;jQ%wn7M(eF=Ej=K`aF467Rg-U+ZF!YIocsBZu80%D!4&fes%`#q3Nu> zP*DYK?Uqmk0YxOjV@|D_PuT4fZicTvGEr#G3{L;-@^{HhM6*uV#wQw|67#2bd?Vr0 z@Q5_F)TOR+wnu)Ac`=t8I%#ZejC-ZL&+^d7)^bu%ae!9{BkG5(S{T&vSrN{1C9V#Mt;8>s)CE7MN#N?ObraIIkUI~bROnfzM_klkVBM#Z?Jd;=TwTHd>Is;tOs)Ep4yXux9VVjNB zqP1=g+c-70f#v3bFY8OBx(+9|%mB@L#$b6%JM@>{wpI-}me`8y|So=4*XS<|nAZ zy$8fBZCq_asDxA;N5Mccd4C!`+ImA~|5P%V@0DxO-Ggp$0a(Xkavs$JFK2TXb~=9f z>XD|EQ2uh$tXK1&X6V;kmvwk%dOb8*f#gCdOCGVGO>m}hLA6AuKrCMGP@2ig*Z>uk zs$vA-NI6i>Ih!Zjd|@(gRdm{+@&TcsC-uglBV!Q|2|NQ4UDH=VeJeVvdZ6etPGTqE zMvgyBM}rD<)sIi%paOKdglA_)2gm-78xr|zI4QEXF8UxE6msTNT3WZ=iv*>DI&ITa z%s3b=U-SfJ^B88;xo(Y-rS)cQhI;!fET6X@hLs~OILFONd(a717FH&;^8&2bNZu5hCL@KzG$>7vP*&U@q|;&d{7X&i zMj1zQuO3|4`H6zcAp7=NxmejHD6={jx{#afUm3u|LcE2?!2q1;_dBRm;m-)hYABq#SQ{itE;%)^yqj{^$8!fO6j&a%YknE5s+qYuZRm@%R z`R}u)$iz@WfnGRD{1Lz3yqB)B4~Pf)uUa$9UyQ`_6}6#xq05aynaWHRl=79+9z`cD z{C`_SJF3~djvTVE$8-*pTI6Vuw6|(+nJ~>56v_%;lH64SLz&USc=~x}&kW96_MxcK zM3(O>eiLWA65N?m5!Ph>iD0>NRCe0L0U1dW2C7M2a+6@YGs9Spfl<;`J&!pOP4XTT^qNC%S||5n1WCV_&F4JcR%Cwq zWWkby|&+KG|&&UN@o^Lv;QiNF{;n=Ez=}7B?IEs!P!Z~8GBymRG>S3fgxb;V$ z-gI5cq3#D9Rd9y}wEUjS&v-OXDZlk?perU7Sf(IbTUvx$Y|yc2QA_ zEqKZIbkapkgTwGdWYOTzVs|<4>&!kRf*dJ9KBKYZH;pK(rm)W*E^^pjRK|C1H1I4i zHBPilr9^V9iDxKoVUp((6tuicF~`MJ47512{s*chlMS=k@rQ2YgUr((S1p|<3(CWS zp(Ot2o?jKiqhC2XNsqN|ce4y&IA!v&iBi9{l$Uslrh_U^?zP_a4v|IeE2?a>AZ+UL zN8hOlgmb?_>B>WtnVy%vIj72dkb`OHapT6KuOe&E;~x^}6bcuo1pqwwA9-?GCj*UMBhn33 zR&2_4#Y_Ci|w zJl^c*TraR}TG)Di1MLF=4y64TYIquJsH-zA$KM1vljf~2EOOlx84LfgvS8_%4h`@s zEQ?U-AUkywoWRjS!Bl7M5)F!nrm%{{=T-!+oWLr%9_pRhI4v&;BZkCQMXDB4HH0)y z2sWJGe0q&twl*z__-!qO41^d@hWsK}-2WQpJKV2I!1ue|s5?j*_-oBn_i@S;#3-G- z>LL<^3TsJVu*)IJt2}xlffV4_$GjsLO5Fg@(T*!S(MDlERTo8Twm_3dFkU$uL0SV~ zaA@aXEbDyyimsx&LfDgFi408`tWq{>^Qoqu&y{Gr@~)g1zOdho*Fz}%K_!Gs{r7U( zJGmI*BH2g4&6%&Xhr;59mSrK9ss3a3F8(qQN_uL#@Z^LxG=SuzboP_RUt&9ounCI{ ziAG|dnY8qk!K=jb9Y4qmG2mJplovH6@IagUK#_DFdZ@uf07WDUDpy3lEWmx#QmSp5 zQBrPP4GI4xZ0-F9Y4vOGB3lwUFxa-G%|A{za_oaMBQBfgN?qv{370<2`8hBOw`(vy zdtP5zr#xG17(T=snH!v!+dFnYl#!<0{GE`=UD5w~Myl{mVkIOWPL~5A==->dv3;JH zA{D=er&dwz9`kuN(gk#Vt6zRD%61Cm^Li=wJdW)dVys48F+Vumc0u@g(4s5dCGm#U zh%#6VE5;^tp?y8bJ*K^3jd!l6_bK9O_NlOy-`ak0b#d|Nf$}6pZ0Un3SMMJvHICGv zcfVaQobti{73e$MUZ_55-b(40{PHl*Fh}E@1tEriw%W!f<@)X$i8flsw0BK)X0oX3 z&;{N2M+YbKBBu4sL?9MbgT!5SS#CcH#ARDG&Sd}O#_+1a+c@80ZWe84qLzDqmD%Dq;1Kt z{v5H>R>S{hs5Th=L!(%}ASBZ(r@uf{S6BIMBuVdJY4dPQoFv z>_^JM7ja?~CI+ZjSknjuiDlTqHYB(8DAi4R5m0S3$hI(veVB@-$~E2bIzw4tOYN}! z4SQ?xj*NF967zjm>hp1R&B|FaHxSLCG8v0Rlq82;9JZVBVF7e0Ai%oiu-B8{%>*q< z6SzWZMwtB|cvW0tz-EcTMqlu({JRTN3;>)Nuhh*5d0JxcO3|CtNtX~dh+0el$!>Bm$=#{Q)3zbPQOC~E9 zWEOQy)lO-nY5K-ARBrm{0z5}*c7W5GQ-{gb+O>RBO)+hqo-SOBvP_C4nOylO4@4rY z-DGS|J~g;|;8-*^(E)P?7B&n_hm@PV&_Q(1irv1*~%|ALb99 zjGRmLffW-*y|tSsw1T=o)ld8}b-27piG>fGM6>75r=VdbcCK%;6%H8VNc+Bn5EgY9 zve*?G_dN@T+8q4bDo#F5oiz3I$IJ63Qg%eaI7x|zXbxQ+ly5EhV&K?mNUa5{16)n0 zKl0<#_n&p{5;H^`hOm1?V2ShEJN@E%M}|shY)SZ4h-S- zh1)*HQcPprI&v~k4fvRwQPUTo(|1#-W=RsOUTX+DBtVM_Q4X{piZL{6CUh@8LIa43 zDtyv?WO)X<-Eg;SJ)?C_6$ff~F79Rwra%jPA=k|#Wz~dBod0Vn9K^tYal0$z=PF>S%l9{Hl++8i>MQ&Ga6x?CvIp6vP#-1p*2M&up2tA3IH~L&RHiPvh za>;<31z7yQK!zoKCqspo-!!!(4Inyl{w^-?4#AMf^U%Mt6Nc2x{M+O&p$e@}LOlZR<3C69^ssEVHmCpjR z_(^ko5kE46`HWrnr(Zw7;mRoq37zDX)$E8C6g1w8y*;(*p#fxgI^ZHD^fjP}DnISl zR4Oq2ik9{w?_~)uu?=%5<$(%{=7ZweT3y;ZmNT1cmm`4)O;P{lCr$p_Z)zl~;WIUO zf&S{qXWBR<$P7^P36u?HA|a!n*^IWcPHvf88bq5?oI)a^J}pw#?2=i7v(J{OYmhOn zRSz-(peV3)7tSC;6g*oI55QbpoiGb!(ytWHL=6P+N%eCZsb~BC-Uu(g&Y?L@i7hz5?^o*92rd}!P z1@0P9=x;r8x}n|F7-hJ`aOJiNe2<)bCiN%YRa$AobM`vc*G5#tAd?2 zWM6DCA*{}bV>O|~|Ec?j4_C)P$fclgJK5kPVKRY~QL*g`GcG$Q?=+ znbn|GiXlXvUdd3DwmXi3FkYJ%>B^YW`zAd>({7kW93{yX#2(5*m#U0$#HQt{(E^bI zt8d@O*p#67LkY`oe>g+~R(5#uJgbWyZ3}xQ{`JaW6=-~ts{Ko@{1!2|%50n8FuHX= z#i;1S&OjiFZwmMb;Zb-srq3%1& z-hB9q;7|`e?P&4RU{jQcOw#C1=Co&}Mp`iZptJohp*LCYdmLY+>l2Ds*c><;kxQ5t z3QnO-H}!zy4!>V+=lpIj$H2Eb14n$=VT-~S6(+mRCbHzfXAW`W9B^guP8 zE~~N|3JN{sH(j>9TmsGjU4uM6Ydtu~(&qx0@hCDul@us}Dy@1-?56sjh;X^8huRkV zH&+b*#Lx)jsH03CAKN&$_5)OwNQH-JJLD*VjQQ9_{%WyIZ@a8qCr21&x zAoG~jU$POZpQLV+bu?`n1ta=R*grMy>)Q`1C_!N}Tb96bHdbrT?5{2d&f~QS+dp12 zn{>E86Z-*bI5{yC)^8Ym*cHD`y=?18Pp>k$fm6^$ztoWNcFIO{4vIh!80Yqw`&f17 zek#SEC?1!0%=&UkC<6~BZGoY!ccSt_Ow{{?Z4O2uf9aHByyy@MTHm?r{)B2>scKWn zgx77&IdW7qt&veM!-;<|rMXI9T7WXCh%K(=_6-h`2)$IOF|u_P=2p+iMyp+-?U#Fc zql8|3)wPwPg_ue%Xop!X4C6?2D8yZ(D5$w?I=ZB13M{vi{qQuVq=Ri?SCqea+y_N< z%ri9?FIo?PGC(fi=iAon*Ytb+=Iu&ILe2b3d}~V7RYY^XBK+ddM3|~K z-TE`vabf$uJ*-*pROjiF@~9rQr*z2b7j@uAS!BGszGh)I3k~PQwOeU2{}$1~L?*(j zLmQ^JBZx6lYx1NIZlJ`%+|0rO8RxhoX>Dl>uD!S@$=VxzFFnYTm#C^qHuQ?bY zv*$|cS#(O7!*J5^Mh?a`H9R4H3O=f+7vr1)oi}an6qHleg3llhHN;fLoqbx$^w045 zlwqTWti)K4S9K*H4qfg_o&P=bAek6lA5mKaY|HQ7enHJQO0NlGQv+^Wb$%(yyH64e zl-H53y)kv!_mIa9qTEXl<;2=kLMY?~@~`&7Cx{_rx4O#&!W;k8feA6E`Cb<=|MzIY zp7pKq%WJ-3RD?JHwJ07N>bhse8B~yc#whg=#^3VTs$r~aCC3w&>H z;j@38#mn$b`(mA3*CI+c2ni!CogARlzgx|P0P(b%?bY9HzU9m64l1m?-I*dkMo+~xlr+@vmb|#!^s=!@r*jAVf%{fWk1`{ME+?`rflo8*eVw zmx4C97{1X#NCUrl!B}*Lgy?C?BqXppROnCuwv$ZWrDUDKPzo=`cP`FXc4n7QualYT z8tmKS zokc<+hp@w(jbSQ79Q@(X2B@aE#8@uC(j6A{zi?@5NHN^XUmF#EiK8j|I1DP4i^Q=kM*AlkuX1cfj^ovkS+&9n)p;Ut=ZY>M! zNBxdS{g&U`$jKNUv`0ry@w~#yTq!1JH6lB{uMU#_<{rT{Pm0e|JWfdzqn?1{`z*P( ztP&XML)J8E=rYyV@}kk#Pl?Z6JT~@*z2wvMszr-oM$^2@D<_DlQG9M56rY)?d)pWE z`3YfZ7fPE*U+GzE5G*D57K(mUqto)vd#;(Zw|ThN5@#jqlYl5WWFt}G6tsE=q}=|d zHu8%YRt63Ng1rp?d59EF@ClomM#b~5s!+8F0MK*30VG?TzsqsGz|T!hk4}tKRwLm1 zEN6dE+=H`@XtLWy$@2PyrSs^IMEh(0ez>Xp*4pJV$EM63ntKcxQJk-{xhTPVDa;(s zv%odaxza~ZvMTBi)OY94gdTX^fdGxw$;L=3k)HbgCuQ3bAxn3R>mQf*kaI}P>>+{w z)Sp~~!KT~CWY17bNKEvPSbv_>%Y2gJoQc?*ZtE$A=x_mp|hoU*MkXNgbg?KstfDH=~TXW z3WZcnmxx{q5%`%rVJdT3HF%LzJNfBne#kpN*}s*;maN5xClCXwK*-kq9&nY>RmxG} znzv1(3Ez5v9C;KD9q3biwg3VAWz*aR*h||>dYO;Vah@JezRqT7vT7o^%{b-(Ds&|+7Q0-mtRE-)G8#y1@) zN1y7i|BT&~-w*}aOrxb`oE`cIY-;wZaJwwsGuv4TPL4LUW!U5X3);XfaKTjgEXG8= z(7*>WgbLXAj+qgxqtvXbdjd$0*PyotRwDn-KY`fR$-@kST@{K zbQngrY_0BH`%Z!y<&VrX<=F|W2M0GhuB=W4o^{rl`$QKBa}OkYk=|XlSWsCGFBm?% zve3so+z&mrcHvJ|aA01^U%ntJ6~jVDt%~R(9JS{ORo%O`G#qdX6d@&mSzQF)MO&yk zDp!C)Rc_Tj+OJF-sbL%U{9|hyW$he843&33##b(3jHFJOiB8n7bNgm%Rn(wO7cv?*uS>fQ~l?Cco*m z-|fRtdFQuzJwih3{()+>d0oiRJ6G&J`nh%*^8VSt7GwkkEfPB5maSPs8Z-y8mb`fC zI?SAKOu6pHrZG{1(s0zfH27&k6hQQwer~nYa29McwE;rdo2;o=ztdN7KgZ*!sd2pV z(QNbZ9xD#4cT|L-?HhDknER!K>rHDzJo8&d`{ECcn z#_m&|dg9lhjmC%ZN?@L=KsY)gItevyIHI~+W$U}-i!bIw#4(}RJnhBv|EDqi1C{&l zgb31^;I_2Ha{Vm368SH#k^dDIu=n=>i3+6+3go%kLpfTfYZ9Y=Ieq!wNm0_hNl5-j zDR7V9-k6J~ZOiWd^#r0sGuLhqrD8EJnNH(YqQhto^%au@NCTGz*^i(N zfGe>RB+ye|@`9+kbq{nJ^Y}MU^S3X+probAebpIhQfoLWLxYuPMGY73OlPL^+#c+s zC4P~-?93`kfij+`f=Nr?irGIbS~LT0lXchzG=J*u?MRYT$L`;pv$3{V4np$Yys~_DCoz{tQcV@?P$H{Ye#{Gx(mZ$#$*%?p}{|4g> zVJzbKXVN7!V8Wpl2~3cZ*E|Osqg=w!Iuj(?Hkg2u+q@**U_4SaF!y%-;f~rqn0*1p zf4RzO9%p=StB25I*8op5(B^d}mZW(=FSgN?{x&DD5RSRJrkQi=u}ef#RJF7lOv_ggaT2(tiwoT zmh%;Wr=ezj@q&xB*^%Bx?k0-OnQQa;+8G=W5=tS@;KC?E%%jT%6Jgak8su*p3DmhX z?sd^|_kk_so{3HePTESM_7V5Vrj0gNYymlmh&Ea=F`ZAP+*uY6??D&l00Dy}Nz40< zXaqNhQJNT=-s0lAalQ3Gl~XWR_ZdmKdnSJBa?8?QvT*YGsKwi~Q2yM4r>&^KN&Z%} z7it|#q>u8bK4pdRy=O3$W1Q8IN~ z?#@r$SYM}g`_feq`it@=eXa=KeS4Z@^>|%UQ}1hSBlXMhFNiHz&`!OYmXLP{sBzRV zV}g5O=1-&`Z8dw=;?7?^#4mASI=-p%@pY6*rTPH4f5`o_eMLzT4Ky6?U#}`uV4YkP z^lC`>(&IT?)+SEC&5uFvp`wWR*hzJ?+2I&54x^rDX&qc=im#wwqaYf7EnAhgx_j7w z*mfc`z$H%J|Eyf{OOA?&PWm2X7)PeYDFwb-z#^)el)UGg;7WpUSl@6J*pSnWX*C(-exS-5%Gck)bN* z9Dkh@!JpV%Wp#1s(hmyGIkE<2xJ1GD_l|dD<#ZVfhBW)v-(G9sAjtN4Iy>B0N#ySS zMl468lx)&f$`Jb2HA8B2dDrm=?t@f~Gtx_97vYiPus9@)-mgzFlPV;9t>e#_;(%slz#)ZX^ zLM4Kcp1eHydGBA(3=9@cE`*v+a*rScwz+7Vf1os(ii)mkcnat48?^Nma9OH-Wt)wf z9dK7Q2V0~9pUm(9#Av@SW`{l@zNqVdD9>J5dD!nwFgG4Hw; zf^USyYQef+yaH_g_!z-Jo#Qimn@8n`Sl4K4gC5z#*2-|h{*;g+|N4_}qUQa)V~P;! z$rfltUYxK69HGmWzTNaGmOdslT4%|d*vfsCNgNEyI(aEpu5)x`@*PCv7VvlKH9dJS zfPAgXx1ro!N-7Nn?6({D7B!Q3B)$*F?<#OBtqYm4sxgRPI=f#TYL!&(^;VUSpas8b zb_KSHI<5;R%d8sgIzw^aX6*bQ#@+%bu5Q~JZ5)C-!8JGu?iSqLJ&iSuy96f?+})kv z?h@P`8YftA4;C!1^PO|g`|JMq)qSf9YS&)UbL}N_$(&j(dLe za8?$&a-2ISU1+R6Jm54i2An$S(!E87m>);KYL`xJ1g9HBk}0f87ZGIRcEE90qq`As z#Z%e|d^t?>9A28Tn7Yj(M!Xj4poVfzv|Ix=W*+T0y(JO z%^MV7I8q`U3cJvpK2$Zupe=8I+cvDqbIQ9!6+GcqZYlLvvKHLj^buF44m5DrUw*st zHe0(i)uFms(91q6nwB}<(YMOe#rPgmW}}EDCt54*MR6BaR6%WZ%QJAp_9f>;!X)n| z5afP_a;1KKL#_0?)`cAT*i z+7PEPe})se99`h=Xpnuq6|BA()VtGSX@O&0mi#^iDQLTyTYE@qvszG=gbl|HLe`oyPbWihf|$XXTV%8cfP=`j(CF__L(R*W0#F8e%ScKpVfmRjeYf^)H z=7rG8X5c*=+bz*=TK6p8w)I<+14_7Wg;+{A!U^WBxN`;#hQ}<gge7xRw^uw(1>Vc&G`9*1^OlLHEm!bsTJhM8|fHSOwu50hvo9 z8M_N!{H3=DGFgDD%7c^%eFYFYIcoP>!5i+J0%?rnyt=`Xf#77BedhW-r>4^9k&;7P>oXKTno zhin72^}jmfD1R3?Ui4Ejtqod3fsGU$d=5_^qpnaKtS%5TtxD|6FE1GYVdQg_+zSSU zq-5kMq422YxZ$+^)N2@^bNtUO!c_<%pl?Z=nLf0~{TT*NeRKeZknBjcniZ?nGtaPQ zV&boybulISt^pS!_#U4uM0%9Aq&~i-$og`}l1j+O6#!={nxJnb1q?;XU4z7Qsd*LN4v-MfOYtL`=%#E;8vzxAeV%+26&dokMuiQyp zRO823o%8Kzqrh7^0kA!I`y(vhj50c2Ub$Vbp5Y6V;A9!FXBg@^rRH3RMb5I8P_D%tUWv!pzwssnx2(Xc*wC@UL$+4c5`?P~iprp4mL#LD{ ztlC4;!Xb#dC_y;a$E|CjGqQK%VQ`M|3~9>7<@AHrqcI{-{@gyAG;YKF^&UBGkx5iSw<=D|euYYt>|<_yR;x&+-*wPt#j*Czs^Q!|p|Cac4#G$rtZ9=ad=~^N)K9{sE1q$1y>?n_3i zntuTHstCnN!nbq27o?vP?yR25?yp{dmCR({@<2`<kAicRVlr-Arc!Q$XZeM=>p_JQW-cAGvc@x z+CD&@FQi*<4af?Z!G6}MDP7bJ!+GHe@`0C#HG}|v=cE0utj=;)Sp~Vp)KKQm*1e?f z;Qf3^ue5M)-*1X>R}})lha7!0lyq8weZJ8njo%KxJEonzTvVv~@>MdLc(wJT%_ro(JkU)EHd>?2bISNT z5<+9wBjE00RNq(#SNiXK(lBTU`IaF38EV*)QsNKgOn&HyaT`zU6#B|ke2W+_uWNbwFu+*G8jUs4nI)#5c> zIHS?5Kd)R=3ZYP&JKpLgwkaNlMgDcP5y{evbG<-5QfmvWN2X1bWih`x`w6=<*0OTU zKRFr)rPp+w)$8@e_ve;DA#NBe+rdqJdbfl23FYG<-oo zNHrh0--UT;WFCBd8AxR;taG%TDploop=byGg#1vkWmCRe-(CYoMR&IgtQ`5JgQq9r zfDyIq`i1PVpt|3prWzS7`m=@hlhLK!D!P(ipWv^tT2Q0DZS`dSENd(R%=`u|31*Q| zXTv0RFQnbyrudw=0t%@QMEa4i_Qej}MY;M_;q#(EodK}2I2IUPy{Q5(6EsJ(I3Ei} zVZ%i7iTzLpT>Q$T|AcyuWM>bS4cyX$q5rki&lnj-vl5+Yh?3ARnuamvH^(9gFvt8|xYjsToVs_{rQjvBqo_e4_d~R^=!R;}|;(KF#^C^i> zE|=|aD6lgXQ8rwN^5*d>q=$I2Uk&J=EYz2)YM~8GSw1W9EzQ=Td*0ftX|9Z``+8>X zF@I*WtvMWVCtOW5@Dv(D`;f=iw8GPTWj=dR%6?@p<(XgvcxIf2yLj; ztf~@_ieVTSEv>W4o6mW;?w9T)`6bE~6OeW&BNi*Q zRq0Uh#iwEgwkajUVZQz~R~1jNH0X!;K(0ymoF(=Zb9)x^Rm)S3bpIZ{M%L&`D-s3Q zS!)=X8o#*|s4G|Gkcblfqy@QQ=El|7csFWCobTFbci4QHhyTtf*}wUOt`hVNiNVM9 z2?UJf(6^h#o&DsES_D<+HhDC&khw0MXs%ReH6#p&)>p-@pBVh^@53gGPIFlPJ|AO8 za4z)qw1Si6i)CjI*M+xl=t9f+<+(@K1`O0a!~=1s!4rr_&K^7+0%M+oTYd zdMV{Ej?bC`U#2Y3DdX9~2!0_;tJBITS%bII`zYUni?cD^Eo1UdSSIeG5+;*5Ysu+& zczE1A@6;dHjt%EnTH5Gzpa&@6Ovz;yztzYaYQzSnaR-Z}(57j(fs{be>>qo@LZ)i4 zm9D3K!u7Uqb&;y?dlnk}Rl1hb9-acYrGM=OISRyAcRE<*8+D9##53LcT-Bk}4W65_ z(Y{LZnq;g4;{cAV>yW^j1Y0YSEPA8&ZKv(JSLc#}+XP7GF<-vuZb*>0;SqRaaFBc9 zj#YaLPkMH8r|M$Pc)&Az{^lnL8in0iL8r!MccuWIRy}4+*u#p#dtOUVmp(2ol5a91 z$7=aS-(1?Xle2Gw(Un0wqmiVEm}rjD&o@#XJlyAO2kr?ZO><<>m<=1%d(%67e{%Ud)Vg z={juiJ6vj7K6mP(Nz%ILfzA6PG;fLEUl;X(&0%n@?i0 z@Iy7kz&-%!GJfvInG>g8kC?4D)<5^Cki%ik)(>;N->K8e7cbk43rzU@I&2{Pz26#S zu&-*r#29!oKDN0U*oJqOT#j}Vr`W6czS|GGk^aI&<36YUWyj&@9-fz?2om&cDL!X=HbV~+L9Ibr$=?B%u?S)VA$cUF@X>C5oZKstJbi^Q@i!meWp6D+5#XubJaRuFe>Q8js z@U@;*Ecg~m2m<#5C7hhB8ynlltFR1Z8~_b|4SVewwPEETr#TtU6}~HJ!n&PV>+P(O z-(eWl)fjGy4OF2)!$24gt6gOD$)i%Lf@Y!a1H`tXL%24*m-md2ElUz(G8ba;hV6mM z^GKi5V=mOHO+gSKzR#geki5`Yr6s0YP3_VLrDSMxYsA7tnSVaI46e+Smpsjha;7$T#*j+d#S6daKf}aY&?7zQTQ$miI~_!W7p^1@H3v>(?i;D6~`C%5iWJ zp|^wrsdgN5&SN#CZUr65W!XJ(lTe&dj}oUG&W_MElrP4#+JOR>lf7p2$)~)k#?h1h zD|4Y&#Kt9a=1~{_3Liwsc%S>O9gH4Nd9U(TnZ-HDqcysw$DMH}#xO3by4Bp3*8Ozt z@b1mIZ;H9VvGF{~!%W}8lQu4kh4{pVS*TrbdEZF>va6md)wzYT2%LJuBYKL(tw5Kh zEnfC1C)GyWD8IZ~*H0`Z>kc#;q7ihcjp51fu5WSW0$Dl&0~P3Rw;~HaF%e8=_i@w#=Z-qo^6zboG z|E?iPyY}ZMsT3|PG1T?AD!8`16)9q=dM#})IW@c1?_#cS6RdLMT|QV4TSr1!X49jo zZt?##WqSE3zKW^wYW9>OaPIi%Y!nTnv8kuh}$Qn*Imx%^ilW0lx6^ZregL`K(JO>TFNup z<4_jEOH|%XhjYWgX|xt3KmoT?FH(wi%G)*UmWU}lJ!pM9Ye||%1 zO8;egq~Fg<$HfS{r3x*SUE>#H+phg-IcqOb|Ni}*)&a2>f={vW)!gb*H%pjpVx_yb%HoN!?^O6gfUCw! z=>Au5BPspR$5DOhSkcsQ&wl=BuP_mj)OM?T=&|ZF8Rv@W?OpVMbNCa~>aRg!Jf}^3+qAk$Y>O;wPfo!jo1W6;$)!V zdO`a(WO+Xg2HP%hE|^p0hOR>FX-~TSsW}z)4t`c z@uFC+ba!s*`T-q2c&Fno8ne8^%v@op_(1Rl$(*OQe<7z<^y0FF!<^6f(c; z$r^NMXzT-amzs1%YyhC@*&qOgGJ_=bf>^bKjwr1du1OAOG}CS zjtz8ru@2HFCIXEUlyAM0{*zW_rmmHW!-gzuMWf3yDCSX7pFX`;S^X+ct&T$petPSx z4MoFq=aJfMVCK~In1h>CD2qx(LKH$G-)$K+8E{8rthd&0-o>w+_*I&2QG$|ygJnjNh7vo>4+M~)786cRpY7+i0H694DoK#EQs#WpDI{cjbx$KeOIWJ$kIWB;Z55?_@({;E2P{n#LS zh{|v7A5cyBhAiw~7jR}*i&lI~7OCA=B3X9Ub4U@q8q-90s7jWTQ|RcV$BE@b-OcNg z!hMFDSNpkdhiF%d69eP$t6W(TExJ#g0 z*P^~{Dm^1#3S8T1tIR14vS$Ud03}=2df({oMFm#z5cIOBhleL)o5$}M7owJPFK@L5 z>6;Smu}oKL|43kLAdmN{pkLMY^)2T9segd}EzF=; zY}2s4aLW$ycyx@L!^YFFmO;sGSGENCv*}B;`?%qDktbV9JNp&cvG873zcqb-zogAZ z%x-gQ{5OEiB+Af(>?$Q{(f1`RZs&MzP>D*=VEsom+%VQs1)=(JY&bEZn4H?k}y|?!ZKG)8;-F zk0JRBzgd}!FG@8{zpLFKb<5F^lHNFtt~QD{OU4z#BM~Q-jYs>}&|5i;V++6*m%F8`N|%bY>i$nDUTB!8CQxh zS$nfuX9N5JU1wkW7U$ChyG`9*Ew7=Qa!-0(8ek6hek)1=Ala$bHe9XSR+Fe!evP?K z7DIvY5G@EuXXmJqvHMK?f?I0v#a^Nk`vmKd6dix6Fv9tYWf=Q{>_I7Wp`xU%sOe%K z)3v&BEriv!X$S@VG(;)~e~ycTM86Q*ZNgU3h&&)#;x8 zeUR%+NiOZ1W=uwh1)N;r&sx#aTxINRtdS!iK;!EHJeXJ@T!o8 zIn0J$K(|0V$KT-Tc^*kvy`5>2*D1JEZ!Mg78Xw@`>9779E?DC z>~HQADI^;x8{&m}h8wxMPV44j@Puxiz?IPj?JK0BhHpCLXMRlICQF`w$oD?-)V6Yg zm|-@6cu>qiv5s=HWpTmP{mcF9C|AKb`ePE4;Mt`#znS43zV7x6g$kN@A!fdm#ndDe z!4sDv3>vBFtrxTJgP^)azEcn&=enKc-S$SfwybIXl24|tK3 zQxOc0oi~ZJo*=o-u*`E?@T%q%tl4|K<3p@(76j{&qt3WEGL;k@ufeoKse?qdjy!9i zAh)?{L$YTK{cr2A7u81)5?2cSd?8KMH6ORXi~j*Iys#=(DcbUA&luB%;+HEnc)x9)MpU$-zIFO~nT)nh(=Oq`J@P_W+SF1T zV7Q-6Utcj{`eDItv1$Al?59|xughI!Lq|!jt5XbaDR7VKGhPP5BC9S8r9|9=r;qlU z?W}B;ROU~Ha*p~BixKczXE|nCe3Q)~HxjX`6=i!L0082T9YbA7ZzV{;RTN5p0J2H4 zb3&Q{s`^T)LY5{xe(_;ucg<*VaZ{bU2Jy`%y0lsXY1isaJ(jfXwbv?#r*LX}s;|=# zhR>zv7}nX}kA#1UQr05p4M#nG<@x*;3XiBB^|`d4Rp6BRV?2+M!gX0ww397YBc6EF zLk$7P0z3vonsxeuJQc-p2gknhFj9rPoXTThv&78lFEbZs*2#@n3pHj#i@-G>Eg+!GSo*{X` ze`#2#w=d85;;=YFYMM?q`epJ(#|^U=I*PzD^d4@r`;qQVQPAa<1ggJJ<2T3Woj(8q zmPa6WPcUNJdC6U^!!lCI7PTC?HMfDUB6tI>lsjHq2_iz6U<&x@&rX`}7q-7~J+bR}U-q_F|OqPfSG zoRZD-#dPYH45&cTP7Cm1i>-3)5QtqobqV2(+b&K2>HG)q3s~j-8c?xO(oph^?2UB( zhsWXU*0$c*cr0-v(Z%YPkQJ}BM z3x#Ta3jdJ!T8EZS`J&h{;hsXTUFn+0axVNPqpFaRhFW_~?@2}Do|%Tr0RJflrDt3L z$&X(V?tcKIja^v;ur$5sF|;Uq*QzN;B%F^z_p-UR#k-<(=zZ@fz$cD3^mE{3Njo?Q#6No4`lePx?^Ur)tf2%{iCl;-cJO z^08q3zC-sFKq1+J`^O)W#>0YCPrZ)MKwKa#e9IB1{8`SI=3=NodOV0?1D= zTQ4g*$_~1&6xJMD^Hichw$W{ELju)+U(QE5{8^%7X%vKP(Ol1ij{mxO z()rKo-0qQP3s0ope>CQ`!Jk3H;jh=JOgY_bWUII%lEyv#TOOX*6ga*180E{b8&6X@Tc!I?SG_JPvNks}0GY*9z{dlD3et%a ziIBHCexx)k5PwPCU)Imc>M>YIN5mpZngc}%D^7&iH137%Es?tR?yCblFz{aG0XU{$ zW*vme>V5t`bBTPbXIU74cec<5MJSF1!#QHNiqag?asQ5X1yaP`qYgwqdV0X_BeT=` zwQ@#v;u+7I=%!j-NO*voC_;i2`T6(ol0(IpHL{i?@z{u};M}_wKHHTRBQNcE1bF_L zZB@}Ce)wawvm})U!*wuwIuue;r?aE&rvaUsy`}P#uy}I`k@b~wYNdOX_JAY#v%YV( zL2DJ)%-BeD0hfAP@`j#8kp5=~kB$LeN~14*8mhoK)bVc24YBJM>X-zDF~{LCsXi5H zbS0_3k|;xWJDV+x7P4>#9{M z?;a$Q3X(WFLm%(tLJWcs{aURyf^AU=^TSUUgOezuh&0B1$KwJ2ih)Qd+1{D5w`XLK zZ4fiW0lj{I=djq$VFZ4ifwN7l=T5S@szL+GVfT1cVuW}yn&`QM8b7pEVeJQ5em3LV zj$R^kZnR9+i#46)C)7w)kvD^FFMoL-J};}C@mY|i-5US4rTbc{LsXhSp7e7{9+E^a zQyV}wa3c*zj8-c;oe*?(o?f*+ON)t>*vWULxD4?UqD@wu4zqZ>k+-b^!ex37;5B+9 z|4E-K=OrmLV*rq7n!bmjnSFy%D}k5$-4`A{e<7z> zdLc=^MTZH3k;N_b`bo>-3(VmuZ&`)_#kwZG-msVv+M)#(jttkwp;9ZHC z)H)-A^z+k-XPGAH9MJU`DZ-3vRL<6L7$Pk&PDD(5w(YQgFdM5-<%GzG)Mhy8Yg1j7 z(yzhuowA8*1iQexQ$`c`4PMd+{FPi{P~DEIYT;zVmBPR=T5qz}7rm=f8?aD>)5*b? z#7WgVF%yF~Ld6cBI}$-&bKGg<=HX={w3Ii$N|@`b>#*XK8@Ejr?1b8I_@du=D!s?Y zk#JF{C$fX&sRWPOgl#|1C-o+?o^%X-!i5|jByd~>Ihz2tuG8^hxr^f+xWNc({Bxuc z?UF6=s`(viphj%=JL2lEg<3*ZO=a#iu%{AgqP9jtA_9YX!Wffw0R=by!sCnh4C~6v zkkV_VVf3@Iw%<~Vbf1%;v)qsh(imYZq;`rH5%Zgt z)I|;51Lv)Vw#w^iW&ufCG7O#67-TH&q!w0{TJ6o8HG>EkpY18q#2xJ%)HMq(HC>O& z>C$cF+ueZglfzc$g&eg%3QNk>| zqy!#nY=TUdp~HyNAr*IRlORfa;mn=-AI8SVXCt=uwRYsN>Vjly3)aK*$H`v!u_lbG zU}`Q+fmHd9B2ssq_>^2kQ*(`&@Tw zHEx-={>3SPl4f{O}Y${;D4plTD(g4%1d=z!e^hTQ`-x7gwu#P8e=0gQCJ-%4qC zCL+ijhlhr|Oji*Px0siEG?=O;M=IzB2b_Jzl5^Fw9J*Is$PAn*x+Z^Dhmi-z#*BG5 zuvn9Hn$huhae8hTLHY`edMCr7}?7tdp)pGZ!`? zMjBAC&oAV4aPCC3QqDCRoH-gy(fEm$nr(0uS7MrF!7(s7;yE%6vP=X)@rbJM2X!^+ zoxX3&&D<8Ay<{qU7wDS|2Mos$xKkP&k-_Wgrxu%+KhDa!8sVcxi1*%{X!l7wUC%Cc zMGV^BTeM%&9wO8=3r^6iC@BxbujTLxfpFJ=z@hs&AM2>mYV>D34||c*2BKLKK6ATN zSA~wPDdlP3D^H8k39b&Ou1I`u%Mg5usJHo6D*=ch@TuLmx7fP?aa>w60aC#AMg=X(i$Xw!qx9v@}qzN z#l&8;B72MDxWu^h?ZCWWr^RRo329;imkCKQYpgRxh}-l@2i5}bwu(yVjn(K1P+Qf~ zW^CedN8}V*Vd;aH8Cv52ug zdY1sPaozTo@GH9sxZEMVph1^1nf$LArfW})= zGEkPBuiRYGFvJK*TE{JRMXlt6*m(fJ7QHlAIEjmQ>~m@03-Fmxwyit=4}i(^uL%^w z+`HVg>VKeW84Dro7{;i_pkT___#^@>Fz5_bF+h_1P1W6p(VGdl>bWQ-JZ!V+DWCL+ zdtMo2O({sA^|~&Ju4AIWaKwrqT`WSiDXUPp1Q~07jA^Bvdx#2^5@|f_*W-R64USr{ zB$|_(q6f!})m|9rE;mp|8b8_^tPdw0AP)?7dY-*6aYER6m-Bhg@R*V1v+xwvCKG1Y zt+qtgE;uygEz(oUiMJSVGP=xO#749(gI9`XW2#&{1+MUIKj)HBmWRD1i7kdZlqeY` zv8LDH=;FO^U{OXUz<%GSqUk1A5o#6qJr`bkTX)Wo3DA+P%Ku56Y@Spdo@Ci}u)MQ< zqJsDY-LB@aH(VdBWE5^baFPgS zSl~|hnF8DiJHy9KaK;Lc%T6{&#Ld+jc=MW?;WP@%Qyf3|!FH@a4zhd-1(;U;J|i)j z{W)3egv^w{(AdBlfLkQL>7fJ!yMRL5`d|bqJ06u5yghX9vTJZ*>0}bbMzB{>NoAwS z&xcLZ2iRl0whJ^`w1fB3&%kM0yXZ9PX>CItClvDSsY{M7aACA$Xn8NjV3Q=<+b?x& z_93_;9I?6KvnEnnYd&zy0^;zA`<1TuH*3xr?Bws_Z}h3<=F}C6i5YV6Mij+D;(kFs zaK|>qy4Vp|!|1TDHT~9ZddfMZAL*;nh5}5a2qer5b;#{&$0{AIaJ3&m*QuZx3~DNd zjD)W@DOJq^y{fNHF}BOS>-nhZMwl+W*#NSEMBV)ihD%!6 z3t!f8yBuaXcW4klcX6O>MYN>{U1hR$P?tpkCS1bz&h)G%i7{ znXOs^-r>aPA}HXE&i`^b8|(0GM&b)?MT~Qe{ZOs@g48Q@Tie-b)~!NQr`kLbvm7h$ zMQxLa<{Z7!A$gCf*2Dc_e%hRGtnLhB(9MoGHA#&|d_#i9BF=h*!X~l^{#k6Ml%3p~ zF#u+YBA)&i+_^%q5Z+bWSu9@C*FDwwCJ1{CIW2s8YIx=Pq-YXhmanPLu;DSf%SPT^ zfTymO{vSZyTKn+%sReJiKW5}9h0TD#<0-(Ofe{T#lkJzC1F$EqA;a`P{k?hiYoc%w zScn$Z;pk&NondH_%^Wsd+}&rjgNs{Pf+1)ifK2?H6hK zIiHpd7=m`+)}&4wii=6pOKJ`OypXljm0vZ?k4CfZLoc=v$Pm{WCy?j2^(c)y3NsMD zeALIrBT>bzZ@8Zb4m5j?7^GhPj^4)#_f-aA2&JDkT4qq`Lb@!rMjJOs$Mi*ja`MSz zwcG`nX#2YzY5Kl);7=%|R5x?%Kjr4}0)1dE2L>q~>o)=)Z1$dlTHs*yYo) z(;6EQT;2Hxu&U#G=!CFNH_T5!h&9~0(Y8ua2%z4Lq=-GXXRVE<9np!D!@lDcTe^_2 zLx@S)?lD5n#w+DQp3y*aoFG$byECwGOR@JT7lW8n5MAmpSKK;#@bNW!CA^_5fw5Z7 zMw(P^Zm*SaqCkpgP+*arSJEKmL^6_6uZ6jR@>_=QtJa@4wY>*hf%T}7u`KlLnz;b% z6mYdnm{7vPi#{(8T;aGF-EbT?#X8yVlElT4>vvksa}J*HTtooRuGCZZecHBdrfEMh|w$;{Y>(~-{gRvsOqY?^{6 zL)MXn7stVRos>DpY@_4HIC{YvuIpZ**)N=DuXBXD9Nb}B%>;0vTAeQVZ9OHT89MbN zttVtab#CE(U)Wl?%L`B4dF~W?%FFS=iuFtHJ!4apX=ngag1ohB`Ds36(KL*IEpwkB z!Wx&oCc-tT2#RaY2o?B0zHg9YidmKiHaqBfKv{Ty!&ci+tf>exW1OvxMjWcSb6O(~ ztQs*n&d1q-@X99x7OZN&f5GXHzq{r^gl(IvO$Smks&*Y@rJ8KK~_ z4#=VOsADlY{yc}uTxKy`U{UzDxH9%s%RT%Zif{Wy{qew;cBI9ep_)M^NrdNP!>-OwLN3|k=J3jry(dU8;2q4*PcJz?);-pB zx^jP4(K{9ROp8Xi`~h%xyyRZWEN*2}z)wqCRreo`|XElc5(7zXZiE%;B`4^v#K zKuHn*04~W5>6|aMbKY~UpDY8YiV(m4zAng z=p6Cv{dLiKVTy8o0aC`1%=5;n&9mn4DfuQ;@O=!ITiPH&m$4&}Dw7k_#C1VUwI|G$ zqUzm8^0XVCN8jelgoL65*>C^z;P^j3@Sl}87WU>YuFe)_c7LB7KH0qCVCUhb{6P8l zQAp^$goCYvvxcJ?(1Mbi6Z%^Hy{rYu%G#BZpP&7`hTA7sFGq{_a&~4`7Vo7&wyqY= z?KjyS zd`vVnOnf3-Tzp(yB0?NIXuu&PBq1dyB_X7xrKhK*<>ut%02>+Z z2h0l`3^f228wL&==Fb3(C{#WKn12FP)V~1^00Rq;fQW>Q@&*;=zemCVVB!8N5(@wW z4+94a4~u|=h>QS-#tDtYhJ&ZVVMh>GGsC5J3gkeXUUvH21dtE*9KU%vNd`fs}fs&=p$Nn;U8LMFRh+y!Uc5Rh! z4Ki6X;(XzKA@tu zHEX`SFEoDuXBGa}D1K{4KYFZQnjBum->YrXz1MmLIaY*2vwB?UK~>(`A3&V!?Nl~} z{PdH}kLMuc!cO^o2vci?aP80`@$|{d5sl! zU-CE&eJMh=;?h~v(p7x0Eetw|Vkr|USk$~`0+H?KNc_U|&fgpf%Xk)dZ8AQidS)Ts zoJZ^*pVkS)w@~R8FOvyW1}vjZGhg}wYp@c$1nVx zbSQW-QXrabM#kYYDm2`hL0N!d=wHBP#qnF}`vZU?Q8Yu1k4ETUs-Qxn`~lcOzhIo| z=4W+G#%E^F^w-7ytA7`mdS)p4pz>q`ZKXi3t8LbwZ1_%`&}_TVmau}h#Oks!kN@9x z!{beA!E4nTNW3edi2U1RqSuT)U^CB^f0r(ixRY!0-x8qsE%aac|5Ff#yxqP}abFB= zPvhmY$-na`+~rVQh`z4LlLQTLh2jO1dP&9fBrv!yN%r6@K6?-I-$Smp}!OV z5rc~LV2};ZiSDI1{An6m%Ts7Ai=Py5?{oj1L@(V)ZejUxb>H3;WM{w8_H!eXY|O^x z+Rm=pa+o+Wunfr|J`eti@pYp7k^Sj%XZx5;WmPv9x}N=~51TaBiF*CGgLbxSX7ckY zJF~dQ{0lTT!O#_uM_cEe{APtOkDgIXWm^+O$qbd)mn9{Ww|n)r^`J8^%uXiiLw)-@7{v_x(+i@9Qg zJY4p|8kibib_n$opM%T2OR#?K`J4SMY4_VUIl0Om1@r@Ge)Fj`yM@;sCg|piU;nH| zX^%zqVJMmd1(vnxNe1^m`xRMw<1PY3@zY8!P)P^Z9|0)3^=E6)WjCBr~lnxU4`cONn=hf=wF4?uvxk{l59 zlR)9M*SAPLuW6M-IuZCl+ebuApnjX^Lv^TUYVgsFGZ)9 z)t?8iQTcs2?~(Ffz6HLf`3^tDmyZ-&>~E5K1x~NG*>pF#%J6-#Kyd=tgF{RAnqkj8qKEp2SPWY{6UMfeda6R^D4<04! zSgKK_beK{q66NrVwUjMt1CF)1chP60IzD^OS-AM`A|AEC3n=)@iv7AfZmaNX*bjXP zoX+|<)L;d$`zlJt|52UtFl_+qQAh5?SMVDlFY%}kKQMGjCJMirFy%#SWM!!?rytgk z`;wJ;{-mh}CyQI(mGPr%AMOb9H#xcj*QEOY(nQJRRZ^*13i?a@1^bzBwApc%Vy88C z)SrBc++v;Xiscawyse}g5biqlpY*ruOER)HDih9J7mnM>);1`y;PkoSZG`CHnAy_? z_y^j~5s8rjFyrB_0Ql>|=!Gkebt!`88GcsInxM!~SP3Jkqy6qtBI zB`T$^gThdpY1*Wsmjvvc^4n0u^VFnO+Ep9(jUI();1rHJ#ab69ge!8@5Mxd$4!+^@=MWmz!Ca8Sb#`@(gU~2Sghsw@0Xk8kEe_1q9`PTkMpIV18g$yUyX!L* z2Rw>ZnOR=`%5YP7U1X98pPMxZcQEY#Jk#TrKik zp2%#M4X`Y$7y1?~HPqddQTo~2rsTxl-3Bwr4*Oq8j3@4 zic=^Mv<=0*5TrqiLvbi>fkJVI;_mKl!2=X`r?~Y?@4fGh_vagfk?fNkV`rbW*P46I zwags)c2aul%jYfIZ$ zL$hFTGbujNV5hE@MD2aV6?IFy6~Nt#^OK}!Ac(d(ziEnoEA*hP$;zVHAhDVvTg6p0 zv2_auI#y;^ws)EHK1D;_4o*~+;WEVUr!6$w11D25?Bp#J#pGk37Q%X6jEIkhv zkV`sHKOCGp=C8aZ5B-&iuucK-63cW~8~<+-u$Z>lW>W-Q2GOPK6pWSj$(;Apj4%Z8 z7eKrRgnhXT`<(jgZ3nP`@jw++kYnbTRoP7WXXn%q3O$-f7igP%CD5$5{aMTPa*pXQ zpgKU05@X$IRz{hNDBHkRm0PQJljo-4J0hxy7F@}^niMlpdrF#)DC--#urn#T)2B4W z!bPkhWFh z^GoH&;c;HQwn<|v?AE^kvRBJ`Z;DKZp>_R~0Juw!Vu6qLZuu@_K~wph5~@nG-T15Zn&!6kA&4;{c<)dz5mWNa= z*Zn4r*Ug2+#}~xJ2bL-^$HT;OgMdjQtU4^JNx-*Wo>;d()$%fhrY)ZxInf>C_?%O3 ztQR{;gu8G-&ksv$R6bWK*tq3n6zf3OkFNQd9 YhZ>)zfR z4|O+F=VEGiQHrTq>9<)ZH#3TyPvW-qwb;c%90)t+XGP`0%hg(!!>luznq&(}1>}l! z`z?<^G=$~^>cG?>Vwft77-p8o#8QBk4`O;_D@dtt$ZE;O`&o*)r^Yh8*^tU?M!!I3tS)qv-jizg&q(J7$ zkI6BI>PQXPyuTz3R`7K`2huU9ST#kga~ssF9iJ*o(}jo>;G&_{F^NS3{B@)Ej_i4_ zU!_%?Qz#%@aS;dWX$|xht_=-3`;8LiEFcc{}Db!U`JTlo~7r@v>w-Sd!}evIpxh5hlQ zh>KR_ML7EVQBrCdpxTn(wBdN=(sI@&KuNT3H3GuL5`avBYQ2F=PS8MjFq=XW&gM9* z|GD25A=~F`RSt8j_CDQprQnyo+0R@wGCj0$I=+aSBS!_JHfQj+DhXNhcdtt&`~`T2 zWsz}dX_XV1Ilyn3j`O=toOmXdb9Asp`3fMA#b9R}yF7#Ub(*ShS8My|K=!%T^%T^7 z1UB^&4Q8x{qen=0Pd~}b{<5P~QDYPZcIw7FKdZy3r+0M19Z$-}-1Wyrcr~4eH56o@ z__kYmtA-J>nwoN)ciyKbqu1CH;x58=v#_u}w3s0Sg-lir4f=^GxY`MPIUliZ{>qv5 zW5a({EM0xA@?7>h`a1QYyyyo6z|nXLSNV)t=N|cq{Vpw3-LTU!fRnDV3@{vwyQS@F zV3k)t7j9L0%wfd@Q<6;+Vu@;*G)NRBol;@S?vHG;Bb0p02XFs$)4-8;(g&%-d;gX&UKH}pAFzr!n6s8_3h9C~g5Wq16p#O^GeX^)z3)>ZXzB`}5_y{M;q zK%I%8UdKuwa_rBumepO|m>w!oG)j7mSPoSWLY9D-rE4~PzFOZ!&*wlvNt3g*zIuM{ z)e|KObY#V;?RVXM4h^IRg)DCH#tz*{efndnfKf?QmO0X8SL(X|Aeng1I;9w-S%>KE zprh%Jkqcxc?qYwxI$u$p>Sr~P{TAyk5cbDNPuIW~K zv$=IbYtB>Haa&dOC4+l#4RY~r$3{pH=~S7})rf8s_y9-zyB^GAKEluMobP#MC@t;n ziJDb#1Gx>l8|&2#M(+gq-&U{6mUFaTFBm%?UvkhXji@C~8pd6IJJ}paD5S$n@ZlMc9y2n6BXgV8 zk)tkUV6X7UW45RA8V(rhYrPJhh08l18Yn$W9cmRVCgmr$4h>kZ#GBcd`3p!gb31ze z6M^DM#p5y~4l{+0kakhlmH#6J109{D~pZF4_ zh&9F3rD*hNst6pPi;pyqvEVxwm2oV`Q?(1H$Zv$;39x^LYmAa>;wmh`Kc0k6EqFB+ z=z_%>LEL6_?T%J3LOcy>3bm(PRh8EreFe+XVaBfYFJ7;F?W0=j+N#jy( zKfe>fV@S~d6UaozRhw7YiAq1^#Kl!jUvJtNk4OioOn}P~Q)d$%tbw;OTz?Jv+$5Nsf-rZn2&v^l ztSUdlWA0QsRxA!aHNMI+J~K?a7x1F=(xZSfdmYu*?V;q@jaL=N`dYfE99JUg<@^mT zIQq17p2i*6AC^D(6tp(~1-QsQ4p1x9tRV&|hozr6M|OWQdFz0p;e-dPG7ZKO{dq>P zQ~V{-K<{W77n+LW;VXr}Xn&LH5m__eLBFCvD2c}BrT2TZ+xfGh7-sT2#4u`iYt-sZ z5x6^KNYgoCeepB6v>h!8&$HMmvJ}Uulc*n(HqBGsRHC$tG5)^Urd*}3z6N9>-lOcQ znHH-OQ5oUJHNQb|!=jfWM~dV6`>_-;F^|?b#wb^t16E9=Vx)2=`aHi0O3$vboby9g zh9qw-#YJoF>=0;u%JA{8&(KKXYNttjUuWvOyt8<5zZ)DnyE{^$RX3D+M8QG8+<~v! zrchq{!$M-1ilT3l%V7egRf5q6s62;v9q=P@(xZFVTpX`7qIA12tqWw2uuuqk(S)aK zEd`wSiPKZTYax>m>SpBERVX(^e!($RVk5QKcxrdZ(yobfU)k4g`)* zbb=|yH`(qngA^6KoOKQ607knrLXJ!o3ahy4D z?~13uiBRpUqO8||iC-X^ne{KC2A1RIEzv{BP^OC(ND$9$IJDiOnu zlIA6Utd=Nc+OhClxTPdTX!`oZ1jw?y@@~^{npm zb>%u;48RaC8H(9(c!aDf-yzg@$|d=;gf zF;aZqs`tZUc@3a35w1Q@$opev$UscN(c0Osan!^!jf3usveZib*_!l);DP?Oa5X`h ziCyiOl>;=OW~#@X)4X5O{RxG!#Bnp3ceeZ1>fu!?;Mne#=-$vrq@RzEJZ{e}@9-y` zRAq(2k;E4row4%6i_O+3LiS&kl@uY=v!g2(Q0x;MNGYL#F+OJRP#QTkAJbF>f`jw8 z11`Umosj=vlgtq0rZvChTpL>hqu%Kv@{cQyYi{ytqT_sDw!iffjt8-<a0G=iMNHUW#6;-@3JuY?u_$;~p!BY)%IpneDyy@W~ydIGwA#K2`tojT&Kw zl;qr}-d?7+QO&GBY#d94yrXR zh6>#VWko?MU|BLt8l^48q`*Ij`7sP|_fi-jq$CbBk?#O?t z?%U;3;g;wdff=M66Kd3Qk1d`{dWa($JPJ)N67&d)6vP{g^uE;l^`SF3W`AB>UI;u{ zIP1yy(!XPkT7+{rOpaGfUP-{JFgu)UfSV>K06E2`9NGq)7hG7rN+87$6wj${lf*+4 z+*%VG@K<9ti9>>#qE!{iCX2FH^FHTn5shN`jtsI629{1XQKr;5a_RK+MF|TWlptsz z?j6Tdo;rsxi5}Ol+WslY_a`{E6DQyENjl@gq~`%Zf}H1)vEoiz-qadqRbHh)d)?Ky zXkVU#*Sk5i8_e7ymw!|Zl8}-`A<-?R;W? zxHnAmbixm)R8;(QiqymbRQ*KXtaJA$-LoN zR*B+n+imLDA!O&|K^vQ7?9}QUbnfS9Tg3TMmr;EmN)hdbCfjwGcF)sgpkn5Vq&oZ| z!9R|>NHH*uMAKS)m>&P)6+Pd)9DIUTJJwy-z1OgSGCjAi)J=7=!$7sE=?Zq6y|RD)M{}4w3&Fo3on3kSe1fM5rSwSA zMRr6wK6-s8wYY!L*Ly=NUz^TT1|j6*U06EUR_sMl>KuPkV#`HzjK@WjHDOO0Vu~6b zAjNejCm=~euP&JI9Vcz^nZ)KKjPb(LyLX2;dM~zp+b4Y7`PE{aIf;;v7xS#WX$az; zo$ifrBy|mRI|Itz)C@H)JmN45XJSc<1t)ax5@e80hVP z!O?RGt2`t|ihQuS{ypec3qT}gSPu6qX4>j*>`Eb3nsZS@i z8rI&2wkd@%-78lCI;iHO9oI?z0h;e;1&bBz3ZUUK&P#6tB!R+;$2?Am_(U8#{ZV1^ zM!SIu3BSA7%2;?WX8}K`Y-^owf0#z}lTsXgt32XjDn$=o6uTjzB{N&|tU18WGB@8c zA-u64=3^hU+x!cvTj9ihwVB$ z6ZHp6yoi!`zhM5wQ%47V#GX(|DO_j#5~ADJJe!q};Hxh{WBM*I)3jY>hCI|}TKmkR z=7tGZO+w~a@mugxa_fincv`f2cf3Z<-!jJ_CH!MWF96cMf|tHwE~UiB=P-X7goBir z|AEniQ^35e#7*nI?9$pQ)=;7Gyv92^tdBu3MIoXMF=H3q&9g))L?`V zH~E|pd`0smMNdfl+cB-GdL?XU5eOrIIZ3*W%81&O&fYy2!wQ%;Bajh0`1w#tVsM> zU%)vQTmj}Pn1wG0;PU2863$3;Lb|QHOlEN%NO#q5>pC|tj`g1t`=2IE4R!cL5i{{O zECD2j$KA?8W8|Tz!yEs9nxE6LR+*7|=Fz$5=4IY6VRkBD9i3xIQ4J}Y*4z+Je0z~t zKPpr|%EXD;Q4p}2kZ#qHK^$K<_2*Ri8*$wOc#$eMpNTh?@AOm|mMpF=vQMol$ftW) zlC;n+Cs0DT(qty z9x;sw#3=Yn$KZ*$75jLwTy+^;D$jT~Rc8ZKSX81`krdLPPb5fnJ zVM1RlI+=jMZY;UFAWSE@CK?UzyoOaX3_=>HJv5l^CL29QG+8!MHf@)1K^6Ce?^cr= z!CP9t+?t)7rV`6*xSZcFwACeE)_t+W6TLfVQI6yT4$kdtY~~7w6pLQXgjitgYIvVz z8_@Q+6{51`)0?!N$caClZ2Zk+t%QfZ4VYyVNw-nimz|Mxo3TomDBxgVi502h=dAwk7t!oTSI4ICX7^p?c1 z6Cx`f9Q@3<@c8@z{4UcyudfxB!QCrH16C*A`v$v3i_c7F{`nBvCe0lKQu^P(%)dpe zImKdivrGIPFEl8aj`HujkzHYprti+e{Ma;9*W#T&G&{LH$8jt*<(Ei}^o!sRVolwt zBU&xGWJ1EceTUot$NF>Nry`MbrPO}NXHlAB)mdEyPxH^z^+CD!?1gz(WR{zqlCj1B z8_pbx6N7R}GeRk=O=Rv=TLe!0eCI_feDaKeFseX9$yD!`*+#?L&Ql5@ax_cN{d{LY z)C1dFvU=@(D#lCum#PWW;=u#54;m9bH1C{zN#ssWb;uQ9&x_`-!Jv`Y!uY}BX&4Bu zt|uwd!j`;)DSky=`>B)5GuzmQu=ukxl(V*W)3lwcrJG905UvJDIAihhNKLz0aH^h$ zh#oX%gP@#?Cjd8_8LaPz#rvil&PTCa6SWa`Kc&*tbw%xyB#wIGm$HL6P8OdHXJ~Tb z4cK_J_4Ry9i1S70@i1V(QgUBf>pOnL$zDhyzmWB%T6Y4Lg)?sX4CeEYw+?czo*_1n+3mkUV%SdUr1yZ zZl+&Onyqu>FH!_ZkS8!X#8W2UEA1hceQ?0bY!2^bV~Jy`Agr4*>UWPKUj>WL6t`o& zz~XS!p2g%`C;msdem>)Q4!s=wC>OT0yLg-n4)M>TmdVd$-3+AQNxw>ITynr zmpq7FN-3sERPE4r#rxNCg~wjnrKV|g32~jbzZxLRNCjX1!jGg#&?R2!`>1fNiL`3m zbG{cOb4js~tGa{{u{(Dhu4wnkrmn^Qz8v!Ow3jdK-Z0-}`&6pD%;zb>>@AN2q?U(7 zt-g(bkZlD8)xUc2nDFKqcke`(#8_>Y>#LzIeTwOWK)a!o+IEskBT0{X-? zKc=vtBtI>G#7GU|q6*9t0@af1K-q8%VynwoCtH6E9BVC$p2bXgaY_b&~d5~+1h zFfgYsfy7JHrRBKnNIJz#QsBJ$d^jgKv=2S3EVD@oC+EgBvGo7FRJgXeVxM(|PYI>b zpAcA@$~`Ffd8RDQ)c$c9vJ|iHO11e8L2LJL)ATdM zAzoX*E_U(?xV{6eBftn>v7*b!MMlAj^LtI~ZC*8Izs5_p;<_-q+6bYenIlVzNPh4c z3Pq_ejSE==R@%ZejN3#mtdhK*nW;HlhGw?rZEwf3i6iFq(!H@lUrV50;bv}jY_+#? zW%ToMqMHQ0;AG@qm;x6>k^sw=#>d}^6{gOLeAf%kSj~nhLKw$SmF)jK5CLIH!FZCL zTEqKfH!0#42`S9RI9#va-qB?TCC*~Yx!^gzlA@jYqNw=0(btfCA79@o`8V^)m$rlA z(x59*s5}=BHulAKBDRc|;BmBN+Be1dfzr8;m4UTA6~_b&&&A`GZP4udvV+X^-zKElJLFTK;oERf z;}4e)!F{G-TLFJar8{d%s+Q?5Mm4+b+toNMflC{kxO<{Q;zSE>We-l@U8;ym$;QXQ zh@{_RzXD)~PJB_MQb?jYMx*vAeW8d`STnyL5MI|qR9>e#!+-dd z*Ee?QO16WLPOw7q-weNrob3G`kkfsc51l6L;8IO32iphvh1#Z0{Qq3cn&T(PU%-rH zEacPGo$|C7!O9?6HVFLjlKj5=L}|MGI`}tHLKR5osb(E$+>hRO8I~HgNSwlwfI0hf$UA=le(@g-LE?wHe8dE` z2ss+zb4mO>);#t+0uS3j;|+iK@~ES$Oh5>;D}2dwgjI+%2I>fDi=p==#Aq3y%C^O_ zL;AH}>ldSsqbtLCehza~<{sAx?W;XQ!yVkui=SmLmlN_Ud{FdJA7wPd{lF{!k5Cyx ziTf82b(qES=i{Xq{8DT}@id*-OL{%=mlzm)`VEW|^%w9%2)S`7e*(<^@a^5l?VSB+ z;?|G%gRJpPG+!i&eLT;UX6#OwzT|)04u}nkhG`$9G|r550|~@>z7dEccl2nj2jZxVdw?-l+)s;tBxvM3Is+-iJg3{o4P=?oV>5>6B~Q@d^mNb?-!HBwT-{``^clUu z9Hon`4NC6ghfP3M6kuvwV3pQFLM#OUIu5?|zMdQHsN~(wIl7fb$CK4xz?@_ZJE+yW z_|A)zjsP=|wqng^$)kP5?wV0_H^YCt{PmH)fd~%x*|dtFcV_@HvtJRimTmh|;^70{ zeWccHpFB|KV{4awp`8>lPme+s!Xd?!(m{>sF4XE{~C9+ETj{ z+E!c=2j<6=srF+|$*V=5Mxn#86yzaD91VHM08MLqi}yw3)zg_$kUr=y0CF*YA1eRv z3@Z2Rd4B;*B9cdSNER?&x!nnIE7Zp=ud58WQswb+2RHiOCSxh(N?!3Vpy89xqVm(G zr0)2?Tf_Sr{h|T()W0Wr{UZ9_Dq|^jcbV=_P&ATd$#);q3YB!rJJ7lBMUq84dmm^k4V!4K5yt+Qs*WQGl4y^C|;q zZtuX1tEDB~zx;tTy@Gw-2i}`6wXj4&IYvt!XCDVcjHhe72LAnPpwE@FhRm<15aSog z0>P^W;{(hNb&+2!1^BKn95H)GH@5M!mIXaUSve|nefXLERteVRiSl71eyfC29Zbmk zQDI6f@c%%FyrEyP;lTX=HVUT(IqQ6Up6+IBUd$#MCRDeD-f%QM1$d);`8T?U%Xjg7 z<-|1yk;nwnFO17Y#+<+~MICHLZd&rJIk7iHIO(8M)VOmcwyTYe1<$8Q8!nzrCha|O zz6s;uA(Y!|tjZlDW!h7X8;mEhOckzY2%{QSRL4ad>&NREQ@tn0lo#C`&<;lhM}-)< z%%HNWKW~yE&b);e45GrJw6emdr=p;VOkfU49_vR;*}Y*MU@{n_bcHqLf_48dSo?of zPP`yi1GrL?(IHat#I*+p4>Bvm;`xyQCK#rg^xq{OkO+v?EH3}QlBl>&<#=4r`1lrL zWRzTx{DBbM=b4)kC9HBw2#$Fq_z^$i>cfvxHF@8GkLrz<_L2CEzEL!q+jhbmXe!3^)b8BnIRb>bEJ;g-deY$XY{rhfLQp3<QpZ^LSJCcSdrRB?s&>SKomh6+{m)3ilm>50&^i##mnjOuP9c=w*i} zh;#)BKjN7twczWGMBz)Ugz3&5iyAVOA$I9*EOU56mi)Gd7*snFhD^JNcnd3hyPVf` zS0&B~z-R=<0h`&+m(;a>Y1;HuW`ZIoH)@WfJ8gN6JSncop}w4q4eXqqM7TSq(V!e> z$>c%*!NY~E_E;>mo+iaM*9!~WZNUAO(h)~!@V6ds4K+qOgt5a`hZGttsD)XTBA&VA zgK7*G92|@yV&IPq(Ba7F<3GPH5>=}LA>lPw)x^8_EGCvf80N7W>Z78f4)9cRI^XXp zkBI~3M!OIG|7)=VGkppbG4JA$=UM%~t&K+r3-Tc3I6@xB*?z!^96CrYF*qKW2m6DC z1kYk72DZ5UKfqFyhY9c~ZgP=bxhyvGDP|38oxQQQPtgqa{sl;Gn7yc8$20($o6%tc zP!v@dW`OG5dX+IaL>O=S2VZPR7Bo+^xdf7)(_|G=o~1C<7nt}`oSvf)3h{SKh(1wN zkAQ|r8GX$nr}pE_-6etW&S88P&+BN+>q3dnNEUhb?p-IE8=XHtLkhLz?&71*{jTPW zGG30w`w(Uc z2?PFXB?2wGx!nY;9|f%4w^+Kv=qRRF%$AKCobCn37L046ym+_oGA=X@C6YoMIxDk| zzugz?Mvvk|(Xk2NI-hup-wG@}3ffa4heVuQSU1l>X)`-_Y1+@Z2L5lD5K?R24Z=*P zq6EYx_L+I8A7Hj=_RfhvsFcI@z0|PwJlB~M?b-`*Op4S956(8K&i6K@!J&~L&0g!5 z9M34+X=y(E>dqnei%+bK#Gjzq1+a`^X})1ITwZwK*OerTgUS7B@M3n_$s za$H)blx!^v`>kpqTBjZP2q%nT826+zt+b(dQ&4(TT+~@-r9_6 zlY2OaK==LLR}e(@I_WuS<6RHZAqZXZS+Q2072 zLFkTZ`F~7HC_lSmoO`3&I;GYvL;9pSUs^@=*iMqg&B!&0fS88fJ^MOKs*70UT9a$> zvzXLr)wpW9=E)?jjI@V_0s=%EWcFsw9$TvFYD@+J{`zLJU!>k$=FUb`wv$u86hILm}GtiX|*sWcd`0KnxOBb!J7@?`Nw9LYQ!p9KxaD#|nnpZXWt02VQ zL?oKkJd;OJjR(uIhQ-c$-HHNY;tAVKxzHJ#%R;4TXj7jJC{Nc{d8)lu0#PaaQSUyR zh)GD*z1&6jxJRUjvTU_P?2m!MOr-{hS@C7-V$oqqBUI=iUt{9#^iPTQM`{|uVP+5C zh=6&>sQ`&WBe&a(_y@O;n6mCQNGyGi)$E@+2KpPo!F&H&jI6JDVTu2Y%Jy3jaz1ft znBj+tBf$@(>Hf5Z?Ga*GH+op?q^nP%K)KGe}+GjjPrj=JEs`G@|`k$f}ukKJJFE@uKF56X%;H%a56S)JN@ zya*xn>*6$~c;9<#%VlF*xNNu7our2=Pn3zNF9)NQo#i@ytC)ya6?<%FMWp1Iim;h@ z8zElZOE0DG(gzjt1g&KAY{gx760cO&`At^l4 zHvP845n~)VKjvyRQHG)r0*DGNM^K9cNZRgR!MS)FO9-EJ)oWcS*x<4 z!|<14_FJ!|Mp`RJ@|(Kgh?vv!^}bJ2IX_*WlcZxDZx*bD#AaKWyZ@9Akq@gsha^QU z3F^hk)Gas$P}0fZlv_84zHufjF>zw!Wf9Wf!EA_ut8QdPj&W_KgSpF@r#nYpB01an zcyMdg?d$hhjXy76=)_RX?$Vkvo$b_)Vjh2V#2;ks8F1*qDL-UCRiZMM6@PvC^!&_A z@qLZ<$p`pr^KVWMBwR^Tv@;z;L+4MLF$` ztfkGVNNHdTFCKhxv-ZzP?TTirNo-APB&pf=B$7Cc<(5YhvL973qocdh_t=(8V#%Lh zevyCiBq&Z&X9?AwDz5A3T>M+UEC?Mar^)hdH3FmW6M z8?#u3u0a$cBQ7DnL)ZlGzV^72HC%sBapJt=#(19oPJ6!L0&fzwG5>RF6%Adf(42Js zPQ0@esuV8mkf>%-xQ3axL%KyJiaH#4R5{`ZM5Pk84BAh2;m%Llch=&UsJGmXe^KF3 zgk&NoJmO!!Lb?9)N8@f*qv1lsfNQ~AB|YQUTd0iE5;9k11&Nq>SXxs!=kM8L*I$OZ z$7Z6_6K4a8ml~EQmPNQJfq3TGI&fajl7t40)`EuPDjecq59x8| zU|$0hWQ=DoD&NWQ33Z}IAX6X!D(8y}0IBa0x22L!-%~r7rV2H~#AbRvV<~auaQ6tvI-G52*<$Y5l7n^j#;fTzS8Q;Kb#Aq5DHG%HCS?k{p+l z>Xks=dG7Cx7#mXGtHlPPK*4$-T=vZsLsB zOX8>p&BzT&T)*odGq zsUw`nTwg&G8#eAWs(t-R(v9*?PUf8lvYeD>j^OMDfql4ma|hoqQ|TEa4H3uWyVNWE z?MC?X)sPnm$lY<%;i$}F@l>#LTIUT%ftEq-VP)2#SZ&w48CT5JYRZmxSSg9IQ4ZEF z5WhMb`dSqFamQ42>E-W(z8a|>B4sM7wb9S8o=KtfIM%;2UH@3k(>5hjggd{7YkC{Z ze{6N%B`9c6Gqcwetndh5&b^8LYVK|pzhSQbOfqX(+a!wM2TG61UGjV*Qq$;hv;gr@ zcDZ?aQHIkhU!=P;u{|SeYZW~zDQ8Chn#l;F%2j!_f8cIsEG9{eo3Ir1vpaGx<%{hP z?fJBT-P+ZJeIm%Q{kxO92T7set14VvRt+D`t24QghzRImj#$l$o^W|I6kZdRM>VYP z)MnIXHZHM*2KlMi;NgC6&)@gbg=HqDazTi_hQ4Gr_f~>NZd}s0RdWJci>azd*%tO2 zcAZGWsO+O_CLpj9oLAeJWQKXk6H!BXeV|gewW0eLpxTU@Q5Mj)OilB~FC`!{O)Kk% zc2(mNbBsJLW~E|OGUDsgB%{>otgk#gG|jZ$xX{aZw5?t}IKo8-YG7Iddu{`1kxx=$ zetv8FuAO*&LsFPPXsXI?fxoJ#=+ef^Nx>BRd)87gsjtBwO`~YNU;GQTmyOLT4vf~a z_>D`}B@=&0B^Iv|QJUY$hZ`VErs~wq!F6D>7-k3^J>4T2YtK69=8Fgg>KZe;Y;nTi zHx-LkB^`)$YJfe8BS_2P&0bGl%|^YA%~7GqIY_MR>-OeM3{H~fJff3nF{>wb(KaA` z*eA~j5>b~zyWbQHpj`^aqReTK148qFN6lF}vd^t43Vl;6i<5j0R`#b?7X^<*3!uTq zrUs(K^9B+_^s@;AYb7w|^(`mjJ#cAhhM%FWWbQTT+cLbezMjgXwJslqa#ak+tRzj% z{S-u91EH9BPuHX(rvIm6>9mN45>W>~gpHMTY~~M{D+}<&73P%qG9KYKV=H!}#nluw zv|q0g%R;yh%9>No>9xMFogU?4;9FKZe_c0VkhCHm@|~%`UlDAE#3zCA_4kBgxv5C2 zr5X>+=S^3C2V#};WD;RoU_b-rP5lb`M`uxX2Px$uNfo&;r7a07R?s*2oPqEf-8Wez z#X^6(GH;B&lqxP$wRn4$_5-jnFA5KuynHGj?iu)*!?#X01cp8(WVTUT>RD@7_G?UdE&XD zXljl{IjPj9ocxttb*6}p(V!H4xs2JLDyu6)e*qY3jy6KE(HoivFC$!OZAzFsgh}w~ z){?>xc*YxiJbGW6HaYW)|DeepLdX=Okv1`d`FWN(G(UKm{@86wd_#fSm)p6Fk}Z{v z^5Kls5dW}UFhM-R7Mbdb%PwEY@;TbTO+K>DCgPeW3N~ls8dqTLbs(9y7S7|q3#_~l zI5OtMe0PHB&{cPwq*NmK>TQ8Rvy>Hjk8qQ&0Iz6a&e6~C*9T|DiA_MuXWVM9bsGDc zFc$`#%d01?7^T)kLvH{nw3vlDeplTwN1Lze8n;?oYH1WTS9xyM^BaOIpNefnGQbuN zH`ki1&7ARfuPXoqIBttKksWM}fejbyZ%Tz#pzDYpd5jh@ti;1Z-6?~^{!*GHrN6vq(8EX2IdZ=Q_{7zHLT5>i+y@HnkGoICiszlJk3|p5D z8wI5Y2V)yqp9BraYroOFIM?M|)6=!1(Vl-#U$nSiIcA!&4Clp=f>RxZb zVHy7>4GuSUrut9T7pTES6jVA({Cn)$r&_ET?{7NC&82b;Ox!B`v78iaqUWU01SDUg zg`!RF_K%po35kiur)+9`rS)F5sr#jI+{&idP_dyo#BaQuRC_${7#MYTWJOrqxDT?Xu4xxTfp=?C8_|h_V?T~<(Tbeoew*F-=!(nh`lsCt{`0| zV&9A%jMOm57L*6d9i1Yv)jk)}RB_f+Q?!48)(j@15Vw^==V#ygkf61feS~n{okoR4=rsEP zlCEh}nq=GBc&Zsn^CCgp+}t3EOvICFMf*9fyKQHkdo+o%)8RhqLEbiEX-;!_%zx#B z(8@Vo;VG^#(OZBtopv$xyyHlhlpKasBsA-Azda~v#=~y0mAUdM;XPV%NhtmrE;QI) z!(pl_{3o@vBh>Nnv%aJv{B%1c1CN9wwclyPcts(x^-7{it{I9cr7-a|ckp?iP!F&_ zoMVUW4bPd-14Y@cW((=!Zf!JtG&3nc;y1nJa=6Nkk%>J+X;vxSeX?G$K3<4O$&z7d zA~e|~u)$U!jd?)CNw<;QY0~d%p34f=RM^2WYGNf~H83q+v~Wie-J9uFQF;q%vaf_H1YX4~KVI zHkkhgKSF^`L{JZn)t$wmD~1=jL>3qq&HoqR!KMR5zN#ZvNnV39!i+p#e1;|dPB{T7 z0n@!%b3Vnl_Xi>aVGa`Sf`mgcWC?=hz64twF%ok%pH}dJP30puQ!Rh%b~{uqHwh%; zQmWIc+9!Y{g;_}^yZ!}`Wck@#ZydLlLj1<8UIOdYu(Ua=8T!KwG&?2sOs{*aZi^lB z<_*GVw6~SgaO^pGPNj5}G;SMT{oX(A7UbD(2X}d$*iF5(Jp8>yi%s{NYR<}3A_haQ zwNs~`@-VupxY#?|@vMrX>ncHe{d}rMxVFnge^2{6>|Vi9_Xdi3;q(9zb}8W#;ccgLvq7?4!CkYLpugvYD zqB7l>qW8fBnr|jdv)u5B<3Ip0QYno(Q8-?Dj^#k zaSuf}oP&;HZM=U3gxa>z5)TJa3(#r#?GNg3iR=R1O6+K&Y13x!g4~c=8FRfflcu6N zvX_=Mu|NQB2JuVo5^4p=vdS% zA9&=o@6h~Rx4t>{fXj)S)2Y9Rpt?1*zHaEct$%tWe@DFRYHvB`Lx~L4bF>lEh0-`K zX$HoKp{Ay!x6T_IcPnEcoYGRpr5($O7pS~V0hLi7vhpjO89rl*oO{2?e$dbVEc}Ub zA6q3m>p}@MTcJk5KL7YG)}IxzePlaYW*QJ|xk&LtT`ZSbD)z;MpNps9X~@rK^2NWH zjiXZY^_0paItDLM9_9&s&{flO(h~k$>0)%CeqY@YwOUQ9Bw8&IB3lTz;imc7K>Gb$ z7cLn3M}AL8BUC=mQ$@?F{hh9o+yc~hn(Q5TusiBeP+S%#Oe$#j;@91W&*mvx(Uy<^ zZ7TeiG|u?$FI+e8a7|rA=GqjX3?}Ug2Q)0?*VVN23lSET@Y%$B3jHGxFF(z)m?8}g zyRESsw?J!O|0~#HadR-%>0ya+M3jVBiVkXC?Vk~>d$*@AXh?PqVy})Dp%TH{Pi}SY zTgK+aTQ{RrZ2Y};LiFmzx_PSitIK>fElgFmJKcW&>bKGUQ(`28I}vx)?9FZ!^H=p0 z`NDMo-t7eYqi&d+S~o85d%x{!2{l^={nxq|b{iLWmA|b8-yWflnHtK?+A)nWFrKi| z6#L^%0bT5&A@^&a_H{Ik;5IJHQDuGg^pT>ik#3iC*Vde>aiQT?T9g!v)F!}0Y4qUT z{&CdI=B`NAjU%h&pPhIm0@C}PX zk%m!FlJzH2@lexi(0AlBpLGePN#R+5Yrg9h@V?p{G*5i_96)|4iXk1L zUy>Ycv*>JO(4}qu7DJkrA^%-olF>pnmoNe+%2QVWXUafA{0Hg`-+`cDUDMq@bojRv z(~3MasRr=b`Gu_^?=Yd$#LttgvJt921(O(zar{>FW_`th{maePk-G19qt;dXD1gSO z<1U}Kg-(Y{DaSZfD_X9F`_-0|g2EW~qPIED%7`W}n%3mu02x`(S?H-eP#6cK{qLb+kra4?xI&xqa?ukPkD>aFcN_F2>zeW3(#7%LZ2}XJFO{VePh*990|jz^_t46!ogn#(h1}kviS0x_J{8nnpjper$TZ5 zKLF%F8^3V^NCbi6R&eSUx{p@32Rf!T)-|pARyon>c|y=T&2HQDww=Ei(POdMAQ4&1 zIUbv0cWw2j-7+FS1!D|B2J1pMYV#8@B8k);o!!lDgvXI8LBQ$^k0QAqx0i#B)@>Pr z;Ohe&Sf;O3xHY15>=w_}%jv(TnfT%!;NsmJ0hm5&Q~t8EdU2ul)@!qIWjjQ%%-=2r&-X;?v|&1N;We+- zZaMMCi%+4o%fHrBZ*ODxs{KPu43atYpC9Ix#Z%P!Xnh-Rc*!qqexIaT-QdCZ@Kj{e zAQt!1JQGSl%%%R+H~IQ&xG@lZ(xGv6L4Ss5&%n=Z0;R?_E2 zrUIB%`i*vEU}Vur{VkQbjoqN!L=I9@M(?cMK8W>q)*N=V&jFyAm;|~dMW0%_Yu;H< zveMYzYiMw8?l)?=-lxzyy|rU8fra??w(ZWbr*_d1$SL}FLF%+$Yu+n9y>+k;Q*;XF zlJ`kErrf(Op!Rj=W7&?}g6);QV}6H22So4B#9?TC%^Sw%%Z8)J;Hcx%b}vt&w{90U zX3APEi6q1x#Sdm_bF6b;Q>@1fKAmlF+#L9jcraA(fFKfU>etDZQI$kr)B9ava|^bI zOQUwsM8WQ)+nVp9cK~3)okwMziCgN*s5+v;F`CD?321OLw|A0v41tT3+;%#<3*2jf z0oEnWojg!57gyU~G>oRK$G(GoHE)Yp_ZGD7bAFHpNcg9d;8h-)+iPp9A>NBX*!vhO zc|V;XbMaR~Y~#Zs0kzPV6hw%t=!V>*U80s$`)n=VTG9kIVtvq{{Pr`|Cb#X!XmzJg zXmH)fkWlJPijAk!4P#E{JUUxF+E`^`-0XL~%@6hcMps*|b1mM|!MAf`+I&;uF;L<( z$B^a(@JEYXJ8k@4ioBLs_pzbVUeaVlhY1nlgnETvWo}4o_PyH!Of>G@w_Vx|LaI8B zEg4oDE(AHOXkcs0foLS|(g!G*Rl44u1HC(UJ=QWoEFiqRNOq_W4)^l)`&S=x%SrU> zewN)gdd%IoZm|*___UQYDk*hl$Gd1YJ8=x)Km+g58f7+BdM}O8z1XI3QbNcNG}zV{ zd253Yfg?oK%_}_#Of}!xa616OI`?q48r1i8?qeR zuwDx?aa`v;hIl_n{p+FV2WDHE7)PepdbV~uqi9XyHWyHDzpr9dlKf_}%Pp>VHGmTU z?K*CrR7cicockG1y{~*|Lqth|KJOKYmG*nGbD3L1a=mwck~71z=iFiV_t04jgBv14}IO9w9uFg`uPt(qNf10Ee?nO(KM z{{T=K+CG#527u~TzBkz$(nSCQ9Ks0#LyNyVcM862h7;hi8XQ+Hz6{u-8Ng3dW~ec$ z+U{d)sln`b4hpNf&Kq9p*k5UGjy1MKk3A&=2OiOZlFE;aK{^!+2K7GrujDVNS4c* zeYQ4z(WaW{tn%lN9~{j@N~nI7cKS8BgTs(R7`e(f#D7G1{ayZ%PQw!-L`@Z$=NLxhEO}bkeJ=WQ3*QZ?l0*e zD6rV!>An8|NzHL#cg6^m95-1|$ff7h`#;b=Jm@~;4vm63fNl9CIN4imbM!7Qc_(Xk zNDXfaIb{YgsPS>_6X41*o0qD%dXE=Ey6Ry47>(MK$v+aFHC1j7>DJoN1FC3qhvvu) z-LGLBDp&ntu7kDpZ*yG^HM?_mfC+GPe=CJH2+J&e5!jfK;utgKh7;?a;_uDXI&tK% zzOb-;uM;Bg=*?}FJ=}`k{iTn0mXNRFbvI>R`dv|~H+p2xxG=#YS_k5+Tq|oF>qu>2 zdyBLY=P1qwCZwEsBO%2TV`rMBwa_;gw1e#c87KO_GOzUvXS?e2X!MPyy|1$z5(}8u zZZ(2D0yaKZMRlRL@1xZ^G_*7m@G9_QhN*%p$$1(LHsiYX7F5cZ3)#8OHILogM3@U> z>cw{oGV&fA+Vbw-LtUZT8XLYpl?u_z=$pxN=-v;x(9^G(g`r-@v^j)1r%*=(B@yDH zS-5_Twqilo!_8G@8$AX%=G^+m(jUFB;vzK-?yV6uJ*32k0(=%@X~%9SrA4l<7RI%< zO>WuPZ(-0kcigAOG&ry12T^|Ws{muxJ18SMe3 zuw}E#+WN1Lb~jFaIWKGMZPspkCut<^_W5e8-_fx0FMaRcEV4K4Fb>fJu~u<$D#WMJ zs!L>Rwt-HhC7+}phuGW!`-g{95h z8x94(1*Gf&_M5V5we?$jTE~{h4B{jv^z6j|QCHd>W6P{@Enx(YrNnCq*u_r#`q$>O zF0doZp+A~nWWDb7sueH+w1(ZCFe4A*41eirhd8ykSC=<)MQ<)|5;mO|2VY3eeVF&zb~m8g ztFzNPL6WpG~K0O6bxFB_cIRPn~sN(PL9(Oxo{Vrtrr8kXN+s-M3iWh0`o`*JQgO7m~^a1>wL*2Y;q4 z))%81G2CR<(tO$y6wnNc+6d#?6F59qaXCFbw!5X{Il=C8cg zYh}eLl0mEfU7Fa|SnRlUh3$SlZ`yPK$pnGgQpI-cOU6a6ds}UdFPL-(XaR5Btqghv z#_?De5wzF<+(7Lq+f7x17{;^{xPT&0?;kV_k4liaHiQVG)uvuooJ00W~>s?l}*HztakzRMUx8hetd=2e?+7Bw|8#@R^sk_Nur zzTKQ31+WD6Pq)c5#1-JvkAshukA!HlhduG5<+4E^oE@zA>aO(aUrrr$ycW5mPza=Q))%i2N5U8243PK?djK2R`eF4tC-+*O0IMUf)JprKQEMW1RN5=^_Yl z9g}#q*>!2Vqmf1hU!}-pY}B3ahU)H2p5;C@JvQ47l`^-!Ho47$V@E;q(O`89k#APc z_1Ir+PV}*X8=A&|4uI({nUvTKel9~YE8b0yV|}m&rXYjJjS{_Tm0D_E#j*%fYnE~9 zFLf4ORb+ZDcynJec7Es_rB_rJHlbTv>RL!FF8UxdcqTh=r5NQ`OeZt}tKV-MbmmoW z@?dt!q&jtMv}^rYRWdRyzo%H&{)OVtNyW0+f;1DT-NLlqhlDygacK4ZGVT5kiP{H* z=%C9p8JKX17>*-n@@K>ZpeMvYmV%pOO$P`ToEE-rHMYaf;u=rl6-*c3P7Uq)Psayf zI_tM~-;qzyx=uc3ImpQ-(D2tc%(zRQN#|74mg5SI`-15BBx9p1HN&Qj`n@fK(hb?j z+Y$I7WL$BoK80SR`4zN=fHft=_6t3%x20s{S5tjPL!Q=lfLjkg3Dy+)ciJwx>)p1> zFWlYj)Dj>Kr0cW8&Q$n4a5Ex=O>p-kqXv0!%h?9~OeH zDxEgA)sN}7=&**4`Tzp9nWrCx{tTM%e_Fp4j|F4oW7mCqENfjFv^Yo(>`3mm4+V4Y z>a@2f(P-Y*xwY8vN44)T&>P9~juWv75_o!Qw=|#t6C$OitxRl3Q;lsoAIt#eHj5tC zn@sCzapU=n;Wn#-}aBU&n7g%;}ck)c? zqlJmp@@Y1*_O-1gtZW9f?bF&LLD@H$$&N&EM9U%9)6grI#gYJs$P8maecP1M+FC%8 zTz5Igl6*=PIFH3~IF_2LB)ifr4r@!bJ9TLQ_$I~5Hdkk?Hd#)V4}|{!auyKJ>L6Oj z`b=;S4G;ipzKA9XE+ds3rH_Uln+N3pYp3MP7|!LbSIkRi(GM+@HwCPVW)VD^I9oT;HpVu$eCO+iUxpi{O*-ZzyWNC_AY~?fYXs%bghmX zK;SrudqN934mD$KcHG8xV6ea*0Fgh6(Z!-G@@-b(B_uAhXN1H z3+!$*eVNx2R0hV;J4b0nves2wta*m86653$-JMe`ZDc>)v=>3z(*FRW9DLNV;{6{# zv5I^jqQF|~@(6Q7UA4fD z?RSc1X4PfJk-BBgYsmJvv*`w(B!0=#CyMKbs0$$wU}MF{(4Y71%5FAmolD>97T05( z(Y?N?VP@ZRhKJmxRyI{k$7!w3i{ES9TnPa=IyX=GOV*w(s6rMst2e>qja!^x)FeL(%RwasyJ!@wnMDm&<4_4>F=Z^VN_iK3D#y`TdNw%tMrS_)r&y}A*9D} zn!dYRv0s0rL1Woo&C@04Xfp{|RToUp!6iw#*Eb@%GN}7GwWZB*cy}D|5S!I6vmVT< zalK4x$p+D5V@`C0ID)9OI@y2QGAtXMYND@AfzAWpVQaK&gqT^!6RJI~iU@(aNV8x3 z6=?PP*!(Lqm`h(}b&hm^?ZfA)=Ubnf5$ie;%go9qA|!#rI<3db#zIC>i6&AuMd)^V zKdbNClvv%(-A3yxadC%>3r>zE7ek`vIt68zwq~+lkXrWZtiy}A?pD-YQ)+u}eZHfb zHo2{W4?jhsG}TFd;EbbX&em4mSkoG~kaZ;!qGjg3=24VIjj^tCANjzyU8MKXHpT3^wB0sGNvkU) zWXq|%06kVmxDL(^kWs7Yjb(!CEOqZ^&@T-EzF;&BR(Dp<%5(A>&D7)LyJc@f{$t_co(RJDle@z%qOiv3n|u)Ea8JyDngRL6~rzQH2x|Po~*( zb~wP*qw{_XIh|u2`%XP?wa)?DWv!2;q1;4$6l`v*UQMF9t9QAeg5uWlH+>Rk=fa=c z$OfQ_@oW3oNfbdc+fCbt8y(cTgIj$keI`bQFvhSjGwl{_97thsAJ#3ETK=JT*bYS% z7TEXtYz~7O?Jh8Q5L7Gay;j^Gw+xr>EiY|bj-YGsk~=E7jCik!fNB%Vn<(CNkdHsOnF=wpnU+qAS3`=P+X1{Y8^VcBh?S_bDB)VFT& z^FisfXZ2n7zV}kl8b-oE1;cjMfy@r&2~Qb}Jv>}m#016@xocJP7ecBTS##yjvHt){ z$C+`C+GqlsD`jok#)h)9D>YYVOCD;%;7}(z^LNm_I@P0M`BiSyVbL&$|!QvNw|sEuEx|Bur>k z^tewS4_l=14{wX74-&_Mx$ddc*_BXm1X);dXu=lx0o1jpPc^lNla)mx zw^69)YnodpxFmun%qrQ8#-=kbC)39SwxRTQlcR%syI2Se>Rm?Gy2`M(RL`V$5=Y!3 zQ#qMpl*EZKk-wL+xoBmKI5d=FKwEa4V9!<0hd%OMXNJ9$dUx77eSc?b1@$*d-W&tA zkD?qM8i1b@F1)&ZR(pFnr?FM(%*o1k>Qsl;b!*;8E*x$E4F`m%5Y{Z^Kc47yx4?vbY)D%SK&8z*~Q_c^7z-s0y=pl*}l3e`8g&Nbf8 zPSG;ZWNGF=MdeF|d@=o8jafflw!@noXD`-76IHKgKNF>lOW$j4E^FkL#7S`mTzkZ5 zv%a$ROR*hJ7C9}yC^^k{Z)8ZG%Xqn*NG3G^>ax1mNvo;0lfTl)#(w%P0$`p&9l`FM zl2VrET2?4V0-`8gyz?y0U^dZhzi6y(+ANUcJP~0bbQwKEEA}OMRI#ngzh!J|h}zp8 zc9SPa3YYxfx$JdTnuRaY&4BJ8b`!>{M*JLXj+%OF+Y}ZC067uC2gE4i2({#g5-n~> zH(y~e1DY)^)apokeEb(f((Zk=*<0LR>RbSMWl4l zjhS?Ma?sJB(?z9~rY)z_^}UDmU%mX5QmhK=wx_oi!gqrAS#Ico?Q@3XyKiM@5r~Pt z`fL56shmj6aP}9c_7=a`>B{=Ym0n#gvY$z%p6#Mxx{f7Z=)eqTv@>Hxuh`Ssv!@F@ z((K-`nNw^HvYop(IJN<;vk}|GEgp^oah%zmwm5E`+lYxH$g0EUEwi(U*7AC79bMz7 zI?9^nu&}X)&CuQ&BSGShGfkt#ynI zpH|0K(8n+m8d!Hsx3WLg{7l?#mvuRG3+_2?bD2x@1?P6=K7TbkAM=CFW?$ydm!I); z@ZQ611JZKrdLOCXh@A2e`I2j`BQpN2oC6;|zLT zS@k#NJJU4>5Z4XgNx|BcR}rGVp5c>Kj>@gpjBqM@oZZfL*mnYd1iEa8FY8WC_?g%v z66a%BXbjv#3E0s0Z5z(r#E%sHB;A?P@+`@;+*^}VuCVNA^y_eO`UW%#_fqN1yCV(x zIDcwoSj`S&+r7Jl`F$-=2TOnK%%)>weVw;oNo`DF05m_`cE@C>*-hvh-nF?|c*N8h zTMX9dhXz6X6?_-jkJE-7cjeRSv}feyLW1T-gwoY*HkDbRV@?vc`{@A7gW%3x8!h(f)JavN@UaSHo_% zA-2*lYZ%(W78f&6?|-!YFK;V5q&oMq%u0Z()TQ=T1cKKWmVqlp*j8P0ZxotMZK@@;eg^GY5BD?OO9uR6G!rO4|Ix0x%$&(A(Q27S6{sMD3pmO|f*EJGHa=Mfo|S zDvJPX{kPQq`Wz@9$TEY&XhJqVE08!b4 zLtk6Lar9%;X>@@DZyy9lSjxoM;J{1*;s(DpJ-`Vr01iy4c;>W-!M!)JPjc!^jcv+bOTgZ8*-z0NMx94Ax20l}?O~ zyOE6S)>BZRNo#$?mX1i&ennq5wq@%lioZtgylI~o7Z0Yme0KUbF|iv}Pi2Hy>txz^ z21Gy}5Syo0lhbQ;6^7kV{%yqVbPgKr49ALmJl15;>kqKkrGjJ)CTGGHeO*_nXI$p= z`Wk9rl3W~J2k4Uo0X_&z+Tn+9(BZrKQe*CMOTXz`EbN=xlWUyO>%Oqo?R0JR4$-qo zd7fj1E}wyXS9VR0^%zOoevmT-o8<0iaVmJ(11{Frms?wtK`*R{8jowa)O;1J+@@k; z*^=-}D-z&2iH#MEGmp0zVIMKn^(X4q%Z^^;vSyZ$8V-PO(b2*B5B|6C3d*I@udgE) z)~s}pmq?T@OtaF4o%;-BV zxbRk7i2`+rj_{v@A%v`J+;>^bVi_UAbnJSE8RsLYbkm9h&RttCYhM!$cIL9j2ph-? zSEluB3NTw;h1FSH?y1die3LN{s#}k4GesE8V8*k1t+vAATH_{MXNc~auDjD~sjPNK zsA~bPvJ4UjDZIoX#xe2P^lq)8g~T1M3=kaC#1(3*tGc$?Q-j#;Lx*$=I*v0Q>cMl%3_oK@0QeKc zsO63VG4*ipZ6o5$Cn9B~@ooo#4B+mdZ+5*dxEiQ@f+&y^amIG{c_J zSlYp4HLQS1@a1b$lU6_`vm|oTA;aWUh*M#i#~(qvCRe?Z!Jiaz%H|G$My|9C3``=+ zU6*?r+uk-qJUf>j?r{>T_1>&HZERx$PDP={uM$WxpFY2nXMb zxvr#`^(%Z!K|RDJIZ9S~uEz+&LFeXLwe{?Vv9{ZNMY26sJ%yS(L9~xGt(Tom9Y5{B z7lHt-gO@qSXm8%R{H~qU?#8W{ZL*w;bh*xM!COJsQ=y+FwSP}9=?ucH{{W|?G0e5x z8yI&<@+C$uRpy+=^?s`R+|u?jfC4{9?Vk^EmAlh&&Q&fhZrf`+UIwGY5%E~At0u=y z`1uCiCu>?-WBEYB*y(gX9;X`y+bkfK13N$v1fv`3qfMN*y;xXm-*g+8}ZYUuCxo8I<6=-0MMetQ)jE z%to3k&`|HH%UBxA9Z}p4_Qb&LuZl6DAawezotTlY(?W`@r`daJEpr|L^;x!B2;lGY z?F!GBLS+wao84>PLw4;j8}Wb!sIrWz^i%|^)!kgAmzYbG7bq0k_fCHl!-SN&WRs+& ze3A(d#VGrdQokZeB_DE1Q6zAbr5^;zDXX0O>xWbx?_=7~$bn%V(&_A~mfeq2+r8Tu z>Pa?PtKH3kt~_o;>bQ={8(ULvF0JeUxHoH^5dC}=c4Lfsp6#D!A=EX#m=>Q^pPc45 zv6W*Ta1BNF`uYCGo?b6$|p?6^sh4OAF+kCx%K*Ohr4@+nUxHtezjw&b&YjT7Hkxk zhd*ZB96yp#)7+PrZ?PV)W<3uVarNqz_FT*iTLX>7rH;7P;qPpIX)!v&4Nb`*&TELe z+H7^M^#K9yKcjCZ3qmcpb(}0(y)kQPEpuqL8eT(BwbP{QDxN<~b#=O@*G@Z|O`*?g zfiCq7joJeZ;VAIY)D2Hgo>r-e@kCKRk+JKiTK%ai7h7<;9?h?+x)}QehPV0GIicF_ zM2lYc(|+>SKFc29@B@MVX^n$FC0)2SJ0sL~Ei^X!DlB+=NpGd8+XG$k*-T+uU53_U z(|cKEuXTms7kZPSk@=o!$A`GvO}{%>IO|xQ{afp=?(p{fG)Uf?n)6;ky0K%ZWmmSw zdVrRl)5!5q`wec$IN|tyxNhRXj@g6#rKN>KsKlm{Lyc?=Bye=>iS~NEV|cB;sczEv z))Xfurs&p@^pB%pVbU~Z8>1n|FRtBMSY1Bqa-EZPjCu6^CNj2cXdAb9m3=%V2ZbD@ zWNi6qqbwjGC|>uez5Gg(C-O{!<)K2xHSBX8!@+6z5ejmm=`ezxI|rfV;hQkB8hti7 z)=`qsOI!$zr)V%%pGe2artenSZ7ggtu5Q;C9SQhCwRc>`W9(%tvnSCzZFN8vwZpdJ zK%au17f{FQEpe(rZjNKLa803WtkaR7P4wkt?Wy+TW;=fN4aJTi2M0838fZd34m+i_ z)W_{4dY0JI&;Zs3;3SoAGd~*=_T&4k$as-eV{@a$-GKP0;`NMMZWK70?Qw>&@u~0y zq=cwm>esVxK8NH`>go+Hcq4O+7Rclnauq!~`a@V9U6ocG<}twgp7E%6I(RG18cLWd zQIbMDBB_d4qbYG4y`1rblH3bxZqswDb**gashyjkcJB)2CsFjU+l*?lj$?MinC-*i zwWY56)aSzV={y|fR&kW?ACO!(zK2}@3z{jAtQj07Vd zD8-&OBvErL1%mOnZ*bkaMER!ZPaQ6Yvh$@hjZ;U~$j^%Z|V8D#kBvIKap2l=UU6lw_7eEQf*+*bmz1;D8wT{qNwcs+~H@ z?WNXM;PyG%Ii^9=$y-qnc&n|pEO1{+SnyXHoG-xY47rbOj(+?8DW0obKL|PC!dlQHa*o?+G{1DhkjM=0Gr%L zZhn3oB7K9UwZwW=w4bEVc8NYM1{8Vmdc>ebR>#GY21D)e%o_(%I*`@qwYix2V;G-6 z*zt)yhOy7dAL`{;?yZinPTHEl*N!X%`n!Sr=~tN6wV|v5t!{y!KmiKM@k9Mf16cE> z?b}KFh*8T4s8JUV`xa4CfX&S+?m3~?XU;bvy#^=bf@J*{@< zRl8t$AT}D{*0>!>&}z49Wo;j$05t0(S^23IW0xZoXvQ;G1UQS)Cy@s|l5XQtt(g81#$?dA2Q0f@{KCe>ZNpXfTy1B>7VQ8*@>nt_9u!+hS13D>oR3>9XulF%>Bp>vmZ)uLtX9HI>$XJQh9&iEA86(6Y*C z#&%Vf2GG{;Hg?>)s=Z_bejKzuJ$C>=I*N%JA2rT0*P3p+ z-QKlfg^>*n-SmV2B|3aCfF{Y1Cr>*4jBzuRXxICv?ooGCp`f|` z){RS!fCa}6p_D+I93zcS_o7vqb@sNa97ukS6VH->XN}bs!Nn>j!`HK_U_1gZtOLZj z3HWNPt~Q8cGU|7^j;`RwI0Lt58pkWHZbcrT$KE|q!0nT)D+VCMN;lVkr}1lvkuw>X z>?*sP)9_0vd4)opoX6vwvc?*m$5_S<+r$ZIJ&dJ|)Weu;$a8C8uhcTfvOxMpyZMBD zxog(6)4DzAX?k%B7p9%t{HFYb;`m|gnjvtKt6_SdYnO|Z1O-;!F*ziR~(;SH&5`}G4)GV(M{gvVD zeb#Uw{&%}VJ0s=_gLm6ewzAU8BUovJJA3ee%u3uG%ItNH{T+?A$gmIz*xu3kVHWjdNgcE090pgG~K1i@>ZVaK$l#;&L2-9?oaSNckWO@+arZ7$l_ z8$*Eyl%uF7%?l@l(BGg$^dMA8H4tPhgI!Byzq1=vSyj~1&CP3E8><{n(IfLV=Wmvbc?Q5%ZEwobL z?XPpBn;?$F&WMpiQ!#XR>C?>s+0bJ=B#N!b-^sg0l|Gg}q53y877jG{B1#4us&*wV zrMoID8ya~6I7U#*LVWtRVs0Smu-j$kQv8V|f>tRf{E49@F#$r>xz)L>Fyhi0dn$pibEv_GI zG>4I=s9GH#JyXyz-B>uKhOf;v#^3rWygH`zak52>>Y0plwm#h^!I*vWJvh;ONBPt%Q=3K z`xs$)aGm6VwsnYE?;T6+HZ_lX$R)wB=Cm{xxI>S$$dI)eBa}%~LnjFNL14*?jNcT8 zk#e(}en(Gx>|?z@UA7vC_j)ZOLecla*1$MlvW0Nh2ej{vcN5-Ig;#e=4?55s54%?L z=Bwp_l=#6B(}sw!&yHL%;WsUA`k}$1!Htj@@1{1?{{R;T?c6?T{;AiE=vnJAt;uL@ zZ)1R#?GQvCHGBcIcy^8@N3ZD%V?zDAqw=PlRTuz&oBid(C7EgS_G;N(SiMsF z>HcQ6J?{r&ne%W2$!6xCkMw z221LVHy#QuMfG&{Q;k4qb&lIuc_FL^#Ogz5K&^7{jyM4yUP%&k(}$~5n4IS_XXwQW z8tcUBbeeq}cQCto-br)@$vW`e0=%g7n_X@@oc4Ne4=sBpV2unAuPBZCpVs31S;r5x z{azm%ugzn@T$Wc?w;M0?#m%j*a1}L^EpKTf={t}?h1Q-HCVm}xbUwETdzf0+kEA4t zgW6#~1fV2%#e=7lA2S@1i1y{fDC#_X5OrLqv!|C(?XI!xsJL>??g8Hdo2C6-!pZ6F zyC5G{$s!NY)(6D=RbHLbWxkcP*xKC3H!Zdkwaz>S4*PlBuhoZ>O=g<&Z55pWEopEc z)`b@{hxLN1BtYA3d$RJ`jIrE@w=45Q((Ssue2QL8rxDI;3GM(S55W(h^y>4$%gQFl z+gl#zok?@#z?p#G0JO33>c^~CT*S#IQsR4(fldqY9PhE*X|3eA(pntQPN!!Cd=zrU zBNzkf;rWH{{_`YqL>UpM7R9G?ozk;%E39v!jC1zzJ*^KP4+5u0RJ$V2N~)`S#^PQc zO=YbO`Yzk4_bZ=cW4F5@#`oAZytn{1{^)4nC3Yq~+eM57Gw8&K2a%*`Rg3~KhYaIp z$r}8lpkU9(Iq^bVB87_F_1B^%pL>9yBivlv^kG^9oy7tZ{9;?DUrAdgiq-kHt1EC(jR8r zch)R+f&gs%;46DvH9RhAtK8>N;i7!FB>)ZF zK^l4697N+e5yQhGC9eAc`yMa$W$`)~?f#`Iv9c+!Smqt9GU+WE4~biyK9=83US-a{ z-$v^zWle^#208?gB|?@Sshs>m=VMn@Vea&r52?7uh5rDW6;X}=^=z5Sh|#4>KUc}R z%PC_`R%~dou6Zxu5EoY5dIgQh3?8n)&GD|^1&2>gZFb~i*Iw~em6tfNhTX0XCuE4{ z@K+s`Zt7}l@*WSU9@e#@&|9_xr%feZj6|RW2^-z{czHBsBOH=BA`X$^;qQJvZQ5}? z5Y{%=nwSV>W*`IS5@WI(x^T1+k#p|$mNsA(gA#q9NzihIi<6UJ!!LP@4Xv@R19Y-> z?v5NISVYM;jFvK+T7uhjJjw)0t?rmco{?ITcDl4QXI5<#)ilN5mj z0Aok4`m0ouNimUAN)Lh3h*0{CI za3IgYeE>`iR2@Ywc(K*6*xnvm3x~{!K#NF~JpTaH9-Eibey-C_V@qtY&$+d`Le{!A zf;{3^M?NV>ib#zRby5{L)Y9j=rtLNvcBVcODUK{-v94eKuKT zmN~UJSmq6lfCsdW{wV!t3?7x!aq}y($|*K_)q>zg!Wzxxx`^RN-IKC!t9Dz|a`JxM z%EOym2o*8aXy|Yx7%g(?YsAN>2C;%Q=TdilDJPj&A5}3iaqqn0u-8qElG9d(iULh*7IX1t*kPoTl{%+cy}P#q1DK8!a608#uG%Vd99|gBLxZFj7f9?OI8IljjrpxO@qw&kSJ-ao zv5l>Pi~+4^hO}@1PP1?8l+%xHL8`*a+r69(><$brZ@`}E+tqCsRLiklQ!YNomjg4$ zv>_{rsc2IIBrZCKMJ1ZYhE86!L4=|}Be zQzVjV<-8PLOIYVLZg6QW9wZGEf{TnGmzl$|y6`H>HH)pv8?vq88-`@UtPd$^(!z-d>t|f_@|?!<1;C_PIKR5K7er>M`-{KQsLD%qi;7N z$^i7X%Y&SENzsB}0Sbe<`@=wOU^>U`sm6A>zrFH3mZRyW=IptRv7pEf0EzjjyB>$L zW9w_1`=kifPYL4yaYKo%lO9$0x zY$x>4>smcW7Xun>fcRAwYpWvWu<5ozf#3;js=2Z8 zPly31)o{!6ZDF4bI7uQ`c0VM2JU*%~sjl2ACt&6Y6Sw_}uhssMMrgMuCGNO50@rtO zcCK@e@LIIfT`U#WA){XsN#v8=2D}DQYwX3g%zsi#*;yM2J@$}e z^5KwiNc_B85a-!l-7lzLPBgu)Zr~21Z@1h-d$xXPbmBj$WZG@9=94dEyohY*#tmHK*`RVtvCA1_fruWt6IIgs2DdW^ z<(QO@q9fD~TIyT9E}E#vHB{YU!vB7TO8I~V~*1$-fRqC+T8q*{KB1!R%5UuE#7IMOUuWY!N8F&M9yIC7_RylDV z57wDon(xEX+Wf)E#rlx~C|&;dT1{SdJ-51w$uDf`K@B^8me(B&DCFZ+kj<8W=8al- zAo#S6K|-UZ(@t5*4-R`;S^#T7);^IO@T{Foc21au`b~=K-B^xFJYWNQS7$E1jYU@r zJxgB(cD}+#&1fCAR%v(+V}{o>@ImZCn}m%J!*xuh_S0uU#;;1BZFTmowjHC$cUST0 zs~)jkP1?c02#wGrIY~)G5S#@NJE@|j!e8s~Oq?X9yC;5j^+&sm2~Sz1N1tuZ`{miH;{!RE+#m;+J#w ztAaneJMHvBF!6=nxM%4kkYvCok{iUMC9iOj1e3Y6h=H&I_0dTrk_l2tB><$Q37%y+ z<~ybwkBLv;t%r2>l|CV(`A20aQ;_{ zE3@{u8P*1|w=vc{yFekK+gm-%s#oMWmzKWUnI_SEGeIBB>#*p1BIUl%vtoU&!%%Yz zta)Qy`cT%Eh;v;8nO42A=@d1;+u+jorRzLK<6c{j9Y2?5))qz2wAXNCn%ijD2-+V$ z$4@0(S=}z{Y#vTn7x2iD1bjP&dgL22~d^P@LGg9T~*WWlQ|HjiH& z*)%q?O=J^Z?cL+L4@l{?)NXAU0hC(y%OutW2YZ@%9fD>(G6Vd!UzlDZ2Zu}R^+}Q) zk$@}oLj|-nR#@`rsg^T%JAebkl%11iRz@hUuhCZV={g|5_RsR09nrdu72~JnS4Jyo z-qtp-$8RUzHwcXz3dUodd$4My+x-WC;jzuy2ew*B8fcd=L7tzT{{R)dup=Eye=7Zf zq%xks;M>^SA4#&x#Y_`$I#hJ$@m&jNXeW|2_^N{+Fw)k$DWbs}tZifI1LjrDRJmp) zRa#!(?*=>=X&E6L#=Zq z#jL8Q7PY*%ZvEpNt33}lD%`i?-X7|X;Z2V+WxA0kQ=*vYF2ycpGi$Pf;^r~rwauQ= z0hMnD4fytY*1&KCHLWCpJefR{+BTaGe^s|6<~^pzRf$Lt+RoQl_>;NAP|@V8^!&{6 zmS7*VHY7Nb96Q9!6{DHcU1nplsc=510_vZ^BTdaZ!H!hu>Gl^iS+$h3hZZ!+bZ|RB zN{#NDZf0lEge&!An_ablMx+V+;sS&Ez8zr6adTW4SO>aD+%!HYnY}+BI51uQ43g3y z#E3sg5Qk?&!LgOL9Me?#ZwFC7mLX3MYKI;D8rePjKHm?A6D+*zS%YP?Ft+BnRvBas zt}&_CSO!qBdS6q?>ECQQmU^!R>}KeYIO9Po)tx<-;=dj5d(DS&w8r4!5bXdOLR22B zMlab1r1pWewo8VG#jt-Wsh%=3&`MCP1WkIs2G$t?4^;`?yh8Lt((~-Q5%yp7suR1p zZr$8?s{JCo{d`*nm!AGhZO3E?%P?JmvsEQu`?cQWleM1^Q)nw zcg{go?aAtP<+|Eh@YXm6Rw3;NZ0vT!wjH{6c3O2}x!G^c$t`uy1);6_EeD=?MaRXb z7PDOq*uvuNy@7Ws$1Oex9yswx-EnK_YjZfxNymmhAdOEP#(2YBIN~6^Jl~^H-+HAVO<6UF8V3JSdLFxTJ zHjMY*c?FISB*@gbf;iN~clj#cSo#yXwfL7d_hSkRgRNtCIDT_X4%>#Xj#!B483}2) zRvDR#luR|MEU^04W<#mpOCsa$VB8$h#OtAjbE;)og@`V#t#yyFm5tnc%yuDRV%MI* zNT@tz0`TWUi&z1G>2pNx97@sZ;@0$vY5?7BcGUX|2P8Xlj1I~mPOPK`wkKTbIS#TL zOGRgBC9l-~0G%sWrR8O03r0&C*VNzvWWfe?)RmIoNI%q@HG&)IzhC+*OQG3ijlIvU z)eXU|F3`9Q+Q(!?^(r;l)*L0^oN$zX1m&y#M7~g+C`C$1GIW%r$^QT+ojuXm)GEW!s3@HUq3~i4YX+s^aQ>JdKTLEq34>UPv2x(sWf#c}!*Ag|s^! zw_0ot4%E9>2gLmePi1edxI-QFSz{RH)Y}fw>1Z3-&ZF`v>rtrd`aT_6+(M70U!(d* zzfD_s^<2l;ZOAtlL^o(?Z{6aL_$pYH-S(H+km~(WTPt&GCr}A+d!`~))g1TS9R}Mo zWBGO?Q@OMFsaMRh+RaupJk;0C!Gm+Q!HqeM(5xWjP;ND!QT6(6F7_Cm2!r>b5&_p_ z%o7t^=!Y8oi|=clTj^k|i&*ghK1SWAzLP59n1mX!g;qMxQpcAFhr4hCodPm5Ae}Zw zF(5rg%Zci|R&YhQ+cuh`ex>C906KKg`FO3if&ddF2$e+QW__L5jWqftrGex-cGKQC zm3RmMctB3eAZn{~x8}!!GL7+GzzgZ%uKxgXNhSc{KpwyU0AIyK%&AO#_(&#j4ryuO zz=QB9CFfc7mHF8gHv~19^%Boo)|0v7eu!&_Wpk$WMrPXlXSs&v5<4SCtT}~-W8BlbPnum*68iHmv5a-N z*sD{|r2eWpdmi9hMq3O38?W$URdS1uANcY&{^9_%quZos2i5kk7 zXDNeGjp@JaZsuoGiH!V*`-32D9G5#rw0vb!$II(E81Bnu6^dIrK{Fh|+$Zi(cSpDE zcTwsKTx?~OZt$!(YaD)2D)&$7V;=}(I;XYuOJK3Yi9CBj2k%w$lNo^-9t!!QH!S!n zP`N~L-^xzGd<^Dg&~4l4xrQDO14)tP3Y8sGKR(xt3Y+OOUCsr>gTXLb1ojHv9y`Sr z3qfGFK+fT@w_h@c(42ZrR$}DV^QhTvY%XiVM$mn{dPCzV~9}v8=t58)gpPL_1JIvze6ab^^+sbDbnKXaH#3Nh>!iAdLHSn%_y$`YP-m z_ZLGqmU98Kr*&qxKI@z@ZWmVYKGNbyXfAG!syIF&Siu>XapW3Vs}*2vZG8D6C&7&R zwA)%G*XcPGWaE0(8Do!e1QDSG9^qY7`eilKL9Vg2jXDl_)RIcLns|NAyf^iwI0q9{s z>1&4T8pE#Dxzp&uJupjH_Sn)~KnHaA6&7hv9QZVeqHavMcrrtb5yfKplxhKF5>XFOHHQDM~<~5lCmRQz7ZDpIh59etsE3P{C4xN5U zBA3?oSoa8rx+8GyxuH6E%thGVk&i5AE)WjZ>QmS7vIn<#tp5OnbA7*fC0l;V*ll}* z7}2@TCIACjmCMk|@ykFB^xW5MtUqad_HOuFIYrIrO$MSvSl#rv$!&AN@hxhINV5>C zdhRXGjCR{-9J#DNkdS``rHyMYV6wMvVc_|WMyr~|#&r&J=pUi9hwj}Xd{*yEadU37 z^B(Y8dlCdVgn>O&Koxyld*x%b68ji z{U)b&qGEJTdQ}FzdY>hj%q(YTOg0=3Vj)psWlVV7EV|0>i|et@F4_s37=DlNDmbs) z_tqTR*z89a8m=~KBi!d7qI^76o*D1Ow^{+G$ByX2__}ct2U^!o&Zags?77!pV6poJ zZ7pu!XeH9`)zNbX>7l1T;SgB3ptfK`slLTYYb?(Y_-lU(fVv5&D;R) zN{nOUvm;fe-n;NQJdhqU-n`LYs zrNxgeA|5vvHM_n;K%kw(k=e5vF^TU*Jz2d~nK<8Rv7(!t0RS^u;AyB^BPSf$=?fd` zY6~PzoUc0izS@6o;`%jM)-*UgSj$=>SQ-53BXBdq?OMxexYbK6b$j0DR{F-#x=C{_ z_?;864lXM@G3Jqn4GXhHzfNW?x2;ha9-k)Xow^w7|U#WAfouF?WgW1G+E0|TjtU4>R z>9LIt?Wp)6IK&MlX6lzhbCDMc6?fy=Dv3RlsF>kK$i+e9!A>PXz=V>-H8=orkWS>3 zyAc9|L z5UM||Vhf!`O?~fXkMzJG5y+B44@9No65!Hd#u)S6!MjU>f2s|m8k?)g0=%Y>+XK1w zh&=h~fz2)m9U;fLmc#w@jB(Zq zim`%H%K&Baf@5Q-9h&*03{sGQ^+<7dqI>;&I~_X8?WEaTgV^IOb4-FETU7dGHBmvT zmWEc>ds^K9R})Qf_2Iv*GJ3tfoK^I-j|(R1YPp8Hm>$E+TqJU}j|-FPc|AiHBkeW~ zv^Bs~7~!@j+${zPE*wV**kER88a)#?8gSjR0r?iFAoFo1ZZ<7|aBuE<~F0PcMcb9Fdt2l?Z`t#)h?_tpTkX05}T0 z`1mHzQs%L*Kb%g|N5({|y)x@tMon%$r`bsi`-$85DxX&Rbv;$q=4bwy&J7|@JGC+7 zI8n_6Na~V<5}bTs=dvRdlhxp#iNr`*=Qc-A-06=j=j zl~~6%=C#$4?hk?^&QS6Ci!w6o#ud4~n?r+~2oCYv@K`@wx|4BTjYZ|d9?(gFEiMjg zefsdwWhunRanjdglxM6>izDoH>c;6?z@fC88><6LNgn`=>g^UtU=LiVCI^!?3R05Yb&j!?Q4BOFkElLd65i|OK0O6DOr_ecCofp z11x^V5a3*Nnb{nC(%_bwZY+5x+=jaBcui|Xv#)|*a?fW}FUNjBt%Z%P)@%<2?JeQ9 zH?*YAsQs@FV=Q|qH$^WF5I;1M1QdO#_S?m8-ZAN}`s`f~xi7g?zo}J^hRmyf!iM^F zgR7k3A)-@L)D6F5EoZYWrNzrHB*aMV_a?7uH>p?XyCL-0%39A+wmG64-w_A$r?aTlQ*1WY_8P18OGuJgF(YXz zot-Nx%I&SYDQoI`HZkulbDa=&yGF;71(X~nTr2{sGO0CJQ(a}>N9jDfX(Bgp6#N*f z@2=L>|SY1mC+(g?HYd!T&Pey%~b9NND@ORo;M zaFQJxJ+2ZIP1YK78)ImB0;1=%9gg(?9{DHeg&@Zh5Nmqt;=?S`6O?$qTj~8$e`9a$ z&ig^H)BPK46uQmY?=9T{z6#%$alS6lJE^~Jmf?Vx&?zVq9Gb}_% zuFSY5W+X}L_INq{S057RvDfY&W@D`+Oa@4q+UA*-=?K{Q6}Ey49Z<(_xvz=aw{Sk$ z?19yG?s+vE1yq;Y78Y)1@1R5(;W&5<%{J6G2JW%D%Y%VtjRb)jBr!s`>(wf=ek1sx z=ARjb`eSq`_C6j7U(~8)jbp2PfWu~k+3IM(?SCSB^VwX1Ti)+bQpUDD&mv$+?cGpe zeMQyXMEY)});!!?Oqi@{(ILJGt~R$n)vkc>{DWP4ts%ay-E7&k!G$Na8>;DhiaQ?c zUi|9sb6+X3sU5$ObX${-Sxt?s&QrDq?E%xS?cWG8hlzeImb#kp{U$s(vD;c3U}@Uu z1HkyIwh;V0Dr%^;_E=k477$qGhwgW&1et@`KTLk>s3wNi(@Wb!n_+wgIBo)QN$5;BuG4K@emJ!<-R{!XE)qR&mtX=a|n1bxYDt<3?<8%BYo2p<5d=4QVh z>gs5%yV_mqTt{{@*MoD zF`Uhzm9oI;)b1n^9ikB1nPW0rMnJ8l?Q{0A#JP=byPrLieWFS7zojnsq5$*Z+pW_| zuAkK1kxQ~%(_JqPjq-H)YF5I}Z&emym;+j)zqwEvmUxj8V2I`7L(#Y@J8vGziz{? zCN}Rb5&c&d{{RGm1vJ`C{=bTJl2a7hWT`@nw*X6ZFi0L@B{lMM@Ua{lk$rU&s1I;y zkC{@g`Z8E7q3yAUhcJRZ@VMGCt-BE0>5sHp_xkp{0^;a9bvy}LzgOF3SJX&gve5Bk zD*>%7XqLJF0!NA*TGDGrTFmSWca~j|U2blKIlvHpYCp9)TihDls$5Bk4-@%TKTxQB zyE4&ZgT;^AT+2Y)Ugz!XbcKm?!KS~o+I?2q4Nqy<;iMLxCQvfys(a=DN5M`NEZn;> zO--+8^>+=<4tLRR-~eX2pdPc3EZXd1#>Ue3NCME!t2?Un@3LjN)tIuuOc|^VL+dYYMwQ)Z^6HZ%<2#uVb3DZTxAF5Vs zgSU!&tQzvz$KkrSSEC4QLqTvZeQ}_Ay-qGZIdP?dh1wYWo=Y;SorBlxqOMEXQY2eyrD(1sZqfyXIP9o^h7 zpzrH{1!ZS+Gm>zpCVgGU=|=6po4an49IX_?AJ%Z#-mjx5m+JVa{I^|vmOLy>ygKsO z*1gVYW4BiJm~%)6Q8U?Xo)6Y4kS%#TPq^(H8h(@>Q?SNl`ZpS_&pdID z>j>dGYSn%_I(7@4nL&LH9*Lr-OIpHynV8(?9_vRJA1a(?*H%@Gslr2E*17Eja9!l1 z)H5lo3}sf99u5}Ht%c|NOz)vN!!d*A0 zKKy$fkT%(lNVI_x0GW@HqtdcEpIpeb)!p`LSObGvI1inm_?;6aEPOHa@n6aVEMq&C zwO=tVl~@%q)>&aUTX2gW@HKDlfHVO;_*l2ct6N>P5Ibmj8g?+L)0>rvpMOu!cc^M% zw!47U%>s1;$r$x~AtA-=b3t>M<~TW^K_$X88mVyEjpGCXr4EOy4r2goDUQFr)O6jj zSkUdjYa3_Cc2s(wOvUO{dKpcY%(cTp3DZPuex-?*bLpYQ&SdT|KoDb+s&%aFoM%-| zGeLX9p64DRumeY%iAG?@Ndi0yVJOI42qU;e&#Rbh#G?_`x%k+n#g%B;->Ke5D%G_z z>RpdxTIyWgrJc6;Ld3$!>JEct_OktbwmWll?B<`~wes_yUrJ_GYzF&wvbH$rEOFbf zkkv3!{ZZ4>{VM%7Uh`k(?+tUBJOhZ==B+mw?5yL`){4m8zQO8laX#Soo@d2#^kwGR zbItbMNe=e6bZI<>#6sWcSyo?NjIf7UOSYGd1A!6`#Td(59T6zlAoW?DB(yN@thu&2 z&4#(J-rca)PKupfLmsPHO&+DA3d;?}w35(VTnk!5y|LLGbvr@IKD|zEHlPNOU8KMz z-a1MpcVuHV?)xig4-fMHIG%$*q$Zwk(;#}_pT!v*))qdSwexnUS zwuZbk%)4~S9k^5mK3#c#Xk#aAyJMc;&N5pd^XCa1`39G#lHa@HChK1^=;7z$Kho*$ zZJwZDYfZHl2L_Ht?G=V#`d?&iPupG~BWY~zk+;efc0JjdSoa#=Q>ff_y2ib};Tmfy zFS+#P2i)hDy5Ppz%K>OE(Y3my>_$?(d!IGAL?Odtu)fu<{{Tl-FcCVeJS(mBu-*Db z41)H)$6M+Lz;OYrlO8@w_B-;2P2EZRMnK%wmz^9#h?f-U;^8}u>+}8#apw~R@STbL z0sXD$^Hj4cyyoIvTVCc>>Th*%yhs~%&x)%}xhA}7Z*$wfBF0+s;2iCt&I5B|1ff{# zt;)rro33ELA%(l*YiJsm&*rA&$HEPp^7EzmvvH6BBFA0!y}qfkF|sVb?NzqpVR(0^ zbPxl3ZjvMTt2s9PuCT_}du-gtL%`eZa4=x1IgWNM!nW7w(Ye2+4mLY)=R0@$!nd1` ziB>gtVpbgEV+W`oxy@;CmjDzRGo;JMzWj>fx@@J5+zr5zA3vKONvWqPnAcb7P}diJ zj-~^$3{Lq08!+TLhqE1l&wE_aFWNzl+7v8LwpWVbYpb@#X;I%Y2WuU-k>d)`CxCpq z5u;SbKH<_n*kQ3Tsg~59VE6i z-e78-XWQIW{I+k-t#1r{YgwKZfn7Lb=#m1fjhSL1wKYAt;n{+ z)BRU&hFR+D1_qDY*!ih3y-nRo_chgu0An9tsklbFpl#8~y0dzXrnXY%Qp)WQ7kay0 zpg%|!#3=!n81+X{zZA6a-H65`9FGjNmfR8bR+sX#x{D4TH3to@)*n+}0=NXrUOdzE z{8L6lqYb##?1s2myv*v*I|X5{%Q&^Yt*YC|8{x!OPjb@*SLqdXI+*t6IgVi^%!e1a z5=@DYqM5{aC#V|j#C5*H**IhFK=8=vzX+j2OEk}^SQ=R0Sk_z&uvP0zd$_hVj}=DD zd^-OCLfhT3)G@Y`SE&pE+h4vtx)q_FOW$KGuD6%8IB%)pjuXH;v>>efj2@u#VS8+S zx6sPm>TGKnxNZk{KOVt95gZ_KaQ^^{-H$kz6psfVZ6&pM9=;9icGdR#4Oa)2Q(GTY zY8$CzxA~yA?AKUL`3`t%Eo&U+dStYSf4)7?5pk%xy!SoT!#>YlexM_1b7YurJ<#l@ zCnGwy*+Fe}vc^0);nll%+BURnC%N!V1X=Zdh}OmJvf>X2^y|ibH7fbHLt8PgqVHEF z&~eKFHF{B5(|mq^#Z|XE2A@uw^4eKQhBefdfdfx=hGWeh+zh8vcG~RI8TD69wg=0c zL^YAX8+)#Mt8{*;dok%4&UweZw!3(M_Ouxj1b6UI^8|5dZ%^g3KP(RhqP0E#-F0_p z>iA)VVs#C*yXgkiz6sFBfLgsSsw%;)lt0w1ySBKEr&4D{#B{Ec)M>XV_R(VoO?6br zAEYL;CC=K`h1>MHZKDO1*dgGV2h6CTZJJH5_9gzmihncRGJ4en#HN(RzyjmI1eBEJ zU(m6gko<}q{Ch1kXQoEde0I1IKLlKCHeySf=UHLUz3^Qg8UYKIqw5||QGQlcwmrD@ zzil}6IM)Nrh?2H?Hf7gaTSoFD={L zG(?u2J-jNf`mfXNwU%7_EH%#1?VO(aHAkxCWZRK@828nWidxbuJ6`t?3HNgXdn!0R zLoTZwX!S!Xa1XA>7l*W%E^h5X0V|EtKrdj}(2=O%sTNk@4rn0iK?A;sFSWH)>kN>= zxPi`!wVi7Uf*X)sQ}=BwaMWlFm?TE3Si(~IK|V}V$q4-9N6or1@x>;ATSYDe8o>6D zdC>)!2BsRR$hx|Z<^t0n0_Kv1pVb8zH(ygpvbTy_95mkI8V|$WD!)(Zolidp?WZQj zS!Ux{FCt*a4Tg>s8H{*Ih9=d zv23#$))}x?M%NSHYk^g|H&C|vZDvW`W;{#dQqm7TVikUlGkWco-u#EtOF%7UZY=|J zmXB;soRlRv7)R5@CGy6*e+9x5;+C~rmGfzJ7P^7C$1iJ5)=S@EbG^(2KxWaen$Tt~ zmOMW%)38;v($jkAh}dBxl83Bfn4`d>`iB@%{?Rqtb14*v0Q* zt=ot#K3&I=?5`+@JayLTm`HGObgNkKx$duk?A&0+09@BeX*)}s-J$Syu7vQhMs_L5 zIHJIA1`9-(BohSi3*wq(w`&ns<8sPU_)*o%`Bj{Gp zJ&licnyrj1o})%TrrKFxKIT2I8-3c(5`)!hrL`QJu6=Z~XtK6`d>?B^a7i$sMqYcV zHdBbvMM4_TNze`_juUyAVU&c)F`2)X{$HXwSY?Dv00$gujJv18!5H;eEFtz@;_FXs zblN)&5Z z#urx4@Q-%c9w2xqJr5!%pjI4~z(gnA$)YdS_V@Qvs;)j#a zac<=%)(=R5R{A<-sYW^~aX2dVv{>v3y4V=?l6(ANVSwzq3qeepY--Cf6YKBl1WO^zPjC6q9v`)MkV}Kq!70+b8uS-4mHf{Yr*wp%xcE@?}TmJxU$r#3h%Z^6Z zO@V}gZ0OD+KL|p^w>zl6AU)L8=vwBqI-uzdBuxC2xrd}(U7xSfg8>Fo;U=m&9-bES zIJq=GCWhG8?c4={?XWjb>u5c^uBYnLYXad{&;hX#5$=u)5 z6;jMb+nU{tV=-)THa(oZposSkcKEHfW>a&&nR}|$qTl)2o$@%-RAH9fUYN_N zrIeRhu+${Aq`0)7V3WcvJup;gn@ffKo$Ufr%2qb9-Zzt3y8@t7J9oxNN&j6#BD=&O8qSti%+jhtay2A zOuo7S_?7W{lTHNwZzHbZYB|1iEblX zb>C$k;T6``5@g4;%qtf3*WTd!><)P5#kscf> z{3hEr8l6bFmj|)cm)TS5V=R8c3y;%jgYZG3iiRFpCYo6BTTMao#_iMG;0M#;Qu@)D z+)T`CEUw#__Bn#O2;5#y;q<%$sf(0zx^{agWw_23P}Z{N0_M2b)4SWGYbsHmKyZLG z>*cEn<>F*yN!O>Io^G}qasJ#koL0H^`mOYzFK7+h>4CIzw^I#Tz;+MWgJ_xS}g}_pcgf#z`3FlmQy`t(?!t2F@hs{oBmYa&p2M+ zwOHD08r5kaIj6;>s<`jn&x)ch=skIJIE9!1fCxZkko5lmF6uaD_Y!0hPb#GV zGdBg#aP;ZLw|H<44wr_3s=3pgEX}^w5$U;&4FCp^L2%kYL*9|N>FYLh)jrH_GS|>5 zI_dT=)q{OXT=!I44jzT>ENS5GYlm>CU2Qf^!nO#;t<-&@#|MM&`W_`}=VY4fs-W75 zcrFgS?QFNO(EGJj^7^B(>^s>*O;tA1+aC@j&$hD~@T}r8;fN8w-m2=<&lvGcnegf_ z(R$Z*cy4IwPH(DQ_v2LOG3QW0GIsX!RP6gZGa2?LeLAl%eQtBDE)D$fd=DOLPK=LN zG1Zzw2&tAe<~I=emY)cPUmL6AWM^56j?m*nvHL$k@?k0zqo#VU7;mSiEmto@DV11W7G4`?7Zz4wRTE}Rw zTb63CA(qoip2{6-n8UbeK81n@GJsE{$}(SG7_T7cTE`cO6U3-FgL1Q`o^0H#cyZ7- zsp(&X8ZjxkuDdGtw&s!>U3LZrfv6toC*rhvJFGoEvf}3%aF_ik4lydDTOe-!>nzt{0jTC^yV z28V?yLw>0#=GpaM?726)9Jb7BoxQZX+F0YvI0GSH!oWD!3++DUg4VDSS|{_h>A!q zsuX=-KEBJG%W2#g_plZ@jwS&uadd>eHl1DPwU<=t->Yl|t{z1Ubi4?GQ(6ODzyRPn zBP5634mNc*XD>VVQ^@0E+cSk>mD$q5|A1a7^N6U`hX|JuKt@vnD^to z?sIQ;@=QxyA`5iwv?5_UsbOP%m4d4p+4Srs!0Y1Or7XPaaWQPlu*)kNhM6SH29G(> zP{z#b*?6Zqsw!myOIkymNF3|9enk#r10ZL7Rr)t|^ku{(BT%j%9j(8CgxpHsx!$F= zoz^-ad;stW7&tjyD%(E5Ykd}Lvcg(h;3NYvD4j2hiZMS*Jeq@GDq7H!YawL*7}=0TDkEPl+Q7+0I2lv6df!O1#Sa? ze}Gn%E|qn6-p-*E-7?qs2{gz&-@GMGUdTMn0|{4TXexHZH$J)^u4s9O)?=Bnmo(TiBJ_v~pf(a9wC0BTU2jJN11 zb~p%F9ZkITew$oA%*$+fklOt>FbVN-04+SsOo#M_W600!jN9McRP;S z1v$eGr(4^IHDjMCs*Q>|@Sc{++)M^u+L&&x+CVLREhMxVhVI&V}>`)#tz zO9hr#2@U$SV)@mIOr{1J>u2WqX@W=qkBlOY zt7q9Q$=geXb%(<&-L#YRY|=+4H(yp$Zu?CYSz0Crw~VdBKv7ooj#P z-H3BItZH=^vGM*7F_+c)n=@TQa^G7URaOnoZ#D;RaW8Xyt&Re<(7M)r)L`UYbDsQ@ z4{0ZEwiakU0c!O=nbK;ung$~s>H_fRn2c{f*sj{w{iu$gus>yo=h5M|2oy$ZW z2by5Pkbp;BmmXsfCM3~~U)8Jiw-Dv$Vpbj~hB@Z#o}>xdT3U1vEs-8hDvRX-L z+zWM`4yYVb`TA}3Tr$ImLj6^B;MDrgGwJC~9X}k`l33TovBoEzOH>*$jtS!u;_L}jF3*<#P=*~g#F391%Dhz zSA*#Ix!GMCrB{8XhPC&)iyYnYSS|d+$Rw4}U}8HHr*&5Pt;icHEg^!T0EUK!4me$7 z@G$HQ2DX7qc2?H=LtCNS4UIHI7@ag#WneVc@%lg?*ctKBAp>@UF!8-Rqgjugg=?~z zX4{xeB9Pa2Yi7<)-OH!JdB~%vS@vI1)mvp?uy$deXz@IlR`KwkKK%EIGa1be6KP)IQv5fChl3YWgH7+}}_^i&FGBFL7*;U@9 z#0NFIKp~<5ai4Koohq9t!fPyPW4AfmOiULNI8noPSvJ#uui~9I`u-{FSi*~&EgM%;Q|5H04m-` zSjBD6qPD*G)?&|00vdb^i2_uy^J&X*V*zD=Nv+}?98Z`{p=+$upVVl~W==-O7hz=$ z#jId+n`{B@KTB?%l^Xh0x7sSMq8nqwugG&t007C2+Lslxnbau3uvcd5EpXf`tZsC8 zp8@ev#_KrN+{#|p(EAKlEC2@|06`*Bp=h&B+{{Phx|cG~PttRVJ41{)rLhjtRIi~m znF8@^q&siYAo^aPr?f|T)oFnWVND#fh@fayFv|uxONv6xiG4$xcSZ-LTZ2o9mzyfy zNdSj$Yf1TPt@N&>{C=FwTj;X8>IJc}&Tl=70R>od*v6NBkzoi`%o-fEnC0Om6csqtJbB zjq74$RQFa$^slX@!N6!IXe1a{@v>>js9Q_7H0j_$@F7*Rtl~1~QCNNUR$=~_1T^>; zIDmnK1S9I<5&30({{V{NDVa;tW?PRYmSv%xRozgxZvm~d+DRkR+*_-IT06p)HD@XI zRx2-QyL<9oEPFpYXYT?h+Z~duSD%*m<2W+S?6SdVExNt14%qrla1j!;Ui>bdM&q`c zw+=hG$MGdu!|lTu7|EQbZ;Qw1)5A*FbId$;;s712Sep|zpY;z>G^N%1P` zt%dX*@%{?Rgp4Ve_G8|vtK_`!r$-ttkdY#!h0jU6RS5yzt!BJ<}m)SN+f)=K^kkWjNGXlp=gMuDS15HH5SFZC{K z8uxPIc9ZdfB{1PUFaYZg`xa4?Sy=hIIe9g`op(0O0qt!Losk=e5IjDY30nTT*==6X z0kj)-m+fG0uDTDT7bmCVPD5-awo_G2Xsyj8NezDj zcN5uNqpIRvdvV$`Sd);lUel;w6m{``auaHlScUN6|t~ka( zZaF1{IJiDq>5mQ(&nn19y*Jv!!#9R)>|NrzX`^dvc3Y@< zs)t$wH%Vxg7ML;Sk1TFSeY$!5R`X(@HsAD(bUq=|>He5yrl;@UYn$2;F6r@^mke<^{)ov8(jcfu#rP1_`icaZnb22|n8*W8nqUNwQ zp`-aDld8WX$v@rqC;QXy_y#XyA8*9}04}X}(U4nTr;+Ksf*kFk!Pb)s|j%mkryS zwhrBf2Aru3iN!{=*QXWV{;;og+}w;jMp=$_xz@FWz%U3tGP%qCvUO^+TaGL* z52#!VSP27vr?+ETN%1MM<^(7abUUt}E5=W%xV78Bj{Yx8QKk7^Lmh@3_O!eSCQA!R z^C%c@ryOmi=PH3oHn3J34s12805odtWEF>l^@FS5Ny)PC(dyY&&8;mSn}cLKY{KlT zbg{1S0LVaQ2E}d%;3+2ouxtVhwF9}j-LHp++8q0w*0$@TaA1bC52Dc?6Uwx)dcRc4 z#?167ds+(`=7J|uNF{SwUtGGy11DA=vcAR=2nGI>7dSUz8Ux~^mPdtGL_-w}4lMeAl0L&6P zB6AQRAJSW@vX8V=WzVgltaugP39QU(BZmc-nP7cyBD!hah|P?@aj3 zOY&^0km|2>)Vjm$a|0aEB6m!Udm>+#mG&%LirF_@S}ZKA*%H!pY>xhF)Oes{5;pO! zr=@r_r@@p;ah8WuQNDn0ekTz;228Rc1jRc$me&Kt5`^H^bNZqnbSzi#*g$z8^F`dIz#u($$V!3{qSQza=x z5=N5r{hD6Pkc=fLfiF}&ejrGLTe(li%*Ugsy6Z)cvYpTuoznLYw;DtL05sZK41Ckk_HRyb%MC}paTEQN-W_c= zJcT#b*V(;mjOH)!|}RSNMev9HE;h4)(Kza6KRIj#f|%IR;f70mr}(-r>! z!o*MZlVVlVLyy?aJLBEyk-9wNRJe7uUWqz`GN0%SaOaK3)D3^9rqcwtd923{vfPKT zKF2+vfLpkNJuX2$&!zK~y3IzYfGOddgjWbUb!+A2_|5UkI)kT!kCBg+k^#%>d}!C1}4IXLdC4UDpb z2BTA&(AV%o#|5M-SQr&yG=@05yJ(k2jcn~`h~Zhm7-GapB6%cDb{5pma^=h-0fs2m zo~FMyN2OEfpN0lzfge?w@(gj}Ad%qL&&9~XqRf{T3+#1u zdez82_ic5j!Nt00wE90q#lNQq+|VCp;SeN2BU$^@nPx9i$FjFDn%p+oQ^wZ`)KBFF zHCS0yRp?WjV`+$j)|W^1)Lg_TJb=h?P~qV^QvO`yhB|?(JX~AbU!`PRG4gA7zwE^N zesBrf_#k~;!+%h=+d7L0abqkS#;^4x$ezJ#V`9~dP^zF}WRs~R_azOuuBpJ$VXdNe zu#Lg9`?`eFh?u}j)x)^xSq4BQA~fRIx%Sy-O6s{ZR15IiORZ~90nHntQ`y%Xz(=9# zxD&b666S0GInEB-+F@w@oIE?%KD(X^TwDP5p1?P$12_D>;CfI<0@NJ-%-Sn z*E%+}jw80KqGk>?7QXtKw#Vw@CJ)3+D&0?}Vf9>dkCxeJGS>|p)8f*WP80I!r{bJk zLiorg&fU5^b*{0PPi>EFM;tZHdqMU&u-59|xpX;MH#_R}7YF)pyj06BXowFxT=6jz z{8O{)#w~T%Tkp2&Y2vp)pX-n$tM;<1Xm&M>2B$Y+XK3(IfJL$r9%_SaLF~4gwvq*H zYeAOy&nsi1WW0eu+E~|+$Gqwrv^cfDH70ajzeg(3UmHkcjCgJ>luOx(iCs9)Wn&nZ zz2@Cz%@g#G=Q&A=HfpbSJkqF{U2WyNTQfg1Bd1#13u|#L_ftBLRMzJEyms=f3terP zbhY^9+csJ;$vP0|-941LO~WJ>I8$RiCOit-A)AT)5#$(JOvj~;+{&2JI3b`Pz$a#h z>kgbg3we3oD3W-*OTx3H;9ZXFn{x77d!AqRcDM>l+U`jBwRNn{y_mKZHHOMD>#@#x z+qupY_dr*^&5Z|jRhif5kM3DrPa&+#BEd@qO{`SgS-8AB`-t*qFqbck8C710=5iRq z!L5H`bTKmxmAu|rTdRJ_1{!^#)9(1I47!I%WsP)dVZf{oqiwe1o^a%&>8;h`J&?7P;9pi_}!T)^Pw?RXyH@c69!uc`efD#lxJc{PY{?8q4dD;_H^uBolAF#~^YS8oN_8(clkstE5pVF9k;cFtxm)$WB;MKr!x z32>>e5hqe%ZHNFgXaLjTxSBFt%GVrIbduRHqvZag?@IB4~N#{<vA^AS5Z(|z{sDYs_fvUjD>HQ|xSlbQkb*?VDlk}`}qgS?el2=RCx?TCN zW9tBxa7mApcUaf-ey46%uIk&rLK+E=Y(Sm8(`ChaMMAok{{Tq+nfB&1HqW)OyIbl2 z2n`XS9$pIc;#+VJb?;+b_f%dFr@R6kwb9#Hl~bkkjGD9Cn|w9GPS9j~gdRk#IzH6F z_IFS{JiTRHlkfk=JwifS5MgvH-CatzfOLr?9o->FC?VY)0@B@#&e6>Vg8@=fqokz1 z_kQ>Pb>B~}2it2;wq4hGevb1v-UmM|QRfh4R+OtyWe!vbUiE z4=(47cF}9^I4rQaoG4&1o=t~t$ryHd-OHTw*&&er4EWeYPpUHXdhWZoA?)Fc&vt;O zr#Zn5Zn8}HP>A=E#mI;=AXx+Dk27I0k#L4pudl6O;d=yUEyiDf% zFo}Q0*UukuksYl|TUZ(;(37Ows+AyM%(3TD!{uFs<%lyk>xEBuiB7*PiB54@rzd{n z0L!A6OL(;-hG<-EgSMP0&U6FlxL$lKbbp(&y$?ZB!LmBW#URGQUVSGSPQ2D@kp|oA z{5L|KxHo=$QIGCj@ytD}CM7uXav>4~o)1P2dvcRWwV7wd7T!hxTd zSH$W^nq%U%Kv8_M=g^!{~e8;=yEI~TPM5M#u>&AiO~Ypv)dM*q;7nusFV38A<@TJ8sl)dENkNjKAlmy(IpNOj?Og27OLXV*QBu@S`FCa9 zqws=R4V16-!fwl=b%IJxppL9(IO1eiNfq^2b zhliz|p2+2sN4_@R5S+Ma_3-F2ru&PP5jd*{kC@bNPkL$B40m5@`{898Iv;zav670_ zZ&c&DjRXyhwf^7tE<8$1jynvdr~LH(OtVj_Lq?xN?)j-{UNHp@KYueA6c3Bu!}Yeu zC8@C8BJ6Dum$)z<062!zVibu6(|EdD#cwxfdNSPCI;N*`g9CbanMQ26c>xi|^rF$H z*y?s533W+>^0yYlk+~2(#(hFRrUYz%k`Pw+71iT5=Zn;Gxv8!~XS|SxIlXcd_kp z*Y=0yRWrb>l;Id-GAIpPdI|dtl59(|&3JJXwVBL}n~bY9d?-VA4gUeN0j587$$WLsLvGjnvlUGdlyzPWiz`PLb8qp-j$fVFlpQ6VYqotv^9O=V zI^pO+vdhSR8e)da@8KR?6;^I>bEKA)m0&v(k?=#49G|zpOPFEmzQAR9UPmIE0vyzD=gY3%R?sq!L&H@&7NbIEI4$=$#KnwWt+Y&HBY%~t z>L@M;b}>nmXd?tv{jVWdUuu8634;^X3Jaj*edh4oHwA$ zyK7t;j6oKc`GXC62AKal9N>E~yUT7#-psL@q`?8MMUbM4*In_G&~iHh+g^bUj`@mqB|4YE5n zUW~Bb&yjb@8EaY7;J2Q!7!@4yn4gDR+q?~ArjECXaDTUlC2>`J?GWmT*7LL+Byn_< z)?r{~eVrLD%^Jx8yqkF!1Z6;Ms-BEAKyV%?aV-aWg{ny2jXV4R^Ic_I!ZEROpeO0I zAr>0c3SirT*2N&UtXs;y>(r=H%iK{=kqnM;>_h+hs`Z;=<+5YN_knJaOjs9b-YMmD+syp+1 z<15w!MB3nyOODaRQ}DI3&VK;hkM3=*ZGPB}wZr?~6K-a-Kl&Nys$PS=9ZMPVye}2ESl5*bHoYk{y=nWfcTQSQjI&>fqNF}Gy(S&x$(WTs1+2Di#wi^|wzi;T@UKzD>G%WknNWOWk z%9?DX8Tjx*_2!oKm)|cW3z9K8H4$~8iryR~>qRoK9z-(u?rJ<%yz%-EaD?Q4i2lr) zB8&eOmKCbjQDXnKJ#qXyi~he#y}#CAa@3v9!|qb1TM7u0y&1QHvQ1i}mQ%zCTsBSf zjEK*j8taDDEkqJHN-X&oKsHbjvzVv#>vG5JWBG9N8fr6P zr~OEh%G5%mREqt^LLu@%{?!K*R*avU8xG;?PnY`bG+G*|~`t-KVnm5ak z>)DaK`1-Cv(>~UHi?#Uv=RGyC*N_pBlV4$0Zm!LwVsB6R<9uYOnQA&hQPyifzf6|f zpZPfoZOszLmHi~YN~wZ6ITD@;2SKhZ>UEG?mq`PIa#dn9Wji|!k&8t@F2C@O^F~lx zhf2|={S_@nUQ*h}pr(cz_m8tlgMN!Fou#^VBFonuu%IHb?m37&Mu|Mu>T_|CD|13n zv_@r+hX%byw&GzBnlMH@_8?7 zPb!QQqTwmIo4zB*boqXC#lqf!rUgq?h&Ii)Y_p9lA*E+kRaBDEA0SQI!2eg$wv`qW zs_%L$g-=HN8DmQyZ>%5S1fLr4K3nobFJSKJAzfLlHH!I88p=`))vl4eq9M=Ab#Wl4GhY>OK5(~E9^ub zK>Dj_tY2_(j8Ojm?eVBzCOYvZi2HJ|;FX!NRAeX*wu8Nq2l*LZvSM??Pm@V?_b7hA z+@hbPg#U-%+r7-&gdfRilQjX-V;|fhk-DQ5|6YF5(t6V64Lu8~urXbG080kH@h)vc z+i5S@ekY18+xZo`K+N7U3XiEb%c3w@h_c=u;>Yr@17ciKeNBct9Z5hL}sHgMKP8&avT8UiZCmc9hix4&)7629skQu{9nFBzmlDt*J||4^a|sOH`xxg<~x zR}mm7@Gv(GXbslfa*s{=v5L0K@3&`WbmOx)sDhTuCp&UXQlXc25c(oy+_5`TEq1&- zdfvOrhA0{2MI6T&w>$4CIL+{W z(7yVCgF!D|BlBY>-P{-%xvsP&=OBxC`0KM@_c~eDvW{aYZl(Yn8 zlGRB_^6Xwjv}aooW$2cA-%z>qUFw3ZPp4;9%~A@nT(2b0>iH=hTeA@O0*tXq2mBM> zp1|v+i|O5}hoznWGtc!AS^<3^{v$CsHVstg$zlN8%Enk-C*HJOx;h{fY}fx_;xSft zIhor@Ct0-MW(Dy%@)F0d&5SuN#T;xeF2sTJKx?OSowl(NLz4&XK54w>3i zF`JCerQN=FEs&h4Jc&7lDo+PcC9$8|;9O)Nu1%jHi1=i5DW1he`{-#=S}7YTa5#6hy-U3uKI9s`KMl*GD0K6{h*eHsU(J6 z?P$B=6s_j-C(hmDPgkyW>W|?U0g0O6%84nTwI=)0doJfR%sG%L+%z~8p?JUoSs-m{ z+_zmZbQyw-E(fWv1L34>wPAbrId5)Nx5QTec@y|2YCdxfsw+$S_#Yrh1g_!a@)j~D zTL=yx%8^+$Crn^{l&;(o@B!91d5}OjIrf=+lAiDY*`5Vocn{bq@) z6$`D>=m=+zyyw zn&EZd^L|(YvB&U@-+Wr~_HL$>9mI9#aN8U;BHSKf3nIGfZL7)`m{=94ElR*RKRbXh z7&noW2c#MPfo&h+WH|c=ng$IcZshGNG={Zn%LH?Ow4te0ue$JjJ8$038(ixKQBlGT zUIyfETWIEeqn}1Hh&*?5vTc$61X?=CGoRNed^&CZ9FiMkQP*?lUz^IRDGclN1#|RI z7q+{2Iu<)$nt-z{Z0?+Ws(}X_{jQ>Y4wPg>+{8Q=(cg2|q|3wt>)n;61{8RNr=)kf_05xlsM1!|{cUYl)H%);>j^pf{GS zS{E9*MvtD8Q;RotGQ1MLCM*1}VsaV1BT-{o-APUrzfb-T@EQFg`l@d3ds}iMyE7*j z;OmA)Sj*k(Gp41Qm%M%N8w#Y?)1R?`3-WjtL~*)^yMwH3I;MFZP;tyOn{nS4xko$N z|6znd!@xnF%}~bheiIW5@ht*@ASvJ+b5-`hb$UKYqxImF`F zHb-9lImZtEzT{uG+VTnqFrs;RmSFuKf+Ga5Qwlx&M-zzv!ar!ff)QwV??g(>Q%KfO z6G85&2+DiG-En-LmN*+lQVtbf4+Kctv`7<>i&utBEeMR8xj}xRK2`Z$M&YsQw|ah>E$%|K;h|D~ zvH3q<{;3?*_+2fkzBpTzCQFY|R&kJf_bU8~P@VsH(mQl<5#KZO?LQL1O6xuE++$Fd z*2~5`pO)3!E!<3&i`QoJb)$WgXgK{!@;L~=HuWsWC+wpm&zYg5oPH-kX&VU(aKuV^ zbC${+k2UF8BME1Z2Z%E^D(=hNt2(<8k`KJPv_=gJ+6R*Mx^~{$J{#JIQRmn!tiJHg z_wJB*S3Ssx*9bq{>Q;lp;*%FMN23J|=41e>antmu5QkntF%Q(+K~NNcBwlqtsW>ei zT>%5liC0!H-^LMFM3{&(YW=^MNgy8@touLCr2Z#wsa^-U(mfcWQGN|7=+jOFvV7i_ z6hviQ*VEsc9m0!{*0KI;VwB@_Sa&44c&-0Iw6)!t&z(LeID-hT_x{NFUxv&~&9%f0 zDsQ#oWqruqx_Ib|d7R1_9(-+D{dvX{FIJI`>V|LajJ!7fA(u$r!#e0yg2hH2|sB^1;EuEAE=2dXUnBHt>RE4hA^9M)~w z7xE%s^kEMfzaxYQqUH~afBBcxB2Z{DBCfz1X_Z{XmgxUH&CvQmw5NGcClnoI;fTTZWQff`LZj#C(X}@0bM+P75izoLRzNHt9-ne zQGD@$hkq|oA@r}~64|F+B@@_|~_RtV84gOs;I= zh3Y2rbsT&92)j6hAtxPIrL=QO)TbvTT)t|Jb|rZ0IEXqtt7YbxngVj@P)BvrgkB#; z*~P{Id<5@_Bsr=-9dxHJ!rktv6oT!I{}mf6aEZ$FS36{g=K+9|wSES9T6NynPv36X z<>?0IXG;68d`jEtsxOhA60(V2{q$PV@56MIKkEmqb0zE_b)fz%I&1SJP&maxffbE8 z=9Bi~cWs8qX;PUY#-TiMW54Zk$kef4q|C26>ucvce-wcVS(X&fm+sqXh}E^DBmZc6 zegG$HBeRVwKwf{67`5>;~U|Qsic3!ZS#i96B|k< zes%1FW@;59RM$|lL7hw$!jL40q~ma7M^u)|J0&_l-%_z1TRHkjGFz;`oYc=Qf5g!J z6SMo~65nNW?0icQ7yX`7pGKAy>Anj52N=gArf!?3zc%L*>z*m0YYlKeb_f$j?p1ps znjC*M@3b$AV$yFBWN%O4pVIxve#eX*;PTW9#wLt^ALKpQv0D1O22G?)UxiE%P)i7R z*NeUSjJO0ge=&rVP8WQvXz3Nak$<6(jh}El-d;SxsGW96F%}^h#cZ^&Cswx=aY!&> z2?e66r+kRtJ~7_lU0u;e#I?U54(Y@IDCR zG1Uv}g(TsHsbY6@YGaiEFr zli=b;!^=)yTOM!CY?F_kYpD4@ad-?cn0nKq`(M7HAPT39HgL>D=s(ITc2GRdcA!5= zONl^%E2BV~gFKy=UF^!u4ftQyeJkHbL=ZO3|bfAG)nQ=C;mR6MSiROWCS+Uee!b<%nD6$k4T zm6Tg)J!*9fZPQH{rntGqPDWkmCTkyWV$}co+9K(CUG|oXZTn1JL<72+oErF$ta|g0 zZR12uonh_&*DE@M#=f>NJ)$pce2)j@Onj$kU84m3alv5dUWQF#Ym^UoASI^r*(*%t z+}n~2&gqu0N$5v75F1g?7I}_GZm&Dcc8?Y7qEm*e}@h4>bdY8kH9gt?Fl=hHD(q_@0^d@@?Hr z;GPY}q3M22@c{$!UszgBi9TFJlhZ%08&%PQdHVGL;BJ?r!|R$|tRZyJT{9+%*xNs% zvTC!NHv3m{a=xTR`{mtj$l1qyeQG0o=Dw|cl*ANBBUiz6jx`T}p~#$Zh^PIL=cHU4Q04>`uyYSAco7{#1&f~4aS!hq=L)IBaGOd^QzMSZYs1~(BK(mx5e)w{j1h&s>zQa@kq!LdP^Z2AOCm^B# z^AG7uzN#ft9IYXx}DDKcxN2nLXF$l}Sq`J|eiTSD@+qYfNHWk|}?~dD*@4 zHlyu}Y%TdgPj?MyU_6lO3=2Vvk%*V4^6Yc|E55v}yae}-&80ZA5PM>9KmT9r-BQ^o zysgkAM$+d(JF(1!p)7sDj^_~Pv^56rxIl1VwIkNrjjZCbPKW@8=B8KojwEC-dZ`JB zM&}v?|5~Iy$vhGu$h_%Jg($<}?fr><{Bd)4yljsGZN|*2SS7clsxW=eoutg@sML^d zuV2doLkd3x%TpH2Tt#ZY3Z_`5!T<-%nqYdYjYG?kfJm)B2%Txg${-rvn@=_b=q-Lz z%E7-V4%f}9N0Y(gDw@WCkSi2ZEgNF`pX>%;ot!O|YNU7=S}#j5B1qV6Ft{j1p|A8Z z0@P4#&&cnfD{O2ByR5Csib*(y))qTd=V1^bFU}O{KyRjbYz@R4>H^p4w+3J$wNk|E zLE}yW>8fi=x{OCFk)o=f5@Vy;<;lu?jT2uwr7t<7*EDdIbR<5*55OVisuHXr*1Dok zD?S3n1HO5I8{TeWVb3{X@@>`Fbi(wA2MS+P#E@mw91x5x;=cK%z-r?_pV2ngV#udP z8L7wV9e2kl<)spmT!-5pCCv+>9KUc{KDK+0!Bip!4#iXmC2eVH0eAkv3;eW zzM*1R#q~4&(^6V&ki9qr?DMxhpCI`4dQK(Jh)h zQK%=h7Aj}^C8aBwz+zKBDB@3`@$2^V?T+0)?>}R$_Rk@INO!x_Ea)KOKi?{0dLQgq z(C5ytd9YHt`d8z+BF3)Ud@9#}lxdY4#s47+F#{bFRZp0;Ka#2lJYUw}nBfyy?#)Ti zqMYL)KY04ZL;k8thZVu0p_tkleW{^y_2z5cn>RKo=(j{e+1^On9K2CuPd#{}BzD80 zg`NR3)OZin)%9FYv~$q2A$ml#c-rX-R%8A3Kgs$(z$b;aF}BxVeRoy=0|bl_dsDi! z%!ryg5q6}XfTD=qaC)agDBKy64rk$!vphd{+R<#-#9803tkGHefs*7wJasp5^1mgs zFIESKSDr4rg1H3#nP?qk7Bg%lB{jqaM$Mwu{=D$YWC)1tWQLd${7mo8(7X5PK6P~m z`6mEEhJ0CxRPSGS#)YuX$^tLjOj+4)c|GO$-n9Fj1i61CP8|^}-zjDYV|5fXXQka=FqB~2TPglycmFv9dAH{W7$6ezbyN%%@Uisi_hZBl(u>n0IaxF2Hqzc$?WNB3ODIP6n- z-GX=p$y*RZpZ7DPhaJz>TU_04h^E2?@uZ=oZ4iUwULoL!c^@xQ9Ayp82RykQ(Jw2+ z7%UZ6`3*M|)E-|#?^Hv+v~djBYprQhmv?qlH)sCi?kRJ!v!x->`PGm*kCK1(b9H+} z4L;_X)j?9n9$yw^z6Pm%Ip{@z~F#SPXg} zSL!YauHQY{35INOal3h)aA=p9P^UY}9J8-^3N; z+&??$%QAA%OEFsbwV48eSRj`qT9s7BUE(|zRB-C`m1sDFh{8|VJ`cye%27|;kLDIU z^nkwCRt6PyJM2mb*?nS^)ShoUwZ-g(WrLG=>Z+tJU|_FJ*7>`Fr6 z_Jad5jKTMBUEK;uh}xT58-p~kV)DQ}DY@GfvbJ^|#RNy42lrY=(pgi z#X*5uJ8}P(_~ligOlLoKz0?TBGMjY6CT8~s6?vbJ(*j$vJ1x?Z^d+v^dnB{I&|5AI zp~4+x%8z^AkJWeIL@_X?XvJ|qH!o=FbPU1{{Bnb8<>r2G-EsRFXJs1fw&cW0mbqGo zsDwT%Q(!`a7^O0nDvP!?%npGOM=eAlnhN#@QkIxLWGsBjzo~aI7+_z@lq@2%(mpz) zDpG@JfN=2)p#etx*|*2hmc+IqK+dT^N6EJ!jgY6t;NnG^=x@i_eJw*RY0_M7Dj2BKFx8K>H8{!0WWm>`~&_`97a%yu}=f)eR0mHWpcEdvvJG(fhIk9CDsy>cZ{Eadh?DWPy8R1jHrw19>P= zBr0)_LC@-Af+YDBlv1PXKjxz`Ss%HG=*x2V^zqnw2b&7U5v%^KQqzs5vaS0lRPQ!i zTCbxh0)j0irb-)P5fgbtehnw#*puS#OaR+B(}O{CQTdp!pR)$|*nZ=FOu5czE@%wM zA3^an{G4`6nFQDMn?qelonKk0UI}tl2b=(!^NMI>($p)^tfTQN;<~2#_U`HKDWWht zQ`yIthZk{60SZLddSGiB9~2WR^CtZ)o~ucv6f)mrKtSi!FkIzIiB6FzJnU$?*)ZT2+$0A+u$f=w} zXYS>_>0U}l|D0r1dyvX2fomf*se=UF+Giy?w55ncXNUA3t**Mm1B6#=zRRB=2!j0m zM_8L)&a3jd@R(5xNyN(Pph8&jFkNEtdZQ^s-n}A*Np|G?UdWgpcs& z(KQv@XKH*WY8p3alb2q~|FqO(nJ4@=D!pTT*Bs~n*iUa*e=QJiu>PN@sv5!mx_*uG zW5WQ!rdodRW!2Ddm2a5_I?E3Myx4fA*HaA6-z!j+`1$uOt0@*cF@!oEt&!{9PtXXj z9XWdcY&mfmrIqvA*Z$$^%0}mN4!&=9au8u}_QK)iF9H3?euJyNjgUIaTK21}n)B8a z!D9Megz?VBApLUBwqMf$#>Nyz!7z%huseR=Z_F2+HlXkLoo!MVJ0 zK+bXwyv|_6?~+z*n9S5m3TLWaJVT(mv9zOlt|ks}@%?}Qt#+JTpFTl}UBg2eFb6Q! z7dC!lZV69eD{LOgjyGg^g8UI;zSA<2rDFh2urJ7XU<0101Ym*iZ z{Tfo+{G!~G72RjT>M!2)_1{6$lCJF~s@+B}ku5ch5i1PiD6W7Rp#k~$XtAZS@%{ET znN!>NvPHI;k6{Db6Rpyu_cY&{DW&ZM61fVim$gf zY@O~6WwkPlEL#`AZDH$YCvU$sH-Em2L+rES1H+2k8<6&uhuKGfxFJkX{0H7qJ1JwI zjy#lv8-Lkul0bA>z@RnPOjq)B z@k({zFh~Q9lj9u8NTwlPW~I7rDPsQeK_Y7Fcdq7cx9gSN0Db}mD+^t3qStvt<%r#Q zB!_3NX2;MC8wQdyDe*WrkRbtd^|g#t?UeYL(f-QK#?iJd9c){iM31qfQMu6fS$Po8 zzdVm4o>#K5K8G-VREXLt>t{6#QT-8X1|8Cj2_Wj>72c$}=^Rb^;GIcrr&AGBj(3!} zb?}4-M*}EN!!g8pyUgv(0G?>L6!LFHn4)50Z8xjrBii3&`Sb?TDUcWH>7k9#M&8TwvU?Fd=}KbP_rR}3bDez9@-`9LEQmFU zmnso$o%A9}Ab*KQHh;88*OZ1cZoT1h`jkoDZTf{#mYO3-19B{)_zw=VN4F%eqt;if-wQ?_Szp<3( z4M8dNp?PthTrEZW;A7h`Q{l_OpdQZ_y`#sM-3HATx>zjKt5wKLa}sVZ@QSmY;DDmd*!&SE|iph_>F}jZER%U|Ego7$W~BoouM6{j1hy&hy$}L zKI>k(dkUl2c7cz?<>%jR&Xj-?W#nmXV;{b!sj45=wq#Yt-kdi6AupWaqaRC(w6NGv zDL|4>bhr1Xtuv7`;Ti_w+`hi^Cgzj3Aw)-`tk8NM+L6#Yf#1tC0N{PGpU=)DhRTh0 zpbzm3;VI8>5$Z#dMopI)=?>Oz-h6_sYWaU`7OxNaNR9ngpqgU~D+*%^nyo0Gzqogm zyysQLpk=Uh)NG@GLHrT)*k~SjA^zd1&fd<}yGycW+6P1hNAI?)Loo{FE`z0_!Yda$ zvLtRlmB~&fZ_*{1e0=u34x>w$p;(gH>m+~tE?ubdU~1S|#mr2%PE}4dU%w+H2x;h1 zLM!Qf`4|`b?(mFv80x@1;qI+;w=5 zf1b~)lzB@DqWu!FHvb<$ZL8+IE1v=QC1u4sRk9W7ow^L0M`Vs*`XtI2Vb$k}q;~k7 ztAu-{P&C;hdET4VNIsG4rgyFK=y2DfIYwSx3e^ROeqmb>>Lmq0?-m%{D7i}@cc z<|PB8eG6x|*YMInG%sR}#23trEoh>Endh2>-^UL@^G802zpWDj%WGm}Jn0z$arB-z zWDRtOdmnyR4aVfkuM&k3wrXm}sIbuIrU&>owDKRd3@H9eh*TE(6oDgr0c7G_GRzb7 z)2e)J!dg7``hUKx1r9Y04mH-)5@e6sf{&W|NwUSfn!0L95_%6{b*%F=1nnJT8dtY^ zjE;2u-{C4v zonh*Z<@m3qJdSQj^v-wh{N|Kve@1%U`Ggbk!BpCAA~spyxbirC?)8q0Bs$?PSU+qL zf7ygyjk^$&zL@Md`}D{@KW3{~=Rj`Th$=7#xDQu_=q>T>o1mfsMN7C##jHX$K?(g9 zDHM%Z`2<@gpxx?|XFZ{fidMM#h9s{**CS*P;Sz-w9?d#enei3trx+OYK(*e^Zeu5J zx2OCyp?p*;nv8M1*NT+@np0``0z~7b)2Dq+1_zv#r)IADMUKOWm-E!2i?P7qQ-1! zi3@X*h8cjsfizzNY(_fcXZ=l2XkuBpinOl$!FTLRMyva%U@1h9XaP7|XD_9kLEQCB9?PR(m&cWE9hC36tztx*BB+zI9cAW#+L4#tA3qq8;ZsW-N(3+REN!g8LIMOd}bnuPO=qJS>|1r!Vi*P zo`0Xw($9c=q^ChwY|#H7cq=)UHbw}!=xILag;Suqq-r4BCnPRw@_O5RLMC7bhf-3FHP1_7=HS!#ip4uf zL#0!QnnTS3uOF7ePf3c;0R=kFEOVY4N+8#An9LO5E2oG?X1ownqE47zy-MT~ZF6IY z`#7y`+=s5OVe|>%-o|Y?yYb_!DCuU!FC7MVIA##0Uh;B*DO{HcERAfRI0&g!O=S%_ zg5#`B0{Yf6wfNEksX^8XN$-Fe&y*q%R&Aq+6naoHs=IbSQI6qEZN&+Vtu+Z;2Cj-L z+V#Q_U}a;Qk@A^YdwK+MphN6a8d&gidqYM&nj!^5o2(<66)bfketq8F-QE?3WSk(Z z`}Q}#^e-}x=MYYdFKUI`*e!5$o+@uwKmai={#Y0q zneG2eUs$MfIT+x(0^7HTJQ2wM`FwcH`bJF#`P( zugU7qR-i`N>EoQK`n_l#9t801iFW0%g%u_2w4%+#3QS|dBMa(ac;=Y#X+yzwDoBhj zu3(y@ZCDaWX8OeC@tH)YCYXs`<`q1!z(u2$*a5Q1xlLe;C+SE=#upzY|lnh%i|8w*D^pFUVK+-tQ6QpDU#$9c&cxaLAKH$>Pf&SzIE}IY;$k3mE+);IESH`#--Yqz=X(Da|-jNYvTJ zIS{!!kXde&1oG&5v+dQR<%Z8IFBosx&^bKWwAe;=pLT}P(i>Zqg#|tMHPa9Y_3S>M z7MJ>+@L5j!dPahlvoJy)G!fAfI??*1=qu3f_57d~%0KE^6)8@;RD3rF~QY9{mxkLHN{WGxUm4*_8Cy^o5zpr_}hbX6Ol92o`#R*|gJ zZ_<%4M*pc_n0K!7&Px2qigz=#oXc)=g}kcd8Fz58GAMUECI^HKX=_8P zNa*ag60&qkIG|N%L|NlHV=k3yYcFgI+Uy)gQ%#EbYvHVs8?(p)w5BTR>}d+i6XC_Q z2HRfIexae7i1u#%4vi{n`W?-jjMdDmDLIK=%nP8VWj^q9Ah6PXg{)|1!&Gc5yGjrFte zN!=@)uq}BkJ?${e%JsVV3niY`y@ctSMv_5YPTC=LbB_Y-_>9tCuqY{r9uNA1rOd8u z=_$vK5zX7oo_>qbl8^R9eVP1uX}{w|J8>{tG~r&0wE!u7^++9^z)}e>X3ouiRJZ78 z!fn9zz>}sRkgR3%$EE8;H3zZ7=21P0XF0Fs-^tdJ(fta7(+eL3Rv=@X+%prUtAa6RU_pih(UFM|o`gb`j>+f{T(0-tPJ$EoYYgTt zzouejpbil?$=0BD8(Ui;8vN=)kaSN?ouqaxt;EkMS+|-Cw@B>PMD|@lFCO{;&+WJu zXnG78aN{0!iF}!fLjPmj1L;x2I~n5bf7|nH9jZ@aez;dOORR3#MoiG+%$lEAcZbcr zPnKEj7LTlG#JC23v%@=zO)CxLTiL~-(#BIxGKLPPNG^v92M2>8$9 zX(Mn(%J&2alYX+j9wQLAMbkOfn3IDqNX-mV zq?&seaI-DX^?cEw*Gru4(@gg=fx;FN{ZbZ6>wg~pG)z))T-l1W)TJ*E!}<>pXr*W0 zRTCjl?EnG-Vf#Q~0Ovm{W6I8;tERvXcPX{oLpEi1U3TaSjnJ{}jA=P=LO zzP##Rh_?6UG$mib-?_MFUd>O`wEkddPc}#1;dq$BiS009ML%BGITM1ZysvNmRV3SL zh1|OrlX0>Bv$7*Rld%1yEDWfsaiA2ui zhj4ql1ZqOXQMBfATxQsDU*hD1z*l$rRct7C{=SKPVPfg4= z8@B%8UeV(=oTm9gu*Nsab{!!ofes19Dg<#HY2;f@_hKH^NiXsD&#?TKSL?E(A(Z^2 zTFRl|Fsyl>2#f^=2;%|VaFe}UWDG~~iA+tz>xPDV-()Bq+tw0?0Exbrf{f#;vJShg zDu1uY+gZflYn9f0C8F`Y_d&7NLS+`=;eoaGRqw6&sy%*hcEld{q!^VR#~NXPr@TpY zVJ`l3A)rnsi5E_3@0giG9Jd}n9{La#Sg~zx-AReIffLGCBAZWdo-KhETy+mFO?(tq z+A*(%gGRZ|=-6$g8#yhjLvS?T2qZT^0A09C1*hAT7KMun6S*f8Z-ySNfU2D39J{M) z`}QJR5{SXA_qUn4V~2XzOF2LKu>)n~Z$zL8Ma(+GA_*TU2y3%BOWO6I1T-i=Rhu=&1MYsehA;N=3)18+Y&u3rJ3E*oph@c%Zhb zDJ$Wir%dJ>DONNNN#dHWa$rcHmD(%ehMpXAI@z+h8zQOC5%M-p%2hhM*R_L8c#CDj z!G+$EMj4?ltdUwHQ@^LUgIo~5g%EFXCn=Q3D5mk42a?rTUz8-PvAq%W>T!JYDVs3Q z>zE>iwN%v1fO`WR4isM7#xl@)^ewI*Y&@dtSok1UZLsR99_Roru)7-t`_By+F)cz| zEVY&RoK@^(d?E>`FxDw5wO{U@MmL5GIUXuU_j-)MScl_lrp@*cVGtZPgB17#k`uPpz!T_Mme zB2AQFNjm2%-(RTR2jiawKNQ~smo^H{oLUjN)^*^h9ZW(F(DG@~=6-ZIXWR$a)T1&& z!Jgb6?;mScI zqEiN#%|laj4JlfsMhor%xpZEC-yckoi2ojvN!#vdZTdE`mUc-8@rTN3RKm@71saktTcDJ z-@V^l4%upXp>?A}#^|Ei)4SZhiNnl_B4a4rK>4pXSY!l6?`0B#Zg83-LOU8zDCzGL z_M5A|`mHx0^7v~Q;@gWAuueH(ZK_t5Yd(dt`C3=i{e@4fLpH$mT|P~r^$tisR9nj) zR^8-AzeQ4R!8Q=c@N!N3@nF+O!H?xzNJ)w-<<{M6KmS0!8W_zo;VU_xk_Z3ir;1>g zv5}Ua{l~n#$k94SN94Hxflm4Mr{%+`sWPA6eC;6$fv9uE(k@eD9LA5!Y!%gsf-&m^QWjZ2Y&c_pIVpwj>>8*D z;|)O~OY$ioLB^J=oG$}bl^?%}M+2kJ)Z;2Io$ZA<5&OvS8@M=vN%I9 zUq_aq=@GDxGf?Y$XR9AZGurR~`^@;n>9;G8KtzIo=aap0H@P@yiuM%pkYu;e;%gWp zs`WI7i#s^Ez>~kE{RgOAk&(%x=izpaPR@)s|RTOAO84-ZJqut zHSAO{orM8_fDmD_o$ra0JpC)o^`}#!@^WuPy!ZaLuV<}it^5AnJ|H>^$d+kKPqIV69D)+k+7vA~7jw^h z3=aO9-c)fz1ba6<)OBcMn19o-FB-G`nRhL?-7cW9W0018lqV`mu^R#UiSp4+m=<8C>o!0ofdvJAeK3?$)knq1->#zbdo% zKDZFmmyQBlXZ_9U=wvv)(NCbIGO)7)W?D)to_0JraMzqQHo0(&Uv41iblUl5UwSa$ zhY=Nd;C-_3s-f$7#1M@kB-B*eS?f+l5k;;T zI(|)k{Gt^}pFYlNIIkaZzJ?OuhjyX^jT`eG>Nu!8A{ho_rlBJ0Kb`>%)(g>J`P?RS^Uys0QwhljMl zYSG0j7e_sdD~Ic3QyhJb5HEE5J&T1%rLxK(%MLh-%Gm1vb`N&X%j3b@kPIJ|&tcV} ze)}uo124|f@Shi($pTTS&`DpioLW!SV=;qPn%n8h|J&^m$>(|g*vz!}{@!|`%iN-6jQHpy^3MBV+BnIPNllZdEMc=T&vyPkP zV}$K#yMy3uFh@YHQNrnTSDn!lTAj0>HCpjbD+rEL2dA&#-7(A*(~ljgmAMOoF>#FRAE zMqW=+)z*>rAQ-?ukt>W@H@hnlpb{%xCtm#mKH+PP)forbaY4ohFoP_<=tk>}C^Jpw z?{mAHGZPZ%5>r?&Sbv~d)I&fUOKZJP{PZOj18T0+=9IQo)yaIOd~NiJ2#t)1s*d;( z?yu9g2B_gM6U-JYC~*U%ulbk7^BcWU@p~!uP&o|Q4b|Mk_&03&x-aAxo~mqi`+hgI z3tumeuFLWzIuCf^NG_7EF|>KupD}N^W zDm9@T_PA9VkSiJyBDXO&+_I;I@lofUib)w8tFoGcMrc>ku87;=AT!6O@deNSKhYpa z{$Jf&{Z>X`FV7X*yf&&AN-aUnL(16fp1z%Z-ES!=Pi*2Ixd+!i0_i({_{JdsG74VU z=g&u#HXzmR&TL%<2knvas_lLXT-1HB>LV+B2ShbVt2B(#H9E}Cg7WujG&mP=PW8xFGfisU7*!x`5rFUj?gt}&^u zD4SLX(?gRObp6gqG8q`O=!$u!3$WrWh8eYw-~1H{sNW2%&5HSl=5BCGn}n!zruUzZ zz4E2(E4pvupSf2f_lp0%wdngx{+ zlD~Jn)kI4y(CkelLL2{~d3%kCmU!%~w(=L~s~_Wo@L_Gp4)jTn&Ux8+wG|FXnYi=JIrPx%WFm(*1Wk$r^V+nn>dh~p<7xZeZmuH zmi`fO5@UQUA!lsl#*|5U>s2;1_+x6=QFGm7rH6QSxrIM~u(2@$+!6n+X+)^|`%9qQ z#>&cMwv2m!o@A}0jeDFVFjg1LwE`Mm$M9xw*ZL!R_%aCeRxg+4LEp90B7=_9dFG1b zF{;dkr}Iu!-gXFqTC+%X25enjCDPL@we_P&Fa)+ATJ|1Wk{%U_S`95+VhWBV z@SQv+$05rSwnGSPsBwpuf9a-y`q$xi9Xd87VBrFs5bN!1)?1(@bA3CU)1IgN zBuR`^Dy z{#0t+*^)kvEr+HA4QZ|-&aH`k$dG@9ixnSGTz}0_Pm{K0Riuib@5mp(1<)!v4ngV& znhwR=WEQ~+0BX@221fMO zf3~B8^&=p4%;j55ZG3rHy8om6TkFku9T1?jMk1eDwLQcw1UoT1cjM65z^ihpcij|y zaLD(OfdmXGN=}nS5+?);CQ{C42RxtuNVuo}LN5T%e_{%&4T{x~Hnfc88TRK)Pq=-6 z1-6ZrLEK^V56#t_2BWbtADu^|f0NGDM5U}#1A5dv;6Lu;@HmTl<)f>1SRm>do{#&} zZ^dYnwPApl5%25m8QtA@U<(nK&fV$hNz3z0d3lyBG=5D%iYw20XdQ!EO7GHj zi&@}?7DaI%xAjIw4yA@YX5E9(<;un3#>Xq}>1|DbMVNfFQqsmV7JnlD%J7__##628qJ07U4MT45j-wmehdD&hELN0y$V~gf?*J~A9CoJcEfXW-?mYSm zvEbuNm)C)x=Gp>G3Y@NT8Ci{CS#G(kJ}-$x;KJSj_XANlPb9+qz4i zwhN8%XDO8d+>F|4Dy(Wp`*=`pXa6=rGetD~;nR;J-)4^12mR&DRhWr87T{j>8%;e1fpclxVA#m7ZR4kv z^|+(}APn9eO?#aMLX6zC~>hEaQDb)}XB{D&qBi+<^AiGX*yB@lb-X1>_Oegz+~DW94D(RK&WXK_gwQ5MLT(LI0ye2ZS6}tAvWg6J;xb0 z6y|5@{YrcB26)n5=}w({mkB?DP*1eScSlRzedYx1{6n!b0mybpJjh#YnfsasD{HwXmhEs;eEW7lmK%hEDs znk>EAOf;h9zQTFcLc!veGVr%9lCgYC{Jqynq~V82;n2x!#7}~qBCMvwrN`QIeq+TF zbZ2f#u>th^AC%83BfZ8g=)n**sh+{7y-s8%bAw@Q+|qV7 z2(>y*< z@gE0jt-JYfaaSO*#Maxv5y9++&64wa6bX4706fTG1>y zHl}Z&Nf`_JLKax{lm*qLQ6K8&@2am>mH(XXv|$c_w5HgJlE63&0>k}v3G>MkkmCI~SNF^wGL*;IZmS(4$JtgIai(|oQB znNV@&7oYzAL$tMF!H{vO$$$29dc?K%5S(D5v1Mbq2^Fm_7M9*6gwhFxzdJFB^O*tE zK>UgKbsJ13sp>Wr=NLOsU|!V!RNcR@Zpz~#OgBSM)>?~mH?PBEWNIV~ftW*+uI-KJ zAhPZWei6J9zvG3NM)9;3U5%F4eU0EOvnT#0m(u znPYf#Res(oy#I% z=yTZ19>t&f^6Xyqb6#$pg?HHLk0gb^aL7yIJk3!MevmxnJQ}4i9@-nB}jdCPe-gulAHJ*T<4h-GX>XOCUbFX@chISwqTj)s5Gg$;M_$5jI zD46wjHD5gS_-RAyZ$eBPzDezET$J?BlW-P|mmThe-}be4NB+2NeKraw2~`s?`}kCc{4xf6H12=D)c zj}*7%b9}S-PA^oSO1$Q%x|xV9D9|yw38k`Z+!M0H-x+!%x+y~_qNUD&Mti7m3s7U% zlisK~bmx=5)_##W{{nUQNN=shhtjCjwhKs4I#tFsjsiJ46X-wfh&TdsCDbH2sB5f5 zNd!I;Zyfn&Z!GR7$i9=*;XA7J^a;p4=xdh>6RQiE7ex)OZ7%(c8tq$Kakm~%|F}?& zMh@Dt^8q5C^gZ)DjeWqHq?tN5Wb}?tmB`g$qv`GCTmugD!jooEjjpiCx(-@V}=|^u2 zufK2qSZ5}N$H#S)HkwDi5>*=zPokueq|gU@G#DC(t|F<0p6Rt$?JT)`UF%wYWZ|px zrdx)+WZ!(VEFBlifhBYR$`={wAJn@`vXcF|kc>8FhZT9D(S10hgRe7;fky3r{WA1* zCx%mGb1gJ0K6M_>NdTBbIq?4Ae2nWnG&+2={?Uy2H>Lt&c5r4!DT=sEOB8RuIIjHB z)CnCjLzNb#4+r3t#ndugB*fGLEvi6R6PM`tG_co46vXbk3e&^}<>& zLRl_50IS{UCKbjp=TOz8jc*Qj0d!p4V2peZ2gXG-!OTt6OL9%=kca{89~d1p{QmvQ z7>?pW-f>Y9ODdnCTvu=R+Mv>?eN9xl89B$C12SHV@_BOxw6S}=T2Ww3cZmM$?+L|_ z&zf$@)c#|I$!0P!PIOV48xLCA9mD}YVdd&SLsAPq&8EIn`BX+~Y`)xcK`Lv~PrE+}R{Q9il;0)^Bc8fnFo$loa(|^fgYdeINp* znQ}c`yM;ih zzut0=`U59M?<&>lZdxrIN!?Spx7^4Ab8bt@HB0s`YkH7nppnsL+U|8@>emZM5GCzu z0=f|ejYu(Y&iZ|3YQ(4c8=81ZL1lwH68Kf-D@y_5`Hdc5BkCvl%_F_TsoRcJnHp2O zwN382H0TMOVx^r7{-N}%{Y~JfYh_KDaQN;|+TNs~sT#>PLz}{z4t%e^C;+VGRv-{)g62zZnh< zj$UXu&uPkbwF4>sg%$9(YU@B3@90!$B-n8m)I+Yp6|1u?~tEsWFGc$7)Cb~bDkiEl{+bJ38nxxY4mI*pH z(%sh{8QI*j`Gi*z?5JfYqeOJB2Fo7iB9a_hY9=d>#~$_IS~%OOImF=~)jP*`;v4B9 zI#;67dNp3@Dc{jQ+iZEdifEh~No<_-Nkgw|flW{g)<`W&$~YZL#dC-5l#W7UD`@=< zTz~Dkwy}Oe!Ei=)Sne}Y6iUv$oxmrX2tPU9kkPuh>5@C2M$TIIqeyyr0VNo{H zE+@5wgr1$4chv03&0JY zB=!9+JO#&UWz?e~*kS)eqB!nt{QjnL7t!qzH{s-4ZNb$VVro2ylB3Lu-Fopf21D#7q85FZ9j%fcT4; z&c%?S+;8+KQ*OJ;cmU{(fcrK`5>To5TV|Pl*ks^s;p^ ziXxPn=;f~UO1kc-HY4`ZlO)X~%i5{akr9v}L&cCA3hfI98`wPy)9hc$^CY^I)fmR) zmt}a+JDlMArWB%RbhKyeetEAU4<7H>nCQ>4E;jZ5an5U@hB8fe0o3gz8;EiKejTb_ zyvxa%uN@oR)Xm8;ZpU@E`i7x7_Pf?i62Bm0o&uE!ntIano0>ut zjT?HvOBX`^xF})?q^ea7h>~&^rFKG_eP+yBc6oeq z1B$Z;^3!KNyb7pprm7S_iR)kZDQ`-!h;}sh^_rR`H)uqNYJZjP*&Y@J$V5uM5E>gF zYhDgD23agqGWb2rsZ4Zp_i)#z#3rz0A44_`q-S?F@4UQNoDBOW4OKa6dJh#N#g_8W zgN$a}msBJ8$BDmigeCg1{SSG9SbQRC!Pi};6MvsHJJfGHVgVqQCog1)WySzr%q%Tx}wAB}km39v514G+ewnW9E5k|8XYK`;_$h z{d3j+AGgfg2gIj;o^UJOU=zx-|GU6RDaB(;Ggo`#ZsgdHJ@MP|o;d-;B<#^!$Domy zL@94Vv+5dkY=Cm}y*A!gbcM6}@WL|qz-PtK?NC!ZWqTRd~{qGYeIUkAUYVlKkWVhZM_TP~3Jgv!W z)vIVH=jN9#QY9@kRagt_SAsQxI7R2&&h+-JY*;$R8eu|Tp+VZIzbl{pcVtEec4};w z1*qT+TSdBiG0AlDU`0C7VSOsuxCwiJ6C=tkQ|lz>AGc$adx*6k=T$q^w6(trva&Ii z`HM?0S^jBI+^(88_=WO+I8~@-O?quCj2Q`91Ah_#3pbBF66grCxK>6jk0mM;VOiAa z6n|-CVmdu^UqR<39Zv?Up#z+or(G+ipFDnrW`0S|l!IliGn-02i)}12_|5c?89j$@|tO$m{d$ta1luQqbF@?(xP} zoIFz|%s;x^(-ZgjdWZbo)wKy^4X-igrf7GKe&?}b%oM<-3YSGYq(-%I`H%&@a;ce< zph(=n*+<5Q=1zc3R*(BaqS>B-u zo!AMqB=2I5eJj7~HTBLC-b}|tLOkQQ93dX<1Hx~3e{~E*ZPTWDEVvI-5T|AD!X=G0 zhlcw}7xgG%8*=z{(kW`8Wf9L4ST(KGL8pLrCaE7H3ch*cm~AoSG3R%))vv*zuc#qG zdWOs18~KVW>=sIRL*rCqO(z1a1K)&Wvp5&W>6r|V*w5%Ne?i;eh2yo*%mhi-8|KPd zNgu@K`hSj!a679@s*`@o(H>-EdfNalf$i>@pI(t&^o^*`CJzbi3Y`WCGxV7i<*}cW zt;eQ9bo88k{__Jc99b=};QQW9Frwfi;UT5~Py+107(<*SDfO&aSV!vp$U%bdyDU+m1Qer7WzEI8&f7{|)`KBbun<_1#Jb-ZU9#n0uv3kk+ z(d2?s=qz9B&YC5_nsB_EYr1KC6$YCfq*NeF75G818y!Anx+1q`@Ftx_n?gpulC)vHQtttJSO3J?$*eHsB73tGsNl5b%+|VC^BIgyG77hkfi>X zJcZXiXG0IR_Lor_G~Zdm6B4aj}3O_Ka*w@KVd|3RM%pHQLD zKRqii{yv0XxjG$yW}EkBNKrO9wRjz=jgWD5x@Ic%{2NwoUT~&*KVSx`6jaAOplgk}`ZWY;za{8DY%qwT2@7(i@&DM~fpkdde9R8%7$rSQX=aQ|pZ< zHTtGtP_+Q_Kn5P)C|=(hd0UWbK)BZ=iSaQbO;^N5Su~U@03=gkX$@R6sDcOg?xyKo z2j=l?uF-KI)bLv~^mWzjAnm*zLD*eL-1|VbM)q*+ft{ho3Tc<;?)35@7m5&1A2c3+aoK*TZgtu-eLq=Y4S9dcSke|xR8pLpbJ zFT7%<$f*ue*oyQQaX?B%-%e=zX>Jwvc0Y>Uj39dVqh)`LHPcZ`ci_9nedb;SAOZPX zY(>Jd$S!T7=p>j#n|z*RK`++~;}Km?l{_Ou%|vDERBHxuy@9N=TVZ^0OdlskJ6sP2 zkD5|}kKz?QzfibI{qsQLp(b`Q_iQp`U*IdwyVCWYueq;Mr}+}zDKmttm7Q$IxLS!= zKWJ-r7bLspbZR80D~nsEHiaJ5qD-P+9~6q1@z|)?Y75?f^}}MoDr8C>f!lXRbQ{qQ z|1Bjr@yZeQ;asCnABe`ZeR$Qxb4f|lxJ)i8RAZ^ky7vxWM7x;zS4-=tgOf`TRmm}n znzFn1_*DKw_kL~;02&9@ON$I7(U)_z4n0De;axZYzbdu@G`+<&RwT1bycCpZs>FLth`k&&vNH2GTEbS1%`+Hxfhrcn3W=;CQrI#H< zhQH(Cj-GLzH`=4@@IS|&&4{B;hI$*-nk}cI(f!q<%_dx?3yXnomAjTwr%gFDJqRK-s7f!00+hNKu6K|$(Wm!O8XnB)$w+eUdS?maif>HByaU0m~ z>|!3R^ZJ|>coRj{%Bsa)E3&)$^JSsoD@n1IOJ)=~@2 zNEA;+45%NB@QEg?DbtA5m!9Nn7~%^wqCN8XF1P=NmR|0LC%{iUE3L}F(o%((FUTt{ zdm?i`uU9$)h<{5MU&;rco$H^w9#7PCer=tWFdKE9Cv<6coM{|>o_Lq3bY+GpoVza) z{W$hzH=zY*4A?){NFvQ<_jtTU<$U34?!T4*@JDa~?D7hSopwak0~HIeeB3*hRaG5a zZZdE&O-GX`IfQ=pY@L9PQN;7awZz@M`8evAa?eyFO!yuRg*>m$zf8xeJvA}F`|<%s zXRoQio=N_CWa*95`yhFDsoM`Dg&nt7v%_4q-Ez_@D0>|)lWR%74P&9q`^K;jUqP)` zE4nD_Rdt3kQ%|JSymmi3@T=xmyyTC@_w%Uc7BA3CwSStaMlyiSHN&ZwJ|siUOC$3v zCgYQM0@X!bB=qoS# zlh`}^U(MwF+slZ`>9Mi8e`s3$U8+VCYqv};E}QY{UaL;^e0^DDWMmG`@iRQ)T!Cvp zqOK6Pr~F0YI>7}k8v#7*PCfWn#^~<9eh%I^z33e;@>4o7xN~|eI{thj7=c7cjE7BC z?pLha;Q%Xv^4&_1ak?1BE(>R9i;?8;g!++hAmaV#-bK+KG$2<(ztpa?;1q{-LRWw-ml-|A6>X0+iDyHcxn*$>Upssw9)I_1fNe?_v-sQ7bRPnXi&3- zb8p3ss45{N#FA4xqu;v|^p5rRC02Juj^{nOcX{sbCbD$CVkY58v2aG5`5G zl1G7-25on@FMH)+`KV^hIY0vl@aDNznBvRzKTrv%H*6+wDg$FoOs>v#8PUFIx#i$G z(rpQpa=GnE-ELyf5;61ZeV2n&geJhF=+V~#+j$&@>ISh=wyWP&v3vN7d;y9>HJ!Ug zMLu&fen9hH(JgxwXf?0k(;k@rNmHe&vBT7b(bRz%Z-&2CBc%I@Z4~bvoN>`%IrKzH zMgBgKE@s6JZX`|cMzO5-^cygL??yGJ?Ucuz-E=xetLyC!I3IRzsxet9rn$j2Ty~L!yJ)whjP7!!-w^=Y z3<^SYq|Uc8LGqs?z=RKDSf^k;H<)4E0~iT0LnFa-+%9erM4zerEu}-*u46XJn=F5T z%bJX38*8mgI{#2~1~*#ccI@$bM=R7T&(aJ5S-D^1Z|pzTHljeBnG~4(Uafx}A3Usw zaN}k1326Gs47>_Z@i+86L4rHz>*u;h-)08J-7_^nRbEi_1A4VlY+zF}H079F@8G1Z zNkotWiinId59C3N|2vjOsYpAFCI5&g34 zADX5uhX;o>T z4+nS%>BZ2VddGjm18f$1=C4CvIG^eBEuL{)PG9m3tw@!v=rbh=bTs%gNU&NE@mCV_ z*c+n)Kq6nE303xOz5H_r)+k!}vN-3PyN*lg#OZ*;9V= z>1fk1d?+?|?~v9^ZdK_H-y?k1$Q`k1?ie7D)0Ot(v6ys`Z!NbP{{^%R9>gsz?s zvwjp*w!FpZZ=;5nh6h`qv2Vv2tV+)vC9}E3q%>I>nQJds zLGJD(QtK^p4=Ok(Jr^tD4I4JRbaF*P%!Q@(>xDR`dc9(Tn=DPrjtO?@9ezf?-{w}H zDecEAM7z*3Xc~Y15hG^#vQCmA2s^hfB{V7SKo}-(GIVi&gV`a9O9{HEwSCZuSuX!35nyM@bx_CjNvTD4$41LbH5T4Z9`$SYdG-Dz zJV?O(YiETc^bkwCep(lNqzk?wtE}H+)qrL&w2g zlS}P$jsmWk#!LJtf2=#!>#yl-^EdepC;dVNX8{|Zr8%MF8)AOXAX6BBAePJzA=^ci7cCyIxWRH z-2J}S{5U}X0Aa&m2aXy2gI}>Y1DaPLeYIcQDUrqG5R-?ENvaNB-XuhYSM|2;U4R+u zQubL>wUF7fughQjXFRr~IXKF{JlY(YzCh2so$j76yasWVG%^ zmtFX26t&8_&K8H4Cnu_tfRGi>(n%0$)?! ztg631!N9AJw;(UQbnH)C=Ibgqx~#l^_MwWS1i5AMX2u0^rmS+;zSy<-56$4uxleT{ zLpHLSw5zS*&$k=C33$yN{w=3NKvIhSa$H@NYQS`W1eWWvEY#LaV@|F%#_Epbj()4RuWQwUAoGY z>LE^;oVLl-jUt>{{;zWlRdOVml2u$al{!PJjSe>5KLZju99Ny^Dcz~cRC0XWN2-ro z<2-BC9b7%N zbud@-->i$)@$C@pMU~KqP#%z*TZZgVQX=rsGDv7T=Wxm`P3JB+tKhkJ)U%Waf*mv^ z2>w}Lns@VM@H#tx4HTJ35sPTdSv({HVs~Y#ZgKM;=pm=u?#yLYGudtw9T$aq@JPFP z;t2a`#iNco!*Ut-q(YF@GhRs5VdLFLRPgI`lF17|+J82yYl z{|f!hRAq`J+6hyf5Cpr$8J%Z=43v2S4#hT7i2h>C>WZUSiS%Q$|rTR zNr9;$$#;^v{VCj)3l;Tb>mWORIj^5W;k(NxH052(N1Lhy*T&`+08TpsCkQPaX-@DG zXe_4A7f`?4G%M??nUq(agj;v?$lHOe49Yj=m6=Z6xNY(cN~xfhuG@Oi>DQ4U9yFVC zd2_BfFJ+_aJFo_)nZk+x33?!TG@h)ca~FxvACMpWhlaE`8XkR*5k6GXpdDWq-`UhTH zU^mtkAt}0yGG%q}zV;YUAa^F~CDoA^o?UJ&HS zjuA296QYr?_lkihru>E5CQU|T?Z62jS$D9nkvS#p^VtFa`tQJ6<{ue=8A4V0C=m1; z!(>n2W$BjkpUlKULZQ}%kuMHYlkbH7w3%KWUa-z$&7-La@dwC#nCMv18U37li?2iM zMSY_=ipM6enGG!bqxn~dM*AahLQdS`2kNdNdcTlBOznR}U&^FY=E`nKRCOmK@V|xT z^r3A}JRa~}wmP4H*3yZb0Z zjM0W7$d_gs6_*;rP2-Bib0fDuMXU}Zxj|~7#G(Jtgv8qor}{-|Iy1QgWY#jLbUG51 zxFNZL49fDsS1Hx*JT=!MuSQ5Smvbo{u3M2c2r_XY6icRl3F7k)ZD~X+!&6JFSCzhJ zZ6S{Gz4M`H11_VbYrWQ$NY&@7T$2-r!=Jjb@~d+$Xj8uI5zw!`RagFock>Uqad8u8 z$bO-+>L!z$4F2-p#yUXT;2{-|fK1)x26xWN_99)ncv^7A7XulEIfwL<1mPhPpGZ?0 z@+Z%i_keN+ny|pk%gJ7;?!cIZa(JL=Ylpvp3`_C6kAHrtMhy{UI4}p)jjQyid`uhs zyLPJnnyT(ft^M-e#sf7Z@>JIFgmsPT48jWx0=6BcHpS;R{OqT6+G;JPPrGz1HW#0o zB`OX!a~wIk{5d^@ynZ(3#7ddYkkr4>Llr%Lz53Q&x07Z4`Mc22CPSd7(b}CaE>Xof zDr8+RfC@qLLKLWzp<_2;HNE9uYzx6X#bf*a!^2U33FdQcnw4cpK}yqa!Jt%K$Bpkb#fJN#=Uy6-qtWGx4i0LHlgy zm9Mm6p|Nd0I*yo<17kAeC>tC?|2D#{0fcCY%U$yd4=5>t0#kOcii*rJyYkyg#?j%h z7{!oe(v5QUjdEpGChf2o6>Vh{9EC-al`UB(wz*Po+0ddfRa{CBr)zXdViQ4?&BBDG zZ$_Qz4s+ErtV~1(2cw93u;$zx;qd($qT6Y}t2;L3eq8gO( z!$4QR^0+(Oc9b>_by&=hxVaJS3#{cQi=`Y96biylK->R?EB0#FKyDmt`DE9G4$Zb{ zQlLj)ri2SFd3L%cF)Dl5{W@5(`E<1^J37LD2;GFq_uUZTolK7QUF#F0=^Skt05?o~HODJ-xB42$S;Y=B}_`MUnRT6E~^X5C7nq#?#==IeKB>@8JC( z>@(CZ-xH=f%K5F1=4)#+m@aC9Csq$4xBtf|-QL za*Hg)43*Z3q-;TH*k8SjMuW$#F`}We1pYdXR_x1=M!rdKz`@p&x7c5FrrjT0`&(^O z>~=z(pT9mysd@vDWswW*$W#8*YvKo^o)^(O0>O5SQ zmrjIW3UB-I*Lkj2Nq`wwyfB2PzU;~`hEJ$8jl3`EQa;r4Xy+5f3ew#bW^gFy0x?q+ zj);6MVXbh>_QgU+x$(MxrETXu)Hxa_3Eiljiu_HyRT>f`9YxHb;1LeYAPNI9IcdMA zOZ5Q#!DK?YONZqv{zBawyW($t`G70yia&d|*5x&!+lr$z+v3m>j8!j~>WWyYt*&Ka zU=?FNHN-`+&c9{HkoAEQ_5Y-ZX3-pDWjYf(KhsL~(x$|vE~~c`oRj&bCU{$toS~*u zlAJjqe_P3vTpL8`f3y=+BGb#1;-DDv<<&w-tOc9!y)okQ5=T)}=B>6y((~4rk)HPE zwlZBhtn%T){q;X}d=77PSXI*OuYOpU9(UhpE3@m?AB&!m_$&wfR%WWy>uCMyPZPjK z6V6M{o4HbV0E$-=4*?^nFtx-Kp4{U!@83 zhKLASiUIF##xPpNhU4XS>;kXEqj0 z^mN;RW{TlP9Y_UyL04@;f2}}CUnH9-3#UGIMO4PywvDEMD39v60M0IRjo83J-sy3n z)@c_s%eD-kIM~IgBBRn_8t6Jej8Jv59p^6m(69aXeV?5SCe77}$3L`kc!r}y>?l^J z>L|2*hw^F5Y3rz+2;~0qxU%h_q5o1hvKfe(!xES)6%mz1W*N&aWZX5W>M^?O7XfbF%A?7@ z>cV2Kh~)M2kEK*A_g;3hwImrkx_>c|gLxsSyym`qxpHg--?mb(n@#@g*Amz7AvD^P z-7HMoTw7=a`$2IbYOUXBOV;OWq=fFl;)vLc?Tt8Qt};{b zD0|+c$WkNnY72&NhQQGql-9Rc|Gdk<+hv)y>&EsvN7ve00plFN;Cg*M9Hu&L(r@Yy z9Wo1&MHIgeHJ3ZSY32rp4brz9cLh9t#>g;pDqEQYMsu$bD*qhZ`zTsEh@>$e{|M~15cxRSnqc+%lyu|l5b2!M8k9^WPQqK+ z54ikv?6Pt1`qD8V_+Ca-zXXRAKPPORlK+Bv=!dU;v%Vmbxh`pt@#tOS@iBimTG06?p(S0f%_XvOSzP1AnG4)1jNC`hiAv7X%Q)@?Sl9+HLK;8QNQVBU-D>itsio1{mKuF{C#tk)aW7#dCj58 zAw{QO9ebul(a40&yYKnc?;QFjK-MBTHX&ujj4Cciqm)hF$7T)~Ju_?gAeWr0No8W& zW`5|_`j}7H_N?)J_p8s>?K!pE(oTVUKlvPbzbW$J#2I}@^go{&wlnDu*F*7<9W*E1 zFZS58E$q}C+cCdpX78@P^q2k3bF2F7`6oE`VELVI-h_>s66NBWS!_#k?fBhk$C_7b ze|OA;{iB-dx6ImbcZt7U_?-QF^~qhb96cMo4t@P~$B_dL`+F;wO&GATLY>5aUx)Ns z@>kA*;=RkP`t$LDV=mU4Cpfg()WPLMe45vZIXxC;kA4@eN7{;Wy%F-hzK2t;m&d5-gU(5rVKGY zM%fyNtq&b&*5QqFr!LVE3my+v%v5X{oM31=<4$OhA^l~&)VTvsE)5;_^~Cd8G3vDb zHkmQy$F_>vl|AfTgzJS&%|ovb*D}Da_To|gJeXx`cG0iZwa;4sA~FEXukgDHOD>bxWZ0_o#rfd@|bzUI!S%NKW$u4 z;`X)gRr*)I?wVQ1Z{?CZHTzrHWwt(7;!1RIP}wG-;jM?4Et^&TV&~D1FTRUAFh^Z{ zMd#}!Q`hZV?mnkmVq)Oh)NOzN9d##8R{SJd+xIuxRORjN15cCJc%;v7u->Z4_P-0g zTij&a)8f&;xJfUo&m2Fp>73OU-ZZWnt9@C;@o4XM3uolMyxpf_ zR>HA`4$GP+lt~ypw!Ej|{NL637Wd3}Rbyp~LoQ!lULN~uz?U-#RqAfZ^*)>N;^3JZ zZj;N5K2`h5FHzUC`=uEM^lKJ7XXA>UDVbjV);F!4Ts3p<{kpw>AKfxDSHGi4Xp>=o zY&?1|{#x=dpJ~#QM_fa$-u7z|qq$b%+FC!a^t5@2=L=nMm^|R>&3AjU`;WcxerA)Q zp4V_=@2*-GmK1ls-#rsweQ($<>iV7DC-+t_7P(hf^-_@Hmo`_AWu$t$tX&f|rTCwc zLXNhdHfqzGojYr1w`u6|OT&AAuH)&lZFK8;8^?K#cV6VTM^$QCh1^HEE)5@e-?0e3vn+#UVeTg(nD5eTd8U%Yy2MD)@DiX-4Aayw+k4$CKlJR z#?DV2)xK0l;=IT*4X%tBceF@yYoBSKf9>0F;f$hlGMA<%{&iwjDl*GEYA#IOnsocG zE>la~n$hZg-(yQ7R?MFEP138+!uK&RHl1*LGi=!H-_AVGc3Lvw;am66(7w|%TedY^ zxShLSqVr!dV@}uHHJ5ehDMmY{?7Wdn*Gntx5&LI$Yg?##|B=;?gm?L}a`LMAG3Pud&ncP}I@IY;+t0CukHtUl)-KmYb0+Jw zZLLl_r7qjoX0@2~$$yT=Nd27fJ3T*E-?dHGY;od8JXo=hDPpy5U zJJ@bVGmw?K`$+k@3U6N!HdY`S{Mh zG<-Gt>|e<%TDUK{oYZh=f92Mu!zAM-6umfo)bjp4f_i%QN_?StwLL4KoNcp?DJeI~ z*1h3S`&RanRJ)Mw>Z(m5I@&IoZ*wi)!?tAqzVG|T_f5?Va%^|AeYC3ornZ`0h2v(Q z3%gU-bBy~xan|ihKfeRbW>RR*b)pw6depVibceXLPewXxBRg+?U-8QJK649&kL@YH zzb1V5-Xk~5MJ(8wa5eVIv!RW97iEdD0xV>7sWLbYpu+l*bc_}=Pwr~IDEv&PIXaoX#-KJ}ev?_u)AP4@3Qd1dol zjVk)1s_LtwLGPa>C22-2@>;X5|Cz0Z$!n*aZL(!@j?>G)FD^4`wS7K2Q|tTS-hg%U z{&Jf4&gu$q-ZX3RyJe^a;Wz}Ch zeQG?f-lIvOS5j5xxVNr5ho`t}g8d?&SHBvZ@hNNLCi%@PN$LGETb#eQ{os=+hu`!X z)xmwR+mWhW3&mNVoU$bN$-gCzg!k^P9y_G+lTjCrp4pP4XrY;kk5pItFm>4KA=w9F zt&_8dcij@dtcrvFqxyK-zT~b6F>^~e)E(RH%(`|f`h;cqwKoK<-s~_qMw7f})b1%? z!@XLp9&Pu+YsTAQ&rc^j^St!o;?l=&l5ht?#yj8Cx!E%N`X?VqN|m*JRc6ea-`B5R z`X*!RjP^G-`ukK*myDl#aJ?#~O+Y8>N$wjOcP%ykP3N$V{cJD07I$^c$Qib7Mo3ZQ zl)G79Is3}FTQ9eLTcTQpZrGjLU3cQd)G95mG#|XYPNmoV_E@L+ZqqM36r6UgTIIx) z?Q4#osyepwvqBW*s;FjE}Ziz^icWW?(tsZUM^n}9(Zco*u_2To!^+2*5^gQ_-h?YcXhuLIkw$` zoZJ%bPs{bVe`{Ik_Vd!Wd^zafX^`LHgyXt&@0!*l_77PQS*on7vwBo^yhEjUSI1I~ z`dx|7+VM6_*)4KGkA5-gRdI8ls#jK!zsz#2zo5wa=rxxIL}zE^wB2)H_uOi}F(pd` zF88Rhbze`%pigPKtSt{pZ~avps5;jAi9`48J(mu*UhF=~vF}bbUDk-MbcTML8y{#7Y zI*zWC4GB%pZXWM-adm6!vTM#4DV*H;kA%+me5T#-FLCQ(%Ssn&_f5F}dbm7k+VN9C zFT!Wuc;FoKG2rd*my_DQ2{{~pyG6s%hKWZ(G9~mc-QDn5V&Irj71+yPEH?ESE{UR&7u9eYhp|!{}}?ced<&@atojb+5lk z7Cq`^-BL02%+9?ni_L6iw{C33*S*I^&xreX?10Q(T{88%{SKb}eaD^tX-*X%u6HkS zwdr3s%8gA}A!}K;SO53@56>#vXXO0%6<5E!mGtsp^^;%Q$+IV?cxBuh@v8dNuk9j+ z1Xf$qy7K6KcPeHca>*FJY)0pM-s$@%7I{?onWsloT~%D%;SIGX#9MVTtcy5Zcyt4y zs%CWRQ^zg7QKJjb#|~OPzpLh6?LD_|&Kw`RGSjO>c#X!rTlO9syz#>FC;Q&ttr@WI ze3{fW5jIJ~&RojO)mGg2pm{~RxNUQv?2E5)t!#}e5B9xi;`rw!#pXjVw%QL5X zlyYgxp2)W2t_3yPIqFs0OkLLT)2G*`y=+}sRlY;9_>pa&^}g3Lso%i^{n{t@Z*b*( zu&;9X<(m!WKbTi*(8QF#e!u6lVt!E6q82fQZ!SH08Q(B!eeIZgm;D|3_c8QLu9^Mx zO5%7Mn|Dh+UzU`Ozq=>pVRlr@+@y=ITyyuNe3N9Ee&{q|^R~m!u0Al_S@v|)zFxBu z#$7t`XL?$h!pjG{?7n3a+_d$H9Ve#reG&B6=)TQ|%d-QT)yoN4^G$O5#UDA5EAFoN za1Gzh+lPqi!}FF0s#X8pBWXaVHSw2*Y&f1Z@{VEl^kJXMNNgsoyXv@Qr~KkCr^l+i z9_0Anta<0ctP6p;*3VMCaua_L)A_^2jxS^9e3Jz4%(=XBi<|fTl&Q1&H*Fr3+I`BL z6TMo_e?OH5ws@8T!4_tQJp+|=?wcy6~jo0jP9PV3*N zW!??)H*Bsu@`+=^TE)s$S%2)5UYC0N$tZlu?wT_3Seph9wud+S;D0LI|3<&A;Y-gS z)*bTMz4!Uk>$OwgFIwB9Z$Lu*l_yI2-)-<}LG3vy*)c;Ezn>Yru|{B}?dL+9&ho1M zDK2F7#FRn4H8%TsJ$Vs+aQ>K*DKty=MvnpSt~mmb#F&9nNzEf+=(&At4z z`L@)AG;<)@7_GyYS!`OgQcC@T`_D)eBo2$@cn(uj?Bq&a|)ag z7u|97ny^?a820Qvet$>e_g(2owm2rG~ry7w$Afek=LKMb=q&; z%70p`xH=i1?M_#5=zVtg&AtZ@t&x^0(_rx%uRqd81)Y2DGVtWM-X{b6f7$Gy=v-^P zZCC@3n-3oDjCESC+h9HALG-e_hbDw4_51YF`t9YRwayf2wSRU>W|=wOG0W9U zO5a>@G(Nj=@wU~ zt}H#P%kIJHDHo4K{nMe;O?B4~4{ta=T4A5C`n~J$Jys9CNosYuc&~JdVAB0+Jr*X}w4CW!+si#Er1F?HNlVB1&RIBEXv9{} z_Vjz_a>TpbYR6LTTn9aBpy{#7Y0~2@W0!7F)d}jTuA~^$u&uva$$C;(t3q9D<6Aqo zYE*PVlCy2}f+eS~R9Z0oq$?u5fo_XZ!}|?gRxTm<`oj*tK2Njp+Ml3PJ@IRG?PcYR z+_*VTv$s~v?k0;Uap-lQeZP*i1chkk5O_@iUBqXSyD|7Gi<@Nri@#2#9=%k{2Mmii_i z`a9Q8dzRslIn`?K@_zBBKkV9f)VJu=&8?dRM3jq&D!uXK)}=L{rWL7~Q8x6_+>tp~ zm&}>dx8|88+j?vEYg^qkMX9e3zE@zZppQCB+Kep?@ zAeYn^U#`?_9P8fwTGrOxJGV*Oc&RoGnLT-qEO6NDj*}DKyHwuqvUx=9+2Ip!XWY3y zWnur~5BHw*w|dofU`Sfc<>`O4Kry~vW2+t6vpTezeb;v5)mkYNMsyfDt=Yf#)0b_p zEr0XEpvflH=>2-}`?zO(tcki&GHot^= zlwCCoD=XKBd;z#W>mCnCj-@_YHeJa0vw?}`$r+(Dbs)f2owoVw^ z>+#K?0H3X+hs=FiF48wBU|Lpexu^AR9lMx$GCFsC(5Nvd4}Wge^u1#QW(puF7gx*wE-w#?;P^bw6!7Gqv-Bd(*x)&i4MiC~xlsSzp>? zgU#b38{OeZ!;M{{;&09@wR@diyF|yUT{i?dchRgX)OpAV=gyKi>p~8;Ym3<)Y1YZh zc1g2N-94JcdmsHL@}>Xi$D0Q_1dl9J^mD0&p^aO(muolwURMqM>tl&YRc+#}8~-wGdAZZlQsoCb{S&$@`05Dhf>~wWw5xTxmCwnN zNv*!Px@jl+&3`kg@V(&WEhof|TdcVM^mM6v2Cugc)@$Xf4_ugk>gv4Dzh*7yGhjf* z-RgJ8_4TMdv{2K++CM4v#r;xUk#|4y!)knV9dWI_U2q$mfO`or&ssH)aWOD+^YMxbh5b_^eTDCJICn3 z#aDMwf*0MVCzih*QtC?4jI-`bn&Ddt=t*()IT{h|X5C-`0^CKu(iFxE2cECb zZ1uT4*uTW$Cl2E}o~jq-U9akwii?WR`sZ-9=!i+(_ew%{zU}v?$E&fcPqxVMd~p7e zbNLfFqZba@zqCo{(3+*{hIg3ure@=*-BmNao|gVl;#y|4X>;8EEm^{?W~VN79((5g zwr^thq-wP;SHAntJI4ztv+pe2HE-J~J85P~$05r@dK{d&{O0+tL(2rswAG(DuPyl^ zb@;Cfcg)M!fBo9o+%~>@lU>~vLqkU#*j#x^;aYwCo8BDR#Npzih}AwP0*8%UJ*fZn z`wq6f&YZRWc(3oW+_mRMFWGmf-K{RcCC?lzb~JPOlMeB>YTR5IRNblljH7|aJI+Wb z_UZiL>IbyF;~QPBtsY%?wflXQZJckzn2To@^xOIP!Qx2^rcL}9x>#OiNMF}BEjG5o z6(Xe??LkY>(Jvj|^h&My_t%P#zj!>l@~?fZ#uu_1r0?kSuEUX`&7ZB9a3 z_gksr4$0^1`1Bv+-gRE?vqsCtlGQ*mn0gwf-iqL+o&>$z>;*0(P< zZ%aJi?BWrt^IQFA^>~)}+qQEZ96B9J`OWURuFQxJiyUhGJ-W$9?W{w3&)6bgy)qsi z{8%fyVWs2)4dNa}ocq^5{%q-8+jf1OeYAnk>GY9jUWWc2**o>>gVGbn{xhtBo7GOw zuC`@VJ-46!MY812ii+`<)@N2P)o?=GvP7epwiA83;NAB7?wQHoB!lnmvi7-MqTUYe zIIFw!3ZX4)NU0J1W9P3J-hE3<=@yEN3h#U?WMuc7RIc38tht+xAK!Y+5RrB=d67yI z`ESXjavxXy_WJ#M)>U-u-}uWM`vY>x=PxO3k4MyhU;C=f zhbjA={o>BuNa}oIUDur(U)ap-{IZJ9=I})8s8iXtd!}0juAXtaiBB~bTS?10^_LfU z)k$)=dp)-e!9}c|8@k@;ee*_r$NHU?;vCWeJBNesf-1$Gel)V)y68@Q8oJay-Kge( zkZFTC460Y~xS5WTVev@TW~1V@h1vnSSrV z*7#aWLO=H$p3<_|!U30RNfJBG?J(nfr2UqoJ5SXbVRN)c7dWx=(;C@M=qUDhV^^fO z@g1=-Fm-WDT^B4!8vI)+>nS;{N;N9p`oqG*ng$* z$3BY|Jn^>~JE`W=+Y95$Tn}n?@$iC|6%;;u8#+E6;!>lSJ~TKwec^^Mr!%ciY@53I zn`FCc@tmU8$#;vMShu%L14#C~z*&__HtST%w^U(o<&n_BE=xm8PfIH?#~@!heL+BJ z`IB|iu8kj8^5Vq9)3YXh2o4`4X&?A<;{DaNi`h)v`PZjkN3SfDGko2ODu=$Vb^FEP z`GrG;w?v$6ukD?2A@s|~=Wjc%cZ}_I>GSZO^5-|)ik>SLJAF}R;@YJXFCOu~`pmz` z4jYdYS+_NHY+uJkSE?Al;LiooNzScbcRckXy3!JT)}7Dcp6Vr|hn-5iUApP* zfx1{-`k2ZeG_QJP95_GLJH5rNl@|@C2YU=V-*j_Y^?4adCqs$^Y`L7?_xH`ml9D?Q z-FTwUj`*zWZC9R)^6;sf)n@wDGw)vyo?FBEQpB^HDYiNN(pL=K|7(14nZ6tTVtsQ= zg*Ioa*f%~m#O}X9koD6Nx+fzKF zI$PwWePZhNBRP=+t4&ChYsunXvkFgPX64?oK|^al3Cu(C`UP6)sFS zH7MF9es7N7eR{!y>qoMq##H~}aU34KN}p9BsYvT5B_a)q_X9i|wL9nYF0{CAq3zsG zb!Rz$x%Ut9A_EL-KK@ZL_t^&9xR&^<%iTW?w7lV;d*$PbSM8kZ)y}c2l{D_(+>Eg? zbMCYp`SqP|w5$D2x14paTHalBV?#b#og8&b6WY=hMl|n4z;ZP3;aYj4V95+}h2VJw1MVyv1(U zg!Bi_MVG92kYvAESG8OA#ni5~|4i6EyVwbJ6RSlpmajw#w9dE&IrEB2Z_R!3GNQuU z_8ajDQu(8V<DyO`YmnPr1BHg&uF z>dTCf>oy%rkzZnuwp2JE%_~ZDK%H3LeoYzj7 z&}d+Q_q=yAUd<1QxNMJwIyAlWi>u>aJ?P=;-0|Rfrwd0ut;=cAGHF_Lrw-5Da#q>5 zS#hW2^m;=&Jo6AgBp81P4}R9~7xjlelRFgMcYNZj-YuH$AM=afwV}o9z6_}MpxRSO z#}bc{o^FqkZBN&4y)Aga%<6?el2Ilk-g zzQ*uBGp=9iuz2F9O^5y+j%zxiaOU9e*jis7CffAqHvDC?j|bQF))uaPzU~B_lTD`y z*EQvob#qehecqGu=<8N>H^;5-{`@8hIO@4^*~59WYt+2GNb<{z+a=*V}1KH9r(II^yK~-)#{$i z=%@Yl_@_^)u1`zWnT0{-Sjwi4ZTc_#BdOA5 z=PoT8f0IPi%jjkL&6R|YZNwFqR%j=4jQu0Y`rY%~OL5Vid@`=(tjX$(NMU*nfBY<5 z2poaQ`$U#->ns75x7`>Kbt5e-VfV4ww@TaeJ)pgAQ)X(_fmiyU+4tk{(9(h(T8!@zDdHXw|vv{&DTL$)}5l?6j^hn;)k@8Q`d%k zZCC%@jHP3j%z9AtTFBSdQ5p3*j)-};wD019L9)~_x`X<@Y$l;0h>#dzYO?Jm`2WCXHKNfSZ;nridT5O2D+_1s$ zTdiLF(Qfe9c2U#oIgdDWLwD;>ebAMooBnv)=+@<7aovJfyy;mA8zos49T#xp>DMjk zJ8LPMp1J+J_Y?WS?cP4VRcvOJy?Qb%sc5v5mFW_OysB?rZ3LCx{}aIN+YKv2uy1%o zn87?SVjaI5vtEIt_fr0((yBS7^_vz%uUw8KoGhm#&v0d%m z10n(q4ZnVq$R$!qdx=5fEs2msO2Y8B@J0p4Gg44+JiXHLct0J%74M9a1W3a1Z-^uq ze`VzaRY#B6NSWY}CyOte#cpR|!}_CF;_mp5r&kQRoi z2jb_pASVd_M1sTsiI0&XCW6BY{8mik$3(muf_H84k5?n$c;iW5{OK%lleCjK8J`j` zZ$Q1jzatc*1sHLCFuD}D5%?(re-FaH!T4Kvqwmt7Gch}#LqcwT$cAy@&G;p-f6gzB zUY92udHhm-=a)%_zVpkp6hDMSCuu4%35u9(KCk}kObX0HV@A1F{A5PCAi#`rqcGTi zUul&&<%%C`Yl&6`Xe_u2VrBm?)as1X=5yV&2KhVExIfKkFR+`9J5pzQ{5$RWJCbqT zG{^5;H>!mKTT_c!bb~zlKw=D#PCJQQqQXB?3FNM;qz!(QOOz6=N%{gHJATG36=akr zqwM}z0e#+ z2QjG#Ohk|xCK-wi5iA*Orgw}q6{H|<0(o3jt7Lf+@gK)jVvIHzQH&zCrqFNyi!L(F zr{F3ZIaZJ!5Fj?=&sJHk{=T_Py!cKhU1kmF>X=92aO0msEd=6kBSCqjkx%)m#Rm|v z%mI?Y_>E9q5%@b`1r|DQxV(in?d<%8Hf}?+X;I--5fw`p+PHOp?7lof`o7SbyoK%x zM*Bd~3&Ks>ROnb=Bgw-pEw`YoAbWMDf%4d^g8Z4x+IKcu9$Af1+$8S!ZxGlLhF$NE zKc%2WFfQ0gmC^8gH#<;@L>cL+GE$ms@=upKf2H$C`c5KUX+c~MtearSQ2ad{t0yEg zqQL5zhBB!hh0G*l`K!lNk6u6ua?PAlja!r?HuMXf$gi)BV5et$AxNpIY zX`;z&Ofz+-Qt9)?%vTMj5r4`Qq0fZv4aUYa*}puio4-N|nNcJQNUaI-a~gg)K}6-| zGxVp#2z}uMG6X4sAS(2`QI;Bs6S_lau;6_7_mxw~^0axLGgDB(Dr80zVm1ST{U2r^ z&zE--7ZoydC6dRJ9~N4fw~lU58bYjuH(^qD!;Ata6yFd3!i*B?G$_s1Q~lkc%x9X# zvGW-xc3rTMf&$9PC{H0!TM?2x1@~iZjq@r%kI7**oAYRLJtA*R-l;&UxPN3eawXn9**g#k5ceM2qFQ=im3EB5xhVj_?I> zLe&@WMa_32)!pVRr%~mPmM=plM<$;^`3(C$wvZvgq@Qg7p*KUYElhe{Fbq={IRG3s$EfZPW=?$OOcUHq)lhr%jcw&GXmuf8v-}Q8(kdnFL=d z8H7y`0O=YGolsx}jWadl6vO>g*4 zpu#xQ0xDj3pZT#WBN7CM!xn}bBf*hon$-ASGdj#xlI!iCj%+eACiWE8~!6@8Qzz+II1UbUG6tpOErn`-hpHuQPv5Ymphkq;<#ShZ_~5nULZ) z`H^3!8HVsqMSw-4KV;F&_Mb`Py z-dvQ*d^!EE)EBho!a|wygXRnHU5|i1Q;=?)(f561;#5KU%&h4T>CKZNRbEt? z&*XfvEy|FI}Hw( z+S_Og^7fy?$N{3Q^WzpLqfa8jt}yr{W}}Kh%tkf2JxoUP8T8X}#76TldS|8>_b2QD zme55~$f%Ib!T7JYQ9|>#qVNuc&JuC5fQiu1uTBFYPcjQvRe3H@S{JV@IQdYO-4)H7!EnrUzaTn3Y@ zP+!kv3Wi1*Kx;Sc5hql_W!V?nRbL}I?4F%E3D1_Ik`PCqoc980EE z(?u}R{$1?y%+zn|V^a%26WAmw?aiG6952}M48O{z$qH?w?Z%XKEr^<5@Jg5`fH3Yif> zO*kP!gF(#Km<{VB$uNdZBJKMo06X|$gkoAKn z{bX1nynI-hL|wpNF^OwlbeT7wd_7|PU4i9NDA;oSpi#(-0^&@Ui)cYnyAFpcHYGI! zz8lWaJobzP%?P7}(i1jHG z#vfX}eHZ*8*V%V51P_kz$M(~y9Ub#}9Q^Oz_88lxy5YA!vYsWDFubrTHsjA8vl9vz z`!lA}U$ysaxnOl9@UKC`Dq2O@>@avAv2!{WCOcd>XJVPf<()S#?Y8d0_@Ls7b(0s4 z4XE*^NU4-*XD7`4%`k6o$3YKr?j|lM*U#_4fc{}0@8%@m``6*n(~q|wOIlgAx+k$} z6);}*x|Vmz`F|FD)_i(GO8M(Csi|F(l{u3JyKbF)|8M`L_kUkfYRdG9rHa4aJ=xl4 zZD{?{GbS|Z>$YD#CZ>*l%R+bSVVO;SzcO#@!FPcde+?LL*XwnOd2$=Crf;66C%e7* ze569?HkS*B%e>!RIiT5?f1GrudJZ?-PAj|Q&rP3te{H;=?BpipZL-#-Mn8XD-RVn{ zMw7f8|7ooXYo7FMNMUL1#bXN1)<15qsQOFU8^5RbIC*1QpRfN|Cl)=_=1AAm`cHSN z9`Cqp%qGuO4Vv$@U01vKxiq_b74H2zrQU{$@%u(}P4ByY^5R0#4?i~FRAStj>MtDM z4t%gWXx!tO2i9$L*n08B`%#N_ue|>7a^(x}_TDVrq=kR-wPynXkkF!k$M{U)w%!|(wcPQEE#cxS)ZvAUHz?${6dtLy0C>zadi8ochf>#!=g@uoA6 z@5OIbHEFuzv|G1_jaFn<@M$;dv#++@ukHP;7PxG0u{*VAozsWk2U#`!Tt+`_er(xx zXNtbrQ>p*(XHAX-q>AjYEE^h^k;7CKQ69TDLvp=k;Mnc{}RyV zL38Eu7rpk*$PO=7Kh3$0^KTB_uYPli(LTNYwx>gTO-ipc?Oyk@>t$u{b z?ZiL(%55E8HSOHRgzS6cXSKGz611ZBS8eH66LS0CN*kjeT0(O8rcK#*Wvb7LSI@i= z*k@x+B7*)GL07VK#Kwcc9WImb#(o6;gOAfnTJ z#kWgQK_mZNR(vZ9rbTGd+pHek%&zP{x(Bgz%>ENa{KdJT2sC8a}^1CBi(Q?ttB1+533KU?!{ z(*-Nb&z`TJnCaViwfC;7ohnG4m(8~Am^AyrY{~A)MY>NNpL%i4g(`*ozP7s9Svu}) zxlcK*pLW~jFn({~qY9s`%g0slp7ZC6HXe1)yN?O`^0n8!DKC=8T=y8WZ)c8Iq3nz+ z3(F^@q}vxe(C_0n>w%KFSsR{P==p-e{GoL_8%7Qf3G)qa=;q#4sc0hY7U>gc2(go^ zR86GqqPznmy(0obf~655A%RV#PKGE$UxUp>U~J!>(=Qa-~)z``V%(Eg~eWVOxbXN+D~iYAVD3q=O;@0)3?l zG&a~teG~)GFyYYX&V`8Xy_4c2$KeR2S<7b=Fxz+lNZReYOPZ1*~s24a?lWi zPeem^e}nXAa~k9wZt#@~RsqR0J!zQ1&j8YW45o==-rYRfc4_Bg*B%85&ko>r-j7O| z(zD%1Q}9(0hr~!D z!bVC%A|s^1hT&4In~xzhBHZ|hX?5|+h>!>@M!0l%0F1YZvp72z76R;uu#mt&yr_xP z$3G+_JRo?m)GsU~$jII(@34T7$Z)(gXiz|~Q9{F|A%4d>wBBTcI@R5QWh7qBH z0Dm4h9`g$6l4f%+Q`0jWQ0GqG8WRgt*cABF74X7 zySj99ZtI3E7U&%w9^e5>0ceLy>yZDdzN zR6sZw+fXhOUT27Jq>mx2VQWa#AnyoiyFi0bPT^9yx~UACu~z`PV26i$HENEv^96BW zVWd&g0^E%qt4-xiwbDT&rE+&=Z}$Q&^ayNhD;fM%rNT<6E7Sj^8nqf7uzu3-Fp>2a z|C0vi!{Fk=`8J1X)FMD6FqKf!<}igu^m!4OR?A^J(Tih_2alPJtCXwZ*b_Xs;0&e` znS6q$76l*z(})fN0@I1Q8iDE24M1Qj=voFdR&mXrRVhVV5rL^R9HtSSIs{LvM7T#_ zI%Lr(OfR~w%<jKZp^?H?PRxAjU$&`9yrmz5>Os3MSMYo9=Os$cLoQoMu3`_AedWDM0kXEZf z$AHHRB#NP0&F4A>XaIVnmnu1=|rzp!7=1A4U!(_c!23-N^@Sx z6&jg>@EMV$Rz>!VT%lL%MQ>FB`s6U(I+4pVgJBf1M&P|xP538Z8j&C@@GRQGWO9u; z|A2>dj`_NwMO~&)o8#e01!iaVKDmf(83Wq5~Ma!HP7!z~;$(3Tenezo)*2vJ^QSezg z5-4(_U*t-)&e&8@U<|oRtCnlb$CYDZGP-B93YA{;B@}#Ct71HrYjrXhDT1fds6{E) z>{&gYC3Dm2^-89n(L=*!L#q*`>;mtT$$%#sT+Lzd{>|qGJe5YvU~;B&gf<}i4bO^j zf{r1#Knp~T?l+7e&IXv%ho(2W7ZezTJqw?KjjK>`9w;cEL9+#YfY&jbjQk|i*~TD> z(yTOg&X~^w(q_KC7#AQmU!?_JDYcx>N*&RYV%=oS2LTI2lao0uP}y7#Ebt0;(45cE zoO+$8Iv02!7F@0s#i@cY>|T}3T>j*0rAEW>)LISEopQBKBPVMP0YbEAuEXRSM0_Hj zUvONFR;4DoQ?8XM!BlfRtz4#MK9W+7B{9PTPqk181O_~!58xkQEzNl)U`nRzlyW80 zyGp1Sb6(+DOxc{L0){w~(W2we!aOosAd=?1!uu5PF6jFpL{v^;qPRbVW+whV=zgp z^y%fyKTxXm#C`}o4bwSF_=M);3Opr?7cemKeS|-$Vm1^LVQY?O+5S}t?}yAorPmSv zRQN-DjbMJsd?7#@4Y8pz8GKttGkD7AQ^S^#HNvw>688vNVBrYOYVNbbKh-jS1G`*F z$IvLCVaWU7Ia0qOz_)9*RPqpXf)srfoZXt6i+B3bDF^e3wBil zUzEzE2IQH`E|y5IW%dpFnekKu*`R#U%496Y*1~$zJ&l-z>`R#pP7RA2p(csG2c8z* zycu6GUj>cx1Pp;U!(%=d@L;Mb9()GM7p+!D{W`>SFb(usg^K7zu^vh$_wb(CeDxM= zfX;%g(7_#|as@o*@9O1RCVzUEO!_Q5OzP`Ff$5oF2W=&~g9^&2z$11Q5cufkJOxY( zC)4~{Xif+MgE6}RJmyCz;4u(C7I_wpmgr)@^jfk%08^@I>>{3}J`Fq?W|I*+>!>{k zOiR`hYp!Q|0RfJh$^o(}Y)=b3>T{{!CQ|)}C<}kO(71a^Q=YWA^zSwR=ugSy~qJ zJgd@@H4@5?`mq8gCq9Uvnc8jvQ;Rd41!PS;DK6+o%+&74tDLE|OK|FxW;Ni8Vl}mqT`-omcZWDeE;gyIg$UYJ| zP%Vj5#2TS0O2>c+rQ_-m?NU5FI89_jp@;v>@UUr!>|$Iv#^&P!re$(~{1vqyB1cPg z4n#;p^dEA$;Ip|eAYgLJKfti9&1n&#Gw~DgtQN^giYJHDMPV{Mv6sm8A@{|e)oO`u z2c8N^Jo-L(l!f74V2M}B}v1K9`sU&fQfWh*UF_51m{y1`$ z@Zl(ZN|*&opAs$zotqNDBz+d81mfpl44t0p7btt(`tCE~F#z4t| z>{Yo>Cu#SY! zDwR@Ad>@Q~1PsH2ZX$Akgbb}|;#mv)6Lmi_H;E56`9T(41nZ&bdMxO@$3maEOYg9;BB8fuQT+~UZK7fa*XS~9* zBu61?EKu5H9;j53918l`u?5Lm0!HIY@jfNhVSwTPWDFf#RyMAV)&k)ai-G`s7U>c~ z3p^>E1w5J`LhTYSr2Io|mii?som!k=G1GOpT7~BDK%d^&ux6$Yzc>J$a-PChVRC>`MH{mjeeiv#zkxCIj0eJ3p?pSenC^X;06ob`qfR6O zAMWIZJ^@?X(&!AbBn%_28D! zaYadl(g*WF@+zW+25*JX3_HzuuY?OsWJ9e)3V`woQp(>49ZPu+m!H}rB>zxcB(wmJ z`UfZmAm769^rUwS*T2BXQ@)7JO8Ej0liD6=FnG6Q3}nryO+l9tf>VkoQ&YcKXhf>( z0OPs@+l}P)Aj?_=Yjg~FA(W@+HK0Df8d`|)%7Q(|7|?)Z3=Om=9T%&{)&qFduA*ea zd|SZKs71#RJDtIhL1i!%+vg|;P(M)(Ux3CgYWNIHpQ1yT$^jZP zXdH!c)ztn1M*C?n27FP%XW<=?8Y%Fgi`lqfGBMI zXs@L2L$3>6BP2$d{?kEc)4hd06vh`FGQsS9a6IXnV@EUnjHP7s0gvWJP~1Xy8JP#P zJ&nbI$8tgN+L1J(&m!)oGz(vV$O|GSEzQY@Y96zBde~_~pNO`ojRK72z5t^+ZaHAI zcNH+?+u0bfd4$gbkIE!qv^PM!4`O7_7r?+k22)Y{i+&3jAA*Nm3-ytJ2LnR!5akfw z0}q*bhR5H5-vhajvgsV)3)Vz+3_5-LB`Yh@i^nJji zb_SUsHIog|Y{j32ppr2(@M9UCT*i2hb}s7EU|be6Atttfhb9&(;~FI4sSIggq!=wo zgAiMbF<7iCdd6r@P*kVczC?b5`fGTW<%~oXk-rZi7+oVodDM4=fUBq<0k+V(I3h48 zJIWW7x2XRtSW06*4LS$;vuc(n1Rl$C!8JpwkjMdY56rIWbmDBiS-g+;ad{TSPsSIh zVk&Dov@Xy!M_zzEi&83+U1Z#-zkx&~t>xo{Jj9Ri6fox3;aND3WNyfLQ2i|0eW)*m z1UIt*z(cmh{C$8izY{R#n*qjrR?*u?^??>O7OD?WzGOK|z?h8VeJqCuJT%r5nsJPP z#`|C??Tv@;0(-=tMRA+G4~-}crlEOxY(Umi1eYHN9muoTGE@!_1|Y*r@emHOXA$U8 zTCi-?7eKL_=`d(w<8817b6@bnSbr0Wh>&PPi|C6aGKn!*?hq|m(Awl#RDh`e3_RvP z3l&CvI4Cf5ev$V<8_*m88k5oZ&+rsfKLZbaxD*fjmpv;SB92G%W(aDT9tVu|Bmlma`${3A>v{1%u4~kfv@&L-6))Db6^L+plw|1E6 zSiqDt78l(hw2lqs!gA6AkJ>H3m>vg=`7eO6J_>Qpf$0cjP?)aM@%l8LrM+e1+yu+V zqLYsH+X7EC7!$t0`qDfco~6BccovqQK8p$@TT4_SXdH#e0EfxQxM&5VzPyMasQf{P zX=t4e-7m~Xf&rDWy(QvWDyKq~uzA2;BYF*8bF4Ot6a}*Z0*}^;uxtt%cLN4XW6lFS zi%b`TX=#oTcr4!u7&6-AS!3r2fr*qV@&6EQ(H?*7XXssqhk5|ngLszLnb6`z{Svrv z$jK5~fJbcwc0M)@!((d>JXZfe>IQBXc@`x@Dle!g(H=D9fUy_on!{6}x?NPPX)XgW zR^Nh0jo_Kk0#}9FL3o&~7YB7tH0IK0;U7>K!fqN@01Mp^x$;3!Sv)Gy{+ER6I*_n*xvSBf#j{Qb?Lept3Q5$zZgv zPdp1%$?)j;3W2Alyaxr2@Wh-J0i%2oFzP!3M$fh&wnEB?@Cq+Roc0x7=dgO>q0uSvtlooi}jDKJZ$HTzvS=uj;c_7t7WD>_0SWOc!u8Xm1H1{Qr zKG1vwU~q{jEutBM!Em^R!r&e-`cN9gR#iRjOi=n;ixYUJe;Vb~-Kr`#77Vo2YP@ITj>HB0X52DA-9n>!tFlGl8 zyoVX%vO1H1Q9A<|ESxzlpbrF^!vr4HYkJX3Liqw1)e(4>oz(}7-c^EzJG3J)*+tc# z=o0V%#d1m?;y0or@IEvy5j}jMo*nUF_l;~Kz51Bo}1LXf`&Is8$ z)^j4prM5!6kI6VnR7}>8En({++MhWs2o4B+cpqDHR03HX08g3PeawU3k%GvU(t^}F zy-x;q0WJuUNx)byHkwb-ZAEDo4Hq0n?Gc`3^<;6pk=DEfjOk7sGo=10@Yoq5z>r;H zw7|JwFg@RI*jjAQh^#q#76~T`gAd1ezSQ zjAn5%kkO1|5*%jXS$1Xs^Pp!Bp(k-PoYIG`AhuVL8)5cbTx-DO3T?i09_asLx)U%K zON#p~=(Fg2pgce;EbG%kNs0Bapvpw=%E5ds*+B&DY@g!@5tSG0B1(&RAJ;F~(&T-h zkKQ#TXyG~s87P`pK|zV@2po^6HUM~9TJypfI4ncu1tBrzGjdC`7Z&e>pps`n3yn1} zh6THYZb|Yic))s2uxzaUAz)k&L7ftw0uQHA2z{_JeDAARzbKyNej;38mbVZ`D4C3- z9>r;4^&aqr^&|)wlQnc!(D)K~uyAZ{DB5rs^IuT%r#&4wP0VVfSYH+k0mk7vz0j+oA3KBRqls@E( z87<=QCdGpZW%dL4cB-Fo+<@6AasLp*LmM)q1vNv)D+Dx*2e|i$@fmDke1TI#=OOaX zbRO``XuVsHdGIxdqe!0>N60ySEawZ}^Bf+WIBMU-k!tGK!QHiBd*F~W{)r%rt{a-h zI1eo7IyjW{eW-#ldye^1UkdcGoH>jU*V&@2nXQp1dr_JZ&`^1i!-UXzpmsuS55`rq zUQ@vMeuF|~vW7)s>x;q*<0&>PqmSoCaNv>EIR%XS)Hu>b=LWBi`PArRWH}1a+sNjD zdo?(pE$lal6`vcuYZ8n{QwJY|`(`3VLFa)^Qz|cTX;?o4idL-VDqu7RAj+4F7SZNG zWfw4J1oIW@!ME;NoXL=l66ijvjV|x(M5wlS^&BW@aSW3G0!51tXr3KAfjAq0i z91o4d>{%397>w&RcqPow0gTqwutMCIM|z0)wxTS~X)%5^uwXBVSRv*gK0QQCoEM^UkCYqjQ^27UdgqiJFfEJ0kfEjZZs4)} zGhnP=3NUt#0PDehB*0iKiREQ>0kkk(BF=d+Swr2P^ADAFDpzPkq5Q)K<(O_qYYNlt zkUr*@Kuywpi2-5Z+($>bhsy!t3dR@sz-(Oj_ta-V=RV81 zLFF)Af@~*?XP_gvT}45F%01jzY6EbyHS4Pa%z|7YRnO!UZaZ0Xow%}w@e~PT$`@EN z3oxGNheR^{hb}VC12`s>KHQMP_5zNsGup z;CQUp3i6B=ZAu?%1ngP#+A|r#5ho^V;)E1^pEy;+U_AE^?|}L_LYGh*02u4>M?%5) zQOkm{8eqJS77x?70(g3wj{pdYm(q+%Hiw~ki{OdSp7ILDhvr5w1PgOQ>{*y)3PahM z$q>Ka2eBl(8wfBUviGsO!hpxlwE>1tq|s;5M#1C?_rK6RE$*G+FqWf5S)BRQfU)xx zfUy`6FeJ_>eHQwa#nsG=&v3)o8bK9v7`x9>lIjc9qL{WbOiDCL3Hqn8`&UJ`SZLypij-0Wa zIZoCy8Ao-R<$+;&sNN9Qma(}Z70KjTY!51bC^%AE3$1|_ChLK>vAzPpSWe5>sKB1Z zwQ&rFYoa)e?IY16!tr=r7d{eOBhf@f_cW9V%gG1@L-#op2g| z+VE%5tjoq=cVh_G!U7&UGY>rajVj27I4H-)z*inPjM*~y z_pHti81-Y}=Fsn~U|f_s*m@v;U;##BQ#{LZG6F`=G$3uu?hg}|o5>YmOs){?TEIhs zjqn8)l;!jRvw(+2Blax2*9G+PJU?L6KLsA|@dC`kJeVJgXL+xSNCHsai#VR{1vqwW ze*k6ykKHSdaoJrtNI&D7RFp3W#yO0BvjoZ+b$hyJM4)T|#`XvBSUwv8E0cR;5X#Vj7-;DS31IFzy&O%We4=G?{0LE-Gv_0)Dg9P#O2Ixez zFb1*;R3>4{sQrMtV!jGajxe7FFy{N{(Dp#r95CifK?^aTRkR*a*+68)d^5mkt`CJa zmYWkWTGs%K-PI&uG*<-}uUi4ed`#3om~RUh^KEe=nEAGVG2a%o6IQVWYSt$vIaTwP=V zW&w})1EcC<0S{F}Iu9heI1G^vh&e65 zEa0(k^@yyB1w7ur23Oev9&RdPw9xN!!mj|yOosTk7J$cdJb=->Jn#@HvvFDeUXSYv zI3BL(VYIOCV}oXXS1e%s%#^rXgul;%eZ!d*j>pf0h+~T!4;OCI`QjKPhp}1*Xy(1T zxL}3L3ohkgGK5+YTVM2AaTqctj6QaEDtr}oZ=Qg0e*@p2VLA-0LQK~o&1wP0{Ty5+ z%JiwYFr3nik7hHuLI}b5jI@9S7_A*+Zn$ldjlu5`!D$ladm}@}bUR=c@VE^ScR5nN zKowhfAMa&1UTj5ZMvE}>`2l0G8cIMG@OZx{s#_ND*nLalQ+QGJS-lkyo?kkB3i(8teN3+Hxl)q#a^E!a22oSZ(MQ`KVAa6I1Ui`!l~|FrzfEp`I4N4Q3g*&|Ee z;Kp52%+3JD?1y+A)t!K`SQnWf3wZpD4*WV6V*|$Lfd&aSE|!wP@Hz`H=6B-M7_&2g zF?)n4fYtZ_WA+Wv8;jWiWA$^08q4JZ#`%o48>Vw`9E`>g=-%ai9sgDe0&$*$$M;jX zA0`?Vs4hlpGN%O<3x>zf<6s+ceI>qTN}omh71I&;W(nJuN(=fFp(L{(_=Xmn2aYAM zc|gUod7u@R*0fxyC|E2(Vir|XPgMDKTJ_x(Z8%X?|D`3n|M0*Y!L$vHrIfeOU zzN0Y7bid*H9OmzePmobQqh*KbUBH;$#aHo|J_U^ROrVvM)tCWewPUypOz#Reg3m)u z&qE+WWZy~=l}cu}0AuzIR}C>eF0LQqd_iEZ8>-@g=_O#Ntc9*t+4g3tL~nEa0(oF_;H#F=g~2 z>f|scS14hyxE3&uCz>Vc`}lWOkm%z*7=T%LA3HOEXK_gd8-w*L1CQTZi%upMcLT=u z8$SGC0grvZ2V?NF!+=?MACLEOwU-4v-a~~CQ*gb3)Ewo3g>T?tr}FzeAT7+_0L;Ss z*q!8f9~@)Cd)zd{YF>b`dnOQCF~12gj)yz3s9yq(TEOEqG<>Yb0v_)vLPpgB9zVB@ z(-0Q$_}M;$h|Et!Cmr)A0b_BQ1`pGH2^fnT#V7FCnj^lXHq`jxAi74vaB&!`-HFed zQ(piurq^&i9Je3XG}JFaWyb;@zsCU;2Mc)YyU1urP+7pUVBg?0P`!o>3d`5wBsbGn zfHD07@6^KkkZqwnMet_<#`G?hjp=*9I3D6lCL4$^IgFi=fRDt!e-0Q9@lu*msN*mm zzu^-UoG&QGF!@6fgXst~e=zw&sh8SITt&_5E`V`=4d#qfv1w8g$D162V-3D~s(3W8V#_`b3%J6W#8He$n z9o%lleQMM+8Shck&G6s^Q<#PL5+@K?e2I?;viK4(7T4nF2#ae0V|QqvDn!rmiAoWR zkKfXBbdAU9c@`)6$HmyoXAdWR8bo7JXKHkeS0Q z;PHGmj_9y_HacQho(3>>P6W5B(DQlluh^Me5p6Ml4ek}wb%3$_A8syU^=ZIZ9a~%_ zO7$dQOn2gXZwq+5-h(VUi<1Fkx)UxT(@lV}y$`R6?JdCAUO={($u3|lrzMVPQ`rEF zttHAIY>fb8J}}%^=CcCEYOdmAy39YobyZ9kBQ|Aw3$;&5Grl^)eJ;eNd<-57!OiA2 z*}~oGxXq2NC1Bic;le6r18^x8r%IE%+V*qXlVOP76NN#pvT_d2m99?GN!CR6Y+2HV;R{I4!(S z72XTW4U10$Qhfjz%UvVfvcOYbqk?2`p5k&F##5A&8BgJE(0QP0%4&Rou^3E^6cn8Y zU~IqP8V42&LA$V612EO1=$T2e*nhn zR^mH$bPT|lT@VL9DgR(*m~4nU=;$64-@7v(1GnH>I_rk!9yW%!t=LQs#6^ElCKQI& zBl9%}9{fpj9R?UaDNks@QEnQSiOYR>{s38T=1;=2V0s*m3E98c&^T{EXhvfs-O~aO z1zYpEK^gG+5vnt!FAaJa+rymqfPv5E@{GA*aug=c=8|#27kZ8b`UZI}I)+G76Fv)? z={&@mlRYiIc1OP%0T|@UT*d_+%|8nmJ~&~{E5PXaZh=R8ydYDo_ej8~z85fh&!T|Q zZ{%VOcD7HzXdjP&;c_K&n(;o`ODFK?od^O(zlSDZ^czrsAtlZD2SY>F7ksAQna8v2 zdmREszYQ&57}$IsfMKIkm~fIPOgOg`hHD7;v(&%BxFS)=o`nHnFmQ&#keFxBih?)8 zqi3ctF3Yb87%E}xSz2=yc(nfyFkDhb_6%V7NDF-*+zkeU(qS;v{n(nrC1iXNA2_9B zpe3K<(Qn)cxu^Y2fQd_&&EE$+#(Ur)F-7t4;iUq5AtKB>AkYwI<=|lN9PAe&MT0n~ zlDgVEJ4yRG$h^hw^wr1>xHVAY<2^{{V=$nX5v?``r0D$|9ej0zw0b{j%k{ zzCLQDx3{0K&eu<_G^o8D``NpOghWW;NkN0*y>0=c3}O_8qoY-Lgm+lPh%ken73PHF OA#$sF_1d|#xB7qD!-h8i literal 0 HcmV?d00001 diff --git a/embedded-examples/stm32h7-rtic/memory.x b/embedded-examples/stm32h7-rtic/memory.x new file mode 100644 index 0000000..76ce2ce --- /dev/null +++ b/embedded-examples/stm32h7-rtic/memory.x @@ -0,0 +1,119 @@ +/* Taken from https://github.com/stm32-rs/stm32h7xx-hal/pull/299, adapted slightly to work with */ +/* flip-link */ +MEMORY +{ + /* This file is intended for parts in the STM32H743/743v/753/753v families (RM0433), */ + /* with the exception of the STM32H742/742v parts which have a different RAM layout. */ + /* - FLASH and RAM are mandatory memory sections. */ + /* - The sum of all non-FLASH sections must add to 1060K total device RAM. */ + /* - The FLASH section size must match your device, see table below. */ + + /* FLASH */ + /* Flash is divided in two independent banks (except 750xB). */ + /* Select the appropriate FLASH size for your device. */ + /* - STM32H750xB 128K (only FLASH1) */ + /* - STM32H750xB 1M (512K + 512K) */ + /* - STM32H743xI/753xI 2M ( 1M + 1M) */ + FLASH1 : ORIGIN = 0x08000000, LENGTH = 1M + FLASH2 : ORIGIN = 0x08100000, LENGTH = 1M + + /* Data TCM */ + /* - Two contiguous 64KB RAMs. */ + /* - Used for interrupt handlers, stacks and general RAM. */ + /* - Zero wait-states. */ + /* - The DTCM is taken as the origin of the base ram. (See below.) */ + /* This is also where the interrupt table and such will live, */ + /* which is required for deterministic performance. */ + /* Need a region called RAM */ + /* DTCM : ORIGIN = 0x20000000, LENGTH = 128K */ + RAM : ORIGIN = 0x20000000, LENGTH = 128K + + /* Instruction TCM */ + /* - Used for latency-critical interrupt handlers etc. */ + /* - Zero wait-states. */ + ITCM : ORIGIN = 0x00000000, LENGTH = 64K + + /* AXI SRAM */ + /* - AXISRAM is in D1 and accessible by all system masters except BDMA. */ + /* - Suitable for application data not stored in DTCM. */ + /* - Zero wait-states. */ + AXISRAM : ORIGIN = 0x24000000, LENGTH = 512K + + /* AHB SRAM */ + /* - SRAM1-3 are in D2 and accessible by all system masters except BDMA. */ + /* Suitable for use as DMA buffers. */ + /* - SRAM4 is in D3 and additionally accessible by the BDMA. Used for BDMA */ + /* buffers, for storing application data in lower-power modes. */ + /* - Zero wait-states. */ + SRAM1 : ORIGIN = 0x30000000, LENGTH = 128K + SRAM2 : ORIGIN = 0x30020000, LENGTH = 128K + SRAM3 : ORIGIN = 0x30040000, LENGTH = 32K + SRAM4 : ORIGIN = 0x38000000, LENGTH = 64K + + /* Backup SRAM */ + BSRAM : ORIGIN = 0x38800000, LENGTH = 4K +} + +/* +/* Assign the memory regions defined above for use. */ +/* + +/* Provide the mandatory FLASH and RAM definitions for cortex-m-rt's linker script. */ +/* These do not work with flip-link */ +REGION_ALIAS(FLASH, FLASH1); +/* REGION_ALIAS(RAM, DTCM); */ + +/* The location of the stack can be overridden using the `_stack_start` symbol. */ +/* - Set the stack location at the end of RAM, using all remaining space. */ +_stack_start = ORIGIN(RAM) + LENGTH(RAM); + +/* The location of the .text section can be overridden using the */ +/* `_stext` symbol. By default it will place after .vector_table. */ +/* _stext = ORIGIN(FLASH) + 0x40c; */ + +/* Define sections for placing symbols into the extra memory regions above. */ +/* This makes them accessible from code. */ +/* - ITCM, DTCM and AXISRAM connect to a 64-bit wide bus -> align to 8 bytes. */ +/* - All other memories connect to a 32-bit wide bus -> align to 4 bytes. */ +SECTIONS { + .flash2 (NOLOAD) : ALIGN(4) { + *(.flash2 .flash2.*); + . = ALIGN(4); + } > FLASH2 + + .itcm (NOLOAD) : ALIGN(8) { + *(.itcm .itcm.*); + . = ALIGN(8); + } > ITCM + + .axisram (NOLOAD) : ALIGN(8) { + *(.axisram .axisram.*); + . = ALIGN(8); + } > AXISRAM + + .sram1 (NOLOAD) : ALIGN(8) { + *(.sram1 .sram1.*); + . = ALIGN(4); + } > SRAM1 + + .sram2 (NOLOAD) : ALIGN(8) { + *(.sram2 .sram2.*); + . = ALIGN(4); + } > SRAM2 + + .sram3 (NOLOAD) : ALIGN(4) { + *(.sram3 .sram3.*); + . = ALIGN(4); + } > SRAM3 + + .sram4 (NOLOAD) : ALIGN(4) { + *(.sram4 .sram4.*); + . = ALIGN(4); + } > SRAM4 + + .bsram (NOLOAD) : ALIGN(4) { + *(.bsram .bsram.*); + . = ALIGN(4); + } > BSRAM + +}; diff --git a/embedded-examples/stm32h7-rtic/pyclient/.gitignore b/embedded-examples/stm32h7-rtic/pyclient/.gitignore new file mode 100644 index 0000000..da26576 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/pyclient/.gitignore @@ -0,0 +1,8 @@ +/venv +/.tmtc-history.txt +/log +/.idea/* +!/.idea/runConfigurations + +/seqcnt.txt +/tmtc_conf.json diff --git a/embedded-examples/stm32h7-rtic/pyclient/def_tmtc_conf.json b/embedded-examples/stm32h7-rtic/pyclient/def_tmtc_conf.json new file mode 100644 index 0000000..77686e0 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/pyclient/def_tmtc_conf.json @@ -0,0 +1,4 @@ +{ + "com_if": "udp", + "tcpip_udp_port": 7301 +} diff --git a/embedded-examples/stm32h7-rtic/pyclient/main.py b/embedded-examples/stm32h7-rtic/pyclient/main.py new file mode 100755 index 0000000..f6f3972 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/pyclient/main.py @@ -0,0 +1,305 @@ +#!/usr/bin/env python3 +"""Example client for the sat-rs example application""" +import struct +import logging +import sys +import time +from typing import Any, Optional, cast +from prompt_toolkit.history import FileHistory, History +from spacepackets.ecss.tm import CdsShortTimestamp + +import tmtccmd +from spacepackets.ecss import PusTelemetry, PusTelecommand, PusTm, PusVerificator +from spacepackets.ecss.pus_17_test import Service17Tm +from spacepackets.ecss.pus_1_verification import UnpackParams, Service1Tm + +from tmtccmd import TcHandlerBase, ProcedureParamsWrapper +from tmtccmd.core.base import BackendRequest +from tmtccmd.core.ccsds_backend import QueueWrapper +from tmtccmd.logging import add_colorlog_console_logger +from tmtccmd.pus import VerificationWrapper +from tmtccmd.tmtc import CcsdsTmHandler, SpecificApidHandlerBase +from tmtccmd.com import ComInterface +from tmtccmd.config import ( + CmdTreeNode, + default_json_path, + SetupParams, + HookBase, + params_to_procedure_conversion, +) +from tmtccmd.config.com import SerialCfgWrapper +from tmtccmd.config import PreArgsParsingWrapper, SetupWrapper +from tmtccmd.logging.pus import ( + RegularTmtcLogWrapper, + RawTmtcTimedLogWrapper, + TimedLogWhen, +) +from tmtccmd.tmtc import ( + TcQueueEntryType, + ProcedureWrapper, + TcProcedureType, + FeedWrapper, + SendCbParams, + DefaultPusQueueHelper, +) +from tmtccmd.pus.s5_fsfw_event import Service5Tm +from spacepackets.seqcount import FileSeqCountProvider, PusFileSeqCountProvider +from tmtccmd.util.obj_id import ObjectIdDictT + +_LOGGER = logging.getLogger() + +EXAMPLE_PUS_APID = 0x02 + + +class SatRsConfigHook(HookBase): + def __init__(self, json_cfg_path: str): + super().__init__(json_cfg_path) + + def get_communication_interface(self, com_if_key: str) -> Optional[ComInterface]: + from tmtccmd.config.com import ( + create_com_interface_default, + create_com_interface_cfg_default, + ) + + assert self.cfg_path is not None + cfg = create_com_interface_cfg_default( + com_if_key=com_if_key, + json_cfg_path=self.cfg_path, + space_packet_ids=None, + ) + if cfg is None: + raise ValueError( + f"No valid configuration could be retrieved for the COM IF with key {com_if_key}" + ) + if cfg.com_if_key == "serial_cobs": + cfg = cast(SerialCfgWrapper, cfg) + cfg.serial_cfg.serial_timeout = 0.5 + return create_com_interface_default(cfg) + + def get_command_definitions(self) -> CmdTreeNode: + """This function should return the root node of the command definition tree.""" + return create_cmd_definition_tree() + + def get_cmd_history(self) -> Optional[History]: + """Optionlly return a history class for the past command paths which will be used + when prompting a command path from the user in CLI mode.""" + return FileHistory(".tmtc-history.txt") + + def get_object_ids(self) -> ObjectIdDictT: + from tmtccmd.config.objects import get_core_object_ids + + return get_core_object_ids() + + +def create_cmd_definition_tree() -> CmdTreeNode: + root_node = CmdTreeNode.root_node() + root_node.add_child(CmdTreeNode("ping", "Send PUS ping TC")) + root_node.add_child(CmdTreeNode("change_blink_freq", "Change blink frequency")) + return root_node + + +class PusHandler(SpecificApidHandlerBase): + def __init__( + self, + file_logger: logging.Logger, + verif_wrapper: VerificationWrapper, + raw_logger: RawTmtcTimedLogWrapper, + ): + super().__init__(EXAMPLE_PUS_APID, None) + self.file_logger = file_logger + self.raw_logger = raw_logger + self.verif_wrapper = verif_wrapper + + def handle_tm(self, packet: bytes, _user_args: Any): + try: + pus_tm = PusTm.unpack( + packet, timestamp_len=CdsShortTimestamp.TIMESTAMP_SIZE + ) + except ValueError as e: + _LOGGER.warning("Could not generate PUS TM object from raw data") + _LOGGER.warning(f"Raw Packet: [{packet.hex(sep=',')}], REPR: {packet!r}") + raise e + service = pus_tm.service + tm_packet = None + if service == 1: + tm_packet = Service1Tm.unpack( + data=packet, params=UnpackParams(CdsShortTimestamp.TIMESTAMP_SIZE, 1, 2) + ) + res = self.verif_wrapper.add_tm(tm_packet) + if res is None: + _LOGGER.info( + f"Received Verification TM[{tm_packet.service}, {tm_packet.subservice}] " + f"with Request ID {tm_packet.tc_req_id.as_u32():#08x}" + ) + _LOGGER.warning( + f"No matching telecommand found for {tm_packet.tc_req_id}" + ) + else: + self.verif_wrapper.log_to_console(tm_packet, res) + self.verif_wrapper.log_to_file(tm_packet, res) + if service == 3: + _LOGGER.info("No handling for HK packets implemented") + _LOGGER.info(f"Raw packet: 0x[{packet.hex(sep=',')}]") + pus_tm = PusTelemetry.unpack(packet, CdsShortTimestamp.TIMESTAMP_SIZE) + if pus_tm.subservice == 25: + if len(pus_tm.source_data) < 8: + raise ValueError("No addressable ID in HK packet") + json_str = pus_tm.source_data[8:] + _LOGGER.info("received JSON string: " + json_str.decode("utf-8")) + if service == 5: + tm_packet = Service5Tm.unpack(packet, CdsShortTimestamp.TIMESTAMP_SIZE) + if service == 17: + tm_packet = Service17Tm.unpack(packet, CdsShortTimestamp.TIMESTAMP_SIZE) + if tm_packet.subservice == 2: + _LOGGER.info("Received Ping Reply TM[17,2]") + else: + _LOGGER.info( + f"Received Test Packet with unknown subservice {tm_packet.subservice}" + ) + if tm_packet is None: + _LOGGER.info( + f"The service {service} is not implemented in Telemetry Factory" + ) + tm_packet = PusTelemetry.unpack(packet, CdsShortTimestamp.TIMESTAMP_SIZE) + self.raw_logger.log_tm(pus_tm) + + +def make_addressable_id(target_id: int, unique_id: int) -> bytes: + byte_string = bytearray(struct.pack("!I", target_id)) + byte_string.extend(struct.pack("!I", unique_id)) + return byte_string + + +class TcHandler(TcHandlerBase): + def __init__( + self, + seq_count_provider: FileSeqCountProvider, + verif_wrapper: VerificationWrapper, + ): + super(TcHandler, self).__init__() + self.seq_count_provider = seq_count_provider + self.verif_wrapper = verif_wrapper + self.queue_helper = DefaultPusQueueHelper( + queue_wrapper=QueueWrapper.empty(), + tc_sched_timestamp_len=7, + seq_cnt_provider=seq_count_provider, + pus_verificator=verif_wrapper.pus_verificator, + default_pus_apid=EXAMPLE_PUS_APID, + ) + + def send_cb(self, send_params: SendCbParams): + entry_helper = send_params.entry + if entry_helper.is_tc: + if entry_helper.entry_type == TcQueueEntryType.PUS_TC: + pus_tc_wrapper = entry_helper.to_pus_tc_entry() + pus_tc_wrapper.pus_tc.seq_count = ( + self.seq_count_provider.get_and_increment() + ) + self.verif_wrapper.add_tc(pus_tc_wrapper.pus_tc) + raw_tc = pus_tc_wrapper.pus_tc.pack() + _LOGGER.info(f"Sending {pus_tc_wrapper.pus_tc}") + send_params.com_if.send(raw_tc) + elif entry_helper.entry_type == TcQueueEntryType.LOG: + log_entry = entry_helper.to_log_entry() + _LOGGER.info(log_entry.log_str) + + def queue_finished_cb(self, info: ProcedureWrapper): + if info.proc_type == TcProcedureType.TREE_COMMANDING: + def_proc = info.to_tree_commanding_procedure() + _LOGGER.info(f"Queue handling finished for command {def_proc.cmd_path}") + + def feed_cb(self, info: ProcedureWrapper, wrapper: FeedWrapper): + q = self.queue_helper + q.queue_wrapper = wrapper.queue_wrapper + if info.proc_type == TcProcedureType.TREE_COMMANDING: + def_proc = info.to_tree_commanding_procedure() + cmd_path = def_proc.cmd_path + if cmd_path == "/ping": + q.add_log_cmd("Sending PUS ping telecommand") + q.add_pus_tc(PusTelecommand(service=17, subservice=1)) + if cmd_path == "/change_blink_freq": + self.create_change_blink_freq_command(q) + + def create_change_blink_freq_command(self, q: DefaultPusQueueHelper): + q.add_log_cmd("Changing blink frequency") + while True: + blink_freq = int( + input( + "Please specify new blink frequency in ms. Valid Range [2..10000]: " + ) + ) + if blink_freq < 2 or blink_freq > 10000: + print( + "Invalid blink frequency. Please specify a value between 2 and 10000." + ) + continue + break + app_data = struct.pack("!I", blink_freq) + q.add_pus_tc(PusTelecommand(service=8, subservice=1, app_data=app_data)) + + +def main(): + add_colorlog_console_logger(_LOGGER) + tmtccmd.init_printout(False) + hook_obj = SatRsConfigHook(json_cfg_path=default_json_path()) + parser_wrapper = PreArgsParsingWrapper() + parser_wrapper.create_default_parent_parser() + parser_wrapper.create_default_parser() + parser_wrapper.add_def_proc_args() + params = SetupParams() + post_args_wrapper = parser_wrapper.parse(hook_obj, params) + proc_wrapper = ProcedureParamsWrapper() + if post_args_wrapper.use_gui: + post_args_wrapper.set_params_without_prompts(proc_wrapper) + else: + post_args_wrapper.set_params_with_prompts(proc_wrapper) + params.apid = EXAMPLE_PUS_APID + setup_args = SetupWrapper( + hook_obj=hook_obj, setup_params=params, proc_param_wrapper=proc_wrapper + ) + # Create console logger helper and file loggers + tmtc_logger = RegularTmtcLogWrapper() + file_logger = tmtc_logger.logger + raw_logger = RawTmtcTimedLogWrapper(when=TimedLogWhen.PER_HOUR, interval=1) + verificator = PusVerificator() + verification_wrapper = VerificationWrapper(verificator, _LOGGER, file_logger) + # Create primary TM handler and add it to the CCSDS Packet Handler + tm_handler = PusHandler(file_logger, verification_wrapper, raw_logger) + ccsds_handler = CcsdsTmHandler(generic_handler=None) + ccsds_handler.add_apid_handler(tm_handler) + + # Create TC handler + seq_count_provider = PusFileSeqCountProvider() + tc_handler = TcHandler(seq_count_provider, verification_wrapper) + tmtccmd.setup(setup_args=setup_args) + init_proc = params_to_procedure_conversion(setup_args.proc_param_wrapper) + tmtc_backend = tmtccmd.create_default_tmtc_backend( + setup_wrapper=setup_args, + tm_handler=ccsds_handler, + tc_handler=tc_handler, + init_procedure=init_proc, + ) + tmtccmd.start(tmtc_backend=tmtc_backend, hook_obj=hook_obj) + try: + while True: + state = tmtc_backend.periodic_op(None) + if state.request == BackendRequest.TERMINATION_NO_ERROR: + sys.exit(0) + elif state.request == BackendRequest.DELAY_IDLE: + _LOGGER.info("TMTC Client in IDLE mode") + time.sleep(3.0) + elif state.request == BackendRequest.DELAY_LISTENER: + time.sleep(0.8) + elif state.request == BackendRequest.DELAY_CUSTOM: + if state.next_delay.total_seconds() <= 0.4: + time.sleep(state.next_delay.total_seconds()) + else: + time.sleep(0.4) + elif state.request == BackendRequest.CALL_NEXT: + pass + except KeyboardInterrupt: + sys.exit(0) + + +if __name__ == "__main__": + main() diff --git a/embedded-examples/stm32h7-rtic/pyclient/requirements.txt b/embedded-examples/stm32h7-rtic/pyclient/requirements.txt new file mode 100644 index 0000000..637dc98 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/pyclient/requirements.txt @@ -0,0 +1,2 @@ +tmtccmd == 8.0.1 +# -e git+https://github.com/robamu-org/tmtccmd.git@main#egg=tmtccmd diff --git a/embedded-examples/stm32h7-rtic/src/bin/blinky.rs b/embedded-examples/stm32h7-rtic/src/bin/blinky.rs new file mode 100644 index 0000000..6130a6e --- /dev/null +++ b/embedded-examples/stm32h7-rtic/src/bin/blinky.rs @@ -0,0 +1,55 @@ +//! Blinks an LED +//! +//! This assumes that LD2 (blue) is connected to pb7 and LD3 (red) is connected +//! to pb14. This assumption is true for the nucleo-h743zi board. + +#![no_std] +#![no_main] +use satrs_stm32h7_nucleo_rtic as _; + +use stm32h7xx_hal::{block, prelude::*, timer::Timer}; + +use cortex_m_rt::entry; + +#[entry] +fn main() -> ! { + defmt::println!("starting stm32h7 blinky example"); + + // Get access to the device specific peripherals from the peripheral access crate + let dp = stm32h7xx_hal::stm32::Peripherals::take().unwrap(); + + // Take ownership over the RCC devices and convert them into the corresponding HAL structs + let rcc = dp.RCC.constrain(); + + let pwr = dp.PWR.constrain(); + let pwrcfg = pwr.freeze(); + + // Freeze the configuration of all the clocks in the system and + // retrieve the Core Clock Distribution and Reset (CCDR) object + let rcc = rcc.use_hse(8.MHz()).bypass_hse(); + let ccdr = rcc.freeze(pwrcfg, &dp.SYSCFG); + + // Acquire the GPIOB peripheral + let gpiob = dp.GPIOB.split(ccdr.peripheral.GPIOB); + + // Configure gpio B pin 0 as a push-pull output. + let mut ld1 = gpiob.pb0.into_push_pull_output(); + + // Configure gpio B pin 7 as a push-pull output. + let mut ld2 = gpiob.pb7.into_push_pull_output(); + + // Configure gpio B pin 14 as a push-pull output. + let mut ld3 = gpiob.pb14.into_push_pull_output(); + + // Configure the timer to trigger an update every second + let mut timer = Timer::tim1(dp.TIM1, ccdr.peripheral.TIM1, &ccdr.clocks); + timer.start(1.Hz()); + + // Wait for the timer to trigger an update and change the state of the LED + loop { + ld1.toggle(); + ld2.toggle(); + ld3.toggle(); + block!(timer.wait()).unwrap(); + } +} diff --git a/embedded-examples/stm32h7-rtic/src/bin/hello.rs b/embedded-examples/stm32h7-rtic/src/bin/hello.rs new file mode 100644 index 0000000..cc90058 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/src/bin/hello.rs @@ -0,0 +1,11 @@ +#![no_main] +#![no_std] + +use satrs_stm32h7_nucleo_rtic as _; // global logger + panicking-behavior + memory layout + +#[cortex_m_rt::entry] +fn main() -> ! { + defmt::println!("Hello, world!"); + + satrs_stm32h7_nucleo_rtic::exit() +} diff --git a/embedded-examples/stm32h7-rtic/src/lib.rs b/embedded-examples/stm32h7-rtic/src/lib.rs new file mode 100644 index 0000000..f539b89 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/src/lib.rs @@ -0,0 +1,52 @@ +#![no_main] +#![no_std] + +use cortex_m_semihosting::debug; + +use defmt_brtt as _; // global logger + +// TODO(5) adjust HAL import +use stm32h7xx_hal as _; // memory layout + +use panic_probe as _; + +// same panicking *behavior* as `panic-probe` but doesn't print a panic message +// this prevents the panic message being printed *twice* when `defmt::panic` is invoked +#[defmt::panic_handler] +fn panic() -> ! { + cortex_m::asm::udf() +} + +/// Terminates the application and makes a semihosting-capable debug tool exit +/// with status code 0. +pub fn exit() -> ! { + loop { + debug::exit(debug::EXIT_SUCCESS); + } +} + +/// Hardfault handler. +/// +/// Terminates the application and makes a semihosting-capable debug tool exit +/// with an error. This seems better than the default, which is to spin in a +/// loop. +#[cortex_m_rt::exception] +unsafe fn HardFault(_frame: &cortex_m_rt::ExceptionFrame) -> ! { + loop { + debug::exit(debug::EXIT_FAILURE); + } +} + +// defmt-test 0.3.0 has the limitation that this `#[tests]` attribute can only be used +// once within a crate. the module can be in any file but there can only be at most +// one `#[tests]` module in this library crate +#[cfg(test)] +#[defmt_test::tests] +mod unit_tests { + use defmt::assert; + + #[test] + fn it_works() { + assert!(true) + } +} diff --git a/embedded-examples/stm32h7-rtic/src/main.rs b/embedded-examples/stm32h7-rtic/src/main.rs new file mode 100644 index 0000000..dc91d78 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/src/main.rs @@ -0,0 +1,528 @@ +#![no_main] +#![no_std] +extern crate alloc; + +use rtic::app; +use rtic_monotonics::systick::Systick; +use rtic_monotonics::Monotonic; +use satrs::pool::{PoolAddr, PoolProvider, StaticHeaplessMemoryPool}; +use satrs::static_subpool; +// global logger + panicking-behavior + memory layout +use satrs_stm32h7_nucleo_rtic as _; +use smoltcp::socket::udp::UdpMetadata; +use smoltcp::socket::{dhcpv4, udp}; + +use core::mem::MaybeUninit; +use embedded_alloc::Heap; +use smoltcp::iface::{Config, Interface, SocketHandle, SocketSet, SocketStorage}; +use smoltcp::wire::{HardwareAddress, IpAddress, IpCidr}; +use stm32h7xx_hal::ethernet; + +const DEFAULT_BLINK_FREQ_MS: u32 = 1000; +const PORT: u16 = 7301; + +const HEAP_SIZE: usize = 131_072; + +const TC_SOURCE_CHANNEL_DEPTH: usize = 16; +pub type SharedPool = StaticHeaplessMemoryPool<3>; +pub type TcSourceChannel = rtic_sync::channel::Channel; +pub type TcSourceTx = rtic_sync::channel::Sender<'static, PoolAddr, TC_SOURCE_CHANNEL_DEPTH>; +pub type TcSourceRx = rtic_sync::channel::Receiver<'static, PoolAddr, TC_SOURCE_CHANNEL_DEPTH>; + +#[global_allocator] +static HEAP: Heap = Heap::empty(); + +// We place the memory pool buffers inside the larger AXISRAM. +pub const SUBPOOL_SMALL_NUM_BLOCKS: u16 = 32; +pub const SUBPOOL_SMALL_BLOCK_SIZE: usize = 32; +pub const SUBPOOL_MEDIUM_NUM_BLOCKS: u16 = 16; +pub const SUBPOOL_MEDIUM_BLOCK_SIZE: usize = 128; +pub const SUBPOOL_LARGE_NUM_BLOCKS: u16 = 8; +pub const SUBPOOL_LARGE_BLOCK_SIZE: usize = 2048; + +// This data will be held by Net through a mutable reference +pub struct NetStorageStatic<'a> { + socket_storage: [SocketStorage<'a>; 8], +} +// MaybeUninit allows us write code that is correct even if STORE is not +// initialised by the runtime +static mut STORE: MaybeUninit = MaybeUninit::uninit(); + +static mut UDP_RX_META: [udp::PacketMetadata; 12] = [udp::PacketMetadata::EMPTY; 12]; +static mut UDP_RX: [u8; 2048] = [0; 2048]; +static mut UDP_TX_META: [udp::PacketMetadata; 12] = [udp::PacketMetadata::EMPTY; 12]; +static mut UDP_TX: [u8; 2048] = [0; 2048]; + +/// Locally administered MAC address +const MAC_ADDRESS: [u8; 6] = [0x02, 0x00, 0x11, 0x22, 0x33, 0x44]; + +pub struct Net { + iface: Interface, + ethdev: ethernet::EthernetDMA<4, 4>, + dhcp_handle: SocketHandle, +} + +impl Net { + pub fn new( + sockets: &mut SocketSet<'static>, + mut ethdev: ethernet::EthernetDMA<4, 4>, + ethernet_addr: HardwareAddress, + ) -> Self { + let config = Config::new(ethernet_addr); + let mut iface = Interface::new( + config, + &mut ethdev, + smoltcp::time::Instant::from_millis((Systick::now() - Systick::ZERO).to_millis()), + ); + // Create sockets + let dhcp_socket = dhcpv4::Socket::new(); + iface.update_ip_addrs(|addrs| { + let _ = addrs.push(IpCidr::new(IpAddress::v4(192, 168, 1, 99), 0)); + }); + + let dhcp_handle = sockets.add(dhcp_socket); + Net { + iface, + ethdev, + dhcp_handle, + } + } + + /// Polls on the ethernet interface. You should refer to the smoltcp + /// documentation for poll() to understand how to call poll efficiently + pub fn poll<'a>(&mut self, sockets: &'a mut SocketSet) -> bool { + let uptime = Systick::now() - Systick::ZERO; + let timestamp = smoltcp::time::Instant::from_millis(uptime.to_millis()); + + self.iface.poll(timestamp, &mut self.ethdev, sockets) + } + + pub fn poll_dhcp<'a>(&mut self, sockets: &'a mut SocketSet) -> Option> { + let opt_event = sockets.get_mut::(self.dhcp_handle).poll(); + if let Some(event) = &opt_event { + match event { + dhcpv4::Event::Deconfigured => { + defmt::info!("DHCP lost configuration"); + self.iface.update_ip_addrs(|addrs| addrs.clear()); + self.iface.routes_mut().remove_default_ipv4_route(); + } + dhcpv4::Event::Configured(config) => { + defmt::info!("DHCP configuration acquired"); + defmt::info!("IP address: {}", config.address); + self.iface.update_ip_addrs(|addrs| { + addrs.clear(); + addrs.push(IpCidr::Ipv4(config.address)).unwrap(); + }); + + if let Some(router) = config.router { + defmt::debug!("Default gateway: {}", router); + self.iface + .routes_mut() + .add_default_ipv4_route(router) + .unwrap(); + } else { + defmt::debug!("Default gateway: None"); + self.iface.routes_mut().remove_default_ipv4_route(); + } + } + } + } + opt_event + } +} + +pub struct UdpNet { + udp_handle: SocketHandle, + last_client: Option, + tc_source_tx: TcSourceTx, +} + +impl UdpNet { + pub fn new<'sockets>(sockets: &mut SocketSet<'sockets>, tc_source_tx: TcSourceTx) -> Self { + // SAFETY: The RX and TX buffers are passed here and not used anywhere else. + let udp_rx_buffer = + smoltcp::socket::udp::PacketBuffer::new(unsafe { &mut UDP_RX_META[..] }, unsafe { + &mut UDP_RX[..] + }); + let udp_tx_buffer = + smoltcp::socket::udp::PacketBuffer::new(unsafe { &mut UDP_TX_META[..] }, unsafe { + &mut UDP_TX[..] + }); + let udp_socket = smoltcp::socket::udp::Socket::new(udp_rx_buffer, udp_tx_buffer); + + let udp_handle = sockets.add(udp_socket); + Self { + udp_handle, + last_client: None, + tc_source_tx, + } + } + + pub fn poll<'sockets>( + &mut self, + sockets: &'sockets mut SocketSet, + shared_pool: &mut SharedPool, + ) { + let socket = sockets.get_mut::(self.udp_handle); + if !socket.is_open() { + if let Err(e) = socket.bind(PORT) { + defmt::warn!("binding UDP socket failed: {}", e); + } + } + loop { + match socket.recv() { + Ok((data, client)) => { + match shared_pool.add(data) { + Ok(store_addr) => { + if let Err(e) = self.tc_source_tx.try_send(store_addr) { + defmt::warn!("TC source channel is full: {}", e); + } + } + Err(e) => { + defmt::warn!("could not add UDP packet to shared pool: {}", e); + } + } + self.last_client = Some(client); + // TODO: Implement packet wiretapping. + } + Err(e) => match e { + udp::RecvError::Exhausted => { + break; + } + udp::RecvError::Truncated => { + defmt::warn!("UDP packet was truncacted"); + } + }, + }; + } + } +} + +#[app(device = stm32h7xx_hal::stm32, peripherals = true)] +mod app { + use core::ptr::addr_of_mut; + + use super::*; + use rtic_monotonics::systick::fugit::MillisDurationU32; + use rtic_monotonics::systick::Systick; + use satrs::spacepackets::ecss::tc::PusTcReader; + use stm32h7xx_hal::ethernet::{EthernetMAC, PHY}; + use stm32h7xx_hal::gpio::{Output, Pin}; + use stm32h7xx_hal::prelude::*; + use stm32h7xx_hal::stm32::Interrupt; + + struct BlinkyLeds { + led1: Pin<'B', 7, Output>, + led2: Pin<'B', 14, Output>, + } + + #[local] + struct Local { + leds: BlinkyLeds, + link_led: Pin<'B', 0, Output>, + net: Net, + udp: UdpNet, + tc_source_rx: TcSourceRx, + phy: ethernet::phy::LAN8742A, + } + + #[shared] + struct Shared { + blink_freq: MillisDurationU32, + eth_link_up: bool, + sockets: SocketSet<'static>, + shared_pool: SharedPool, + } + + #[init] + fn init(mut cx: init::Context) -> (Shared, Local) { + defmt::println!("Starting sat-rs demo application for the STM32H743ZIT"); + + let pwr = cx.device.PWR.constrain(); + let pwrcfg = pwr.freeze(); + + let rcc = cx.device.RCC.constrain(); + // Try to keep the clock configuration similar to one used in STM examples: + // https://github.com/STMicroelectronics/STM32CubeH7/blob/master/Projects/NUCLEO-H743ZI/Examples/GPIO/GPIO_EXTI/Src/main.c + let ccdr = rcc + .sys_ck(400.MHz()) + .hclk(200.MHz()) + .use_hse(8.MHz()) + .bypass_hse() + .pclk1(100.MHz()) + .pclk2(100.MHz()) + .pclk3(100.MHz()) + .pclk4(100.MHz()) + .freeze(pwrcfg, &cx.device.SYSCFG); + + // Initialize the systick interrupt & obtain the token to prove that we did + let systick_mono_token = rtic_monotonics::create_systick_token!(); + Systick::start( + cx.core.SYST, + ccdr.clocks.sys_ck().to_Hz(), + systick_mono_token, + ); + + // Those are used in the smoltcp of the stm32h7xx-hal , I am not fully sure what they are + // good for. + cx.core.SCB.enable_icache(); + cx.core.DWT.enable_cycle_counter(); + + let gpioa = cx.device.GPIOA.split(ccdr.peripheral.GPIOA); + let gpiob = cx.device.GPIOB.split(ccdr.peripheral.GPIOB); + let gpioc = cx.device.GPIOC.split(ccdr.peripheral.GPIOC); + let gpiog = cx.device.GPIOG.split(ccdr.peripheral.GPIOG); + + let link_led = gpiob.pb0.into_push_pull_output(); + let mut led1 = gpiob.pb7.into_push_pull_output(); + let mut led2 = gpiob.pb14.into_push_pull_output(); + + // Criss-cross pattern looks cooler. + led1.set_high(); + led2.set_low(); + let leds = BlinkyLeds { led1, led2 }; + + let rmii_ref_clk = gpioa.pa1.into_alternate::<11>(); + let rmii_mdio = gpioa.pa2.into_alternate::<11>(); + let rmii_mdc = gpioc.pc1.into_alternate::<11>(); + let rmii_crs_dv = gpioa.pa7.into_alternate::<11>(); + let rmii_rxd0 = gpioc.pc4.into_alternate::<11>(); + let rmii_rxd1 = gpioc.pc5.into_alternate::<11>(); + let rmii_tx_en = gpiog.pg11.into_alternate::<11>(); + let rmii_txd0 = gpiog.pg13.into_alternate::<11>(); + let rmii_txd1 = gpiob.pb13.into_alternate::<11>(); + + let mac_addr = smoltcp::wire::EthernetAddress::from_bytes(&MAC_ADDRESS); + + /// Ethernet descriptor rings are a global singleton + #[link_section = ".sram3.eth"] + static mut DES_RING: MaybeUninit> = MaybeUninit::uninit(); + + let (eth_dma, eth_mac) = ethernet::new( + cx.device.ETHERNET_MAC, + cx.device.ETHERNET_MTL, + cx.device.ETHERNET_DMA, + ( + rmii_ref_clk, + rmii_mdio, + rmii_mdc, + rmii_crs_dv, + rmii_rxd0, + rmii_rxd1, + rmii_tx_en, + rmii_txd0, + rmii_txd1, + ), + // SAFETY: We do not move the returned DMA struct across thread boundaries, so this + // should be safe according to the docs. + unsafe { DES_RING.assume_init_mut() }, + mac_addr, + ccdr.peripheral.ETH1MAC, + &ccdr.clocks, + ); + // Initialise ethernet PHY... + let mut lan8742a = ethernet::phy::LAN8742A::new(eth_mac.set_phy_addr(0)); + lan8742a.phy_reset(); + lan8742a.phy_init(); + + unsafe { + ethernet::enable_interrupt(); + cx.core.NVIC.set_priority(Interrupt::ETH, 196); // Mid prio + cortex_m::peripheral::NVIC::unmask(Interrupt::ETH); + } + + // unsafe: mutable reference to static storage, we only do this once + let store = unsafe { + let store_ptr = STORE.as_mut_ptr(); + + // Initialise the socket_storage field. Using `write` instead of + // assignment via `=` to not call `drop` on the old, uninitialised + // value + addr_of_mut!((*store_ptr).socket_storage).write([SocketStorage::EMPTY; 8]); + + // Now that all fields are initialised we can safely use + // assume_init_mut to return a mutable reference to STORE + STORE.assume_init_mut() + }; + + let (tc_source_tx, tc_source_rx) = + rtic_sync::make_channel!(PoolAddr, TC_SOURCE_CHANNEL_DEPTH); + + let mut sockets = SocketSet::new(&mut store.socket_storage[..]); + let net = Net::new(&mut sockets, eth_dma, mac_addr.into()); + let udp = UdpNet::new(&mut sockets, tc_source_tx); + + let mut shared_pool: SharedPool = StaticHeaplessMemoryPool::new(true); + static_subpool!( + SUBPOOL_SMALL, + SUBPOOL_SMALL_SIZES, + SUBPOOL_SMALL_NUM_BLOCKS as usize, + SUBPOOL_SMALL_BLOCK_SIZE, + link_section = ".axisram" + ); + static_subpool!( + SUBPOOL_MEDIUM, + SUBPOOL_MEDIUM_SIZES, + SUBPOOL_MEDIUM_NUM_BLOCKS as usize, + SUBPOOL_MEDIUM_BLOCK_SIZE, + link_section = ".axisram" + ); + static_subpool!( + SUBPOOL_LARGE, + SUBPOOL_LARGE_SIZES, + SUBPOOL_LARGE_NUM_BLOCKS as usize, + SUBPOOL_LARGE_BLOCK_SIZE, + link_section = ".axisram" + ); + + shared_pool + .grow( + unsafe { SUBPOOL_SMALL.assume_init_mut() }, + unsafe { SUBPOOL_SMALL_SIZES.assume_init_mut() }, + SUBPOOL_SMALL_NUM_BLOCKS, + true, + ) + .expect("growing heapless memory pool failed"); + shared_pool + .grow( + unsafe { SUBPOOL_MEDIUM.assume_init_mut() }, + unsafe { SUBPOOL_MEDIUM_SIZES.assume_init_mut() }, + SUBPOOL_MEDIUM_NUM_BLOCKS, + true, + ) + .expect("growing heapless memory pool failed"); + shared_pool + .grow( + unsafe { SUBPOOL_LARGE.assume_init_mut() }, + unsafe { SUBPOOL_LARGE_SIZES.assume_init_mut() }, + SUBPOOL_LARGE_NUM_BLOCKS, + true, + ) + .expect("growing heapless memory pool failed"); + + // Set up global allocator. Use AXISRAM for the heap. + #[link_section = ".axisram"] + static mut HEAP_MEM: [MaybeUninit; HEAP_SIZE] = [MaybeUninit::uninit(); HEAP_SIZE]; + unsafe { HEAP.init(HEAP_MEM.as_ptr() as usize, HEAP_SIZE) } + + eth_link_check::spawn().expect("eth link check failed"); + blinky::spawn().expect("spawning blink task failed"); + udp_task::spawn().expect("spawning UDP task failed"); + tc_source_task::spawn().expect("spawning TC source task failed"); + + ( + Shared { + blink_freq: MillisDurationU32::from_ticks(DEFAULT_BLINK_FREQ_MS), + eth_link_up: false, + sockets, + shared_pool, + }, + Local { + link_led, + leds, + net, + udp, + tc_source_rx, + phy: lan8742a, + }, + ) + } + + #[task(local = [leds], shared=[blink_freq])] + async fn blinky(mut cx: blinky::Context) { + let leds = cx.local.leds; + loop { + leds.led1.toggle(); + leds.led2.toggle(); + let current_blink_freq = cx.shared.blink_freq.lock(|current| *current); + Systick::delay(current_blink_freq).await; + } + } + + /// This task checks for the network link. + #[task(local=[link_led, phy], shared=[eth_link_up])] + async fn eth_link_check(mut cx: eth_link_check::Context) { + let phy = cx.local.phy; + let link_led = cx.local.link_led; + loop { + let link_was_up = cx.shared.eth_link_up.lock(|link_up| *link_up); + if phy.poll_link() { + if !link_was_up { + link_led.set_high(); + cx.shared.eth_link_up.lock(|link_up| *link_up = true); + defmt::info!("Ethernet link up"); + } + } else if link_was_up { + link_led.set_low(); + cx.shared.eth_link_up.lock(|link_up| *link_up = false); + defmt::info!("Ethernet link down"); + } + Systick::delay(100.millis()).await; + } + } + + #[task(binds=ETH, local=[net], shared=[sockets])] + fn eth_isr(mut cx: eth_isr::Context) { + // SAFETY: We do not write the register mentioned inside the docs anywhere else. + unsafe { + ethernet::interrupt_handler(); + } + // Check and process ETH frames and DHCP. UDP is checked in a different task. + cx.shared.sockets.lock(|sockets| { + cx.local.net.poll(sockets); + cx.local.net.poll_dhcp(sockets); + }); + } + + /// This task routes UDP packets. + #[task(local=[udp], shared=[sockets, shared_pool])] + async fn udp_task(mut cx: udp_task::Context) { + loop { + cx.shared.sockets.lock(|sockets| { + cx.shared.shared_pool.lock(|pool| { + cx.local.udp.poll(sockets, pool); + }) + }); + Systick::delay(40.millis()).await; + } + } + + /// This task handles all the incoming telecommands. + #[task(local=[read_buf: [u8; 1024] = [0; 1024], tc_source_rx], shared=[shared_pool])] + async fn tc_source_task(mut cx: tc_source_task::Context) { + loop { + let recv_result = cx.local.tc_source_rx.recv().await; + match recv_result { + Ok(pool_addr) => { + cx.shared.shared_pool.lock(|pool| { + match pool.read(&pool_addr, cx.local.read_buf.as_mut()) { + Ok(packet_len) => { + defmt::info!("received {} bytes in the TC source task", packet_len); + match PusTcReader::new(&cx.local.read_buf[0..packet_len]) { + Ok((packet, _tc_len)) => { + // TODO: Handle packet here or dispatch to dedicated PUS + // handler? Dispatching could simplify some things and make + // the software more scalable.. + defmt::info!("received PUS packet: {}", packet); + } + Err(e) => { + defmt::info!("invalid TC format, not a PUS packet: {}", e); + } + } + if let Err(e) = pool.delete(pool_addr) { + defmt::warn!("deleting TC data failed: {}", e); + } + } + Err(e) => { + defmt::warn!("TC packet read failed: {}", e); + } + } + }); + } + Err(e) => { + defmt::warn!("TC source reception error: {}", e); + } + }; + } + } +} diff --git a/embedded-examples/stm32h7-rtic/tests/integration.rs b/embedded-examples/stm32h7-rtic/tests/integration.rs new file mode 100644 index 0000000..ff113fc --- /dev/null +++ b/embedded-examples/stm32h7-rtic/tests/integration.rs @@ -0,0 +1,16 @@ +#![no_std] +#![no_main] + +use stm32h7_testapp as _; // memory layout + panic handler + +// See https://crates.io/crates/defmt-test/0.3.0 for more documentation (e.g. about the 'state' +// feature) +#[defmt_test::tests] +mod tests { + use defmt::assert; + + #[test] + fn it_works() { + assert!(true) + } +} diff --git a/embedded-examples/stm32h7-rtic/vscode/.gitignore b/embedded-examples/stm32h7-rtic/vscode/.gitignore new file mode 100644 index 0000000..3cdd741 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/vscode/.gitignore @@ -0,0 +1,2 @@ +/settings.json +/.cortex-debug.* diff --git a/embedded-examples/stm32h7-rtic/vscode/extensions.json b/embedded-examples/stm32h7-rtic/vscode/extensions.json new file mode 100644 index 0000000..b310f9b --- /dev/null +++ b/embedded-examples/stm32h7-rtic/vscode/extensions.json @@ -0,0 +1,12 @@ +{ + // See https://go.microsoft.com/fwlink/?LinkId=827846 to learn about workspace recommendations. + // Extension identifier format: ${publisher}.${name}. Example: vscode.csharp + + // List of extensions which should be recommended for users of this workspace. + "recommendations": [ + "rust-lang.rust", + "probe-rs.probe-rs-debugger" + ], + // List of extensions recommended by VS Code that should not be recommended for users of this workspace. + "unwantedRecommendations": [] +} diff --git a/embedded-examples/stm32h7-rtic/vscode/launch.json b/embedded-examples/stm32h7-rtic/vscode/launch.json new file mode 100644 index 0000000..0ee6e8c --- /dev/null +++ b/embedded-examples/stm32h7-rtic/vscode/launch.json @@ -0,0 +1,22 @@ +{ + "version": "0.2.0", + "configurations": [ + { + "preLaunchTask": "${defaultBuildTask}", + "type": "probe-rs-debug", + "request": "launch", + "name": "probe-rs Debugging ", + "flashingConfig": { + "flashingEnabled": true + }, + "chip": "STM32H743ZITx", + "coreConfigs": [ + { + "programBinary": "${workspaceFolder}/target/thumbv7em-none-eabihf/debug/satrs-stm32h7-nucleo-rtic", + "rttEnabled": true, + "svdFile": "STM32H743.svd" + } + ] + } + ] +} \ No newline at end of file diff --git a/embedded-examples/stm32h7-rtic/vscode/tasks.json b/embedded-examples/stm32h7-rtic/vscode/tasks.json new file mode 100644 index 0000000..200a837 --- /dev/null +++ b/embedded-examples/stm32h7-rtic/vscode/tasks.json @@ -0,0 +1,20 @@ +{ + // See https://go.microsoft.com/fwlink/?LinkId=733558 + // for the documentation about the tasks.json format + "version": "2.0.0", + "tasks": [ + { + "label": "cargo build", + "type": "shell", + "command": "~/.cargo/bin/cargo", // note: full path to the cargo + "args": [ + "build" + ], + "group": { + "kind": "build", + "isDefault": true + } + }, + + ] +} \ No newline at end of file diff --git a/satrs/src/pus/scheduler.rs b/satrs/src/pus/scheduler.rs index b13e103..106411a 100644 --- a/satrs/src/pus/scheduler.rs +++ b/satrs/src/pus/scheduler.rs @@ -396,6 +396,9 @@ pub mod alloc_mod { #[derive(Debug)] pub struct PusScheduler { // TODO: Use MonotonicTime from tai-time crate instead of UnixTime and cache leap seconds. + // TODO: Introduce optional limit of commands stored in the TC map. If a limit is set, + // there will be a check for each insertion whether the map is full, making the memory + // usage of the scheduler more deterministic. tc_map: BTreeMap>, pub(crate) current_time: UnixTime, time_margin: Duration, From 46ce3fc772536407c5eb5ff7d38d419c811ee907 Mon Sep 17 00:00:00 2001 From: Robin Mueller Date: Sat, 25 May 2024 12:35:26 +0200 Subject: [PATCH 2/2] update folder name --- README.md | 2 +- .../.cargo/def_config.toml | 0 .../.gitignore | 0 .../Cargo.lock | 0 .../Cargo.toml | 0 .../LICENSE-APACHE | 0 .../{stm32h7-rtic => stm32h7-nucleo-rtic}/README.md | 0 .../STM32H743.svd | 0 .../docs/stm32h743bi.pdf | Bin ...2-nucleo144-boards-mb1137-stmicroelectronics.pdf | Bin .../{stm32h7-rtic => stm32h7-nucleo-rtic}/memory.x | 0 .../pyclient/.gitignore | 0 .../pyclient/def_tmtc_conf.json | 0 .../pyclient/main.py | 0 .../pyclient/requirements.txt | 0 .../src/bin/blinky.rs | 0 .../src/bin/hello.rs | 0 .../src/lib.rs | 0 .../src/main.rs | 0 .../tests/integration.rs | 0 .../vscode/.gitignore | 0 .../vscode/extensions.json | 0 .../vscode/launch.json | 0 .../vscode/tasks.json | 0 24 files changed, 1 insertion(+), 1 deletion(-) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/.cargo/def_config.toml (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/.gitignore (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/Cargo.lock (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/Cargo.toml (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/LICENSE-APACHE (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/README.md (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/STM32H743.svd (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/docs/stm32h743bi.pdf (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/docs/um1974-stm32-nucleo144-boards-mb1137-stmicroelectronics.pdf (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/memory.x (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/pyclient/.gitignore (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/pyclient/def_tmtc_conf.json (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/pyclient/main.py (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/pyclient/requirements.txt (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/src/bin/blinky.rs (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/src/bin/hello.rs (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/src/lib.rs (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/src/main.rs (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/tests/integration.rs (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/vscode/.gitignore (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/vscode/extensions.json (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/vscode/launch.json (100%) rename embedded-examples/{stm32h7-rtic => stm32h7-nucleo-rtic}/vscode/tasks.json (100%) diff --git a/README.md b/README.md index 779cf7a..0637b73 100644 --- a/README.md +++ b/README.md @@ -43,7 +43,7 @@ This project currently contains following crates: Example of a simple example using low-level sat-rs components on a bare-metal system with constrained resources. This example uses the [RTIC](https://github.com/rtic-rs/rtic) framework on the STM32F3-Discovery device. -* [`satrs-stm32h743zit-rtic`](https://egit.irs.uni-stuttgart.de/rust/sat-rs/src/branch/main/embedded-examples/satrs-stm32h743zit-rtic): +* [`satrs-stm32h-nucleo-rtic`](https://egit.irs.uni-stuttgart.de/rust/sat-rs/src/branch/main/embedded-examples/satrs-stm32h7-nucleo-rtic): Example of a simple example using sat-rs components on a bare-metal system with constrained resources. This example uses the [RTIC](https://github.com/rtic-rs/rtic) framework on the STM32H743ZIT device. diff --git a/embedded-examples/stm32h7-rtic/.cargo/def_config.toml b/embedded-examples/stm32h7-nucleo-rtic/.cargo/def_config.toml similarity index 100% rename from embedded-examples/stm32h7-rtic/.cargo/def_config.toml rename to embedded-examples/stm32h7-nucleo-rtic/.cargo/def_config.toml diff --git a/embedded-examples/stm32h7-rtic/.gitignore b/embedded-examples/stm32h7-nucleo-rtic/.gitignore similarity index 100% rename from embedded-examples/stm32h7-rtic/.gitignore rename to embedded-examples/stm32h7-nucleo-rtic/.gitignore diff --git a/embedded-examples/stm32h7-rtic/Cargo.lock b/embedded-examples/stm32h7-nucleo-rtic/Cargo.lock similarity index 100% rename from embedded-examples/stm32h7-rtic/Cargo.lock rename to embedded-examples/stm32h7-nucleo-rtic/Cargo.lock diff --git a/embedded-examples/stm32h7-rtic/Cargo.toml b/embedded-examples/stm32h7-nucleo-rtic/Cargo.toml similarity index 100% rename from embedded-examples/stm32h7-rtic/Cargo.toml rename to embedded-examples/stm32h7-nucleo-rtic/Cargo.toml diff --git a/embedded-examples/stm32h7-rtic/LICENSE-APACHE b/embedded-examples/stm32h7-nucleo-rtic/LICENSE-APACHE similarity index 100% rename from embedded-examples/stm32h7-rtic/LICENSE-APACHE rename to embedded-examples/stm32h7-nucleo-rtic/LICENSE-APACHE diff --git a/embedded-examples/stm32h7-rtic/README.md b/embedded-examples/stm32h7-nucleo-rtic/README.md similarity index 100% rename from embedded-examples/stm32h7-rtic/README.md rename to embedded-examples/stm32h7-nucleo-rtic/README.md diff --git a/embedded-examples/stm32h7-rtic/STM32H743.svd b/embedded-examples/stm32h7-nucleo-rtic/STM32H743.svd similarity index 100% rename from embedded-examples/stm32h7-rtic/STM32H743.svd rename to embedded-examples/stm32h7-nucleo-rtic/STM32H743.svd diff --git a/embedded-examples/stm32h7-rtic/docs/stm32h743bi.pdf b/embedded-examples/stm32h7-nucleo-rtic/docs/stm32h743bi.pdf similarity index 100% rename from embedded-examples/stm32h7-rtic/docs/stm32h743bi.pdf rename to embedded-examples/stm32h7-nucleo-rtic/docs/stm32h743bi.pdf diff --git a/embedded-examples/stm32h7-rtic/docs/um1974-stm32-nucleo144-boards-mb1137-stmicroelectronics.pdf b/embedded-examples/stm32h7-nucleo-rtic/docs/um1974-stm32-nucleo144-boards-mb1137-stmicroelectronics.pdf similarity index 100% rename from embedded-examples/stm32h7-rtic/docs/um1974-stm32-nucleo144-boards-mb1137-stmicroelectronics.pdf rename to embedded-examples/stm32h7-nucleo-rtic/docs/um1974-stm32-nucleo144-boards-mb1137-stmicroelectronics.pdf diff --git a/embedded-examples/stm32h7-rtic/memory.x b/embedded-examples/stm32h7-nucleo-rtic/memory.x similarity index 100% rename from embedded-examples/stm32h7-rtic/memory.x rename to embedded-examples/stm32h7-nucleo-rtic/memory.x diff --git a/embedded-examples/stm32h7-rtic/pyclient/.gitignore b/embedded-examples/stm32h7-nucleo-rtic/pyclient/.gitignore similarity index 100% rename from embedded-examples/stm32h7-rtic/pyclient/.gitignore rename to embedded-examples/stm32h7-nucleo-rtic/pyclient/.gitignore diff --git a/embedded-examples/stm32h7-rtic/pyclient/def_tmtc_conf.json b/embedded-examples/stm32h7-nucleo-rtic/pyclient/def_tmtc_conf.json similarity index 100% rename from embedded-examples/stm32h7-rtic/pyclient/def_tmtc_conf.json rename to embedded-examples/stm32h7-nucleo-rtic/pyclient/def_tmtc_conf.json diff --git a/embedded-examples/stm32h7-rtic/pyclient/main.py b/embedded-examples/stm32h7-nucleo-rtic/pyclient/main.py similarity index 100% rename from embedded-examples/stm32h7-rtic/pyclient/main.py rename to embedded-examples/stm32h7-nucleo-rtic/pyclient/main.py diff --git a/embedded-examples/stm32h7-rtic/pyclient/requirements.txt b/embedded-examples/stm32h7-nucleo-rtic/pyclient/requirements.txt similarity index 100% rename from embedded-examples/stm32h7-rtic/pyclient/requirements.txt rename to embedded-examples/stm32h7-nucleo-rtic/pyclient/requirements.txt diff --git a/embedded-examples/stm32h7-rtic/src/bin/blinky.rs b/embedded-examples/stm32h7-nucleo-rtic/src/bin/blinky.rs similarity index 100% rename from embedded-examples/stm32h7-rtic/src/bin/blinky.rs rename to embedded-examples/stm32h7-nucleo-rtic/src/bin/blinky.rs diff --git a/embedded-examples/stm32h7-rtic/src/bin/hello.rs b/embedded-examples/stm32h7-nucleo-rtic/src/bin/hello.rs similarity index 100% rename from embedded-examples/stm32h7-rtic/src/bin/hello.rs rename to embedded-examples/stm32h7-nucleo-rtic/src/bin/hello.rs diff --git a/embedded-examples/stm32h7-rtic/src/lib.rs b/embedded-examples/stm32h7-nucleo-rtic/src/lib.rs similarity index 100% rename from embedded-examples/stm32h7-rtic/src/lib.rs rename to embedded-examples/stm32h7-nucleo-rtic/src/lib.rs diff --git a/embedded-examples/stm32h7-rtic/src/main.rs b/embedded-examples/stm32h7-nucleo-rtic/src/main.rs similarity index 100% rename from embedded-examples/stm32h7-rtic/src/main.rs rename to embedded-examples/stm32h7-nucleo-rtic/src/main.rs diff --git a/embedded-examples/stm32h7-rtic/tests/integration.rs b/embedded-examples/stm32h7-nucleo-rtic/tests/integration.rs similarity index 100% rename from embedded-examples/stm32h7-rtic/tests/integration.rs rename to embedded-examples/stm32h7-nucleo-rtic/tests/integration.rs diff --git a/embedded-examples/stm32h7-rtic/vscode/.gitignore b/embedded-examples/stm32h7-nucleo-rtic/vscode/.gitignore similarity index 100% rename from embedded-examples/stm32h7-rtic/vscode/.gitignore rename to embedded-examples/stm32h7-nucleo-rtic/vscode/.gitignore diff --git a/embedded-examples/stm32h7-rtic/vscode/extensions.json b/embedded-examples/stm32h7-nucleo-rtic/vscode/extensions.json similarity index 100% rename from embedded-examples/stm32h7-rtic/vscode/extensions.json rename to embedded-examples/stm32h7-nucleo-rtic/vscode/extensions.json diff --git a/embedded-examples/stm32h7-rtic/vscode/launch.json b/embedded-examples/stm32h7-nucleo-rtic/vscode/launch.json similarity index 100% rename from embedded-examples/stm32h7-rtic/vscode/launch.json rename to embedded-examples/stm32h7-nucleo-rtic/vscode/launch.json diff --git a/embedded-examples/stm32h7-rtic/vscode/tasks.json b/embedded-examples/stm32h7-nucleo-rtic/vscode/tasks.json similarity index 100% rename from embedded-examples/stm32h7-rtic/vscode/tasks.json rename to embedded-examples/stm32h7-nucleo-rtic/vscode/tasks.json

    8zSqskGi%}Dy2OGy&5cW>LkiRsG^IM?O*dUD_lVoVsAYjT?uJxVjR1NtLwpi zf3`y(xGEPAF|{>?5DGWeQT(J;A&fmLohSCs z<2lHKyA$@eD=5j@c0%QiaK^=Y*`S+f%k~%jP}&z{$l2feKTr-7w9#E%-v3AIW6xt47DT_t3Lj) zPCWQ~Y{i5|gXSed4}NE52HdS|-+;*#t+;25f_~-siP<{Ob=l1$J!OM&Uxf!DYLXQ& zI~FU<4s)yqXN_H{w%gFdenek;%3Yx19DNP>xzTRqyy7DT!^o1HKEWh9)Gh%P4{Fb2 zgn3A4gH^h?B>F&=!yoUUptcT#Dw$U&%0^+vc4r@%)pU2nN(@ItU{Y11TQzdv~K2HrZ+ zX*T?U)RI9N53(TH!eh@z{NN_KHh-P8lf2$48(vmh?eEioMV(5shu_&{pbfKLxe9re)}M^0M--DA3Y16&*v-O`kznDrD!B zPw6*_XQiH}T8BnBCX@_hh!-6gAHAcuCcDbt(7HzDRq>^|dQh`t`^1(+O`UP}8{d`D zLHU*A@%hx{+A`&SrZaUY2elE;R%g9%bN$l}d`9vs%=5I+$kJ6F!5oubaAo(1fHuY| z&IX(3j1-^Stygn|TG5r=g?a5u6MciR=(*jc8-lgd(^C&{UtyjP`fVhp90wBg9e92ECm2|d9ZD$oNF->i%6ox3JgW^{)gPkuHd}cl@4wTa*5bISSb0#xO*|N581hWJ`DIa9ZW89FQYw=4 zsO~AXhKDNXL|6Rd_z%9&#&{6dczc~8i2TeH61568M1h?N=8ikrbuh1mN9JqP7 z1K{{{CGa;{Ke|4*D$;kA_=vuqxd;zG7;hGiFNyQk%$&OBj9^QM(oBl(g$UOMUUgVc zoipz=oEAfv9Ce`2+2FR(P1pxla{)Y&uIkl}CsbeeC!T>S4V7%4h~V`Q`VdC~m*Ly< zBhP5O7A(}e38uX2^j^zCz+D(SUxb<+x_t+f)5Gh-HtihJQDB&FgxuCw=zubRu};AU z7qzh8W>)9d7+vA|c9zh0MFwLAQg^Dtajq7%S8G;MFK|A)9$;88fY&la3M5p^;F1iQ ztOi&4x2;%KDHb?Q`NuYD}}vXKjMg^10BXgtwvdRve~M z&Umusm1ESS7NlE?@1v_yXJz8ODHz1>y<9w^`=avkC@t&f?RMVLF`-wibIGIx5|`I* z4c3@7EpM*{s4zi00UAem12Y}fj#$MDW&aOrXB}46)-`%cP-&!*5G15y)7>4?Dc#-O zAuWh>OM`%PcS%Wi3IfvIbr*O%hjU)f_q_MJ_Ya=E*lX^+<{Wd(vDO~rH^!9H5o=H< zGxfc(!lzEUG=JaIn>0a5RD&F1iz&|9<{^!GAYfkd!s!d@(An!S%~g~se@M7B55zX= z&)5UGm&b}x@bvI@h?CmI{oB@Q2-2M}M?Ohk^+T>8W9~jSfiLMWW9XTW;RRvbj+|_P{O@-z&&)5y1#aj1mYGr3}+$S44(!#?Ga#79EJRetau3^3gE5Q1q!nKrSSqr%3iE{xaJFYerOsLcMm}i1kFV*;UFR`0>Br{iFuAGMv^;)xhwU}A>U6QUS>g97rd&Y+Qg<`+v zAXvcQ{BU}LwbePW_;!Es`YYmc;i%K((w;7O4)dZI9Q3Sii=d1`;9&2UGv6~o$&jKc zp3K4|2lc29@#a>OsWCyzs*;J(nF^H&iw|*OB*`tg4E!Vw`N9E49}F+PPd1Cclxv>Y z<_%PNN;ti1&EP*ZJu~8`Q4K}E$h6+D)LX|(L7c*N{go_Wf!u;%`{RccHbH7iGgafv zn^Z7JFi7*Jd+f;e9{|#WP|zgwN7=d`*UHJ7U+QbATep4|3Au)PdAnXUEvork- zZTee4_qS{l(4hx`8irrfp++NWp{MVpuSf6`1_J;P;2J-pf*QXVDEdEZ0sOxC&xG{9 znm-IP{4ESb|GU`ueF5IT>I(nYAxI#U1waCH3_zS7L;wOJ%WDE8Gfg-bihJN&(c3v5d-bNs?2YRg8!w;fan;g0c!$i3@cz@7y%>42AkAQm!fJyvabMNk!|I(G;;bQkj@u%kA8<+pi%FzSW z?E&Kgq6O?50f^z=(%eni-zJFpftC9U&;tFnnD2Swhq$LWpQPkZK#Pjc(oF9^DJ=$| zQqA2$`wgYV0C05or~ah07yugZ?wvm=EdVL_gZX?2VEqD)9s)=YkMCflUv2&gI{nB0 ze?U!t0#^V~bq6ioK}^5e{s~UqwSDmZuk-h>e|*ow_khnmw3hce^e5Et3xE4d+x}Cy zg3{tYg?s;i^?-e2VEF@Z`%jdXf#n}EWMup$!+RJDFm%5Ft-IIzaRPmR2z1?pTtK_K zasQI*-SMyX_p${(`{y}85ATlez_4HM-2-31d-wm}0bqX|-^uyz_*Y-<y;6( z?RV!M&c|K*`#uBb?!Nc#{GWYfV*ZAID0SbN~gFwf|_2-Hd~hug z{T+7*ynlBdFgFj+{W@j>el`3${`Csnw*MyG2hR_GN%wzZ-NnWD1b<2QKLz{mushQq zChj-Owa?5ME7m!X$gS6Ozw}FfbwljfOav`{h<}WZd? z-Bup{|L_=ecYWY4`STjU^?`9R01V%+HuQgJ$*=!^wF4fB{%pellpX_~?twK3eD2qG z0oMmHFg(x#W}p{;8jxQP_V$LAnJN|zE&7s$d1`JT`6dsx-R6n8n_soJf-|Z$E4i) z9-mMgp@foDEK|fI@ajX{_jDW=TUG_mvOXbak=qSyV}qL+)`ipL-O-Yoy4?hJhpkB> z&x3yM+cNH}WtyuYag49Ys+^}C#WWgDte2czFLpeS6kRWdbRBPJNYzfSu6^-wZ{NLb z?ZUfmX`9l-uU=kQ3-71u>*uuFon2d7^;l!D)l5osy_%d=T3t;^@*T*SVp@AQS8uw9 zb+P046z9-0xNz=r$T0b`6Y*-r=WJ2)dDB{YG56JETf>6OC31$-#?XMdhnwd<_ik#^ z#o5id+Pj5|uBGq8XHjagtEmZYHM!*-B(YXca24J9XkxdBeb(Uk)0{L01vLapsfivL zv+>my5%G7xRiuklkIG1d$xG^OWahQe(zem#kZ4b3ugHY2Or@--dE=_ap|u-Gn4O|h zXQ7N|^i~@MiV)h1MkzevO32j~S+Wn8dFqo{K%SLfYgGDmLMqM7c(R4w4AY{Gk#@rL zOaLW5M*2K^J1+b<>X~`L3aB9)0|wdcs@+uEV#MNfkJ_Zb`~Y?YF}U`vdjHV#F-6Kg z`ImApp}J{N#fB6zTVV2PeXxRGgj5^#Wb>&87q&uuCzS4jqoE}vgp(M_;OMQuov}8X zIG`P2xJE*RU)cNNZ_GB2j~EhQSY4aJ{$*U7CNn-Tu2DGxRdSr{<+>SKvGOOXsQ1gp z;B+1(i>~3jG7ef3j=XPYoZik6{z{4byr&7(R?H^M7D`0K%}ZGFSUZoi=r69IG)5&o_Q&D=$XO^>kvw3G`A^kDgX)W1$->e;JnO8 zrCRt(0@q?XvY#^wIAWBN=M&};L`!IOo~iz9#cvo*Zr2w#(JedO-*U^M5Tdj{uOHFn zSRP-V#V|!&WR`c^|4=Mc2v_2#R93TlL&VfmtC&u%s*t6is*Q#hkh!|(zxlN&w1r<` zA4}4?x5RXbp>fRG+ zRT}L>e8qaMBif!H+Ww_nra)=Z#9p@n#L3if>IWwcWUS*OB ztJ=?o+FPnR1w8F`m(nRlQf2S6dN1AK;`!T_Ud+AZlE)Cl<<%d=;fWWAZjBOT~5^&F|>fzvUq$aNS%U)A5oQCQeCsPV;?_G_nu^T~C~rHH~2aWaMLj zqf$dBz|W+IOO}j=L$G%gzuuI>gO$LOX5SHKT;_)(%a3X`j!LfuSwz6GLCGT}v{AxF zg?jLTcg)IW^;`(d6aJ%+MCR)@zb$WrIxp5mD%xQmLZ5fA+$pEeNuiJt3Nw9AkK|#$ ztXD-X9d);!opv$r(!s(;<#bx2`oEYdsH7-7)+28ASqb^75^lN&WoZR%simt^&$U|v z1Iu{n^g)-k03p?cr^sRiAI<5>E0scih!APv!z5xh@;p|qr$Gk2<*FaXcH%g7s`E_E z^E0x=CR3wX&Z2MshUC}BpJ)r31tU$Oxk~`Tnr93(+!7{Aq3M4 zkXrE?sGtkUpN$Yi9z|td31Dk;C9$P7=$_z1HI>9PA049`UB}{F3Zt;Fd-A?%1ltZ~ z?t~UgyZg&bQ?RD2WBZGjw|!@YA345X9y5*Pkr3xTEJd*`q&$jS%Pzpqc;qK`q>L!@Sd*-yc8%|&;V>*#IaLHy1S0;x zDA55B`MMeOd}xeP1ClP4P8B>0sP9EEiotuC~8oDupG2&iQlLNzi6&gOk8^ zXPZOu#Aa2%x5pt4a}B*`)YFk~zuRfMl;(~xyoh+jyM!jOvKi#=;YWHUw}<@aL>>{YW|=3tm$*ru+yg(khGVkB18zVaq5%x>h2&O^Hr^ zZc{~(!#7(?BlnyW5^NL}Z6oQu0&C5SCt4zyYA*%5#@uvfsU#*Wf*y|!j!HqGs0-BA z77O!aw>uyguGhn;ZQFx zKO-AudGIQ6m@Z0w*=F{M+Uo>R6w8wl+T%ovXMSTA6?^HGuTDksx+>NUveyg1SBoLG z1IsW##__6=V(MXe^vC(t-a-0>`9;#|s=c`L&^5;c5&QJuB9<_Te<4nG|y=^r)K(fmQ}tIiBnx zY>-jeT(~Y{givbek8jvDL%TJf>kzcbKvK2KqzNN)?bR9cWv^#56D9t{Ej7LMk7(H}c+O$%F(z%CA0u{c&QYmDO1S+6)^`vwgBD>a4XfNId=y3Q zRe!J-CKy86B8yLl?OB3+`XawIcEXRB8`Bf^a8rgl&2l09mpEd*z7q-bp%wlwi$^bo!3zs7?TeQ_(B|k-rF5u7Mw&dW+}mi!#vi~f04IhSee^hc(~47)H(x?D zKh5eX4Z~A-i;s%D#5xt<{j|7SRaJLK>#lgP!JEr_Ef{cVr~%AsG5<> z%h*%rclIh(JM;+Vx(`T- z%7)U4ddV;Y5kI4#F}_k27xG9DA65ELVH%|xP~RX*K&3yvl6)f7Dn8MwA!}2 zt&H;O9wrGaDj_}6!SM`kOhyXxnbYljxzVh|o;hNT`ZOB+*!-W>bBMWBc!pilWHZ;htGa^%&&|=cY-R7(ce2?V# z@Nth(Qb{=1VBGk{;zmrBu2TQFIi_DE5NXb;bOyfNINZGOv&;Kzq-rb-5N1C}- z3COKS!k>=8lLfg&Ah#{X$@SwPZM)mYnAqi+XO`D49;@0^A6%8mRvC_78bkef(*LP( z6?&Wt^tnYj4qDitrGDxY0askKHUc%wSv9Ar_C-zivs){$H6pl?z;#Cwi*G-|%6!+D zS8<-V;5~o->HL=M?5!ip#h&*@Z(hWD70BAg>kfrKZP}MTz?DlI#C(aY>7?VpzucL`P@1v zk`{kh-^a(xtWzg-!qt63i$f{rMH9N4a5eFLjLWg1m=F{b@tmG4!#} z=7E;0rD2sLl{=33!ok8d@{RWa^(8thC)Y~wMyZSr^Q2AK{34x&t{|(ly0Uc!ya`cJ zMK#_8#@h|*?C`-X)3cO@&2SM%(rK|v(&Gg7b$(gUc6Eb;8OIc-eMCS~#h*?6v@ZOX2K*?4CdEda+T#L+r#I0Wa^x@;Ot+AB%raSC zKyvs)R6?i$t_^jP34i2%nDgG7MyQveu-)zGdunJx+Oq4KmEIM48O`g0$$h$`Cjso;?nH|NL{;b!K_77knY%$ zkGr!AL=m}`isn_~6j8*Vm?K`F9OM?f2lHIr_r)?A!BfjHOe?ndK$?_3BE*{1*&*r& zdos=Q#%tJSUdlS!_cM?CfpN0IbJdZsX3TWUdDeal|G5|8*UQ^nb>J6EsBGpglgWpt zh?Xo=;~w9<3MeKWO*G_A+L%^EL^k&-919EAF93 z(<2b+wc=mA@@O$@^_(V^U$Jwr+8s|r)v&BxK2yWrM2t4|omeQzfcFm&ZG40YrVbs{ z{X5Ygikpj>Teju+>5P&@XAVq|J5+1)2HdSea|47ihXFmSQ()^Xm`74W4 zF$WI{=mg@SD-m1HNE7S_am?u^i(6;avVL&;jgu z`-{(DzW03o;hw+m!>^S6-`DK{G0<3<*#ot682^wA;641`mAMVGp!XkVx%z!(pYKl5?UXovjU=`rE&V3L-9e;gMT0L z|LX{uY4`zF;4W1c&=nB^W~M&}M8LvGqi{crzxC=t$niHiDFbNaPc;+%L&xrLC4qag z>0Sr#c_*o#YWj~PVj-Xxyg*7YAAmdE&E6kb!qNcaqzB~t8sFyt|Kyu&?-40GfJ##R zIYR*HGV{0>#3 z|2wMmH}C#$H5({i@=&)QL}&hM&oMI#?L&)N@A4$#rLp}Rn(0EZEd|>^K8E-L2}-2E zHUe>^QkGWaKtXgA5%i_`F?x1(TH({9*Qb%E$e-+LxRa-93sr-I;)8X> z{9fV&@VyGiH{Baj;BSHJka?+2uP;X|*&%D*KmL4B6`b&4H3~Yb0)iRT>GyNoTysfANSrkFU<;;I7in`lSgAKZJKTg z?sJf&DB+(!zVTSozU5d?eMb#HerX!j!xc8<%AA=KXlUVZ0W->L$%gwJ?sPdZIfwT4 z)mjyIi0A9e@*~vq%JUANH{%5+K%zQ)9&r^^z#3FInlV&%9B;yay zLqdc)n;m>|h8;lXxw1KsrY?YwNg)69 zHSUzRFj(0BJAH(&LNd0bY8nEGU>{|9JQqd}&ahmQVNnjT`b?m@MfrTX$Z?|s(d~u> zyVQw$ZpLGWeWBsFz2&&OWvbi5v#JhPlKq*X-xQH4sFid>V4AQ4nc3`EwLw+>>F2ca zoli&=wMFB30n_qES;S@ZG_b*$xmr)b(#!^a%mh$2msfsFvB0K$yXEM*9&T8No4@S; zX3Mdm9mgqd27g*tXK(`5ixBI1mm^fFEmogCRYIq6Ll&cG;VWi8a?On1Fr5Zv)uvju z+Y;w*q4`GSd{6AOBmDME_>Ft%sS^%b9ke+0z+lj|*aO5fJ!8tu_1G^*Z59mcG{w$+ zK#Q&teeM0Zc%#1gYS7PCtCGAYU|o5cpDxqx9L>I1McDfGI5l6FHmSVhSWT27?`;;s zYAkcI!be&DfC9sS41H>bq))kzrz5)U{N@AZ6<-*EwMOf<=_?XRyIDZ+AcM{0VSa^g zD8j!CnsB5mW-hM^+r+Zt030-U|8AS4;KsiR&S=H=I+;FZ5+7h#^ zDzpZyi0{C5M3ht-ET08(QP<#c#q5r}oY1HUUf`2dE9A|QxCNU8`}({Jy##q9?-i$= z@0^T<5+BGb)pATMMlv`%^*LKQ)Okx7A)dxU6f40;_A`BYuaXwgRr0=JYM6sc9wMvY zrI_?Kklw7)7Rg0ofydUuRe@pF)z+fe#Qe0X#A;&)Z;{BJ48Fy+U_IlJl7e}>Q`c6G zZNyB1AYX?UoZp2GkbgwDu=@Co84jVnxbQaDT_WW^k7 zbHh#b$((H@V@D6Bx6Q3zW-Gx?;HIw~V90gZDPEHgz&2)?4Ll{} z7>4X8TC?0l1*;kn9^RcbT$SO#L-%{tF!qD-Vr2*VJ?2BcicRQ5XXHgS7(t4?gGRyQ#$gIr(Q>T* zZ^h;NOi#R<)^^{{bhBW=Lq}1gOFJ}}M#u-uXX#Kuc|s)=Ut(vwYDqw7zm5M^WUQO5 z&lV2(Fpm`4L@jlY>3p<`TP8!s+nkot^}?WCiEaxP5V* zFmSq|#fT+1Cs~7X()#3NU&CB2CF0iVW*8#BkfV(OZUKd^L`8{0pkn8YDu0E6j(HJg zNq(y9gZuU>0}I6JApG1HUZsg02$*$;erh@_6C1wc??sqaxbr#N=&PVYA$ZFl@L5k{ zJoQe@gw|w!WVk#+eF_%FQhN$zM*q3j>Ag=g^!uO+C^Zt|nISjAYa0t{yt!&b;* z&?K92+Xx5bAp}tm{g(`Nf>?wV0vElE;`Pjmsk2c3FZf>7x-|$0qN~+s5QEp0urY>+ zS+P%TG)0uF6RaE5b`|Tgj3}^$8Z2mnmuZ9EOZhxDS=c$~XrY zaeob;dSiZY;%K(aw*!7`fe`9WgEnnvYfxRo zTT5feJmIgNsDp)Xraoy{$hQfJz}S5u%zh@8j;$@0>8R^Q69;#x=UF{b^F$wQKV2Z~ zI}eZE5TG#ja3R1>Mh3#u2fe0?EHmgs*_R_ym{p4 z1i}?gU;*4!-jB1?4^{>qb+`x0KcgmMnd`d?6zjs64&=yky9hy(y1aSowi5`<3$r82 z3ESM!;abCTV7_b1-P9A2oA#uf)8?jB2{lvpH5JNA?e>s-FXVs#-%D^mZ4*s=pMw%; zi&VP{55)bq7L&fs8}P|=ba?qXsSs0LLdUs7ubh?W9Qzxc5G+44HonwO)0$^@RcIMQ zB{LO1T>+~{&Wg47Zo?8GN;^W>@{XH>`7jSHwp)!ZbFpl5fOE>#6}GjFbG(dGID%6* z71k@A?a6le=7pRjm_eY#3w8c9!+3WC)CE~D4W>{b zQ45h^s+VHtkkUtVicQ`xme>MI@_6As7hfKGnS8#MherV%zkne`x@(D^@`z9JUYvuFa$+~SNI@&gHSV3$Sp$^ z`_Kn)MtPLHUSYRp7trbulb0=SE*3wvI0J^Tp=M_5tE3c!_;Mnaui%m_K3f|9F&VA5=S9t2$q=s$QUxiQf??ob{H=%X83`#Upf!i>bc8flFhd zcwUS4qVw7CNc%~^n{0n9pav;)(oYvAmj2-K%Nh6^{K*tW$iO)OzAFFVI#w-6yl?<^Hea=<4=G z>aE=Q>PU)TRuKC+Aa1DG2Q#qX{;IQcXAFgE4}b zv(0W@G=lb!39QkbfB=PNEnK0oEYP* zsT$rh?T(J+j91&P-#VXkOijSn7S!Zt)RKBn^H4!oE$K*Q>7fO^s6)qvVv4 zLl=v!M^cLyX_vG!4A)@NEA?+fg*I}{p=&;>kT0nu*(aT_S>?vb;wom!U zDMmQ6IH`$!0?9-cO~ke0DUywIN62_yMeby=Wd8ChwIl8_!it*ox2oB$Hhy|Gi4&oy z!gagP_`3Ty>5fq>lSAL1Fvbu}b)-y2Lq3Uu)Uxw1}tN&$lQ5&zsNozhi=hzV!oo3r?%{VT*- zZ?Y=*b0-+pal#AFDc*~Ix9J4W5g}aZYqQSRaD9lDBj5bf1PrR)x%%%x61qBj+-|{Z zs_Vr?uk08PD;cKtT~o!}Zr9mfbv`juUY%pzNqyOKn{sWNhLB^)R=KyI_>wOIy>KjQ zbz?no^_Hq>2-tp*VS?GJgyxu_)n%{j9rcULr@rR}BW(;~xpt`d)MS{Yg_9^|uTdnu zSz@07RTA_Rz~IhL7YvO;m=^D;+q$tFSuGD7ys^ZHDkz3m7ZOO}#AuINlrDXnF zbn8tS1BYC5gV7(+E-O}ZYAsi2mj_+@;?rnPdSs1Heo&H$5NS7i{Q%EL%+K6mP6`+) zRo-K2iv!V-+I+0u3p$zQ+Lux#b|i&_*o)H3y(zegVxF~1WqX|6ZB)&;CE1EQc)N3B z@1}+$PIM4p z|7aNh`-SMt2vfPp_3kl=3YKTPbf zc^R~L@@yh8b#*?SKs!xru)PqGp!s3vBlW$5dbN|&R0IyD7D7xUC|V@^1J4fF*fviC z`zz{r`DUaguP?$JkIuq;ainHgQv~B5?|TVPm$udU^s;^GWeJs3i<2Bd3NcO0m(LWF z^*0@aPcYs?lgK5@f_H0s;V zPXtRMK$(@OqFJvn;0J(19t0#Qts=51^7Qp8VWH0MFOQ91&!bYsgTT9< zFQVaTsgY%TH6%P8`zE?}IPE<$mF2)bvNNtVx@#^dw>ZBu51tzvR4qu|LSL!Ia7V@5nY79Pii{Ep?DGJ{kx{621socbui>#42Y91b4M zzPTN8e#bqpy52$YL(}PO`4?GFHFJyuENmE`M46!3N0CM2HVKVg8MGh$@WU5-CXtW} z*v+`q4=)Bkd(JU)Ml>yIgciLYGO@H($5C)ph2fbvEv8@&lIGYEn#eUBlJexhes@_n z#7J4Y%6ap$v7Xu0;Dq~WrKOKHEi(=Ugm7;_B2eQZF1<0|Xfb1hln9?cxoO$xHZ(Hv z_FxOFo$1ptrShzRpcDj^on zU}Lors`~}G_`w$CQbKy7bXmuJ@Y=*;J*`hno0O~=M;TH*8Dl+cPPDrmIc8W*YaGVa z_$3+pcv-8W-+^*#G7Cg1dHQZSa;Q6%R3tKxLleTZP+x^mj!wP(Oeo!%#-s%w$(5Yw zx$)zLf~>vXv(yfs~&aR0(8Jnu%|m=_RLy7HeBL=`Di%MPdqF2zfnv*vR%0_Ji?d(RuSnfb!uxXoa1WgC0#rl?JlyvqYKi>AkD z0Xh|B$!a2`GS3)d0IjV2_a8#qF&*Q6viUU2WBpJXax)8srb%RSp%JgCiDA zrgW7lrr;9&+8I%NA@bej+{>pKWIYKy={VDIxIzXZp)gNVWu7ePv-PKa8&9S;Q2Jzp zRs2JtLd<83Yj4Z65wZM3U4PnhiU9UL+S9{mYkirZ&$+TkOo7q%@f5EGE2qt3644y^ z)mz#Xj)xyz1vhMH5;qhK8OVzEv;jY<;?`5#d|4(Bqi{_~r|={lKWh$T&^>z^){*&b zG?(ieF3uPoMg#m|d#n0i5Tr^Xgtm(!IcetivNy+rS*@ zynrGLW3=haWH@-s+cdJp#d=Gk~uYfMw z^u*O-{OD=PYnv-Jh23&OYVBtw#i6wNnbMW}NAc=iRT}ROG}r_8&#Nk7Nj|mXI=L^p z&wa+BNvMQ06yR`fMhAS~i|?%w)KbdUnNzNVmZ@jDBO!R?y^MxdDf^aKq99GuME7*^ zI&48!qqVY~-%BeSgSmk_iN+QWb9hc^7X%*eG}tR~x9wc3uB0K>{`^qTMVF63dSl^? zZKujN>BAyj{M6$>sZL)hMROUoAAaQZ7iBe6WtJV8$&=|A)wuYm469J-WBwP~m|B=~ z4C^HW`nuNsMKnkSj9Jf+^{!Te4NE`CaiV3aaK1t;m#= zyx8j(yLnN`nC9D-HBm92?r*M&I8BdVK2E$ftPwaloD>twIt`UeW$ps_?+| zk%Wj7_u_hQ-7#Gh4I20pT`Ti!nOhgZm)1+IXz>~uPn-VwwRpSG6g;*(QJQY!!0}5zjvnPyi)HqcQQWAVmzY8gxv6$AxRjWo z$>utapB_V+khM#U!Xv_BzDD(ncOB~4u^ML&gj8)-C2|+67 z2Wyo^tiZ>5SZ~91Dcc7{i>tyOSI(SVNzK^qtpJa#w+S~kyi)CtN43BBFzLBX^IzN9 zA^AG64!*3XIkWK+^+xY>(z4$|ShrJO@a6W78f!0#PFt487D&R*H^SR3z%xRg7?Q@Y zs*f~7D*J(5N6`KpW!z{vgw82um!Jz(4MLRUom_(AefC|3zXMFSnrI%%($>R-k>rS0f)wWA|6y${evbUzMJwiSowl#DF^x zNbobmLnPDlMrLe?okX`3l$r$!ZUzeq7zZ{P+i#h_}Kkvw$0y(-VhS(r1t z6dz2$ZDTPYrty@%p_V*TKZce>ZDK;S!BLzljG`V^q#T6O21}}F%93Pnd_re(f&Lh; zmw|JpVu!J8~Cj03ZIYn@uRQ#wM$}9qSjP2$}&ORSxEpy}@h7Tyt4^(Spvq}^z z$Q6P}bX_SsTsSs*1Z+MY(^llXbKD1gp-E|!adzZ%Pd`Z6T+g^dbDg7#vS7{a46`Vc ze&@v;?(hLp$FM8JF?ZX^u)OT;byR5gywui+ZlXu0o<@%|f}6WaZPZi$BjWX%OBsy8 z&Y_{l&tA3mamN#KXe5+0zyIWp2z!-xK9K6HaB&zi?WQ>J^~FabwCtq3mXWE=5^TRc z!5k8KHR6%htE(&T^XSX+x_7deU67N4M32+ROy#J{FZop5ncXkrcfT$)B5@lQMy(fw zWEWE>%u;6;b8W;@gC0-6&+vAg*`Dol?%oP&y+zXkOG}XO`5n9RAb0$KD8$VG)a
    I!JRhq3_?ENceW08!7e(=RJ)}VaHME|>f z{M_f{XFuqG9UOpk{(p9bnfXB_ihtKrdV0`9J&h;{2MWdqoO1YgDdM6GifSP7&!oeZ z=lIU2kOYL-y^MSUY3cdosO<>e`jCmpw9PEP{ZRaBA*Esx*PrrpXltiqg zg*`Q`s5gnga{@6RVp`>zt)-D+-UcsW8U^&9%cde87nz^3mpt+y4%0J3OgtfKa_^F2 zaJ~(JoCbsFK><00;+wA1*zhdgUegpNbMiHgPKhmn!rzd;G=OH-31kY;32?U{@5&Yc8_>BJQSnRB{34EiHv7pN zu@F$v0egqsNd>l?}9KXeufNS4h3n;;%Z>MWSBXzg6vDy7wO83wgP$l94w8sb}v;Spo z{#e#`0;T=8N%%|Jf8u?=uvMlJSED-{-1krPt*=4?+)NJ+%b__*?qTDqfkN>qSv_-xE+f zic%AsbZZ2Iy_#;;iN#0+evFg6>MF(RD3$-L5iO6mY(tKiSD*RbJ1IXRpF&5dw|HkUDI`1WMH zj@#+*=GN-ktnI38cXC(L?Q(pl|F-tLxR_CD_F}M+`=GK=nYOqRJM7B*(&J|Plj=P&7o^us@vZ6M8Eg8=UgSeyW?qtny1Gu z_qD=udr|UkdokBpvKoH_UgcG?U1sv*;Vh1=iJ#^n7{G)U`(fW%{9K|g2i(X z_CX4BaOeAL?!=oqkUIsoHaESS4=jux8)&9HNAG=pSEIlvYdhWF? z+^RL5`bu-%{BY#Hy4iKx^*wF6oUd!TR@<$=9#5>Y*qNK0JMUj`T4pb9s%$vksM4(Q z*v=|gaM@2>aQfDBIKb(8o?upO+w`r|x9Kzg*f%rS8q*8$ z9_5S^6qaSX@8-@)q@(;pCaOqcnYVE2x!K*D4lka&d(6Azy(0-LxV&Vhg8wvi(f`dm zo-3*TjC96Vbg{Xl z5WA%Xx1ky{`Z&o*lW8hrBgc*2Nj(GBc9>99R>gi;f!bxkvoZ#}p37b}bX^0->L#`~ zxaO9g4wo1?z2nOY?BjgP>%JxD>*6qpt2q;IW-jVK+g*-qs&T8)!eTh4%yY3=HkrF~ zmEv#}YLJ=s_8jQTH-g^KIv9FXB1z03axa*HxRO0Ik`yHtPPfRj?Y#>a!Wst94eUG2 zJ|3?NB*wI!aH%D^PuUe>m}}4$T-vEJUk@y`EO{`n)nEa|-@Ey0wMsM;ulvTYW)J)a zK{xbtd02RpZ)l_oxEWIM{1V$#LZr<@`my}D-B+wOlz<-gW@^_--c~&0)VOh6@E3=H zrLhP_T;I>43ONynS?vf*ekGZrTn8#0Wtpn4RH&TAQVn)nZG}3RvFuwQ#)k z6?gF4Z`m2X_}Y0BN-JiAi6O15!&Y)~HGg&>HPwnzb?rK@xb5y*&QMcQrj0M$v2xwJ zGQS;Dh;!}h|I8G|z`Eb9Vqc-|Buft^%#xEcs7rd`{Gg(9!ay^oho*mj z6%@~8$QQYlH{JIA(6Y65ceJc>^E4RK(b41zOLaEDaZ$(KmS%E1bubGNpBd>qsh{i- zU!EJ143Vu<4=FI!y*!&X)lc0lHs2;??qcpjPftv|taF%Z8=60{@^rNf)|y%H8L!@L z?dHcLq|{62h&Oe$oc83xVYJu^wd^DBVQ9CvwC+f(L>mt){xBlx*?;7C+jBN7IrEXS zj+vB{(z-C*!eZb@hPAy*Z9^Cw2z!b%8KF^=V|_lfehTBVircy1;^HKEqE~;aM7hpW zh$5=v`2BdLB54z2Qhi;6bx!xr_Ys_o>(_K1I_ftJ+gD~P4kV=8Z8@%W#IP#l#siA# zC1@FiI595UUa^iRxE)g#A)Af{32u%V^qKl@tF_54+J)+0zmF7TBySIW4KJ(^*0*O# zNGfm2V0IK=B9C7ln{p{qMKJetI4z!P3^Qk6w;C>YyXc=&TUO|mGoL#-fx9FQ4MDH% ztSA)Kt#0C;PsM~?n%1A0L}=ntQT1%RD)GcO3w5-dk_4)NZ_g*3G?=v{>*PE)cQUT8 zHBY4cBx!AoY*;fCc97cOE*=)eyk*Q@Gd^v(`wnkm;lg zOTQi*sM_y!GB_DVQA^&wU)QW=dNRHxB#ll5S2oaZ!}@;!Z9tO0heTkXEzq+*C#K-e z7GR(4R3rH^UC55SEUw*}u4#5@jY@85uk+n;S%#n8{;R9@*4!DFWqZ4vKA28a_LvU6 zKO4A2*HZaWLxs#V`-{uJ=ch}+>S+4coWfjwn=R>N74d?-&u{-#V}`j^`LCpza+N=k zQz_@})^PIn_QuUwuO;E&Bg;`O=iYm1DigeJPs4b%Y5AC4zCBf=$+Zo8XH3`jlNna8 z%(z2ImFDueaoN(4JXis2(ilHy%6}U6XpNtnEZEmOPvf60G)yplto#Ybj~y(Srseh} zGb+-=GWz|!otv}k)MB~u*zl=RfLWSubU5AHk4n~bee2|KaeGQK>ywW+go+~ z%(iVUwjT=H_7^kKj_t?7Li3B^td;%y+=}!cZMfDmBv`nkwHckuXX!no&W|^??bGG5 z?ARuk%OWQ!mQ$J#*O%dEdm2_hj_(XsUi9NTBbILmCb5$0;_#%MaeY)+bUiV}=eh;e zzVAPAV4CaF;AGigVeN_i{n+vUlKp>f#l*%`1Z-^YbH(I`c0cT1r}~$GYtw19>~ebN zx2%-o5M7+a7fbo2yqm(30PeT@`@>%f&zXu7fS74jc@(jBxx{_bv4rXEh z`cm!ntsmOj5>vk{Z<2|zzbDsM%Q7rcH=V7d@%J}GSp8wSyFZ!^_oI!`=p8F(T{KqO zvKQq$g7_xwQ~Bn7@4OUPt8=8v1kMK4p>~< zdTRLiww3-ryZv8IZ_ilOg1zKzxxPOd6)pQ|f7G_>z~skM^vbvKw9ek*OY73AT)~g+ z;Vj$P{V4V9U)}yyU zQWr?OvM#aZj4i1xxzy!SUr3*_JZQ_8q|Ws(`$V=Z*s>_~Cv9okvLwG{{j!X^ByAR? zU|k^dxIT;0#*J0B{h}=$nft7)y(j&a1nyj5%%qh4hqiQOjupX8AZu*OIszH1fcXsI zt(@}_Tb>daBD)XEwtUN$mu*?K|yr>}QFWku7IzY02I`GE>hRU0d$9C6-dwbp&61TS{8)*|HZf{(ie&!=VV zk<^Xl`Ee=V6j<+<@~-^4erMqMwDiaK^MdnG)_YWm{vVee!TWWgznA3sn^Im8oFAu@ ze4!!bBU?T=#`{O`jNh@{uk)Qt`4P?soblt+wza4?oVVN z{zAt62lD#|(!M+s|3uFH52Vkg@Px0*nJmxzlk$GQoZpi6&j~z_N&gq*`MjK`FAHCC zJn2c{Uw6s8oneWQk9m$w0((bJEUy(AD z`cqQABK^+`UY?Y-4&+>aLHO9C^6fc!J|+9`h|IYr$09N$=WVS+b5;nL(*xC~Lf1XyP5=k9PrYIxlygqr0;L z>!z&vKAEQ_aP_5p0g9uU2Ly-r2rZnJb*u_6eOT_ID>B#D1pco}{aEJzvW#_F_UQ>( z`-Y5vUcU7KClAB^KP~f}ll^*Fem4dGZGrKAneVKuZw1C$m9ZV}&dKk)g158B<*`mi zlrgy61?TE4lvVlml-x@jvaY+~%=|sU{gBtAzj0R9 zS!9t{Wj!T6ZVHad{oVzBc17yS_TPcx==y@lB9}$Z`HAqu2ZcT!5ZD_+7r!Ag?t22u zs;uc98LK0EvnK0b6MUCv^J5v;$t(BCxbNHYd-D4}-3z~N{c*|<=-EIC{x3>Te*eAD z;AvSSmIq~@OWOV;IgcO8{q;q`(*==9%X6Fx9~+45))pD5kZ(PCPK0)2fuTH~zbnrt z3C=nh%k|%oXD27SyTjq_l+=~a-p|SG!ZINAX3f;Ue?VO(Qs>ofh!u!2K zi!TYjo{|2KgUt9PfyeQezR=-Wp^Xb5*L7r$e*`rDpq!_t%uJU)1G;Tf^hH~L`!?vc z5$N0}L{B_B(+zL`jr|>np126(H08MvebQH)E{tVBC6cy*r<|a2QtCtLi^YJh-xb|A z6nJAOO<4P){JL)u;HCjEI2%JM_!!ANWqkwWxLjz_y{AIUE!n$7=skz_?t3D?v9#r1 zH+C%h@5U-%EO)k?UX)4Sk40~bgjYQ!cS!-~uqC{&C--3@v^xepCIvVhonDr?JMtUL z7^&370NX0)rw%`TSVshF#x{|_aZ%bQ(mt0mlsQ*KZtMu}aCk0c?oj#;0r!dYLA>U& z#!PVG){wwj6M-#F^QCdjRwtLwyAOQ~8#{-h@Q=p+XlC{UZGCjNA=$g8gG< ztO(`~0p1YqkC?{Celg(^3$H(>b(WA>jho?}HudL#jhM z!S{gZh}RxM!9C9apCOFNaN}Aud@)@mK&QC>A<;+ZSyM!74;7li@lp@{=d`Af))^5W zh+#dELSvD#ZzvZr+>vtDV&c1rvUY8cnMQDK9yjvvl~6gK3GGizaQk>cR0F$4_px$D zBKjVB>LZ#ruF)5BT04)OD0G~7so!FW*zX^+XD7GE52au7G$}?14K}V2KraMc$~v!?^X)0k0`haLYXQC|dQw5Syx< zI`*6$AMK=`wvmSiBy{h^N8lhP+(tF9MarEM)$D5>eaAICEKv3!rv2c(iWHs@9r5cs zw_(j*#tLot))si~?+9e@4#=T>xcin#b}m51Y=KPK0{OWBxxEc{Qmn|od`}}zOMT!T zc}Kmg4edM7zC*fH4C{0KVt}O$vT`Ikm9y)YI{z9xyEUAHy>+%dv@LbSCXj+2<@B>I z$OH}^2XhznnHI?WPXB1beifuIBCWLnzxbO1ehcWEz;g;ZZ>H#a3F$34tR(?FXEYw_ zIjjrkv`4KPdPkbcNk=Z=Th|=ghn7W;J1zSaN}aQ-I~}9kt3L)>?<#k0qUdu@MoSd_ zkic4VnHTv<4$m#XWu)-27sj?l)5_g_kK1m8!gayKRP zU9&UdJO$mQ2x|wvXLMEzfIo+^3ix)4))v9I8Ngq_p5>-$V?lkKW$G^Qvy9e^>vsHS z1=bzXId!l-L_DgHb-0)T7hB-YNFw{cLOQjJCn!K3aI)F5^c{mN>&`$Q?!;xF-Hy;@ z8|csRlYz*8Jt<|I3)24-$jVNpXalbL0+Tz(eUevK;4C{C!NGqD_I@C39PWG4z6E?B zr#YOgIgsBCb7-H>75S|Ie&N=XNuL;_O>r`AglwZbFjB*Y{X#>>%}!i zP+ve>w z;+btY9~r&300%kg*ddLd!=AS39N-zvV9YMuM=`Bw0DLcleaPVa@;O5uJOH{b2+l&` zUoD`oQ^a2qpdm+7j`oqi;64__LyY2q9XUiZ%V z14DV{;&k~T)t!+&I1PBr;LM{;!+tc3x!@Q9{DwAOvgw#1sVkb@6m{DK=VS_+|1iT(XOfa;MgAOizVPbNBQz&Wu0sQkp=S>;F3|N46j}&8 zXDRZWvy9rXEwp9)@d!DcflxW4p@+63;?<$?$LXMbFaSAhK;K&=yQ9wC0U8NFUP0P8 z4SEIp1JDMJ^1hF*3y>9&Ke681Cwggt%#Y`r_dYe%SYf2igz7V+$Bp2=I65ed9xsG` z!I=86?140q(7DiJ-Xeu}pua}DBec6JQ{;!l%=;E2g}`cW~^PFQ-0* za{e{m#Qv|q!(TKXVsym0^2K@uKojh<3y23ZZDl0G1PX5s6xgsa=IVOrD5QHYRAd{iu50Gw0<@UD$kfO11!g`z zgXyG2;{?Qq1A>$HC82SGW9ZtP!w`{d;KpkiJqB0PF#-kNqDGHo+SOuINE=Y6KH4hFwkyyk0eOA^u(@x}Rv&^4eHw6w^Pqpj zwMC!5^WAh{O$FhE<4jS;E=b?WO*LlG`IOz*r%g3Zas}o_yo97HV9XoFw`9Z&&PS^75|k5=Hj#z{Q;kQ?&3x{OFCN+Xel@!Q@)4F*k*A`aNVAt^ zgip=>!M04!<-t6x%ySo_thH_qZTzc1^Eu$N1M7Em(KS{7;7Hytn5sY4odeh2K0LGTzQ*&E0loB< zGtg1!ZUEz#-_ODMJ`FVQXt}GL9Xty;@uLF9Y@7LbzYd+PHl3wa!cW&!W3f804;agm z!E?t{bJsKCFC8-<4>K^;_}I3bBL~+I_K*3mi(R__IuqtyJNf$_Q;n~?OTG^YZnVjr zmos>e@K?Mykj_Hcuk!hRfO{S8XV9YL^rOZ@)>ll-hf^1U2nL>H0MR2y@IRUw@ zBlqK3Q?*4Gu!auR;WO$G1>o4p3-^Hh&^7b+L&hE2>obzcQboT@jqaOFP1TpE)5!)jN~o!-DWg)Mmik&cQcP(n$fyCW-nre;) z`!6F?^_^q9G}_JC&ll0RM0rl>KEv;B{TD!{%7`~(ye`HAVN7F8_b2*dI&g;Drs`|R z=sa*denw|1rthh#=I$h**J$zWNPnl`Or0{-xN_8?QFmyYs(s>|(e0Uu@#mRyu7SH> zG(Uru)0>dK&iUk89ys**KmeSf;2Su9$ z-oYrp6{NenJCE}uGN8=_?lH8-a@-i&5^~}pDbXp$kA*N-%T(hwIJYAOdTcAW#Bnh% zF{d#wh7jj+HiH0UpUhP4B^}Z)Qo?iFRAYEL#M>}dFCp0hc~^(-tCqs+aleq?wTR!N zZ?UPs(lk{&&Wfq}I@|EQZRTx(3Fx{B$RdfU`W29Vdepy5^oYEoO?O2OvP?$!MEY~~ z7R)IM%)Bp@*Ml}8pI?^ql>5NzQ{rEJsAu0V<_){{DJ;w?!MY>Bs&qBN)?w3Gb#5l61sruhgmI#QCI-E6?wPQXL`?Aod6#$(LfENV- zPbBc-y%K=l*&{hsi}OLd9OuGDMDrYX*HP{rl;4owHDTT!?J?TgI`kaU`dY^C`^wCG z>4oUXv08J!{UY08NQ$VsR#!&a@er}t2 zUm)9akdhuSOpi-!VKc$3tXhKE^aN^RZ@H z91iQ_ftin!)BN3942UmAK=UmJv>w(g6Ix@PpFXBBaqhtA{&n^{Yq3FE{GI0O(qb)A z(iLzobK+~M(S0_W|Hc=mq4}5+g$G2WM@7ndB83-faTcl3W3Z9-W4d=^&-vBjR?!z& zM~hnAQljjy77tMvJx)iXX{MFH_~WH~F;%ewN8srf7=Ij*FJ7W9{w-DNBH||@-5oE^=sR~H|t!4i1rT8lY8iibuXn$zf; z^MAA$!N|kcLgk*1JiHb$Z8L8(C_Frf^KJO7AU&rf6PLEBGc|bi#Y3`8otpXF zI;Z1yh(;4deq>o0eVQx-rnH_!(N9=^DooX$loFn`!oD)?e0Dv&B__SP?a?2ZPIFTq zJ6zP9J74U6=+UDz-6A!IKJyahM+BxaX6`<7XeaC|I_0vd+U3f2XU(Di9pgFCj_7=I z&W=A8I9+c0y{4Lv?&$t*=~KofY?wnEe_4jo&c&-ZJHK0Z*Hmp{uC4RQqm9tntOuqV zGjK1|IiG=xr*!!1n`%6i^HaE-BNvx|`QI38>gsb-^*f@i5aYJ)GKV?k2x|v$?&8 zd0M}2U$%|4j-gLS%9>K6=Q730+0g8;oF^L@JqMHH&$NBlWJ9*|ri!c_Dd$ehpJl(P zrf+MqILGm5aWKA|R9tWFk`p__U$o0P8I%~7~P)ai}OOAEIxuy`tCH2BV!ujT;Rg!d48M^$mb!c z*^@xI*ERbQw`E$__zd4`iP7U#nOCF=55T={D?Hbi&zu@PKPxixv41{3o0)lAc}jE_ zdvs_Yo)YD5PmFF0i_N_KAW-h8SmANGa;CL-N1y(m7{4Ef&vWdN(OBlqYIu!ich~MB zKAVB5_X{MZ-oECuUDx@EQcwHL)aM_kp0!6FUaZ*}HF%qZdyK0%j&0HBrwB~dmKA#L zj=<=Cv$zHZtWN;uz|7|tvo9|3>?8Kg%)B4p!L($mIgu%~!8w~o_a6lwoTa83Tjs-! zW}nSq?!@SM)=e|-!%b=IK!Gvx;41LoQiD0DSwnD)F&QU5n|`3+jrTC{tXbO|?t9dF z1JC$a7c1j8fsX6;BJiB0Uk8RBowF9*r>9IcX9?p6Ts)yB|n@2|AQm-&Wcm@8If1GXLcVt_)A&ZzlO_{<@W24+59%kh~4){Ay{ z=XdSEIm8$*jQ7uBkMXSLFdo8+c_D>I_rm^2htAKzu~)g7_eEwjU+#h5*<@XeYD(*2 zxcMC6II*(!lz3V~zesPG`+<5;sNhb^>&Zz6!PsPu0c9VEqY2Ch;JDeYw6aPyTnoDRsDczF@BggEgO34W}wi|K3kZ77| znDa_F&Nfx_P0sP-{Y{knDIr~3v%~N+`xR1JOKj?M-4f!zxOR+_Pd)gI6@;}4C(526Z_7>9zLJ}H+eKpLZQGdN#d)-xcNh>(n4U8Q54p1bl+Fn5 ztw!g$!t;>EId_A{iwGYH@!yR0H8=A)3%uVU;UV|Po1wy|a%!i^iu{f3)0%yxrJMt{ zZ^cCGDEA^hao$YfxhdTZOzUjlZz{YAam#W3$cGpvww2_b!m)R`0z;&n;n+iyX-&zE zoJ3fh3^o^<+F(Cy6#N?{LY?+vS?lXUWXRyfsZVudAu(Bu(Rw=Wf=#`}D7f=G62b z+}q>CW;bcymdt#NL2UfFK6P=3nvR+hz18XUsYgzT%zXYW%N7C2W}06(Gk&`R-$#s# zMBx=$++%F!eZ3rq!FQG~W}5BeDbQH%nIks3zc5vFW#&QbUr9ZBN>2J^?7@$QJMGR( zl{+vrdd#=xdx;gjAynjq@EEw$d~2-h`Fuo759|-j6}dU`%*FIl7az^Cud`3C(EV7} z4ME1nGnErQ$I3dIrfMH-0WT`+PnoLE1n<9Gp;?Y6<{Z|hnYWEO+FUYKyFp6#M@l$s z0`Kf7IL_g2M0vWe==KGy%i*SH4(;@@vM+2eFY8BU-f!$;Zk@eS(_fhvIC@S1!>C(h;Ln3%xK+b;t}FGIa|VCHidQ_|l!|FlQAbTN~OnU6;5;o;^$j-Ro$FC2 z@%iHe;;ro4x;$a7_-QO8{}UcI}j`U6lJ~8=rJ)G zERi{0&U9T25!+1aa+9(2P1T;6n|a@Dq3F|WvXVdH%++lPJ%lEtB)0Q5TDV#x=UkNPg?mo!K+W;r2o3y-VK1Sw1M3#WkX6Ck5uMDj?G~%Z7%b;+|<6baj_rEpl_d( z@2NS=xpsNTPKNIR4tu6*8!X2enZrEcvhNwt=}!R;U5@tv=%xjI3p4N6apSlgRF~uF z@aFot{M!M*=yJJTKCf)X`p!|Tj9C{;ruhg` zMINiu1gW=(~y)ot^zeJ{i(yi)3A%d5AA&*cXc( z7(GrlQsn!{BSS|X9qgzWYhPO}?!7LanfEdB=nNW;6OYX9J1aO}Q#n@w(Rv2Y1@Pqu z0vGF@SFlzK)FBhjv}S1;g8gF(QC_0ONOn9{YqtH|7eB<>U*5GXtEu z{DcJPxCiTS=b}%=-RaA)uU$E-En0KO9L70!;admrH~{=4a{dC4b-IAx4$w-M@Y5k2 zxHc_J}d2rQ-F?uj(8)WbS;j2xu zR1R{ygAwJUg6@R`;K>!)KQekuoLftv=-^uJlJ9=j?%!CsUw!(Jc8_UwnoOhlA=n4U zcW7?r{WLxqu+AP>mv`dJJJ51%ICn5G{=AH&=DyeT(U$Ssh;vh)Bf)W#YzOw)b=j_V zT)QpXE`0l*7{7glb9#Mpa8M&7^1f&}OBx-xc3Mn-oqQI0^a}RLYB&jMbdOY-t7&w< zHplw$S@hY`*>=fsyd1B`wm>b;MzgK3?WJkv?Zb?NOrbC4D{;-4$34f`n8Z}$brUo1 zKVjRemV55A!*Wb#qRQuj+hC$Gv}3MQ7qT!@5{bAI-DBB2?t5!su}yKHri?dx=7W zT5MZn{C4^}yRrsHqUfBw-WJHFrR;eco?9S`4@iH?NZ)9QZh-og)4hA3pBJR#IGf-A zCHFW-ylIy3XWeqT;0$H`|34P~b4Uyq2t;jdO= zPkjpaGv^<&9TokZ7$1$ilE=@?eBKD>;%RUdW2wWIs3dPwB3!^WWF`3Xfx- z(c&&OxmRmn90QivqkC(9%_iL?&i2t(&MDIp$NFS&29XbE9-fg9O=)s`MEjT#??hT~ zys||!P?%~ATG#0L=d5FK?v2j|r?t^ydYoTXn>RIjoMiy}5g6Skh5l*84cdoO!ck0T z8g-lw-EC|;*5ohT=ghOmxuO^Ioxu5OnSyJ!=|rTrq8&}ksbl*y`{)xICoxqUE$?>| z_BWvCQ^udGwq)jQRu~(<1kXK>zK`uRU%O_{=R9FtGsoBaY@|NFc9Zs+WAvSkf%%^n z_Yo7!TFf}w12HF7%foeJV}4ppxN93dP8!#S`D(7sfYyk<56&}Up5XHR zicXc&ca#YW`p!C2%fkmVquY@Aeo7TxDWiKRh4vZYE~7PM$~dg2{ z=C8CdubFxKkh3*88fihBHNcy*U)(M2@vJ(#inFx^=FraP_<@V57|0l6^0>3C}!_8eu}6nJc=z~%B02gFZX%Dsg+4M=8m<0Ah+ z{A7ym8`GI&TaD&l&~i3Hqx+ZZ{5(GWleGKe>AA4j!?t^^sKIn5F%z-(Tn*UGpXVv9%Yq9Z~ zjUq5rpU=q5`>-Ce<$j~vFEAFc&ZpN>>V1CR0A$s`=(zwqR!5PGH9ToDFy>>UU0uuT z(Q-{Q&t8Q@r@kCJjs^1h?O6Bo#gfN0@^xZ#|Jre4e|+o5xd%qi0rvSl<0Ixcu8k== zLeGdid^7Q^7wxuD4URQ>>U#L|uanEF;Xd)4bKjYZYxu%ZIE_s;W?#EIwRjiAtCq{= z({VXZqJVq*j5&;-WV`FbAY+vMR?K{iaAM}|Y#i6JPIC8o`0nJs7Tgo)e`I{O0C#nH zW(DD>Wvc!gj)~EHQA{f-$U>~cYkVj*^YPuOsrP+_^c$FZ|4L;1@e96~8cl{hPI=Il zFM*86u@tBqMxbl5ACG05meKv#8SNSCda3z8?0Z|RF2|Kt*SY*%)m44@ulsT?|MqcW zJK4S`VFC=piA{(@IJOh#%y`>I;5d%s8Q<{O8hM1o0SP5M%rFmVgv85e9!44o2?qoL zgyxZFBqW~l01rq!@&FP~Y`UvgRj*$Es{Z$}!voUVt#AK*sjjZes&%jQzSEM>WW&6} z?E$8~Tk0>aiOw}==pVQ95WU-|{d-q8M3!*+9;TIo*sXXxu$@hH&$;6FBycfw?w(DI zRYArf6;H%Imz2I)GBy^C9RwS7N%U!KyRR7f!Sq-Yeo?F4JOpZEIb@gP*q~K>4g-y; zRf>=Qa&fd$?d~ma7&@ot)aPOdKg8o7`~!1h=T?gMxpQl+B)oyNo>@v50;zja?dz&&uAVlE#IhNPlIE4PU<^F z;ybB)j!N-+tQ@J&Dc%QMCi-C&?<=;6KGXc66nzJqo#r4^h`x)2+{0tAO7vmQeAh5* zfLlAMd8wJYjoR;9uNd0p#-~WEcaAq$GT*7WTr>4>Yn0{#(>1wBj9Z1)SwqH##!n4H z*Ib@abwT>!{PER&!ZP2Xa+%s^G~bNM!bO6|m!w`AtKjs9lCjAgvt}@#r@s0sp)-|g zoMRZ;J}0__OYk15o1}8KrubTF?#c=N#^pmS65mhpU9RjR@hqh;rEG(e(UoZ~N}bTJ z<|>_=x4b~xnh9Ns^9$3p=hSq34(B~OwY#r?>R9fApt`LibWo^to+8a5DH%J#PUx~J zJ($aHb&MX(*)E*_pi0=J)V8q+8*Pqgl=5oM-s5t7Q~vnW9wE+0Mfc%xdtT`NF>b%b zoQzMS#(9S>(GEx28uoPK982m0z4n0Ihp3pI{C(!D15Uaod?v`WSAo8xE+k4dct-z%jZ&i9DluSmbCKdokH1m`DE zKc{2HlEz|drjILRn{f1yiWwG(v9=8F<$U2QwHxQsr2ZwD2i!)>j9;O4eSE3Cm{UG` zoZrXMJ>9pO@~>BfhG?GcR9uPbnogx-7kIzUz8p!smKwx!9K)x$`Qvh@Xby1AtknfW z*P5YMo8Ws3HO?Q@D!t#Fo1;?k@r8vN=ku-9#p2t=guLO#d_me+GxT9d|JMv{u8FMR z_NQSSF+2r7pOZOXqA^~e`nz(M30;KuS4i#^YB%POdFx7z^U0TLcfY`#$bKw?E5*k# zQ~NJddm~f1;M{)f?)OCT`^q`~WA1}~978XucG^VSE)pv()Wu?)oUX#fZMpcrWxj>i zpx)CaqxgN^c4FKe)9(e-&QhiK(mKWOnNG!XxjC~59+}FK;P%6EI?_J+;l5i=Jm+Mw zVfeLCySc+um#ayg6+R2N=yJGafeQ{{YPnL?$-P$JJHwoTO z?L3{Z^*9?hB{OIq7mkZ__9WJ2IG$|@4HQJT;`VuP_GBvOL{HeYbWAQfPv!z;3#MHc zd9VD8&v0M%^^wb;GYnmr#Mp*$I8M+a^)ul8MBF|Gjz)2Lx(BCF>Ag7A|AXz1@Vivw zJv%m0w;}g(^2{>d4&@BP%kcO&3I1dm-pB2uNy#wF^o`3G-nSlc-T;oVTV`$E4~jjs znp!*e`<uT_at<-pb=b;*MV}svurH0(79Lb_XHQujd)R5~E#{7uP!!eqxu%`yoz#7Th z(4RGtw}z}ey!SRo=QYy7gTD;x0oT=de-zFY&QZT9P2qjzcyDly`h)k*YQ$f(8ghW} zUW5f1Te^3G+lPBhc)BL?9`9$pj>i2KqTwZ?bDWn$eFR#K^GF?%d6@r)aXVCFe{hB7 zVorF+T8-o1RENfVm(D>dzn;eI45Rx~o1i58D_6TdM!e@XSNwiwJnvei_l4^OALQ<9 zW`4PEru5K);mLYJ7cLU_={3#0#k{Lx^ct72{kR-tZckXsKSg6hG&jqTHAi&@&bN#6 zBx&Ae!RY5&@$r0a9}*sCET3sEupw>d_P@A<{zHA}xSk?0u12MO1T;oAWph?4?eC;} z^(xX{&FEA)p4c(S5h0LxL_ZOiGG;G`q2~X(;#}#M8Bi@o?)J0-ccgj zDAcasMJqmsk&DF_jD14o4t`&&^j>Ug_u*K-ReT&olXq4s?W4x~94cZD(ltYQE1tiG zJg?E1rEEp~yqVyi7PW)=u?ofSx7P# zim6&gmZxZh%bA$sJ37Hzs7;@f_0HMRDgIL=>gM>FCTpE*XAXQKpRkuS!!M|wpb0OT zG36-_%8CA+Gkj>4un8#t!+w#RX$yYuOK3fJHr#_?R~AGEsEEGmh@M&y`wl zM!N6TGWvL~c;8u&sWob^Vf6TrH%CPDMfoNsS*TgUud0E_I*F)iW2Y`?HjFpV7Wb z<9H4vC(y11zzZxZJ%de-QPvrq*SycH@zFu8PEX7-kMq`K^{&gL)5Lr({A( zXVVFpWhUB)>$8dX>>e4DvK@;FUd7peoIjBBPwvahGYNivQQw_q?E3quqhQ`ECgjl6 zSWm^_r)+eS;I-WPwtMFA^T>AnOOWd{uRt?vZ>sNlVvVHQo66bJpHsIfCgzxvd0b3w zN>|#auUdwuQ=gxm(53VRzQg6rPw8jH1bwIYORDG}1#GB&`-Jdb zY&y4Ld`}40%sq?;&~LykbpIA9hs&nw*!R7CWl}JEo^KOft%)9tHjNgOMm+PocH=vHL{4sim_W1C%>jO39q#dC8!}SV%;{ZK7 zL^vjGqt7<*?go|FLfZ~edpD@>hv<82xF6~W&yG-=@wgnJ=WA4cgWl(Uk5QQos_ziZ z6~1?X+J1nZ9YEiXP~UNxaBoe%e}LL~khq7(WCJ{H1J|4%5$9~uoIQF@b4{o(HYM+{ z|DVf+4S9t$u2G}9v2GZ0I=i^V9Q9$PhJ2s~T{|_zL&CnLP;b}^74i%NJ=AF2LQW*! zyB%!oH5!u!>J0N4@-sGQT*5mcHnK)_HE8}Es_PK-C5&Cz&lPe!LtO`OehBr2n92d7 zsSxW~s39h`L}R%^~l_63-o~Zo6$VJVvkm+VvMzYC8A6 zChb2$YZ}KiY(oFUF{K6KAtltq(P5?d{ji)5(I)6{!_0kHcN}d|+ntW>f#P#&wBmhj z1+y-wt{>=FC+v|hX0)GSt=v?*`$~$0UB~4{rtD%&k8{QE!@z6qfVs!zW#U+@Q+!?x z7dM>Bqnx_l=lhuQtxV+s8HQieckq6W@&av7J)er@(7Hd1O^oI6Dj^dt5(C`V2CmL2 z8{Pd9?ETLg6P${*(Y){b`OXszzc&-IZfcD8#d4=&y!&MM)LtOW^J)CwTwwjBV((Z- z&($~w5M!qJeY2QvE)*X};`lGEgPZeO?dFRYYB%32^^IoFe9hEuPBe`Z&D1zwdEffm z=R4za@n&kA2TNm91!GsGeBv~Rj^?1{YB%mxApM=j>p0z$?y*bxZC!#E>WQ{%wVM;n z<g65l^X9SEs%{^sqy|YjGD0taV{UW z_k#{yBm2K5byetjt;TswHR9dj-W;_R+pQ%$Yt%Rou15W#*ClFSiRxSWh)Cqlp%B(yg)415EN$^dbfJxB2xxjpBhBgc{Cn>qB_pBMMrt=f@#5Jy? zR^uE@lhCy^8Ha`1pPw;T={$KmF(+mZ{ikvvsBV`N8nKGs8^i6Jq-(!Y(|IwRtfTTc z>@DZ~Y5Q_c3U%>3Nopq-4E?0y_IkoLE++K3eKFT^LKaY+lJ0*n3BAWK^cG}kki!oV zTcc8AKWAv~4W#?b6(6t1vYhIObH)1(sJ^vAdQ8LUhATDB-L{NQwkG-z*4skcHi+Jj zpnV5w>^JPruc@)$n~opWIdKklrN+J|oQH3a{HFU+@E(zk8vC}L8e$?&?Z%fZ(g!Wk z2dP}A`<6o+uuqlShg+j>F4S(!V3p8UN@NQZXnriCGdrX!;CKi9X0U$_k!}{+)+F@( zHR|V_%=Hq{9Oplz{+G2H=Z)iiG?wV#h2nGFYlg?*`79Bwt&q;Sfc92ucTdVv?fQ!= z)OUmEt5Uo1MvG`A#H0?$IN*4aQA3;*e;`DZvD`E-jf!1E>i zK8j>-*T!SD>l+SvX$Gy|2ciB2>SK5obd_sr$Vmx2;3m=&3|aF|jeXFVw_)FSSLPP1 z=dM2wqcx9x(AamfA!{D%Jwe|-f_AN;U&q%-+j>nEj3Hd)e#R-_2fa z@BQuU!|avpwd{iyFK2(Jz5XEkLH2R`++}4}Wb?MBCcDvo!DP#}-QC@T_T08-uKlgr z>qU#Qy<`0y)1Djuu5Qa~f4{rxo>u;x%iAmMOXvPgU5!O7SHKr@k381iA6*HDo@ig%#5>_V9lte;b?8{G`<D%j-O+-Ki1zFTc1VHDHD2f{(YQz=P2#5%{BgmqHgMcvax6X2J)um^;hdjT}`_IphA9}jF>TGrD)TvXa zsz$dw{eY^ApV>HY)s#0rynX%Hb-1UX-)B8$Uo)uPxa+rHvVQWuQ*W6!aX|YwmQGpq z-jwp6wSN4%;-$OZ*uMStlF2JCJz~PlR!4okur2b8$NxBN*AXq|t(*$YJ?F!hpSt+e zu5Xv!wR-Aa&z)U2_tBl_)b{+g#h3qlXvSkLYwl^ca_RhUXRo+oX8XajR}XsnshK;s zeK@%8tEH!}9r)3tNt@fPJN?KXo?7z#{oj6;*}8i0?BAcgYy8SxbH;yPml$>b&bnO} z{c_sqg)elRHfQnkt6!b@*01ItK6%`;{_J~;XVuU6>ci!;S8rT(?)Eih125mWu;PoJ z#rHn8vHhzJgMS!X)3D^jIgeId(y;5^3DYayopk<$OEu0wt-MQ(w=f3GMXFm4$Ui<9IY^^$c z{vqq0Ngw*n`!{{JU+u;pKl*XGkE`MzPl-YW+jx#ZQYFHBx` z{+%rjm_GQNvLD|VbeIXS-t>=dQ_sF^->1*|^zEy@9J}b@ zE>jPC=Yn=$4IW+lk1=PzxcQ!K&mA@I+TTBN^_oMD8Pf9mnj_x)#|PEde}2lCjW-UB z-?aGob6@`EtzT>#a^L#ne=*>?V-FcoG~&i{&%ERAtz(aP>E3x~&FgT(pIgj0Z{d?& z4*BG!^G@#g;nu!A+7CbQvwzQ>bvPfp zGakO6tZwl+gX;HL+xO&2dw1)&zHFIy(f-%pcJm1*_4xX!*WXzE=GEPO7e>%8TO~dMY+Ao?gefs@-UGdEH zS!>QYvF}5Z6J>KdUGG1<^4+7)c%b(9hrU1cx{2Q&f9Z((?|Oe^$=kQy^k~hNoqN6g z{Ppb)I`94#Zyz(_(W}Pqe_#EA^IpGqPTdJ*3%1W1^j?d*vmKVamY#m!mxp)%?LHTu zIOW$T9+{r}+;#swvE@xK|6|>||NQ)Tu7T$JX3){kjq7U$=bPRa2)etZSKEH*DhBM}B&P|IcCV5C8VXj_1G7{)X*) zt$S_mPtV)#wH$TmhGj!LJ<(^cqrSZ7*!~xkXFDI%?a0>qrJtGn{-7TYYqjo?7oS*P z_tD`M!@h1<_V`6@XSV+S@U!2UebF=j{$cgJ+TMQZmUU$_>Ic_++wstazkG91(dIYW z+#_0ZvS*LJw}r)M6$@wWThm)4*D@wRTajPBB})rcj(oqEnGQ+_$9 zTiq>3#@@T5o3~)W3%7iH>r4Ir_=|z%AGf@!?TQgY{&>u+hiA_^^~7tde)G;f>mFV< z;GLI_KX2ZETYlc+m@B({{oJzlNBr(z`)_%qdvfrrb-&zr>tFf}cx~x{Lx%piHBrvDZl#txoaOh{qd`gfBbJ}y#L3&=WKl7{f}E7nLKX4`a7?m{^T(q zp3!pI6W4cm^rO6Rf7HqUIP{Dye~v%2`2Loi#;p71k3Tv4k^1qSj@oeU zeyd)eHNM}Kt!myGaQUM%?%(JA?X%k5So_Ym`~9K%)JMB~I_v8m$4xxz;T})CQ8aVV z!&jg8b&IDSTeGX~$eAx*_4T{YtZj4c-@f|U`khl>opZrYUU*{1{J%ckZSXlOZ~N~B zN5mehU$k}kw=3FyeC*tHtC!BY<+_`GKDzGc6@U8kvZr<|?Xue%@={q>4v?+!$=^ve4WePHhKl@F{u@s)P#haTm>SAAXI!;g7(@)gVa zoOr`m`>&e2?~v*@cbst0ido|x-+BIJ*U#Fz`e&>Ez5g>+TkDt9x9M=knzPa;o_lwT z7y7?_>eGj;>Ua59JMWu&`#1k*+=OK>-v3{dDcJg)P5&X+h^_w`^*6@0OP? ze)ZyKp8RR=FOPiT&IJdx-)Hs9d!2pWCmRMHb;U=QZF#TdNoVXFw`SGX?=4=q|J40o zc;LGkFF*IlRUf|nm&DrF{Cz)vFmqFjw@#h%&Zz_6D_YZH(lcGQr=Gq#`Dx~phwq#4 z^fkAh_p5`Z9`^eFzj|cYJ1?Jp%yCygebLV+E*$sHFE)Ho^!mm3Ev)r&uIMxH zgYJ_~{9?ml>t^=2kAL4X|?yc zJK7AY`s0#$@4q(_Ph&oE_zC;{YNtQs&S$?_z4r1s-wvO9?1;~H{uU2~AO6GnM|B)G zclhN;cbvT6%)uwTxar(~9b5CxAKF$;`r|iWxBGPSgA=A-`9#g&H$Unz`mQb~<%Q-TPPVID25j zim_#vFB&j*!u$Kb`s8`+BQhIrZT#XDxnjY`Se5|CPR}Szld#T*t549RB&R3CYJFyY9b+jv3o>#XFs+ zcYpA^bD#Lj>cw*>%$)!HPfr^%uI-HZCr+;Ve(@m}{PoLw1|G2HlX;VdAGmGNqc>${ zw7Tlx?3LGU%Pj9Ye%|H#Uh&$@8Iw<(cUQ+xd)#yX!(AKd-|P3uf;NxFPx04JZuQ1w zJe%}<`ztpc`tH6hGM-SZo-EXTm{y6uI&4bpgd3bQ~fj>OFdDnjXE&Zg!Z> zli41-zVGy5-z!_)_svJMryQP{cf~#*|8eH;s#d=^_V@1}(c$)$%UgW<{epAi`@}j< z{QK`;dG7EreY@Op&yL*DtJ?TsB;e(=x% zFTC61q1)bl^Sy;Hx0_x0$&MAv&iWy7WWTzpt$*EmZjZf-*FQ4$ldoe}9)9~J-S^#e z^#R|$b>XiMyX4)OM~?X54^u8Xp{&bZ4^Z4IH%ay`3LUTey13 zUv8gq!TJ3*6ra**wFu{pSoY^}%fIh(`zybDV9=wVw8vFg@BDetKIb0%{C!1J zzunR5tRr7u_U@%EyDz_?!f)n-bH79dEsAwH|7tkT3vke=-%_r=yLX#zZiT#-Hf?2M%>r1 z@}=w-7bM>9c)$_!58i9Q2^Y++{>LZd=cZb({=BH&pWg5C>hRcioew?dn!o;7Ik@tg zzs}AK{eJ(WJ6-;p&-XcO=HBB5+_2@veJ`2xvs3oj@9}txJ71r4-vv7#9kglo?BA?B z;`3`KrThPK?a+tc?p}Px?TbgRpVE5D{q@^VJaXl>Uv^mA^V-ne=BDc9fkmqA+(UjNvt;yzbZ{_)BstEVmLwCuI*)dvi16PsH#qkevi zCk{R0@mmkMXYkqgoOSfohxlKebl?}q{%QShHvj(48%O?Y^3HR9(Q|0}jh`ItOKym8fm>02fr+2+W@U-;SRTUt%p@bLM& zj$X9+s3{MWzWQNN!$U_ex$)Q!4_kHOb>pTl*yr3HW2fBS|Fdjum)c#kCO`12%a`6V z?BMez&;94&r+GbRKhq)6dfRQcO&;^7e-1utZuhe;J8E^8x3Z^BJaO2^*L}6$qVE^@ zhsVy_JAKi0M@=bzv2R7u!b3KH_uC$;P9EA}O2>BIT@5!a{Nul-&zRDu&xDgEPo47Q z`r$VnU-!VTes$d0S3mILx6^iwP5k=j@11({zvG)bx4L5DW0OwWbnoKC1D{;`_swID zK4#9*U-TRC+TV}#es;)Ble_*;&9v42D;FQP@}LhF-Sx^dCmtB@I`@d}18?Zwf76IZ zw~csz`29D%c7N~x*?Q&2&n>LF^Eazo)u!eSIrN%ix1IX@tBKo&zZ{!1>-PA$hwVIa zai2+tbRTu&&kz4szY`AcvG>?xFQ4D7)xTQRUU0ixa zOc^@*mmjoU^=0kyLyJBe{gdgl{Ze(mNB zZ}wifV%Mwv=FFMD>+@~(8*hGS1#W7acg5OSH*eT_^!&LyH-5He#i6Y_uAcYJS8MCH z6;G?&G;Z6t9n0p|&fB@D_1pC`J61opv%dA36>E;3H+SdKm)ESAzx|zU^>+^LuzJ_6 z#UHKcwBpk{_L(wg^_Xu~)sMb@{z7sDUDeQc$ByX--Bs1_>Fe9-iz^n-nXv22 zZS`Mg(kli(d&zS=W2 zpH{8rj-EdE@(XI_b-ZNaoy(ry=ZpF;7i_EVKK8Ti|MStH=SSZ%_wq5%ygsPo#zhA{ z_vH8QF3Sv_@Zg~7o1Z#w)gM2ayYr(TUwHQU?8S@TXuomelJ@6peD2A%!@r-q?yYcifKp z0pC|GX*G0p=bek@zrJ|>*1LOufBnqykB;BI_RzuWcCFsEvF)@)gT`;#`os~7$F2Ey=P_%)m~e@Iaqq?BN3VVF$<S*N&X4Zg@RJF^qCW<|NGxBudg0GbL5UCx81k#sUAz; zJF{Wz%m?qT8oK=VsW)TOH_n`U>9(6D+)_8;$&IVq4qrVk{ruf`-_F_V&k*{j`6_Z(q5&_s%z# z&3j_$rfG*IZYl0`?9@a4>!*8NbyCqU{{N5vmm>K1&m*2_xbcyHHjI6y+l29c+ZEGy z{doG=T?4Yyo?5f|%FP|dCdbZecgOkh4R?RG{?bo=?0a@u!*3Q9&)JH9XU<;JaP*?# z8@5fTTs&ax)Tf^M@u2MDCvRUevu@4y)5kV!n%?=E&Gow;e0k#wYd3bDuy*h4ri~5D zr%mm!W7j^@#{Ez~u=B33KEHL%pfS4!4qx4A+n4RD=6(C_#7*5bs<*aKrNUh$K$@)fb)Kyt(t+XFf6f z$*oTw^hECupIcoyfAeSS@2_9gcI4VaKb$k~*1OAASI(TjeEiP0*H5ZnK5^vQtsnlq z>cu;!%$eVNc<-kd_FKMn#X~cPcdqC;@9P!Y2W|kIRx5{JG3Dvui)Z&qf4}RX zjd(VE;Fkvt{&8db;+>l^yFS~mVaw}18>Vep-!P!}f&cCjI zXv6CZwmm!l-!nd)e$dXZrZ?;ye8qQ}uLtiOe8+~{zhB(&dSdHys~fi5ykUNahRztF zn|9qdw|3+K^IkNMUHq;p@$22MuTLj^>!Ne#U4>+D3BC26K_W%hw(GkJsd%QSvaX^6 z7nz%f54u!VR@D}tTxD*wA81}DC^;Y3-4Cf97|$kSUQvSn#TDkUIKKGlm}kALkWOYB zqa=}&625<4DJl4c2Yy+BNs*ToqNHRphRdo8FWR?5hvKdkr8PCUE+2pP>l4ew;u)_5 zQpjZZWe1d{WAS*2AwQEbFNgFk?$>44ay+n6yBi7WVzqC zB%K2NXe*R?u|zzT#ETi3tkB;lhVJrG38F(dU|31;lok5>fJ@Udoh-`u0SB2_+Ru1# z6a@@`uoOfM!hB&s#bLnt!hn_$IER6>X({lXvUr`q2%~RRMHzQ>FFeuEZA|G%Jlha&TimC(M|D-*sQ%@(6=gN2 zor~}NOE0V_I~kf^R*P?4MvWSCYFX(}yy6h=-@7YHx>gM>!$-fWVf1!Gmp;Al?c}Pe zi;GXG8d7Iof_jA0GjWnwFNKXe)aIx#bniu+i(m*JT+k+NMP*!%0`t| zm)2HQTO6ELY2KV*3dVP-E-$UfpqWyQKe^C1fXl|z_QO~%uc|CIpGroe_|!zPfis2# z)2nQBSw%dQ5wXMw&aA2}GqNOB88g0_3Ii%zdx?Z42$FO$UZ5a!VU0;N`#NK?rc%wW@O1$)%N*W!0dr3#yMU>(#sa z;4vdBgj)2nS9~h|2OiVo#iz#6wL>psmZU$&>w^_WULsPXGvKLL@BTL5NhW+w zDr(U+HHL=DAtS1)d)4%-Ev+`%7KkIs;vkCKo>E>j#8Ai`z)aa%Y<_}Ld!?oe_%Hl1 zzE|0Byn`XlJnFacN<@Ij)=|T0S4-Mp2+d93;)90;Y83Z3^+HmuVG);}>s~%wdxwUY z88U(Js!N9%RtcV|RotKc?NVJ^KBS^-aF=5I1wE~b)W@&Cs((qQ`(j!1y`%LPMJR)Gkdbcoho1 z2ezV*>G@E{gzi(yhLzS;7&%d=C#d%fv#RDyB#VQ76q_UM1NqT!MklBv453EHnTN~G zcu?h{{s>f<0i&A8*%Cm48PTj3+qDtc=MIaK-j<*DGy||<7ggK6ZKGW z2k5!=X~Qe4s>`~LD6OtxdW`C8pW;0oLTOc^?g@kqVANIkRO2UlOVtjE|vL>4ZTAp~?}=`kYw2{ay~ z0k*8icz`bJF(Tm0@`GbVK$!Iy6)-K$=YmPr$~ns1*Te zHo@3{G|Ov%A|Qn~MMMH=Ho@3{Gz+)UkPf8T1Y-lzEF4o)3Zz-Q2t|Ja8$3Yz6WEd> zLSWNBfi201fi#oJiV*{8HpPg6DVt)% zz?4l%=K&j>WJ4J+WmAj|n6fFx229x$vk6RiU5e@gQx?vuX$DN$G-Cs%EWA!C1-3L} z1EwroT`C2(wCD=3r5PJAWz&oen6hcc229yBVY79U^w8+$z$`x_Rg~oiw(!ZAv-~R9 z`DO`dDzd^#6C%U<$$Xceh%DWV0&kc5(xLKcFdFgG%rLb3}? zA+NBCvb?IoCu>#~j|CdF%JP~CpTYsJjVy|dYFHE#W427WAeT&;PD62S%)T!+-xq`Li?#Q~)ca!R zeKGRBSa@H|yDzrg7sKw0RrkfDBThg%;)^l&#ghAC#!U#q=#b9_+>)4%a+VkybxUGW zkV9uY?o1=G+{`-?Q;Q*J$T}2=hIGZC$t^nvjo~sF0Y1-51)`zhOlasYpc9dC7dU9t zst7lv?FFJCpaxCu9<5HDuRQ?bOw!QU*>6FCTU-0XkVshU*=|C zCT3q|WnZRbU*=<9CSzY_VhSk+GZit;_+)zaWp4ImV)kWL_GL=;Wj^+0GWKOA_GKFO zWe)ab0`_J0^=0byW#08=()D@9E%Ie@^<{EJpo>QdPcisiMislA_%6caz#zU;Z-%3Z zMq}7x8W{}c43=*$`{OaorpIzK=k%Cs<}}<`2pX24L6e&&2Tf?^WIB&@q}q;wzD)Co z$FTr;J}>fR()DE?^m)>?1m@ZWo{Q~~ME*)nfpK3MPI4o4I8nO*N@dF8`Mk)NN!OQo z&zDKpmr2)`N!OQ2*XKzW?Zi58?2%tYg@v_PbBqLDOx?}qkuv4vjm2$v%T1om8(HW; z=bDL!^qugCX1nY;cmgv496V0&2lqGr;E{qqsK<;v7$W{)dx}3;WcY*oAYLN#JpLfz zpq0$@_(LJfT#pikEUPb+C}f#{P{NR1J*71X8Ju`Yup-m-Sc$I2N^~t&qARfyU5AzE zDy&4;U?sW&E7A2=iOkUP5}Bg$he}B%X_TmxWST~aN=fW9N>oa+){2+#91P*eItza& zWHHz%QOIJlQKFE=Xrn|Si`kBsh~35?Du1!uC?WZW>P(m{HDl9l4^@JmQ=NJ2bn1lZ z()Hy%RyQahdPYfTtV0}SbSxmKWjhhBADGwN)DWSBvoR4ns0?VEagu96j3?MHCRB~} zf`iI{7DbgQ5Ugph$s~xqDPEG|4;7irgD8pinqyzhwwAHa7V&Y`@mzvR@W3VN8$;<( zZwM9YWda65)k2wqQKJ0FBpff1Sr~sPKQa%aM6H0##3)fKAagNF)C%xy3{{eKVZ20E zqxeH1%S43|g)CDQN))n8Rwz-(vPMLSS}vJB;w56B@rRB{nL$vZV^Zc2l&Cbs%%Vi4 zq4Nl=qv;|9iexc`KLj#tgZ?Ctp&eQxkioo`2xQ=p61BE*wYG7!wsEz#akaK_wYG7! zwsEz#*r`;23Yo2{Wh~4O;h2f@*tXP;El^IaV-s$)u2J%Ats@i0S{GN#5m!?lS5q!( z4w!1n<7&#|YRcnk%HwLv<7&#|YRcnk%HwLv<7&#|YRcnk%HwLvv5zYfQ&VmpQRiM( zQyy1S9#>NyXHy+7`!Z<_AJCRfalgVG~+psFPMaI%(0gAh2bv0maraBdZOR=$KJk8&_K!SHp+> zZb7EDHZF?}3=kcSYW3o3^|1M`t#r(&)r+&$vv@UP6I#^}A~`|Yt09c5A&jdbjH@Ax zt09a>mnFoXf+jIqXboZVo0`kGn#;JF%eb0LWPTWmtoAz8(M4)O<7z>X(;)P#1&yl( zjjIKXs|Ag#1&yl(jjIKXs|Ag#1&yl(jjIKXs|EGcf_iL0Lt3db4X?Crk9%xbEv;s{ za}A&*TS(IC#>lg^n$%@aQIUulmC7%|rGrYx>OgQLGlZx9xI}5N*`WTor!$17{az-U1FUqBZ%9sQm z1fI@-p3Z=t&VZiIfS%5Po~$=8^YE`I{K5$=AKSc zo=#GpPEwvuQl3syo=#H8y)baBPBVQXbg1?Bc;2!q1%@EPL^!}bo#H&5;yj(=Je}e^ zo#H&5;yj%NJf7kLl$fT~=1CXx)K}cqq+Chj5mc++s8Zxy3@^&4Q(t85Dguadr$9)G z`GLecb<&s=fGGD0gnA%95YGXkqEa9{7V@%_uz^I@^PVuB7f8|pVrDDL{D{Am7f8wh zqJ~A-5%(f5khB9NVla5p&M(-E4J6|EAP3GUcySk45}xv*C6t?R+9_@Nz;TWzy>x5y ziQQZIOtmg)U2+`uui+EY@NnQ;MD{N3ReD)f9a2=Vhux*3e0XJXS8Q}+6CZjwr0nFf zVc6_1a->b+N55DnX?tgeTru7{_t zho`QGr>=*m3ocJx4^J0dp86S{`Wc@38J_wXp86S{`Wc@38J;eOpww#CqyV zcp8}S)Ti(?LgA@%;prmT(?ywRKUh}Z!c#xcQzyeyhtN}R!(%10EIj1v%qEf#0JRBI zkc?`uVuh-~^@6U|+=qT)Do_75luT1vKC-MjHf-c^(4NZSZOSU!4d}<(#>xYrbcX32aa6vc}RwxyrKQ5o1iz8y83&SC>LfI&6`Aj%CqF!cUI7I%+ zPgdzq2#%zSBVykR!=bPgw&G+PiKJo|N7VeY(QR6zvI<#q zgw|#imcmw?Z1a)!?BZ}nelXnf;80i!TXANDkF1MB9Y~!j3zC(>QrL=9$B8?_18=ff zI24w`R-7`uY z7YbYH7hU$c z04tP@!j@0hmXJ@|Tv>@sl%D`+36B?KzB;lZcX@XljW2Mr0iZxILOc%^q|~ze$xC+I zQzVakcU(={c+eY_k$mTIgUnr*pFNllL9)s}!U~TY?IAz4f zWtGhVA%~?saKu1zRAT%+E_xq#4FW|=nycIjD_~7o5yKYuxR*Gz<*>9TdLCDMsc4iH zu?_KvZP*hV7gtycTUn8D92fJzK{|(}J<;>Hf>kt%UdB}1H4n66Z+0&!EQPH&S=kZt zkzOL-+hJ)>^gJ$OC}54zo5+$o&(WG)n8^`5qGMh*H57rhnCBf4j)?L3PAlfgdm;#1 zxgpPp+DURUOt>Bq%>}{ss!?|nQ`3YliC;_dXptX8uXTS(vO0>eO)PtY zpooDabpIux`!5MidDakFLib@Ly~2QDDt!%}B{U6MH_Z~dFC$50Xrmi63Ejd^=oWs7 zZgeJeCquGhOe^XaWJqZIF`?{BW{9anv#a?-gqP4wj)W#pC3G`GHuh0jcQq2atC7%M zjfC!MBy{UNp}QIh-PK6wu0~vAf(hN;Na*%PLbo^K8YN8VW_&_7H4?fRpU|C(gzi+B z!_U;w3EinMN2|F+cPbLv*9qN>PiP!7q2bF!Kw&@zN9Z904NWFABAL)F>I4U9P)AZ? z@rRiWp?HZPC>&^!_Kv50n$R8UgocR|8YWI?m^h(fVsj*#A#0d8p<&{LhKUmzCQfLW zIH6(UL=Yy{ty)jVRYLZxoq=tTg#$amA!Q6@C-!Z|7Y!DxDOU}e%26$}bVIUi0mF7& zFKia%RR*Uk`?ncz2KI-OgG~@MS4>uj3}K2X34kiKO_)QZBN}@|(<@miu}rW~P`(s- z3|Wqphj`dhH3tp)85vUqG-F&L`c9LqIjG+n1JK90G-D2NeE@ZPa1c%6?0WRZqN4e> zKZTLA;ExjEbj7l34D}f%JjSO zyTvZ>hDa)9{9`kcvrLXSG5^b_c`RYVbu}(jbNkf9@B=msxk|PSM(jzz;P|_3oU*^& zY-|EGz#@Z&cN9{X$rxL7$-+*3bFnE@-lqU>$`emhDeO}aZ!}sgMc|b~v#|-(0E-v^ z-pvJXW^_Q3G&&q?kx)!twKHlJKvgWtlZ*oFT@W_%LmX^-G6`~&8CYo@j!!XizV@Fn z(cmpIE%~(2YNjC5I@5;0X(YkVkWVsldj3og(#v@>cSJOM3dVWfB#(e}!blX~6U=j( z3_RvSkEbK?-kW)>%_VOS=4>WI$x?EOEF#w@Ba9PeG$}eA-|X2fgc=IPba)mAuZ)`E zGtC2*XWkGSFQ1$4_%v1*bhQnK7ZhkbWl36*;1lIZHl;GV>$M4b@Gry;Q`lTfPT-As zAf6N*f2Me7$vOfqzHy>MY`z_HGW+I*j9&l~By5w?ibQ6$bedf+T{pFxQHJA z)7vh54gxttib63>lNHfBkR|9tVKDO`hlN2N1p+mCf++CuIpEVYx`-5vL%{l!NwDe8 zm_;0tL#ve4B z*c5O$ty%Ima%F=aQX-g>(ho@*YQb?ty&wez8llr*S^gu3Hnkk{Yc91)RU(iNYe!PF zBx@#iXorU4q9+?|3OLxI7q&8?;r%l zy`sU_{D&f4nwsrI#j)&A+)9hm$T|y`gK5%K;6$)L5$r-}=59h0b`zSXi`!O>hPzbm zf%}8_3P}q0#mFajDz0F`ckH~7s|R(`0QBysEfbm-jE$n*Xrld!<|r6;FOoC^6K;%h ze3!r!?xtZHX@A?$L{o)1rzzVAm_2yhM-OZ|a-yx1=Fo&`ns6&E0gWiKL8!sK8HKg3C%{Q>L6SydQW=5qW}cZkzzXNn!Qd&2M1sS;R$!r z(H>_tAjj7zpM!1>3_C6yiV9D@i`k;JLvW_pLDy`X4L6lWGd?LGZ$3q+;;bW_$m*-x zr_qzk?f}{odrX)Vvr+`POFRO%NihYwIg1sbjB?(bwJG4O+~z72VX`rcB8fu{pGzoU zA4_il!~u3PJT?Kmh1_fcWPFA!bDxXzhqM45ue_aX_c_U;+32*7#XN{l2{eqB779?Y z-Lk28JG{B*#G_YNHbf(uo|FN!Tc#6lx;Gmgdmp%0O1P1MlN7E~CemxpcC#AkC5|ZA zeMX?o{^k-OAr4$r#RR0pa7ZWw7gTX?@)o|+o5o@_7hOicn;ly-Z>J4fcsUZEMTAVy z(VXa@%Wr+%1&INlk-&f zaxmXvxcLSdQCb=m08gU@-$~y1BthVl*FV_76|EP z?=paP54qC_Zk|FJa7K<6TIyMRr-6k}l`nZ)wtV+suMgl%#eve~ll<2s zI1(>l2QY`k6*sM5EE;J@r*ZERk15V^aYT^UhfL#9489gw6V42e!n4Xk@N?)ajWHzn zcJnc4-QV0E7O==^6SRyTX!yHC=9+V*gd>CIn=!D+!wFYz5Dl;&^2yqVtssa1W?PSs zMz5)GJH7dq4HPIQ#Vi!1pqMz-o0L>=xedc%xVdJG!9^JqG^_(yPjjF1-oAq_zZr8? zn$RiOfEz*2IsnTq=*Va}=Zu624~BFv&$q#oLHvMG@l_!<9M;Kx7(~6Jy%``x(pvhNvApN zgHPrz!$&^SnG%`gIgnyYlY(K*G!P0lM8iV@XpsGtNv3E~3lA~6M}(xT=B`&690^a+ z258KIdK?_bwUH2}x$8xC3U<30#$k95tWUce?>uM79Xt*?qv6f%J7Z)BY#=;EBcJS8 z%S}HHy1ZUxE@2wgATAWVe2NMsc#4wygdB9uHR6Ouz#8*J-vxbw`!1uWe>kDvLDyW1 zoxmmTWC@TQN$AWcqeqfC(5DeacMi*Q5_+>b3^2&f5iEfTAH%>lDKqrRv#!TS6C9SB zYup%|fHmgTMq?yszKizn9=44hq0g`V1Wvh-Pep@yhtP>S?jE*{o~F-%&UHWuoC?qA zg+XsR44+BiR)Sn|GnFIEW}6ZNR{KcM5*l-y$e=TyynXS%egBn3w@29|&C)qT7n?wf zPNA2F5yA{S!||@Pg^mwOGz*<^V+~kDI4Q*)$+}pL>zze7YGC3QHVP^UQOMa0lgOIm z3|MBj_#q41YR|_$8fh_uJeI#gb~^Im6KTcKsdb= z+0MpENMjQk0UvwA*VbW6NmC*lff~BCO{-TM6dO7Ouf3+8Si|hZWACp;~VY< z!k+i|QePVct*7%b;`A%g)&z*$jM&}E7YR23A~z%Uc#lVNrsV)`$VhG~Y^%eU7dM*< z+xBtX%m&e<5vM%{3|5yBcS$HmiW_4@LAZ>#%e>t{xZ+e0E+Y}YBfNqxhyTf0S|-uO z8msZh%{p>gI%Fg_6^?2}PJ777>&8H^>bjRHa1`3=5&N#x-R@)H6gV*AjFHH7N(uYU z*2Y0N_JU3e+Eg?-m9WzEEOP8c!Gsot0@6ok{Mkvp{H)O$V!TLYS+7?s1Sp33J8)CCS?3ph*ukkh;_Pk;G!eADVKM0~n4D zl0#|J(WH;6FdT8%anRf{E(oS8o`}`uM4f#4;}|Qt9-?v1BBM(=v)x60`k-+TDc5i- z2-{u6o}?4Cm0LOTG2-Yjx1&baFjOken6ox98*sTv0=Z+ zeMb4{a7CJL?C>2)`CvNgLS5TzvYgl;zmsIcXevy?nTBwW9qBY4j}q zaBN{990jz)*CwjRdDZ!-aHb$iG`EG*RA4X=jx!vi5l+rs&qsx0BBK#b&bH47!Wjg# zk7YB$UUehJUyYMvBBLQW-WqF!3Rz@9Q5-Vt$Y9P1q(GpKc%l)@0%174WCu?F6T)2W ziCE$S33J9)Bz}l&geH95KV*;JOg_id6YlO+ zUQ-+14$22bkuS^FQ{&TQ#W{yJDO<5bpKOc1$!X{1@d?rbNoXqxKM83gjk3~L%ofAY8V*tm? zN$&cN069i1y4S;r@cHO)wgpP);DgYS+lXx+!no1qa9jZooem%SHCGe%Q;EurzX=YZ(WXJJ%0R?^=1ESw6*NJjRZPR@UXIUr=XZ%u9VW{+l!f;eJa;#1s8E8a6k}J`(DB!3MHfU( z?fC}d*7c|(3D@$nNXDiDODgOP2j0zo(`XrHObCE)^LIvQwi>R}=+5QL+E z_8#7UK$hb?Ms{KgB+J?I}WQ@XmWX`FdU_h#;*AdqCh^8xmiItV?MeY5&;o$Nfm@M!t-v16b93o?diHa zyXOlMjx!J08*;gnT3&1lq}2$kjxt3y=n4eksE)lq_aBhusFJ;3SGX!UCcxgE`wz%+ zOhDu?LxIwYn1JZ?;VMF7D(>ib^mIsJ`shZA?48H|KtArg7Tu{YkT6%xBatHN$i@yM z@qM%={o4`{-?<$QHhJ8vv4O$FLcXQD*$}LuR3HGmC!#w7{0LrSLSS#s z<-rX-$#Z&*0pOVvCo60*+HqMU#wG(k0R&qN(Y$lM1~nfMc9I`IqY!ij9v0x)uaQ`= zQW3Yzsviyb@GY=;sj!DuG~g2j;hdI{EOrb|Ylg;?VD6qo6oiu{9D=YWWIr14iGpZ^ z3cE+50iP&{MyRk=GxE~AJmDCMvk@w6D-jI@7D$CP-SXojfnM-CCykDARSTO2H!Z$E z0FI%G1eoM;%TN%xWoe7jj(ZuGfp09%2f^;oNc2sf+{_2TR;p;ab(2Q~1HH60J93AR zJOrAL277o#6VLe)>3k4uTNMci@k>&T>I1tkuD!G$9d~^TIlf;YOq;JrG^jubwrnE- zwz?dK8RpxwBu+mugEC&B%G{GD%>#Zv&0#E69Ja#{@UjxEgmNSUjk3_8B@HIL=a$Me|K%i)p>%sGi41*7BSxDbt?mut3Dbj>NyQTDQf&a74nlcmiaT>%NM6pf-6 zA=5?XKu4CsLFWYg3+7J2DjG%4saSX)*jyCk^TiAfI>$vW7@dMuG>V?nuTm+xEecn{ z?WQWup}T_730Qd^C?$8X0}ZQC%G|sM?r2>Za>oTh*FkfdIyn-Iq_{ojMMEh3lxn`w zY)4{Q=r$GZMxs0Eg;dy9EgDebYhd$IVf!R@oXhyVoS>E$gzXqbqiy^EUS1HkcV-6x ziHfFX%1{=Lc{K(h6em9d+}LCrg0R#gl9Ig}gmj5@x?3RhDy~e+Gg~B)SoUt9 zob^aRjNLDhQ1)&R@(^Qb3Gx9Wk|{{hEZOpd&bDlu+K`jeuFeHN{1SBlfgitav~zSv z&tbx`e+2@tCUAa$JD>m)cvJgs0S~qm-1Mj{U#Z%dn(NMh1HkEs0s+`UaKk|SXnUhl z~4r;DSDg$-xV*+8wu}Lg=vqLD<@i3qM`Xn$nwqGglYw1$j=+3WMqBV!|C{{6=9TiWHLN z=%VWh$_r+VfCy3;leYcAQ$C?^a&acja_d0|(@}8OO)iuyTcJzr%SzDg6hmul&I@xI zZTXhaRpX-2IXPp)m7B8=rY-Oi_p*{g$+Bmm5;q(uZ(=sqXX+C2UFi7(g;w1<>EMdjqGNlT+#*+a_B!7q>`dq_n* z9e%E{v996)3&-7okjG9G2GX7vaItx4dW@i(G!#mdqd#_{Fd#H}A&%G~uw^*|zI|U% zuD&V|q_rgVBhGGt5NrjqvvUnw?1MC7097#dTEe$;adWa&7)X1p+PS%f6J-z0$aLA{ z?K(kk*^;$q%bZ*_9Z6d&cf(Xc0PK-zXZ0EYyf)0&RaWrR&fv|-Q$Y|NF&m8t zr_aJjvJIde&M-VRWrSrWbb^k)9lXfNQ$Y~zezJ3D3nt0xr>yM~7ywPixp{EFQiT1K zwLO5GJQW1d>L)x<@Agwc0IYt>+DASOPfgiRncZEU>=eD6JQW1d=E=QMxj>R^p4{tu zv*8K+9CrxQq}CTZ0Cqpw7Z>E>sW6BRPwvvAFaQouj*pH9PAub_is9w7OxC`28x^1! zhA%6IEv8Zc0^yra(%x^(*dDU0Xh+qHJ&% zZb!voJFaIn;}}lA;!~=I)Qtoe#r@k1I0KQ)%{-rJF zEbpR*Gz!3gZ+z$ZG>4>#dZ(!~ZnKhh8&ztiIBduD0*qPO-Ruh$PaC^BosH(3MnRC6_2T~!gl$@*2hXD*8ll3rrEY2pdc!h> zU?lC?FBpVWSk_e91oFjq%Mf}o95+qU6Qn0s4ZTc~X;RqjGI<|K+~{O7CGg23)!2|M zZ<~kG7KkB+WSEQDC&n1EWNXo*{c1~V>)6=h5kfzcF$o{|Bs}K3N!l@=CN&~y9&-ZD zfj~i?rZHe70sHC}MrWfBOjv+EZpOqZWi>;p%SVw}KJAn?eHf7-G*7KLga~07emG2| zW^tX2Y{TQZDX}aO^Dp9XnwqKs#aYQ^j*JvWV9hvO&uZ4VP3l@Tx~w|aSP?2MVpy~R z)Li-zd5@3#Mfxca6ZIEnN&ZapW0Euw`$A`kRD6<*tlqIi@P8 zHqYtC2|nqXG|`{j8wTb)`5+cxrKs={wxS(OEP4uUL5NSf`SHO}q zO=yduuQt)20z#w-%PW&jQ41;M?O$!4etO?BEt zf6}T7f|9O)B}+|c`p_o&lU7v_+CM%up}9_*=ucWzL2wsmeCmBVgb_$ge#&Z90pW|# zed>KWbfHc3r>tUA=puAH8f*H+?tNeTL#rxu5xP&k&+obkFRHnUO+lDvA&px4)cZV^ zw2A%{5TaG(lX{sF2(T##5xP&k&m&Zu=ucWzL5R?O>V2LNw2A(tRTYE?-KVZ( zzeAhoPg+$$umrPNZesU7U(E)|XjKM*s>HruLS4x&J7dZ6m1=mzCa6MH;cv(%btT^~ zqfPiHK$9xmm3XXJzEfB7At!C3KWSBM$`Zt@!$x6vqe+|SPg+$$umrOu)RlbyjyBPs zw5oz&31XrkESAIoMQN5#1;G-87iOC9g++=*f6}T7LWEsH1DSUew2A(tRTTt}A1q)9 zi)Ak0XbVAFRY6Ga$7vw*cB*2LpGvf{mJyQiS-cq;Y}PO^@HvxIn!u*;su|WQoGFP6OFjxgA?jER z#-9_=f^bGRUYmwH41{X{aS22u%?@r*-?dX^WATsdjpw@;)? z(oAV8nIYMyQanadNi(NQ<{r05m5_3x28kS>BtLwTi15i2g}j;~qu2PsI{+?zf#arOOB4;y%ef=qfDoqS;sW`M1 zJ;_0NZ6RDMGJ-%gxn%|hN)E9|3**Kl5Z6ixS?md=aMdZdAg3w8%QtNzKLu5#Df=p^ z6gf?Deova{PwX^V&}EpB(}YoMmP^`1ehLW@f<(Q^X_AwQ+C+a6k+RbSf<%4DX_DiS z+C+NA7d2t;0Zo)AGdszd%=2+m^2qYqe9Mu-Av=p6E0k?5dQxe%FCj%F2iPk4G)iFk zD5*3lC$6p~ea2_f@Q7<(J=1SUsWwWWN1&P5bW(moOvvbUI?3#(Q}UfGW`bjq(!@OE zOjTJMf~uml=`<{+99fqZ<}GH^BCH|bg_Ddie{xV?o5)XTL8c(YJZJENJ+8^&_>eS_ zpVC^DR`Kx^sxr0=82~;M!%Z?`nKERVpqyFeIwPYy!)At0nrIW&o3JSpnlaCEgU3wL zOfhm%jx^DqLa17mKWRZH_cCb{W*Y=ZRe_%6VVO_?i#5lFceZO) z1;PD-d(^2(xX=a`{Yk4TbR%@SirqBfYw)>W3b`TPuy0MvIAVus#<{?qd1EIWQwEKF zerQ9muw!a|lBz;roVCJ_n}ICPMrafLNvjGkap_Xy`hW~;n(^f*pdUhIY#z@cIKD|u zY$5AUAyjE1gnHEbyyq)~dCG$*ih}TjP>*__pQ+I%`cpuNU=f~hcG2)+4P@Wbp8`Up ziF7epOL>w0WKg}grl5AQ0WKcz#2=WksL`}3RvyZAwV44XF zLlbF_V)=1=GJ&31Hlu6P91lo)6vvhagPE`l2iZ`C`z6hOY&ydeB0fp^tpI-TQ&^QH zhN`U*WJu!pw&@o>MIl&>j$tnFjJYr;nlj9jczx*%>lob-!jm|Pj4fmCnOXI zVc`%bf*d z>|Y|nVpkDEZL@RL)8 zn)=}q&8)8|cf+(GAPk(=d)kuLd)m^_#n}$j1hGCcTb2`uG2yytrU{=zLlZSH81kWw zQkxW55z{1?{v89ORoN8au@l3hF+|ivrx_g{G<=L~Wf<{rpU&@98k z*20WuFM}6?s3+-a6k`JT86h+wA2(zhGAD1= zEH#ZX(s$F7a0ZO`j86nMO#oBXMMItDGi_>`&?j@Cp-+TFi7fR>5^3Y1nW_+-A83OiF%`*A(ln@Q`ONel70FN9lvwt#Wr=c3t0|*ZUf6wEXn|qTd_ukH)36SW%`ynj8-88A8lYK^6Hf1SiO; zqzr#j5>r)vGDFn{VNy=;Jwux$2=l9u{SpdJAaxDt!l+_C8AQTNnxw9VZ=ZLL&z8j0hT~QmQbZ?AP&=nE?@(e-05qmp!*WJv#v}98D*G;Fywi6!_GED z6;63{=GDe$xi)xhwjzTU}IQF^c{FscV*fgegUM$sUPuO{xxsYXI*4YlsYB{i(WTq|^<-;qBk-Ak~ zTa1*qA!R3*4XdgyE3(cSz<4#Yv31%D+xSg3?p8U}!E2v%#x7;Pww7MoY3+ePh@~#W zYRjsNyeuW}HpXzm=Ge~N{!d}qSD*Z+u4A2gksKNK?GHf7Ba z0A$HPmRH?PR3s_e0o{$qmz1b|cQdmmIlI42cjHXJLB>$*+orqmC6j!-qD^<>GbAO} z+an%>GmPy=&u zl8-4dOq0vXB=N#fYH}bmDY4HUFsdFVasyEUl~e>Tkc!v?;v$MnCneA*MP`YULo=)mqfL=h@9A@M6I|eO?1(1rJx?iGSIKcMX@n-vcecjwsc1B!Q>}6*`jOjkTmia0DjqXr!8sByg!86*`i@wip$P6=7xP zowuZ{MinOQ6(nV)qlL76gjJ6{0(iG4Dd|^QGfBkDI!FsCIGW_+Au4*Gm7Ncv1chl5 z9Uln@3rR{6?o*g)M&xotV%lzc*Jb&nh4CBnv(m#93e}D zuuHg73e#keQW9U&!X(#pIO6zyid_eheAc6(hLcAjcF)U@)}_Q(Aeg3*JLUPpH;t1Jf+()t*ONfxk zMTrBr{>5sJe0m~YeF?&jMYS=9DTx?MbG)iSg%(GNEW904sNMuM$IUL(M|AzN=n`sD zq6cihg*}TK@u<1Y5w@$%KtQgYRTG=Iq#^285F#Hrja1X-2w_6vNPP=a6K76X@_eR} zyBLKuwWid+keW%7ym}a1h~=0;q&@~0W@#9v)XShkb7dRVB#uJ6OEN?r3Jj@Wr<$() zHEiUtzi@YHLpG(J3S-KUTa~hX74!x+Dl@M8$1p#3m@V2jlLLQv9uaeGU=gl;?eU)?;hc~Iv;wTMIoBG)H zRWdkl#5FCg&0sM@LbiPsq*t-zZC?esR&j=DafF~D_q)V=NlGXbnASMN0cjrawy%Oh z?jze*F@@9}wy%Oh?swZ)K_O2ba;QPD^Rx};A(TAEJFB8(q;gF}WEqjo5!^!(h-8&Y z%`}CEk)&n8G#isf^0v=Gn@&_{X&voMQK8jG*fFBnG-dl7w2eeHtv=F?E9_{5*eO|o zYKB_Jn?O_;a)i(|wrQy_)H)Q(nmd^o4khg|Xmd{}dX}N;k zyns#=BCKw(TRsO0k+V#NAxCBs=037~4ggHDO}BiGIP&0<4AbHW1DlpMDcRv6jL_HOnSq?6igNT-e@DtoZMigqTX-<8dwtN;UWJE4UvAJA_qBt#nj&_d$K@>!@RA=3DV)+CNBpT*RqVVt&nmS7tcN)fWD85M9E zokH5%No)KAi16qzvWbl7ET091>|0@TJ7`WB4@A7>vm{`rX_1lEIEaBsNr412v@E!^mh_`$eQ%K=} zwB@rLc25 zrsm-|GMmekdzZF+4k~0sRv)1zxw>h~=P)(NH$v}+0zn}wgXMFWnlz&z`P`~0n}Ns( zcMC~$R(!;)9N5}eJ_i+27h67uDI`BVZN*2-a)QEDY0KxJLh4N`K4K0m(TsvrCyOMc zW{@(l&*9o%F{G^XXpWk${WU_|-Tnd-XIH@OvoT>>Fr8%iEU1YcTPn2r*c6hvv3wS6 zsv@pKHSIpmphKw8(pscMv4UDY3oWo1k)^d6c*6wK@>y_7C&d!6;v`7K#uWz!k>pC7 z>C_aGuL_qlWD^G%)1=>W?2l`j9e!$VX{~Pe!)LXcqb7B{oP?9+>};laJC^$cd&lI7 zAP#Fc#}>UoWce(#%S_lUpT!i?o;Ze+)0~yw@>x(5CXirSeS~BX?oBIBf|_XxNh0me zhKU)Mnp=H@n&iAA+s>)Uw$k!h3{27xr1Uv8iFl;lIE6HuV)AziN%Bw%r;vtm#`0MV zBI>w|6(=!;` z@NW4Ws0kZQHEoVO6%L95G*?X#eeV*79qZA4Hf zLgaAdQ#dJO`z&xoSi@|e1%)&vWUM#|@^?yjc-TIRDI{&MeHNUfVcu<@1%<4j)}9Vv zdff50&w@hQ8o+cDa)d&1PY~H~3Mm6NWBV*PUqeP20<>$w9WVm1eHPSY%VPU1DD+8m zw$FmoG_)Czv3(X4(m^exoY{!jreihg6f#G)&w~6Ynvk%Zv}gBwn#qwc;d{jb&zN+}vZs#4U(aXme!J)u_y8R- zj*!vJg))xPh*y^2fTNis5iVrxQpiHqIEg7l-4a5iK8F?(o$7N?q1nhZFxBVaLQLFL z$Q+%9oos`@1QQ$;Gfz^CW7%w7Bvzuuh&M10=1YqaCMTT|-y zNt_dL`nCy+8Kw9jVKEyJ@j`-PQDpH$!eSn=5 zO{_y$ZzOu6g%m5`ytJ?;D=2#+5v$DRHGqklj#Z{<-L=Y!i3|poU!)^iNY0g9Hx>Y; zkT@ngrzYtg-&7=o;)MW8EkNuotcgPEb&L|L5K&sAVtjd%HbGh{O{)4c98haC(n95>G@6rMb)rQjR=i6_E^FqX#bXT=kd zLXLqV=F0LkFwM=FW|l!_xLauKFZLzfLgPlsdpd0bn8q=bH;sZqTD2i$>@=sPhdk;O z+Fz0k>^KZ**gO%jzeWQh_E)HF3Unhj?bakj;>l=Da_!`jx`56!iC&^7K}|v=J3c`naU{``ppX%{9MOEAm0L!% zCWY>C(9EHe9LubDGC@s3B%VxANQlIfISqHW8vn%Op&+h|mSU&V=!3*h!ct;N0w`fA zBa?m-xX6?v2*Q?@(mD-GNM<1@Wo*J&P|DaudxKKKCSjC-h6G_#A4co40;>xXloB@e zV1iP@rVdO{%Ge^jQ6L}NWg!>Hl%ur(mQ!J&S*w!WmtYD}i$b;(5=_xTig93-<20w~ z4GS7BL~P4Asz@9(HVq3Erx?4^#(ZK~;T9t;f{JC@79g08jS<7rB2t?i9KLZJ&WP4# zM&R|kwPB$Mi1b`go17PUN!J2G!$)4cwThV%bxRZo#~$Pb*|0Vi`39xBCP8h=KtRaA zB``w^QF%Gl0zzv^z4s{K61I+DN4Uh{9x8UZ#O?yX-#c)fVkM~ z?sBoM^JXiT#uC3eq3TMTxkQNsbeJ16=h1YGQ=FEPn5{7iBKQ)N;o8{9LI)Eiwl-jo zhtKsQ=wksvF{kR_rWL!`?6i>XNN`4An{5>$P@N*;5*rpE#-LQUNQ<%4LdCW=&_)Oi zcnQ)7Y_sb@qLMI;7GvLoi^XaQY>BiZFlE!7#(1YrQx{2UWAmAd9WD|6CierkA2>je zFGyXij*6vDrs?2id`;!_MH(tvSA}GMzJhk9VP|>ohOTnv_Pje65gpB)M=91 zNNF=x-&eOui_ytc>~M+j37ZEA;)r0)Q8DT?3FCyt+-d4QF>K8R!F^0NfhMPmD3M@} z0!gBK3?&lI(PC_w5`hxX35#jl19@8xY$WwDfsV$)g`=e#jD%AgNiifUb%%uR45m9n zi8@4D%-N$@?7BdFW=EZ(pf*jCxPLxUo7^2lBAsHa%g7u{GK_MGtKhlV3aVlWFLq&7Y4uPeK5?!Hh6yIe(Ps3iWu-&ovC}GRtE-0A(US;Nm4$SM z2XwtkYij$H4lg^iZY2H0L;H+mj-OaqXW;n;bQ>}ZVg`D7S_G1J3ogC}lFV?$% z4+6uZLF!^JCBjf5*1u|0tb&d~QhgpKTpx~ICf2|7!iq8@0{R2D>z`gXa#UG$tOi$@ zm(?6ssB?bWUKsn}IH?=;gD=&c%@ku)G!6qfdRNd(8DFr)ylW1QY6Zsa)3j5Cr) ztkx(VQDW&N?prNMDHD)NA|C5gb*WLux>2JlE`vZv)z!v^RacF)WMqbfjN7Ve15pBo z+(k%Wi%02-d$E3173iech1KOlhnLkD+G@)y>&h_1(caQwJQ9$S_JIy3_eh5&I7w6? zSt6BGKJj>f7dxeFbXi5!C?i{RdR4`c5vApoBxPA7NIO`q$QaLv;^b5s)}}LnpbFhr z8N)f(vg*pxidZS+NxWL>j1(u|V_5~fCSr6$B==drE~j3aeR$D;2RpMCO^WtcppYp< zs;a9V!bVlrTimILh+7OL5>SSbRh2chGE!=)hSgqLT1|sR7G&HGyE5sBGQfoKsO|2R zWX|iMUl7Q^5SCwXf-d}mgy{1ymB0(IlpYI}(99d-lx#}JjKtY6*$URLKeUbjTecgg z4lpCQ^I4a^{hdb) zpGh1a@govU#!jxPt%ZTnSrekMv=0Xhof$cvGSkG!s_IcAteJukSsI56o-hQ3B6wr5 z?qzr(q?&a>@&$-jq~xLhX)d-^vkPV;OmP?)H6x%2GUU!VC1&h#X+>l(_3KagExQT> zkG28+j5szpCK)^9WV|(vxznAhWI;%1*8B_s$7RGj3aJp&6ibV#tS+m7D=<`iJ)`x$=i;NEW<2ltU^U>Xm#nO z>`}!=mRD4ik1WGHW}r$;f~mm*DY<*+lhiQgdY{?5dv`PKjK(AjceRGhxI`}q0MaEg z;v_+aIcLtCIp@p_bT~po!XtqU ze4)((JqhF*!6_Cd078E=sC8B6VhtzP)7~0U296tOiqdULXfLLG!+;{ zINJnAfQEHWt&GRYgjOgRSg-&E#}({9InTh1c*m+zZW0rlp}!XvQggOX8>j>HSDBd} zD6QFa9aTpc$__IbE*cH?`y&<}d^Uy4E!A+p=m4rg$dF@VJTwk&IpDD0sBP;;jVS#= zW^oWr022*Y=X7uAFu#DQ0-_m&7w~a*3s`k^&ctw9hFmZ)Ck6vf*yCuFPN#$0wL*YC zU6=OIEk#xf(D9>JWK7_S{%#Oh=duo6Hj3>GFi|ZE1B;djmij;RiWlX>F_ld8cY@oA zIM=Gc#|ZTWR+(7?1p+Ip8Zr-z`~YyvQv{fxpm7NR>zHZ4c+aOtKNC-*zY`RvBDM%< zHDj-XyuufPZ>9Q~n*6(h3Lr+H)H5(1ZGg@O9&KR01r%R$hz?j%pvusHQ}qrE@mZ+q z8{h@ka}+y>V*!FqEN;>0cd81|%-I$c25~C@rqf~XGWHt!B#(qLPS{k`se>Atv;)Y* z59x-$FfNzg>UA;$d>{sc*mMwm1uDt5n?9;Df>m9B84Fny0W>j?nlG8CBTWN@c)4i6 z00(dgwJ3uMo67_wDTHN+sh7_}>M7z=Vv!X9px5+vAa-I##SWNgBzT~~JfJ;5@IaG! zK#Ku9&|)6YeGDFGGY@Dh0UofJ2Xw>110DJS6KMnwbeRXVKMfw}F%M{-!2^Bf0h?1Z z5EujgI_<)O>)aU5nNT8lz;NDq#{;-*lKF~GMl~7EnZO}{Fq|_vRq%k}oXMwx2Mp&- zm=HW*IA;Qc-~q!q6B+~$7|uH{ol(^^qg?#XbFo#m7|uJ7R|FUD(Tp<5QgD4E!#NWL z1P>U_I}eXj)nYhjqJiKIhI1w{3LY?=GqFAJfZ?2p?|}yl=S;8;JYYCy%DBJ-nsX-o z2`=ztIA>Cy-~q!qllBA;7|xlLCwM?}4)V`bfDAmKIR~-U&IdH-;JC-m2Q=qkJ=6Js z<{a!v?tDOV4r<9dAJCkGqvtyxm}voR0VyWtcNWb#s5|U@Kywb#Z=DZl&O!R1^8v#- z6X*a}#WI{TF$(a2;hYIRfCmicOl}f9U^r)rroaP+^UhQCRap$@OtBPr!wf`rp?}J- zp~G-aFNV9U@_)CbN_MK$m*G|#b|G%1!D~$CFbiq$fax4&{RME zGpi`@fZ?23|9}S!=gf)*JYYCymMP!?!#T6&01p_l%n|}TU^r(M1mFR~Ipg+&2Mp&- zk`O$gIcGd^J5@b~bH=j<4;aoFhZsCyIApy~W0WO=&&q2RLfjAX>Y-BSZ}>HU=b7dqRjBd~A>o?OSw93DXC9=Ia)NsRKPZ zc8kHZf!WX1EeO{JddBP)glPk_d#YOyrVY%dr*1)*I)GA%9!UvP2ilS7ju2(O*pj8~ zVYe8}I?(<}w-`(rXcwki45kdUm(wiWGzi@BGfvFjiA@(RO8{P?C=t0F1Dze4K@N% zPH;D)4BSS;jlk3l83N$aK5(ls0f6aPk5mjKzIaFxvO|#|(7_}R&dp)>@V`Kqih&)a zJpy6kr#+bN^pdJQVRtm`IsWMd-;CuTDi6*v$cZ z7<(d9$^-2J(Xpj&nZp!;4s~>k!4v^hUiE}K%1>c)2jb~H0%58EN_u((!h8@qSkqmT zD6gZ7J9=f?EeKNvu;Z{t++o_l96H-AC2Wf5Vc%hPLAz&`n~Db^{m>(;Ma7KRAm*gi zZkj~LmAXK54Q96>Odr6BP(A2zIzGjwgnBT%4GJB@VuL{WPmd_!3W0bf|DuE`1QR0Z zwj(fw0DF^q#2%&*ba1d+LYRGEj*aXVgc%61leQ<^p?g2!YQHB20wk2dSF^YVLhYG&EJ zMTa>lRnr6niK{g#XTU}vi)bEfF*UJl1QJ|>y#PJkr)bZt}v;ifl^IiJ&4PCDt*Ex zf~+*)JxB0la;}Ep_8CF&YzS_g5oZ}(J17H=JtNS-Mm!oOrep|gFgIJ6*b!?4*@EYv zaU(FP(=m2hB48CwRCp>2#O^F~KBL$I(QIxaxY!X-g@Sba!1sW))az7sNU(K(dCgq1gPdF(7?owhGoER7P4|tNi#MP z)Y8F*fGL2xA(+^a&_3N+1Vy3pZfqK8sF2b|l99&~2uN6;ClNe*fI6}i5t0F>l6!2v z(1Zhoz*|wc8c$_`*brnYq4bwzA*dt^8-XMn=#~&H1l6T%1lYjHR!5#OPiiV)L%4<_ zs9fM~2{zu*zzrzN2a+d5J*mORrh^vexO;F-NW(yzhaOUo^DLSAYg}Wix?9L@b zZl4ZRXkr7NPTa;L3=}>d*K1x9Nh~b*huU_5(z*siS%Ip0T65~_Q2-?5Ns?W zQsm*B0|Yh}Q7OX4bFVT00+W09b1y((7Pxz72<$D#^ax(1fKvvFyV7Th{g*~nsY(8*JXeTCjB0)t^ov-eh(Z-aDD(LePlhu zBLxl+nD7ygh^MjVNSZ^6T|=0~?Ro$j*q}#f@bJ0-0vq(*uNwdY6MXma4uHVqj^>iU zR(;Y840v^fKeV0Ozz!BhX4@{vBO_GJ6IpgCtMy8IIIB^J2IcZ>$-HgeJJL5 z2lmiH5Wu5_g|%E`k@Bud0B{YX3_O338-Yn3nSfZJ4uwnulRAQc@h4&{Frgy=-b`Ua z=a?G^D;dyJn9Px$(gZ^q-u}hia7^SVS3D7z$dO?S2O%L00ZypkC2^iyQ8nyc0)+iQ zYZY$ok){<-*D1xUzC>NFp;iZ zlLZF=#B8|%*ihsE5L_ocyg-Qqz#VD^7foQcmjeJ|@Z2o@L(!o0z&|9dMaT$(^ZC(ULWe0YJ58V7 z$R2Yj1kRhJcH>5u6G3b^CT$J`AxZrCDc|KHW9>8 zEQ7;FF|Qhl!_$jl0u4MnjUd1-XHw)I(7+5lnxF;! zMu;mjN1%4K1NL0!dXSjX1qfW~UGm`F4$QtId3he0d_V&idiObC0KtUbgIpDWU{XiQ zg<(qw$y0#9276D6SMbzy_xUgog~w)fBnr=?CIKKYsiW~*&<;Wx2_P^F-+cxRAaJQe zip&a+oZ$foOzLP+Baaj%fZ$?B*TY~`5%!A!2qyL(eJ}^DR>Y785}MGxXtAtKnU@ILwwQM90$N_UAZa1cL*H8 zCctZXxdGVF>!JYN9-!ph?_&cGf1lU_Y}j?Z4;j)1z92UN-a^(U%zX6S8$4PJPUj*J z_=l9i71;y;W@R~=Kw3cHMiv18KT?ad3de0M2LMQdtCMgO%K-p02RDF+nBiUoE$$Kp zaIFUqIYYT=0Z)sY0xv-W3g9en0)U60AxoOziUV#6m~F)ytw{(KgIm0K4+d~4D}lm4 zBn=MtgOjBR0QjXO^#;8JtEISl2~Rd7EI|Npin#%pq!Bv| zMXd|s{@e&m)SL+JS_yC;3?^$%1Sv*?Cz?4CBn70F2<)EXKoIRco@nMoaBqnOcf0VK zt6^Wk>ukCD3Qsg6G{CS12ksI8n7|PLBmr)uB_Ob|&50l>6`p72M3A(KT2YWX7tRx4 zoN>4NUlP|QR7rrF=TS{ZnF5*%4ih5Kz{WQx0wgZExxxlGCxSFO@EkLW0OEjYS}q!R ziWxzGt^y}_69AaRkw6=-tbiV#Uq%o-k`>_4TeNQx08e)gPcEYfUfvm=Tka78lQ@C^ zJw=S=0n&&uK8~;5FRb5KJ1J zRKRUB+z<|f%h48anKc1|O|e{5z_8_F46p6sgpgb|`f5?0%?GJe0u_7^!l|SivEWu0 zt$YN6Bua&&x(BC06bf#3(X%^1l7Xx(cy$jagv=KvdQJ#Y^tk2agb+oKn_fI2oRD!3%siAw;?06+T=Ll3eiIGAD#67d*Sn z2_eb_OgU(eA=^%Q%LSJ{2ZT6Y@FE{h#)xv^$TA~l42)rLiwL)I#ZSXPOeJU|xO$2J zz`d?65&%Hhk_Q%N_&nSNp!$jsn$X4b%p8?n;Amk2Q`pLi0|9PhA|Nn78{P5=u347> z_ec;RnADL}42)94GBvLG5l=RwB_&{a1Dnr{z(+h1WCz^~PJQP_;OST;(sxX=y9>68$$Rjm zfC;_}z-w_y-%U-Rz+-Kxi)Wm>2=MAyaJ3)WO-NRSif|B0u)*>0|3U!c!Z{3KmI=`+ zP8uLa#*M&CF9(9Op&gu8jMAXgg^>klp%%ed>)`d3Xu&#cx-KDbtAm?faLpm0$*dZ9 zLa;%|!IvgsEvJLqUJis7p+r^(H@-*}CpaluMhi^eT>Xn1UnHLon-1d51OzU1XpOpB zt^o!iH;sQu9b9WcKwwg*tOQL1PE){Z=O%#*y-VFG2?FtOBd`I8kbnqMT#`Pikv#$t zFMwi-+id`Mm!X=-0RRbdE}n3sivTE|z+br$m~+jE;689p1A4;95JW2Bd37yra48nq zvS5*h6K-#*S%D4EC4^FRaBIuK5^#RFTa8H@0l+hIz}+ka1SV|+p#}PgjKHQ@1fdNi zM@C@cMi2I+*dipo=tU}9r8ps4U~=PZJGdeSl^+%qfsF@|25tx@a!v@i?S}xt206Bv z=1Bz`<(v>Q6>OMeOGBPiFwt{D$W$=dV+$RgR50OlLdaAw>2nMdg5}l4v(6j}2F1)J zM?CF}AfSF}6LNDj<2?0C8$>O+iC~iFR7p)jCRP`(_2JYnxaEpG zkF4}S^T||j$|`<(O!Ay6Nu+|OqB-@8NCi(ub3({euyN0| z2qoBVUA*K6Q88%sh$;qVOY(dI7oeo_3|+kB2cbbBz`~bH^mxS&2Z9A$8*T(9c@6}r z5F1=z-EYY<{DI(X3~dT<*Iw}H(VT#V`9X98i76fkuYGOyqY zYE<|LLKDOl!R;;FG;mvtAYinH1!8NQ-32bh`xgx&0yD*&G=O){O#>T@2!d({5rG+E z1VOcfkV4kMt1b})MT3aI1|x!iG)UD$@R(@Cs2~VPgN(q&B7&f35K5+Wa2t#uC>lfr z=6WFriUu&yT=KvRf)E5ngNXQ-%(Vzb9N^l=fAkd@@h_PZ*Oj;d=4b~Qfyo>_Ta{X8 z5L|TJ0CU!-5+*Ah{4^j00Z0%BEpC0$9njP=kzjq{4l_g(Q3$w>4-9m|%97(K3d*u} zt&oY~1l;;kLBTErsgOelH@?&^{Vs$yq2vWz7l^im6G2)cQ*vfoYtGmUY2A(|tT_-` zBs+?i2jKx}a7iThkix5jI7pBR1$BVxARAPgEoAfLy^N^|%q3lZ_z2{mOs_K^}ObniByy zW92r9Zp5+G$uNI?iZLrskp zTI3W7?j;XAj0EKgn$)gA4i_3+eT%235fU&2uS0S&r~rCbGdO9G8jhKEBxK05r*MJ8 z-@4k(eItYh)Md2YT>v@`M(8O#FOBo0Ls(7f;8|$|K#>5x0aw@JNofQDnoT-lM+Z+y z<8d$@u!oGB0w!(_0AYErgQuf$0JyE1Kmi-x8~{>860{AG1OkBFM5-Uw0eqqe=+1Nr zn-u-S^Uz3?n;u65uL@5?b4&qn!NAqCcp91$LFiigFlF|zz|L0zEhjApVO>7ba`gCc za2GnbIgq<0EW9p=lZp-@d(Fb_Eee6_AuM}hnQjg&# z7d=H@3q-?6i2-XJUv ze2NMYf=eHXP62&^&KEad*kGjO+SSG2K63&B8;$5@DV~DFYco*@FaH&8t3{=P_|=dK zX>AKbFgzeo^>qoUDi&^l;VF@whJum`A*jQ`EiiU4C+uU=Xu)kT+I+glxa~X(FAKuX zc!SL+6ovW9L6B0gLe zq`Wyiyc_|bCy)UW#EzmeTWp@tt8928uvs1*AF!*4t`t~eqij*Z4y3P0^1##7=xLr> z!2aqGD!<`QO^ga0$6ZvQ6Tqcdc&Zwu0&TE1AcONx=yr#KPb} z`xg~L@)_n-<>qtmG)AzTsRSbn_fdCOq zG;mYF20TolVWI>){EJ zh+I$z>|`B6TeNW-j6~63F(fINq|IT3yBq^BiZuxpPujQO`F4$_01KR{AZ$DxjZn}8F1r@+PPtl*r=vL$AmPW2z@!cH`|QCA#s!#A zxDlANQ3PZQmXvTquZI8Z-eQ4LpMs*BqJ|;6E!;a6NK`O`kER-^wh$uJ+PF1Fw++EIPz~W~jW%wNyWEa{ zL9lU&?7sgO1~bU$@reHb;U*c$9Q_M}EqT%HY5xLY&KKHqK<8?0M7(K#-e($r>?)Jek5|jR1J^gvlBQ5c>%eHU2)a2~<3S z-AzbQ5a5VJfLh3t-sjb1JUNXMAQ}KXHH`zvO~6bz-e%I?Ds5n*5p~6tPaA}p;EaUc z2TYeObTt~vq|2|=B2;K=v<*bOK46rPJl0I(T4VA0PdK0FnT zo}CPb9U=3jjc20Kt3G)mu#t_d?*KrPupoqPEZXiapO~URn5#LG&|Lu7Zc>;CW?oPd zaG0>WmIeBqtD`tF&~TWr0i<;>?f$Y=iYoS@0gxxc#f=)!aY1>)ND%H=1^{eyQ^i5u z@Pv(S1VHHrnE$z(jhS(z=!BQBIr7e3t0qd=++iXZC`4P`Wk7fdn=|LkmIQ1Bv_~9` z(A1z39E>QYM+HT=smp+e)Br?m8S{vF&r!|X*tnlxurK@_oEnMf%hUkh2sb*%2)>63 zYk|{DDE~@EiyBZ4fDcLu!Kr!_84x+9f9g<*=KvId!g;7U#fJK+*?GHQi3*OC z(bHD5c5-!6(p1$@)g9Nx1L~bo9561a3{9AJj$~*DLx!25WoU~JxPqMoa&+_$s*l0A zfWHwq&`<{qh5G?sn07mKaY472=_85_+RA{WH~r;|K>*P@iWWWEK}>@FjT&d5bLih_ zu4twDk9^SmjqyRZib)%R_yk3R&MAPP0R0=?S9Fk9hK|I74yXPGW4-^qKWKTQd@x~4 z89EjT`h{kM$(4h`HkuVW*)GFW*MXuRrZecGHjp=HK%ee5rgVeK$-?)Tv^%&iisp;iNcA82!Gc?qwlL9CprCL-ImobR7XzG9OiO_Y z$b!E!5(8F%dXElsP|<9PKf0g(D?ijIMEi${x5+R;H1Lz@4=Ria`hi+RIe;`O&94qK zl<3GQ_%qEmQ(g5R*`bXA$_`W5B|{|y9c1W@h*Sz2Hk#S@3W85GGtAymaLOXB*Hntn zL58l4`;WZP1_|Yb%I1M@qlYfD9aM%1PY}Lc`(IWA^^Ipx)>q9oAlT0E-Jc=_NyHrLr6#@I82A0vniWy23`vcnXlGK z0DcLjENaud!WUWx&kHd!qCSH5gRk*3HfC7x_6vj*nXEiDH9#ySa;)KyB}QQ8ei4xo zu&XFGyWXOEfqBU+BsdaS^$0icZL|djAL!u?KG5R~e4qya_&^&j%}&b&KG0(leBd-r zY7Tx8AyMHzR9{hUtAmEFJS5aKBnC{w>R@7I0fB0IbSe)$ zpqQSeryS72lg}5XcN%Oj%qUW;|H#Nt z12wfpix#OyM5_9P1c3=w%`wV563)UvAs5o~F~z!?X-K%QUpU1j&_D-2pGY^5MOOul z*VTmo1qlLGP!pu50e->h!`{W{TZTA=sF^EG(^1t|we^aKgi-~b$bX~`LffifRO;!f z0&B@o*Vj|k(ZQ)BdSMn47!vLn>gD4Hy1^_$2iRM{8ko=3EMg)p9bun>KUg|~jWm>Q zLM03j@p1HvbW^i4pQ7gE7Za&w9R#>F^H7@t^as4{tOoo_@EG(6rA^>V zTxWPM0R08twhq#OlBfOvegWI)VH}+10gwvy3y%!&ivTZ9Go$`SX&?9nm^APvrGm!b zf1REfMS8Q?Cd=$g!H$bQ3#E>j@^|0bYSr8^B=k z2@eR33<(D$Xn%|rJN3Fnuuq6DFaT;U0l_B05drMqq1-5HAQ=h%=o{$|MiS+{QhH2r z1`5}K_0eOFy4ny@(E)u2|LFp)hySSGfeWe& zz8C&ZeLt8T;B!br574FF1%Ib-%zJDc^BwTcV(JBEC+q?0@0~pW-i4gOXPy55nsQ@l+TH!PlPc2Noib1dU;6L=(`V(< z@1URmjl%y5I}CsF@84ioaJ~VaA%mA1olv{o)U3_Spa%Ow6{XCs2YU!0)@_EJ%sj8a z2tT!uQ04=r`|QE3<~U78T~%FEZHC=6U_~8G=(oDY6Egsq5oP8TYULL&-#-$ZjHa#* zjIdvjGw?;IQ8OhV&<~DD+Hq8KMPGyi#}pc66}Y291y)dMLK%Q>(V^|e6tAFwz*r?} z5ROxWBM`1HRKRkgYv^^2OSLJ1Uh^a1u!i3Z=U{vkTZ5@Jz{dp6(qKw3p}$ODokhJ4 zCS|`!AAhy!A>l#Hh-wwruj5aiUEJ}$s`{!) z+9t2REv2&`7>Uc;3^s4H{~_aUr#VK}U@oi2uF&ZO#4^Un8s_;oxddCdi85J5NQH)H{LNp+mSc@aZ}_7a%^$x%dYgSsPGIi6wrdvQ6_a)rri@k$_ms+(5!t!= zx_(v1_I2-ld~}l6Ur$+GpLlKS1*bl3x!R+q6z81_^}Vcdb9LnqAtBw8voD|huKroo z@UH#6^4CJu)kVee$#1Pir?>^Iv$VU`rLF>7Y9GKm&QRW19sBz19p}mnIPEykLe-uV*%6Jh!dc zwRnBMsQBLF6g=a4i40GkzOL^CAEn*uD?hlR zN$z8kjo2>1G1*3A4Z?%llI}cJu>PCACoNz7hvV}_!wx(aJ^T4#!o1JBg3U!P?5cQQ z`QzM>VzJ>G4Hdotzb;+#9D0>cb?dxaFYK!visKt%>}=hPhNk6Mo+?vaIm&R8ZhpLB zptk?XKEl@~J+b{Q@HWz2JwWE{qo_e{wx0g3ZDaImZ`hsQ^(9npaaN;Tq3XBwFLDd+ zFRuAIHRn&BgTHd-UuB`;wJ~#SyfiLN9XDj}EpKB(!L8nZcU^kvzrue&q^B#tesN&L z-UOE^wR6WxXq{N2EU~uWoqx@cdDm{b^_m*Ix!3A|izC18_q}gFzAV#6`SslfJMX-8 ziq*+QcO;9dG*ik#654!*JsNK}bDeF?NqvTpZqSMEL1K$)dYTRU5NMUAr*#-u_b(%BKtxCccbOP*2Y~+vqMGko2te zYk0iK>V0`3!%M#mGM2Y-y5i>d@tnKFt@mL+4(!`7tIq?0qu={{iF_VvHB$f1mz(!| zcSz6oP%S+o;N0)_+l5+F<#%mt*#Gu-Q`$12VSMju64c=I!C0}bs1}@%*xnQ`3kYt! zMGc^f^{R*J10MbyGFw<#yMOg%DN)(5wZabU2r6a%Su++UAuXvwJxtF@Q_GO#O zqUo854SYosJ`>$t$4uJUI^xj-8m-}z0O$a^KSi?6_Mi#rdrHAcPu4#(zeyR?W>nJ89kip?%}y%efW8~ATeRB!#@4Q=VV+J!t&3Z0nd=1p_Y}s2yaLFI`-+{a#wMnd1cOruxR3GoI5f z$H=7HX6cKUJ1pJO%P7RV?ZeH~+@SQJj_XI?G(C+GJnGk+otbTD+tE8E^Vbp9j&pG# zpB#ePN45$Lm%XL0*4TSQwr7~ry6N^M3GZeOh>$J4rC**|DBM0E^@oA)k=>J@t$&vB zY;8)vh8Zh&uO2iqu=Jv+jcMq}$zOL&uq~KA!1>YX%o~rcJrp_7-zy|Vda{R&$#;|4 zn|0@U`biDn+CMpJ;`qncr)LMqAF1i6Z;r~H*>YjdtjaHwhga8YoZYy{w%3cuD_<7x zFtoFITc{!5QLVRkOhUZs)=Y7Ul%@Jk>rVJS%GDX0e^R4P6F@v+(Ny1J4<{0$VvG4v39lky*1Uo*JcP#nG-LkZ29e% zDc>TI)sNEom#HW}J-tk?dGXs%Rp)+5&gFYm4~ri7EuG&8e^QGVuuSOu$zHgi3m)KC!@t9Y%l~!3 z18G7(9ex-36Y>S0!GCb+)4BYCKj9U{g<5g?_C36SXWz3ex5s|U_%PkWRCMb6aav;IFZ9`PtXZ>G)8d?C z$-X7Et7{DAdzSmBJ8u5C+`ub(bNWu@wp$9n0uGE^_jt`7gA_TJ_p))iJ|D0Bu6kv5 z)-SGcVs@|1_V=&o`B?5%8294sn%{~(){1U=#}r$(&Ctnx=AX22n0%|~{UNueMov+b z{ywgKt3&UW0psR+zKEY{^xfM)e(h?ZjoELrXQgFH8ck0-Z1F{PzoW|zho@@S1l|K@ zW%r4cT7TP3>f*ij{=5=?A=THq!6)%VW}D-SAs;H&rm9px*`Ms_M8oi42%N(*tTRydBcXND+mczZu>lsDYdvE-f zKS+FTVbUkQ`JzH?9*Q;{j>}#}W~uAH@9(Q%Y%A%sPl(0m5B4vQ46c&TXjE%BoNB;-4o_+52*(^4Cve^E4cg5nu zhW$MJOV2&2zOAr2_13Y3{(Dc{SB<_pHf%y^-L#SWwONg(Cu7|1EE7BHlGkhGx3_m5 z{#kl@SjW@nR}Vk=5ES+G#nY?5=arp(9PD}8VA+x|IpN6YnzS`#;uZm?t#{i^Px)LQ zbE@v+g2Rt*)ys!h#ExM|$Wc=A`;d*RYC$D~~B^b+k(Z`sbSO~N9%R@0Y+_j{}!Zc}=4)A5GAnGYA=f9$?l zIj35&!sv>Qi(}Ohxw?>3Q&lUIY-j!W+B#_D*N;Lq1IJi?I5=6l_~;O!V7d5-Cw(48 zX!yoPo@fb;Jb8Jxe#?U^0poUWe{yAjcGv9u@eNV3YWp5R^m$81AS2vBkEjQxpp|kt1`Mj)XDcETH z+{VGIwjgAzM5*4}Mho2!g)L#JOX`MH3{024oO;NwIOV8Uae?@uTN%bNvKO5K#ZFB) zrLHh~*}{`kJ)2S&$I2Fsxt*(YWb!~O|KqG%jbdAB*DQTI(4hH5ZsSZh^*M_2ajc7_ z8p&&|#EgDE|M7e8{lf1}Lz6!}ZLOJg=FL^fEk?5*xt~6n^5Ip(i#sm0pUzwVHmceu zSZO{&aH;rg%cVK?nO~<*ekAlP|L1X+U*DHR+_>xh{zczSK62uNCS9Mr_u7m>WtIcq zL|iB{7j3(rX)iq5+il>gs*v{^Up?DVQ#WAMjt>hn8dmCzy_?3bQ_Vs_c!Abq;4%xpC z!&A4^tx>4F6!Wdz_S2Z!I@gRwsf?{b68@jR+PxDMlB}5jtoo*uL-63T`!xa!BIZYX zuJXOpWyTRxeX_!W3K0JlwW#KVRFFg+Ss}CN43gkTviyf?#s)j#*{b{N3Yy% zS__9Qc4Q5EKBwfESMt)7sAc2IB393G&$@ppW_ZKFy=}SuB6fKOdn?Lk6!h)4>`8#G z(Fw7xY{`gx^x&OyN>pH~V)*B0nb{_N6mF+&6gIedl%+I|7M$KVt?m19%d%Sgx{f{@J0eDThL7^D z)i=>BR}zWuh?sQ9s_~h}9*QM;9m3Pa0Nk!%S zs*geC=K`jVeDk2PDt46mz$qGE!g6og4A=W!TJ_1PvQ;c5dW7B7*vWrleq5N8Z~Rr; zR;o7T(}_N<{Ap6_20zx35ci1xBJ)AgD6U-SgHP`&!*vyZM|T`j8Zy`QP3aWZVPluB zNw86szu{T*F6fxanLr)k-XG?wN5xAYKYwtEX!fA+hjYW7oixXZy)XBQkKAGM;rN>; z2Q;d)M#)VT-u*M@%f{>O!)E1p-cuIa^ZHyb5yxHwCt1h;oc=Lq>Of1^3#)e6Jeu~v z<@*z-D$7L|$3N6=cPwr(K3Vwi`m9ygJw3315-vn)jd~+2T7_GbG;55U9 zV>1uF)>@y^*QUW}tdRN9%TX&1zddw!mD)L{3M0XXghnGE8c-Gb%yMSrz9eil+* zDYv^?u2;lPo2kA;sH5fU@2l^E9=-EwCwa zpWh;Ee$AP_P$jC@;H$gG9s9GY{~Y1V+n>IRSI=2@e}l~{(Io{r7oy`QjXAo{eC97@ z>)Cv-_5?SCx+}pRxTipJM(Usi$|;GiFtKtXZ9-+3(}XSvMCv zyDt&u5ZqYazn3jZ~f%KJK{paN531qXw1O@Elxjrow;Oa z?mPP1Sj~yCA%(s*Z_QdurJWPi5Any^Xyw>m{J@qoPPeecmEzZ#WX7Q6MtYJvBz z)3!cu5_uq_lD+10{*?MeciE0T@>8-ueQq?b+I4A}o1*g#^6#xx++#m1 zYS2nknZ5?a4ZRNiUa=wI$OCP=A67N1HssbzIo)`zy=9n^Lg23F15Z1+Ou9B|;od04 zJxksu4)%NK)9Zep?J(fOgS`NG5Qmm{R5=brY?UMpu(JiKA1 z;Tr?N@)1Urnv?Q}ANLV*NWQ9}+^~LNw4!e5OOL`Wi))n9mHx>6%`-O1l-OxHP-p%u zi9r5v5wkb;>jp}Mr9oiVeOA$ua)qGL zS`y>o*(_}?>@ViAu+w}O=s-BF~ZdU$sm>KDI;wLKPtVE6LviL~sp!I&KLV>essvA1pIDTp=yqq7#Gc&}%$|FcsnbtdI13)bC| z%+K0C`>Spr)#|~;N5uS(AJdkKnfOC8Q9Nb;{8oW6(}msCQ%4_>Sa+}LRruYPWox`m z?m1=-zhz&dpRszLOa7cW8e1)OKOf^iQM*`0W_|yZ_+1G{r={*b$u~k%>O!3u{o~?Kgjwt3E0i>*S^n5b`!01|5wse6SbS$ou>vX>xw?88}~y%e&rAa z2N?AioRu-O?awAB``6KR>0*L0>dp2?tyUGvDX5GOGdkKdB6a<|mKYn2vy(SCW@cGV z2r76fnZU)ZZ+!9h7iHcm#xL5-&wXr* z+M1tfC3NNH(<-g}@;jrm2GWm6O$jkP5-+m4etl1SBus%gLY^uri2Lb8^8aYKf$7PPP zyQ|4>+gn$!|LcQJ-^SgYRNzz}YneIZnihZBaJQL?75j6Pvc#P9e(~vze09UqS@OxW zKfy1w|D2w|8oOO|f@7Od!s(0(jqRr!uMOyBYgY3} z++f4JAdR3CzSZq7%ZJ}n$TD2?t?=gDS#f;_KOQ{YP*L_|Is@1KD0~hbP_wj1ng5f`M-Rs=;UUe;Y9Gta&(*9Qekr7J@qW{Dn42qA+ zyK`!ye5=^?VY;)DM3!!-WZ4haFKx)=YmjY|npO9QPxSp=s{x|>w#5gqre7)lAgE#Y zm;b!Z#!{A~_^=#hSi#kK-Ns*j#-1{l1!2KN-V@ zV1dlZ&*#l;d9(aeQqoi-|2OjDheGeqS$R_?@93whH=}eejuaeJVihIp6>&VuZ_65$ zFE*E*w>d5xH-4x1mT|hd(_Sv05GM0`OhV&6!@Y$|x4tsvA9;6~!W6rSAvr>KW16S* zz9_tWu;1XV_69TO*WDL(wL6kLCry8wq~f8e8~vLd-hX#>J(>A-|9y|9!zXn&=v(Ja zzp=j5@acoV%b$d<-P`0FqI>1Wy`iD2H;r-isE#T=m-%2#>bs($_U7ZHE8fOymab6f zE4g6oZueJP+9ESI2d$s`B>R}bru7*%%c4{TqD39n1vRy9^6}rg^Ima_Q*m~?d9Ck+ zib2`ORn9l>6I_tHY5yftJCn)-Zjx<$&G*)C&GZmWj*k%@p|JWv;ME_^sw3t54e4$D zBBs~Ic)z{JRSaZT-1D;-yX*Y%@YmB$iLGmEIb)s>JL}^-naSt1Pft~kjSBy$d?k6} z&cA8Sf1eE3mGk^FI;&+4u!lKs{ReW}L%NQQ?MC)Aw=&g+sV7sWW5a~(3Q`H$!?H3^ z;)$1)(G}@*d>=0>1BFr`mjH7MXfA@CTjCODkDQ_cK;cEC;Uh8gy$@fLQZmR z6iZc4M+;9|(4~$%(iZS^0;)a=RE+C`U4;LatcAV~MVKj%GUZZAG;g7z!TMjTXw=^rXUR^dP|zMk!J6j=sv1 z$Qu;6FS`8cR)4j{{KxuM@kI={tF!fGugiCgiXW}~S@Y0fob(Ne*Wtp$XA1YqJe~IQ z_JP#;&(&pv>>6u=mwtF5yjDF`T|B}~CF%S^-z~jfn2tDBDDkTD^t^Y0ecp`|RMR`Z zKJQq~mR>RH=O(A;L`+La<1=`DD(hvR%8>`IH@#cs;3m)}Xk)d>&*l7X)4H44YwXXb ztdUpRcWa5S*tmSZ5wpx5&Yz{?C*7~SUSg@Qv~OOGWix-h&sfb~1}g0rDlSG09XrD= z%t+_R$Qu`=-~HJz%;WZg-M2~?>3QB;e!bOkb5rJrVdn2+3+@?uS;l3Cs+!MF5#DHf zDW#^*$|}A?_mwh*#(HWUIo;g%u-#(0u?ybG8XjHv@z|J+WML65xQ1N2)=W) zuh{KBx1zteU#<~szTLHy$)>n zaa(9not)RO{OF9IbFirzTB-;!Ix#V<)cO|KhT5V%jp)9lLe zGbTHBb&T5GyZ5jSeMAQ<@*R~aOOO`4d}2*fgUnvN^0bf76iY^LKc!yf8?2DG_ub_6 zy?cwFymiMvC)nlU2J@kNw%1+U*|U}A}MXc!}6y^d69R1Rpu!ljhbyWq;~72Hpxxx zKl{&r;hHhH_Re87!yC41b)8+-e*Gpcx-8dFC+~fD%odfZZ;zxy@$bgq zi~%7l5|ZAzSdHB#HYVO!#n$gj{8ZVBRg+Z}C%IV35BU`=wQ|j?0KejSMl*-JACsME zuKm2I-;U_K>b&M6jjwNhU8~L-<~=rKfZ6e}*Vm4jD;NHHvV1{>oxrzC;OpP2K5CRtkGz(! zcB5R=)?ZI9o!`OVaw=<5n(*#}d6ycJ#!=?anvTwm zexKXR@txJ;`*V(b?`<74qy3!Z-MhWxlMj|GkCSrVZ2o#`Xma$Ou%nKPE?S*p(x zaYVn%H|i_KwAoBu*S2}A&h26TYUko@pA7nPO4u|s5+a+&=8Lu32C)#*qfA_E}xdHQ=j|yZdCLDeK z$Mtu-X#dRW8P*P9VL=HJ_U{DO~yr~E;ezWvADYN*m$oaW{)m2=$j%8-uKb|QS|jy# zrR)BwbEbT9NH!U}CplyBZl4vpk}-bDmskF-l|FjN=*G>-thNffqD#rOO3PKf_Dcxe z+V~}4$85oBf!M@N^2s|ZeJ-6h?{#9iVX>|I-00=gyqBquog6OUpW18jNq3R{{eo@B zMqc6zRJpdKq&#=_@7c`(6HoMB^hfFSKBFNnhgPgi)Z7=U=sxyigXAW~Pb+rc9HL@g zS05>|KwyIF{>#B0FN*SezgC>`yLolWVb{r8H$>G1@-4IyX4yCEdmrDXx_-alf};bk z2kn{Ow735Cn`vtO zlP!T#R=tP59=c(K#{Df?b|Pkv*J@7IQC!okeJ`hIX8DTpKRV`vr2JV!h)&R=hQCWx?jup7BEGV?(*iov-fxg3Y#1vR5va4f;B7frdGMVf5OSrm>QH zF20}rr+s-HCycda#ONdMF?UVh$Nhutq9 zJI*Ro|G3#Yp+s7(!Qm#KPvdOKlIrwt!){;l3737SP?%6|td&eFoh!6zhU7lWWcjwc4(<-~_yd~5f~)_Aq%QgK zT|Ud`*{@GV7jnmhY<_1Tq~`8tWp?)7_m0ukrH?ny5!Z;9ywS%dQO-7W^_2ZLzi94x z5qQ3|_>8)hjDuXT&(LZO9p80nZ@>Kvy*O56VO;#T_F1)~&&X9QUfMdM@N)mGerKm> z8osQKIylbw>ia8CLcfFXm7(SPE3&_gXMG=Dw|TSY-6hKmjUNR2H^lyo*PBs1|5t;g zaq*WC`HmNpB9dCFhhD3``u@XJQ1N}(`9sUsl^23bt3%p6=gfJmtJGHU@#Cn{=D3a- zvDcQJF+ThE_t%E@SCUH%|4Pi|Tkh&Qfn1_y&8f3OE3I>5)z!O~QXw@<6d z($@tW4z<|E+5b_&`bADocO+BmPA`hvdR_gdRNPus_2r*cWkw3x51ZF}SPfs5=(NC< zyC$0t9LR66rf50;wyjqCR(i`S?V8))YJi=$`l?;Ke5c&DiI0tKd;Ywm`t;*_^V=di z?k+Aos>(NJ#%NVFq4feX8^$&Z$LTe=BwN;({pRZ(vz)(#Pkq*_-DgbRpPM{JO?PPA z$;*9zr9TqoxB7L)@8zo*JCpv-xVg{i3?JX+ITu<3ryESY@Lg_t&5-S@-f78|TpF`V zYD(G$H59vYTh8_}pYF55| z`@B!(VeJAZRi|bJF9^C`v^ZRIt)4)_)=6t~FF!l{N_E_o-L{@OeChN5C`*L}y(*S- z+c?{8_VA5T8`S$3u zd8zMf(){|Z6^smf=sM1NoT>4|iMdyv){Ff$y6{);L+K~i;g{2%f6zCwH{a!7bK;bq zeMM~Gf#sXdZ;OciyF2Z#`r8?^3l?T8eJSK))%3A?SS0Z5h4J#@0sMnv?H+u2{IfNw zztGc7LrV>o!|GnRQkfF3;WhD=NrQ>ak-a75r1TY zta>l!N=ZEd-Gc((66OvNzbzoSQuxa9{+cGLO``oK4{Q?pnrLI!>$QozKmXXt!g^w= z%da*Yoap<0x$s=UjHDNHd!OR}G(gZxR?|G;ne0p}5zXb-WP1DdFE=r^lNlmzVHP%^ z{~*)yddXdV#wU;8I(yf^ACd+_9?K7y_Nt%gKV)O#(&R@~eQXnVAJp6@CS4`k)c0#j z(i2wbh=SfPD!+f2enQ|tQr5Y5AB4Uc#0!r~D6Bf%XY$HEV)kaD1A9MB{3bilO8LB{ zj!d$ZzC-E}84oM9a1kk!lI%rFqN@^%w~5*xQ<~w_XH~L|SN+cpiX)Twky5?Qx8oQ7Gw)mS=KX0KXfwxQFYP{8dD-%89r=;bD-A}KDzCY1t zME|_h3DvA8wNHD84L%WjYKW&uNK!}D;r6@V2E|W2BeJa5q|CyxR)Zt^M6Z}@D&VEH zHL>3Ggn5irjK!Eix6*E3;FHTck>+Y*H2sw62!%89SJr&CR^4uuv*yU!$Q6-WBC|fP zvQ=ETcI1_TzWlLc%d_LNf93yjPL%T+^F-m?`X(iNg&V3GO6{hD)OIVp8dL79X?e)~ z{KSx7%y?tbkQVb{{E>JQP_Lx%TtSSJqPdGLB_z5_MCY z(|Yil#RiRjrZ#{oq{|jUl^!H%iTty6bmn>!DePW*(aF zYw6!-+NM^Esl~bV)9X|G+wzpu!e*bJb;!s1YR)-@i11b6nc*YKP0O9j4a3`>zxo*b zG3{f;bHit&#}y6vEFmyNQ$l>ayF8acHB7A z+5W5D^qG%J3i@6^f6xRr5R;`aWK7fbAIChxq>cAJ~e6vvqjE?O>!XMH*&>6yM&qV(vD zy)Fx)vPY3VWugnrJ{wzT#D!;#<&;Gy0*6JUhrUc=E9cD z29bfz*0X}QM!v?jDn|#@nAXtk@tl;~j5brVU^kF&iD~9H;@{0Z>~$=4aNRB48FjX_ z&9t3&kh0}EyzgHfOuO1RRXurJ(b?pk?ppO49_TmB z8gY|vENMBip3$c_rcYKY08W0Zz&umCcTMOF=%nt-c|Lx-_Gy|A`mf}`N)>k0?Zo{u=`JnTD3sG{>15u)I zjUiw+(?|JkMNn29_nS10H1cKt`R)bY+C}Xfpv=)s?qr1!#Cu_UU*BI3^eA}LrPWi_ zZHTFrZ?!4xFUfc4IsDXl<5wP5+bXlKHm_1G-%{jt@%8$9ox9hUjCYWppZ*lm8gjYp zzSs;j111p-<4<$EH}W-hKUoX|qmaHKi6RljTt`RZBk`;)0G3u(3Kx~<5!n$kN}@#x zJ6@vB*t2MZX`8AVm-F|wTd$uRYzle^xOTkq-^liiCR{W@DlLj@-`4XU&mYsGpQGcZ zuc!T#sO6koR-K!?Z0IHfr6R^|BT!^}@2kvLLRyFIutIAGh1`H-g7Y=*ZeY&^H{jNd}`YY3o9G}{gpRf8m zq-Ejh6XG1XZ)A_4sciPwkEn$_p#k$+2`>lW1s!b zA;{(cMCdi*3Vh=`{}}WgmH5_2w89!-g> zg5(pE;GOo2RztdV}+a37>2Pg99QK-AJHlK%7n}^}zkO+}V zFTa)QODAU?_uzuW6=cs<_^n1#g!9H7YS>_b$eJ%_L&ahK2Bqe%Zgh@=%0Xr$>A(}} zK{JK&amW{*1DB^r916DBFUe0T-{B$1$!Qei>nj zPGgpCK3k&r)4XSUKyq9JIk6FRRG%U7J2IM$b&1Sc=&fZT6>oF? z^)`N=@(kf39m;ZK@1n4dSP=x@{|;+Pv zprcV7TC_cnf0&GVB5O-J!pjykHEP|X1{j4i&f!}V<}QyK5_Y?@FU`yGINejYOS7;> zXP~5xP-Sz?p)Q(o6JU5Wy(&eV!sZVlHvWM<4M<(RH+7HG#q_>u%(P-*t&jAz>w4j8 zDzs+7D)Pdi`ugb|i*QY|s5^@=iEke4+?J%3kzMj6>OYD>I>fOmIGudfSTP!a-?3Ac zdjh!XxPEXE4PK$j7#Cjqcvbxftg!k}Y`}FV%eu^aJ2pcLN`DnedhX&N;Y)0@kM9)s z&fU!8&+j>;6R)B#(r8R?o<|{3y~ipTvKeAw)T2Rf3GWyJzbSuKHkmNsR3cm`Hd8bA znRmFxI8k{uFf6N^e=zfs{BU^iDf{Z1WJ;L_j1G__e#&Wvq+jF<-;-xQ9YgAelIPg)SK+tk4jmt;bDN>_Xn8J&So#$Vv3?#fLG8HV^EjsEt`15Zvmz!Oc|M z=G=-`MJ>xs%(??Un*La^Nj1rIK+hmwc<~DI7iIvCXbhw3wpJ`HS+g0VJJSEDtUqU{ zDX#dJZWcQplzTe8WxcFEobSBMgZN|ws9nqWrSzgrVO~9u$o?^#6@c(&X;gwCFh)dL zX30rZwQx7U*pDI2o7~@TL_0m?!B6ny2LsUKf`qgxiD%gcsd|UJV{4Z%*>Kg?Acjg7OLl{C3J(g$EYg z$KPPd2y{CV&KG;F~3zSC59-A zuyaHAp?R4N_(=`FwBD(Fo;B>vfm?O4fgjJIxm1(C5z+oj=d#MotZs2j<5Qp(NW$hU zEAP6P=y^Gl8rF@-Ko;)<`rw=rwBAFYgHG6QhW1hhreQ=*Ppiupa{bfmJ8 z1uP$wjq9GY((>Rsa8*obTvilfPu9nNmR1}>yTqIW#Mp*;KbLpg5Zr}ApkzmIh)`&q zW1Bm|jR|y2SkPy=L|!Kz3<3TxsGC;0Vrr=^il)Z_#)qDscFbNf>wToOD$H4}<(`?~PJmTZC< zrB|S)cMv{|g-4mk2b_i>7QjIP^aN;psXf7rW0~sA6q{GN&t1>8cY%53Ak!80vdNX= z9L}szS(X>)-iTC%%WbL-{?tGlCD6(wz~R_t|9E@2Sm;oTiRdtOI!j+Iawv(6CRo!; z%uYVFN!h(4Z;_Rf35~H>XjhrQs+%&*&k$v``i{F}*MX>$;LmmNhA{4#cV8cyeM!XA z%KY|m07q$qp@4P!vvgY~JGMrq?qzx((bvHo$Fz4Bk;OI~N6+UJ)RsUGx78*x;>_AX=%bU52-*JZ>0FTqY(on zpqZwspsM3rsg{R^5aBs0OGiZuANFf>{@<@uQ{$oH{>VXM6;Y3}KW8sTmAByEAu15$ zGXjei$;qIc)5NKMg4A@hcje)cWbRXvkA5vR7M?N!ts+pKcV{9`Hposr}C}D z7fOet$AdWz{+yrx#$TAN_m|!$g7AZTDSlRn|IUB9n4y={Rpu^bQ@c~6OsrB0!OO&p z5vQd}u#hsq=`|`5ar0K*Ln`6>HQ|%}&%_?mI&aWT>Rg}65{kD=;6h1Cx>!dV5z3A2 z70!gL@JX1(t)oQo@yW@^hsr_}CA6NL@;D`OS5jyTTT_WJYvd}_H}@+UB%Xk7K^2>( zh!<~+-vA6VV@)>nmy;2`W#T7uxi~Az;konFeZhJbpNsTTHT_H86x*(#5_)U_N)w|Bq zQC5J4K3$=n8pa~%VCYxVpV5u_%=vWX!KCy4@1(gxze4PZJv`6zg#4tIrDAsFH-eUA z6oyWJ3%ryp!vE1Hq>!0SN*LV&@EECa!tN!FRF`H`!bIBF9TfJSS;F$Zm}j&76_X`*B)s6(E4Wr(%T@oCy~N4^A6IDin?q_ zXl=MF->b^TGmp4OmWRcP$tT5>Kb{VhwHR)Z5VT<5`W7HqVAaWW3lDZh9ng0L%@}X> zhIa(AWnuTYrBV4&PyX!aA-X_}??6Ps{PBIfRXiotnpetAEB$h<*y@d7i8|X*phyJK zEv(j#tFPdU-pTvwJu>pcL;;kWZE27PP^-A11x+EsdI4^}CMN?Dxm}lReB@C(KX;wo zv7e)K1xdHDDNW<*vX<+KaHbgzU7I z?Dm_wdJkoDRv2ri+R&QQFK^Ryu_cn=LF||xWNPMHgL#_jc8;%3;pDYHx&__v! zRgWjD4v&WQ7eu_Q_ZW9Rg&d(hkwsl;QK7ipnFe*T)AFZzlMS>*KoAWNU=OSii_)No zPcvxVm^$Cs@5(z=K)Z}(8Mb2gQxy%$Ep$Ob}uUXEh{bR&5gp^+) zT(@{~8b|9)2hdLX?*xrEaZy)(SH$8$v16{;Kh*gvUckBV)Xm2#UX%hnFAHD=)wphP z$xE0A_8ZJvwS$#dTLgNz_b?9BcNTLVNY6Ny<0_BTXE@$>8Wms7+o0_y-Jii^Ye$^8 ze+VlMqny{F@J4%SSQPVnRM~yINKinq0UU_ zxGSB@GFg2+2QRYe&f{L>;gUTx%LnPp8IS7^yRPlO z`q$sr%{FV}!ntZHl0CDPvcb&`NYQaz$dFhgJYm=i zn~3{{^t31z!7Eg55~7qgE1c*@)FL`)ZD&-gvWTss>&?0w(nO8mtQ{lIiviUm+f*BI zL9VDL!}c1nbb?brmw6k;?qp$h+K}m=zrN5X(brTLO$qP0a-rwMw5X=x@{vr-+mB9? zqjiS&#MgyRXh^HM^Fmg$TNBD35XW7n3YXxttOVpqKuK^NXnQTx!r)ML0!K!|;=Dd=O?;R>;*XoJ%*#Yq1B05P~zOXaF?jLIE9C9a&TM{U9j=M!~X^%8Sa zTn@J9GUgF~QRaQ=kQm{4w^^v=GFBVSha1 z9rVnN*b2x7!|L0Fzn|&dOD1a8LUI40?r+17)E)(dMobgtO(U@+u*JTvM+f3$&b0Oa z7?5Cb$=Lz-T_D1qctU;KOER0>p2p4G$m*^feO+WB!Lv9I;kLuLDx0G zGQ2c??NS+j*mozZ#c01_C&yRF#s~Ud?x@n3GG6vsAEoXusAEu2@2_&No_y70L`7!1 zK~Gr6Ob#K&wWU}=u+Qo17TdLj6N*4kb^pLCO1;p=;m*#^=e2iNte$m&WYo^6U;xjC z7$nZCz%}HK_Y5CiuPtv(>(R{P8HyeldTBv(@&pua=dopv1)0uZY#F5mL`h#NB;I>S zE-Bcd%1HqLHDH8J*m}er2@rZE_!230v6ir|qGd6}{jqm1?fRAL3I0Kh1TG7E0jUYa zwU`$*V)@*PgyP^h*5=wjEC#&uy8EK|dwve93#I$qla)d|`WqFpb<2-{3DtRDe}$r_ zXh@_aS6a>l0!0u7Goag#VRGw%z?ZT$gY=wf_AOM-6ZT03j^DB`(VfJq_F~*M@S<_S z{rn13<3zqwdUCS5O)(7b?AODTZY>D6%#MhC83+XYl>U){wwH_o7^cVKE z9&%!@7XpBKR!#tc80!ScutLabLGa37a-{3?{^whU@wf4l177;smmP~xJ(;Y}PB0`r z3yu$;pKE{_;z-R4U)BZK+BcynARm9rupQ-VLpS4n-67RVqU>t4iB|H3sWJbWauq0% zAi^_DlPl56v{`S}+2jq=v0#mvLD_BimP;>bBAXrWO!bDg3evz=Bx4$CCgzspj@VJ2 zW&e%4GK%nTF9;FGw2vpSjT+Bklkj-+N&ux7gmuqtD(B#Mror_H;js3#_GNK2`t?_C zs-BSw@d&|Xc6}IC>{@0Te*HkmuA>|!gAnkQ#AwB*qzBoN-icoY`bpd;fK{7#(Pf) zzZie6tF)!EwnX;8LGp!zF;V_hB4KCHgnXI+KQUG*xCy7%l!e6pNAY0g#F@62|4WuP zDqI6Ny@bbXQm^5)_y7@-&c^%_zB{)7%AGe4fDAx(|8~6K;dw{}dnG4^LHuG5Xcsx+riW*WT-;{Xt+S(N&*G2%HG4DT}7AXD@GR*Ro;7z>IuZ^5m zn&4h_Sdbo5y?Ih&v;{4Tc1oP_U@C8GlulFjgiT=C;SUQ~Zu>|8Z6J7?)ircDtzo8dS1mUMHR zwgx;DXz{WJFiV|3WgdX2m&~N!3^~%@KpVz6GY7|az4TPN{4nYsF%#zw9j<>nJ<;FK z7{qFN)%_4pTPm14e^~hfJtc}dHn`XwFu@@etIH%>f@Y%eXX6(b_~%FdH2JToF|&^) zAJWZM>`$CVGOqC(&-{yvT7%TGZZ_FCGlQK2Wq_eag!_WL31FW9jhDxT;C7 zrks8XWs0AfUd63-UQ(3y68LtqP^umKFjbiS~#s7+gR~Eqg3rRB@^> z{Bxu$c!GbE&ictO{+yB9(m!L`V>A2DRFdArFH3>(IG_r4-k>e^OV}EX>XB2w?84fj z4Q)BZ`8Nk>MF@oz;&~Fq7yTA_KT8<19@))OKqEE=E8qqjrZCO2pet*J*o$X)C2bT5 zcAjYp5w<_AIA{P_9`4`ZFc5Nw^N4cf<#}2y`Yxy>1ajx(E5JVUs^im^c;k!tfcoEd z1Rqh(Y2b9(j)3dwOroI(2Pz*)C;qIw`p9yRZ_`OZu1~|!+l)YnpU~@RPQZ^d1c&mA zuZ*cDSgZpXOTepNlk-RN`b%(SJrLxb-orOmULb|dg`@;I zOx%Utc+7I@qR#Lylup|FmQTNSVBk`}NvM59@vK$y0P#^+^Un`P+ONGi-!+u~io_yw zvLS3bsp62x_a4he?PV(T^iV>hhH)PIMj3Jev%Di#w5w;P{MVXfg<@~fZA<2Z68H5E z!^Tr4;hvgOejUEU74@F>R^f>0neEJ~nX?g-1z*85=~O0kM(%K~e)MA8I}BW2`U5@j z$$Bk-b=|%o5bB>BRcE^g`tDUM-E>0$=wUKP2((LlV2~a1JaCfD=NrB?iSYH_?o!b!=M{jKaKR?1u=E-`|a6=|5-5u)JX0%chvqe+M+{zDs7KuEVzhI^{* zUB3CK^YT9Ch3mwetk~Y*?BW&ZOjj%sa_g4aKm@jKRlp~^8c}zuJ+XxX$em_??xdBd zc&-*{)!AE7L}Cl-5}&O-+FpcBJemo9U2lx*(!ZcO5As?IXL`n$zX%Id>X13bx1l#0 zYc}2V0F-J$dW9CZC;y3K#J`yL58s&iQ|W;^ROfZ*Oxln-~I2VWd5{u=RRf`LE*mQjhtJL zU50JN=jO^>ds4z$Ir{9de9e`;GSx8DqR$Kl>2ZR*l5^?|tLzSEnXkGy?*C*Ivu{ka zfmwOVAF{B@u7l1~E;m;_tl^P)M?N4eHw{p5UjUu}!`QzuhwHufH4xotYFu@@(0rei`IWM$n`_tvvS+lLwR6Cd1ro z4d{PL?`%As-D*Gm)azYX_4mkuHvalToQ2sRhI<_ReY)FrZ3<2uu)}9!SOmFX#Bp)i zSg=4>y3Vg~Wrk$zeB09V2727{S*QQ1aR1U?{V~v8aHP=Pal~cXHpl<%t3LN~-ZBr1 zO&b8D@T=kV;%M0~p;7F->qSuIXdDYt=Qn5L)x9rLkCxk;C!XurB60_4Zwj2$k zs*)+$R0^bPcJ{+Rex9qHpjJYzoz|E5I@D$`-%jhsx|v~^iAQFlmMg*bMXznzdkMq8 zRvD9j3HQ~Yc>wF{mLs~xd7tRi?~ZNwn-w2F9ykPVPQA?ps%pef7V3&~j&wSk z!s#{T$`HMvu7z(qY7h-O(U4IvQhpwO7Nr^A_`x|};NN|V2fn`j<21YcYKg2W>w9m^ zySfs7|3z2Z_P_$^Ck^{Y{2pZfUGt3=76h3yC?y#nn%7jnNjFF@*v`BBn)QU4syD>R zgI3}eNnb{6{~NPa6O4LtQ>?j7%Tw&*0e%tR3lI8UK#!;qh+-*D05Pk1$o2e`<3!)f zNzqbvgCooCEu0mlREx6ZhmT+MIsD`p!x+2PA*N60k*J>h3f|eAG5!>`q_aD4C}?`M z?pG10Das=sFdH)X#E$>F1;X>dyD~kd67*R2(7aSXy7*ycQAx!O%o2}aj7IS7d+fR@ zTYkZ@REXr=Y%f{U^@&~6bjGjAJDt-cj|m|;4i4^8EA}jjGM;FLj$8Cp?jAnVY{1S@ z8CCSulP>zGYNBIx>v=`?eWJOta{O!zzWI9fq<+Ug^*j>AZqKmG>%cR8@i|D7dftpe z*gR;>wImw$O`eb2w>Zp^T|3d3o2#QkBlwIL))yP>ga4bS>VsKv>x(gxo!6sC07`M zoRkOVDo6m;6@LY?^II!}dAv#`N-9z%Y_xskvZ{3XgPUPJ{rqkm8=;a)<8p8`IC*Yg zuvvii`mDs?@3zdfgtk*0EDl!5VN*-D+iCwZ6QliaMtvu3h6SP-@(upqX z&wnD2iLB;2e`0CrMO3X1soJLCN9Zn&<=2^M{PV0SYIrngSyU;GEmJ<@cLsSz=LZqf zTlos2L6E^TV}74CXelZ-tpY(}lCXpYX+gV9b)}a^nP(>vP|3PQ8t8|5{px8n*iTtc zXPwc^;Z^n)h=KF~llAsJ@_ON;O%!jNx*>$Cgna}H@ip|7CLFpW%y!8W%|8H9q2s{G zKrBOg{9D)hB)GzPLDq{`vT;U%D)fZ?H)AV*VB4CzrET4cx5)$eR9!4lSftn+8Lc7P zq+b9M0Ne&zrb}Z$bDwjtFe!0);r#5ywK=fU>ZS_Wv%{)|UGq2jr{yt}mKUbZAN3tV zkilT;n64vWe19<%U?#z}Z3+C&;Gq4qe31m;d=qyFAfNZWw=uWjoS-3kDSJuvszlkG zZWXNDquD!}cqsJ>*#I|?x4%U7;^-+~>pgYnymH5K-wqI(#&pN6{auC9ab|I5Wd?uT zo>-OD=`we4(ug@SZ>9AL{3T<6Z^gA84{HK42_)mWT>>aAP5688=q4w%0 zYkf}QtH+`1Jl$`n_(OmDh{vh)Twid|1SqnYlLF=^RsYh_ok000by&SDyI4V^ZDxy| z%PU}S)q=|T-p5GNDhWj`YU>9__r33v#I+30B=m1Q9C^fF0jL~|t~m@rv=#5*7I|Vh zsHcc+LlX60{wE}<m@Hn7l z>uP%(Y2Mvn1Qt9IUGxa|1siBYy{-{J!-W_zkbdta9f%8+##a&Ncb7wO}<4AB+>BI@bs71c+ zQtI@=%d*EnCor_BX$xA$+1)3FWt5v)QdC(JEk(5tJM=MHGH070^6KE1>?2J1^;FiD zpJa;ro0c{&$CR9n8ph87duI`SZn83&kFnDLLyx5}Ge5aY*30it5-##C$sGyYLl;gh zP8980?gqS!*(TY=*%C1$d$-4gCqLyUxb6VN0X`ZV^F`i&CyN7#b=nNcdWs&DjHr0x zga4EUsZt_D*o)``t}uRQo+Eha?bo2k6;K2s`b5JGVg1p!lPh%*)6ZJRM?Xzc#IcWg zwUUfNWlthuAxixLyJ}3E^POp(Q+V~N_R8)ikd`2;iQy2&Ct-o z<*_H#l#a#;gJ+*Bqje!%Kf1s z@r%chj+aoN*^_H9TBD)HQ&%m`WU))97~2iwI=vPlfR-Po|EQQ88%14zO_EDX)jOG? zzdKTcFwRQ?%0wVQQ@nYyDoJtnW6#e|kjtkHH+C{9pVmww$le^in7_*Mh~JAuUmnd= z(9@0Y=Yu6_1`*C_h(*GJ(0eN1>NPa{(MR zx|wS9(-sPUW5**BBV&G--6+VJU5Z3lC&-fgtT7IwD&nj%JVaFJU#I_NEx2o~PMuLE zDP~q$#!rC(ZL55AKD~+`#5kllg^F(_K16$*5+BN%PkT=dN3~TAr-$}1>Bvw|teV_k>(uw71zEis}Y+EO1cc+c-TkH&v*?W_B z3 zysXt)UqbzLKbzmU5q&Cp*@u+rd`!`z|R#uQ|&q$mUn?9{Eop?)YeHPzrn zfe!#GS^q>Cw8~xnjj_ofQ!L9E=3d<^479RFNV%ABoZV^0f(zCh*zpxH=K}0ul`r+J zKAw6l5?n!1X!KvMGkHql?b}#%TUxEjwG;(@46YDm};G~$|nj7}n#nka0Frxb0V2Vsq6 z8b9u*cX(*F>w}E4pMmRNx$tx*?H=u8D})@N1s1Fy4KDm&IqNnr{i`b3KhspQe>}SH zuvfC#pEfGK&FT7~30~KZ|Jcqoxaf{zR9o0-p*Uk3%>6y~U=Ev>siA+#BA?Uh zr3Lv*vCIvd-m{~pcSOz8nZ3`!J2%DE`*4#iA)tDCs~OvbixP)hMz`#qFo=HC4Hp^Xx0zZK!xqmlaiv>okQw6&bXk>##cj$;a+$$NG+; zlVG2{GWigYt}$BZuHok+m@pr6`Dt|*sWVYig{K6LIQ?ad%`3zU3p(R{9lqDPT78M| z)ZlZQf1+=W^0Ukx(@vKRn+f8bB}Ah)E_G9L%w* zDXtsSl}`(C%f7*U4K?@(@qVQaT~dH%sw#)ohml>5V4^2dYI1z3#+|Bx6!Mh$@&HDA zSZCe56?9z@O!}m$<)uVOws1&oh&eLN(~+ihtN_bx+X_dkCs-BVH1ktpjM2e8^M@C4 zp{b9}POX`q*jeN9cxAg}c?Zm?s}`>lRcwm=#bTVvCie0P+KZf5AuCq#R zeG1v*=H62^-nOcC0G$#F=z;6LuguL97X z_T3*dt~be1x$rbwRwsh^E1L;LP);>j4Mg^ut0k4r>PZ8utCQE~r{)l*1Fo`#xK})z zQERPY33LXr;49PE1}LcEA|xZWgG*bFo$*&cGu?a zG?0Uh34Z5Y5XSwa{S6T4)#NO`MXukT%=%3Oym*M*?14woVUP+|^ojs5So+Cw8^MN0{s`r9ozcV4H7Gg z%9<&nh&;kV!QfSXN23Y~D|CQ%U;fd&_@e`xo-)`ivb_vs-$#etT!JMhTs^a0Tmw9q zX4mSgB#Nz7I*I}l{7Ewe>C+ybPe%B@!+{E8s?dT*D^hR9F_B!LSLpk-MphX=RqQg8IH746_)F)*6x5h!V&d7yDTpYAQgetw+yq(KJ%l?v+}b`s zq^&C|XXgjoT7}_$#}Nn!yt>`)AWKiQ43kvsN?@DI2s3=9MJ9SdK~7Ki05=&9Zde>A z;g_7YD@cKQSeT_AI_q}vTAlH^KBYM}MqjCb(3YqjMb7s% zCWciGbh5SvP)l4hZ0|*pA*^ypU+W_k`%}5jBWMCW}pQ7h&&bjZd6X z+ZksvZgKz{dRs>u7F!m5B5YEw5>_aFg%}JYygKt!Gwzv`r7;}n5%NQ6zo(Ygy7&be z!cQq1zbv`ZpvH_Wx*}dHMGZl_^anE3Tjh&ZA>*xvlM=5Su#F>D0ZKLJ6j)i5qfOT0 zulW>oSybB-XhUpQ1Gi^to1={zRxmAb(KRT32#g=E9ks0NPr@OnG3269j_C^s(wF#^jUTN za$@I`aCwe-w+8Kex!TVdGNWg(=ghO1KE*tFGr9EXplqG`d~DLQ$$OXs_bTXdg0VOb zp1~QR+Eel_)&t>SU!whCNamJNx*q_8VmqR{f%#TOh#a{~n0T%THbM*K0b#AFrP0z$ zm)}~@!h{y%S?1Q_rP6!Qt>sZfuP^Yzze4G`ooSx;tx>(7qz|RLE8EzWLVkSn$=ye8 zlXt0cj407ks;ZCom($4&uU$63%9y(>ib1->J^ zY1%|IPnY5y$IkU&;%ZSgI#m0D8;{at*{*_DxY>UsvXw~Yhkj{>2%ugw@J4PyYa0Xa~+B$heO}^ zqWZ?iU}G@qPh!@d>&tJ~p@%u>OC0K-!7xDkyI-z3p)_{Sx|WqB+!n!21)Y0k<08Ir zwa6GrbIuMRr`#77z|%QPT-LZ5uA@7b+gKb-eb$K>CFlZ+2gj@t506MRZ0ftxgOsDM z#Y^Cbl=74_2U`F-7HqI)LP_1Q5*T-B9UC`sGp{$75QE~`9wR&mf@6pB?WW1S$Y|Eh z!5oJ*g|2ICgx6yvNCdyEgZ!6PFlt#+V?fR{>Xzdi)|As(rUayTM1nhd7_#7A!Kbbd zk7fLHU;P(l^I=~4;$_Ei8NI*R(3CIE2P60Vatk^X9fzp6FeeU**W~R(JCkqg6raU4 zHlNf9Lgbv`k2fK~t1GogI5-kJ$q{+sJCFMPjcSao+n%iU3Pr&zvXI}pwGn-kN23S> z&Ql)3LRWrjXa{d1C7SIcPwPIEIE^D+C%1=3D+JGrx()f^wjP0B=ZM3TS^Gxs1u<7KYm*!hJE`6miP*$weDjDZM^~9o;C)E zJTFwz>>=8Yk_WdDVWt06sX?BMxSXE<@&%Rz2b%=<3yry-L{uTHQu@_-Zf8*X3TEO* z+fc(pM{m)&ku4{@u6-&3c&;tqKFtc4-&4(>5F;`L&VC)#C%#7L+dv!4(bqjB-$3j%U+|=65#fxCdVv*nSP#c>5@lxnHyC-33 za2cga`j>P4JiPGO_F>iR9g}+4PSilF%c(qTBwf^^5-R8G#$&TF+-`>igusZzh{c!g zhS}E^yw`&tSmoqZ&Wpt(V}DC*hIn z!jRLOCPsoygKFUD5hC=nKZ7{22Y!08;rC|;bFcU|%jgyFJlB1e11~sOFDHFvp?L?i z=JFoIsYW?VtDh&5yW|m;GrH`UJN!zb*c>QO>6&7qDLc9(aEQ2^aU-4( z5pGMrs=tKE{h6i=`qfu-1XBbdFdi@>(JF{(Wv6&IP18acwR$`84f`sSoFMoaI4X%o zMPie}(fIWCp!=6uUoLM*JGldY^t6kv5r7#uv{*QQgd%cd&F zYVJf5km<@S8R7>gN%T2?Go5(3QP@JJ8+KdrTFK(GcUHJL8ECSDKFF*>b_(8(9)HI*Pdqb*Jo!jn4FaaSli%3(hqR>I{-4WExD78lCSA zoXP49^~XkbZ@y_49FD;8Yd)bR$CJ2@*a}skNq`^f2;|Gaf`LNnfU?8p2mz(09{BH;L$KY`p?`xXI>pthOK|9;u=GH^z(-L@T{VUxyf z^H6GcHm%WZcNaC0jUiQjmC>`)6~i{!)#5(8wbT)fF6C0=6_@QX7M@`;rO~vza&zc} z?z8N^r}QJ@fur#p>UjW(I}}@{2x1j2l7sfix3kKYCX1PF$#nc@!pOF(cDQovx(TKY zH9q^8aJ_PlUC2KgzUE^P`dxjLhGTZ-6_cR(%osIOSrauK|L~Q-j)#^4c@8OlmofFko((mh}N+7-g+dl65ZV@5Jhnt`WsG$+S58yj-9kuDK}6e3D~&4RG( z#{s=3Op;fpU6Dak;C1ZGuGoisxBG3&=Z=!_o6o2<4rT^wJ@qt#Y*i|?HkKw%hFTqq zv#^2edGjD-o@|{?9la22d`QA4V_t}GhH!uBX@rq#(A+<2UzIE=F#!@CowtF3C327A zq+2q2-FieW5!0%&62NCX^jltoV(NRBt%f+;o^h9}hPaJY7Cw3Pk3ckEf?!nN3gKTZ z1yW0P@2WWj8qGtMPH73}a6C?Z!QZeJvB|J_S;v}YH(cb3xD|JvR<478-_O@l{N>|| zNI_Ug{2>{uHIqM;cSg@~6f8-49GZpDLQpU+ZP8Jq^$Hxxc8lqH98BV0t3P@DL0EK@ zDovkLd!8jKW;6~_-hGG0N;LW<|2gqq^3t5sL(KASw7vxk^sRuQUIi~3lVY4Yq7+|~ zR3xe2HLy>hWKq;uR7Ved57hs4t=PmsI_vMTM;b*ckteK}`;7^9|JHfdg*Y?j}=4J-1%fbZWm`o$sv4#7S!cl|rl|ktk zH7brLa2YG182Nl*!+*h&h(Vd7z0?Wx>t_}TGuyc9wYtvUmD{L$zvlO?_XsPF_Mv-4 zyF|*nxsTrJc%$FH>Hbj7O8HSGDAYqDXA*T#&XrxU`vg>~!T3TV zl_)u*TgG!^I|%Gvd&CjH4XN`%2P2WBC_{Tn9ESk>7@^MniJFtn=v=dR-cBdC=QqwO z+jRF%@|n6GOL|msj!y>9E62<9wu~fK?!`*hqq3A%lZ=WWr$g#_>09f&*s_XwN3I}Q zCi=M?<{qZ*e*%(SSnx3xuYYwLnIg*up^7R7BtV4?Lkcc>Wx`@qatN;X7df0OUFcC@ z;lF%FRBA%;i-9zw1eS3ZB;_}4QGZA>%zYJzB>|v2s;Z8A|pg?AwO^%ra zKN;(#SU8XaE9_Ve)6?a{`DTZd{bMfNUe3Y{?w9s%2y<~$gsz|pR}7KA$Ss`at7CiX zuO}a^UrK^!WH7;{Gx`a_#()7+*#=2ce~el1-{Kt{ucmMK&row*Grw>oI!Wx zPh&IaJv*+s{WgqN?}5nrbI>mfvpjn94kCi2s&Q6E6wj!bQZct;iED*RzBG1~w8*tY zze&1Dm#ac9AyQS72$i~u@oHcFfEOJ~c#**6_a$m-s;f!7Gy$A0OC&6!f;Y(XCn7~~ zMQ>%hF>{HeWdp-PaBBzbO7tDF5B-KLqa0-wdnI$tkprkz^0}48xAo7e4%>cwTV>ja zG67tL5%EG0UXM4Y3jw^IGegdSEwQ*}<2 zIb8ujQP+s5j`Te&z45grbLZW>c53U3Yw~x|G0R7uIsS|rAI`r|7oML!b;hW3?_QJN zEOk!baq;;-tFPMCI`8?}!-TV~(A?&6S5zO}pco?;oN@NGyy`sn_@~m<(mv3Gzigeu z=9e<+$dr%uGkX?NNmA#Y11NlDX)9S#x{f>`JuN)p-XXNRUv|Gi_LqKDYI%I6R%xkF zBM-D{;>offxTgfB2B$d;0<>^mjBE8 zo%zpi-G%<*VZiShU|(KRN~5+fSw>G)9ec3MgqNt{q6YV$eLQTJ7Y62{WxT%c;)?0g z`21{ypWzD4AvIQ3YI1&Wh#(^KHCI9>s)E`! z)buqd=L%;gwWOPAF}LVqj&tL1#x>0KZ-9f#^-oa37=2zrCkt$CE&@faxo7{kkYQM@ zvkt@A9uo%~dsxUE`-8AT>fD?k`%K=w8F~aEf_O8G&_y1z$M6xZRcPNJVSo=NSyE># zrvHD93ey(G-9H~n{##?xM$i1GW7_Z3QZQQm$MI|wK0Njbd#-m9j%C#5-nocB3ju$2 z0RB|cv+P(&pd`p)&zTn9r6SkNR~sT3I?K*N_cfx{+G8Rc{csxLPJdkU3Q#aGOz;rG!oeNA)7Swl(O&zo6`DLD~DEVyIxiNjFWp zC%I?47rDjJ(<7N&OW$IHK9qEX4+KBt%Y3rAp&TkHICiiB*ealXd=L9(&z@dc>g@d) zoAJ#VtgW{hdWwGwy&O0v&~iJ<_;>`~GKJ69D1|WnYtAm{-q%jGk4ZROfwyq^Xgd;c z`KZnLfMCFI^+emqF?sV4xMrqxtZm@X^x|xm?%d7^CuqVm zHZR5Nxul;a{kDISf3{!r(=>6SW@iaZQO!OmVCs0^wjwLK&0NdjzR(d838x6qof*s1 zRA<^}#Bg8&FT|%uKdUU7Gj9ICJ=0&j@x?B>A+quMQ%+5caI6;gBJNB1es`NMgy;WgkBP!JD=WvSu4r@&AdpLQ zshT8+Vp7rqk_1O!_%h^hvZfJCl z3Qa;z_M9Rp9c-nY_%0;)cjNmnPk8Q)nC4|p1VFuz#$(w6(Y6V#yr~H{KzPjcaN!8- zBYehKQOzc`2{O9~WOgNx*`6PNFbB2-E&_pzK=_x#sKeh1N#*YfNe|?ls0m{Wj4q(lzXvq< zYBTolK0;(K^v@K+wxQPO&;iEV;-s|-_RcY1^|&qW{Q;eTkZ_^aK%@*GUY5Lu;3AZ% zF5N>^7t?f^+rC*a{BO2#!#4@8ODK%(NO5e(+D}?Ei4zxNckedecw;w~n=%J?+0oe& zdl_L~HNZ+#@oHnG@f%>JG0qt06{^Kb_aM(S;XLtb_m4cQ+^WtbHR~SXnaIWqrzy7D z?Ebz-yN}&3+@sv1ZWNwWWFPZ-Jhc)7oM5WqcGpTOkg9RE_iSoY##Gg%>EL)g9uws> z+t=!2zD~B0xaqJhQc~@p!vK+88ZT`*g)A*;8_RU6cfx=@REN7*2kg9*6pOpuSMl;a zNqQHV)a+nWwkM_8QmX`>j%{qSFcgU5y5?L{q?h9~)_~wB*6(nCjzl0HKIxAPVrDn5 z4XwI?mxf>mxPGDp^b2AfKMGp<7GcNV;uWCr&lzxSAO{im@gvWBG(1~ajq>KURMs;n z#aE}65+>!%R$C5EYdxumkJfe}NAR?g^)Vhxjpy$y>OA`8`u~{p6XDo5XNa#I9WH)+G>O*rAb658%sl9>!WuPDwa>QlH7I&9 z+)^V(h)?d}ga=S6gV;e)0o_%m2!iSo8FMMBDDZ#<(W2;V@eDX)Je8DXsTe^>eQ}`V zm?p$&cADEqE~(R`K1rXgFVb6eNmu()9fPMjJO*?_r~b8^NBnje*q8J43so|?OcNJ! zuBGQ6<31lwbY-)vL@wbX-V4V+-VQLYCZQo5(=l!b8MdqT8Ce+j?rmpeRlCk1>#_=f zJQhc`MS-kyNIYBNtUcE&vWh1Fn;(yRw)uf9b;wd6gGe5Ez7O?jKjU;%pq^qtO05T9 z7Fg%Y$MPWX<>E3BcI(kr!pI!R%086dBpy;iL-zQXmj=v0pd?&UB8sLM(8GF(xGB8N z^O8phha)8{S!!Do{S(7>Y??I9HPxJK&GOF(&x%ZmO)a@Cd_Oaz2|@5BbXTw#Ut%KT1#oEmwO>E*99+s zxi^g(;H<^wnH-V(AzU;*=R`_dEFR_hq}}|F>$7vY7QabSbt0B7c#_p91rwz2Ejp}#hKXK|uVlUX4n?%SVJ8`kKAi&0(;{)fJ=LJOFNB|;|aKy>>eMOd@ zw0d$IU&fW@nU%%Y-|&K{Y8D(yk3ASiuEvrv3jbpfH)olfv&_v|=Kgmp1>dfIMEm>i z6GhS%hqVQ^7Rw(zTY}7$mxb_;m{=-h0o_*-V%2vxUv}s8gZWqTtLb&S9?ne|wjzIv z)amhEylvsmd~feF0$sCg=1oC2*5IZcze73*IvgYe=}+yuXQdxWvuLC-$n>~ahRJuJ zEKniWNQ1+f^k}ImJSsgwnh+kB&PkIirlqftt`n9@YlJn@-Q)q`aq_hA2l9u|C*+gx zCy`iO%8(jqv?S)FJ0tg`|Bx0dLpAA!P&Pd-GA@34+36MI(^J%G*5u%f_>9siWmA(= z%P*DY1{b8SOWzT{BmI8lgLG7nP|*E1x0GZFt|qK4$%>Icq(&MgiA)F$6qJGKNJt`b zO7O=dh6h9%kVtq1rVdCbu2@m*v7*>xeI@l++8;q-`HQCGFXkS8v>_Cizi2v0*ke#| z{xexDS<_m>YEoPmQd}2OTo+Pl09u_JjvnEO4mTVzDJp zo_&s<{t8;!<-G?sE_@mc?A`pIXvqhc%slVnhjN)!+3U{RO=rIMF1@Jp#rzZRZOwml z`_SBjG`odrcje#C!_E2MR*jCLzB~%PZ8O+hgp@;Wk@D#t>KhTCQ8rgySSGsoA0()} zRd_o9lS^c&+nG3aCL4;`phJKm{;ACuYk>Wsw(_b53y({y8qC7pTiC<(ciKwR&ULuo zEbQ^RJr0OU&zbQvljrC&;|t@9T|e?%>%Cc9?Y-B%$=l)m%=4+&gjg_Xc>|W^wY-Mw ze;M}@_^8Tr{qvoDUuNGjGf8G9%LD?+gpe4`QCUSGh$vuEqk^)y5H@k4LRE09ruMpZ z6RVfH)Urj4)LwHf+PYn^F1^~iv_(WMm1}dkie&QtzW1CHCTM@Ze_+1z&dJQ2$@$*z z`QG<=pVt?s%VQy#_mS=ZE+R>xa4eb(Lptnm%>#Cj%wjl9l~(|aM6ko?V$yS}QlW{P zA18DAPk66ei1%OsIGF;lGdxshy3&~ynGG2xQxTy{;T&9{tS}w5Q#0@`bsRxz6Bl71)`maU_aUBxEmPOlWG0axW*9xND9*DJcyzj=M zEf(IHefXi-M&+Ok{^OLvBvYA5kn`jSpfC)Bng^68Q5a(|YF@!k^$f)`5}pC=WT&1G z3DYnl7%yQiVOKG$SvFhM#Iz*NWTp#emrYJh%1o)6%PbH&%g(R9)vs3)=OJ^P@rk4oa{a>ed6o0Bmn+M(<@%+8 z#gS{2Yqe{Q_2!z)s_Z7_Hsx0BHskx|&6yjscWd_=_Xd-0$~C4u=ZoiJQmzK&D5@sr zV;jcjsKpouv@zGjZ;jLOY)BiEtjeM+7vcy;IwkDn7%7ftH~D zu=6i&kI80qP2tKDWy!cG@GL{~D4VIk=Xfp|9}}~QtKWt9-oqhk3^3df-I^$kI#4%S zfqD^-`q6e>ucsvSDv&<=LgAM!0U{Z zyGeO-0imsWN<>|yPhipco0D{7gIoE@KvT2RGvU_cf`M>|4a1@$+e5DNY3;(_ufOW? zxgDLS6fd8%^s?`M@ox`*wTatfJo(u6hgv40cjj-ncGK5C{ax{&51>DqSAPHeGgeKS zd|74qqI~nii?906MN41ZsNa6)#tUXQHZHF|<*Bu6_N`j|30Ya=aNM;AbQka2Q6BJ1 zfRP6VR`^#uEDsE<@GU&7MJ$d^;@PLL6L755Pp{gQc60_$^Jzra6U7}uPa&7HK4dF^ zkD?2XUwHJ$Up`SPdNMlQf)bB%xC9|FNtiZ-(~X)>?z_D_AGI&v6VYy2r5Neq_vEf z0^ZIM@VqkMF{gnX?PYTGNG3;{Ztdkmdn_;;UFi@?hdM%ZcW6auLx>4|OF#;B7%$C~ z({5XcvyI4}|H>oVh|IeDhO>>xBio4F6(s50iEYH;_hy=1F7-MR@Iy$Nr@Bz1)+nZ?K!^Vz2fnQ??l=s%5 zw=?w|s->!#tX!{-Q@hn$#apFbbwE9$DrvPtrCC}LX;+{qAyuJ7{E_YLAcDc~mL(}I zasg4~DD3w%7ocfQ!k79aEmNYjSVW6y5ef>`Egd4-Aohy*IYPEZ+tn=>(&#SwL7Jw? z87s|oaP&B?o9pEUxFa0L_0zZPQo0{?=$RhU9!ee&(`mqtMGr@uCTv%mL!>zl{Suh7 zaQ;rpz<%;SJ0%|?2QfehLPxJkn3!t(vI)S%P{RWg7c78$@FdNA39^-=Mu%%@MD*!H zzyAYTKQ>h{2Ho-E(DOLs{^N!f>(;R~P{+U_sIZnqpY-)aV_I~`C#MvodtQO#!6Ek- z%*Zbw$L)9YJJ_Lkhj~5WA}jzu10$`vZ*y8P49|NEZ%@H-!JB=G)AjSF4a8{;m9uJm zxkw8&!D{hMh)k!ZTGM?~BlD^At@*z5Bj)|${f0Z$cB6@6(R{GL71T-GBy~pcT<%=; zg5V-@27c{sap&@-DMmQQgt-T%mDhxX)agQX!avaSI*ga(Y=SrGx7;3=p z^94iUNCan1ZM!%sk|syhXOW}5FeplCilgZ?!q|nV2q%ikU?dO>MtrIyC4)X}`YhEj z(xw$KP0J^#VkF2JmWe?OeBUpOewcC*a60yHKa+ljGF_I{0G5?l7Kjb? z5n-a!rAFY;!930pF2Y%DL@4ba>0+}RPXw$utcjwKLf80e{1Z_#Gf|utypR9v9yw9UrtI{X|LFIHM&}%b8L-Jt<>r{-vqW*Y*ol7P7}{%yTndq zp?Wh7A*lR@+ieBxz+P{gtO}I_IhwT@i_PxlyB>s{8Z}A=dZQsZ2 zA@PuY&{xM3?6y=3$9^F)Dk2#%{(QNM81B+iR4N!SBeKOiVsf2WCQs2ChH9Dy@{za| zo>gZRb>RghNeIUr41+@ozoBayGEL3$`TYu>5n59i)h{cEH)+2l`~7K33Q&~9(3+N3 znSiP?7^WG9ru~|xs+3qCL_xeD(yFb}YCpR0>9pJ{56Fz%kNTgw&_(2aTju+0v%}nH zGA4eJEvKnyAozSaLFD|bL9$G`A|FK$cOCA+2CS5>QA=erH)>UoPB{Ey7-S)|iF->A z&O+F`0MOQ9k49V?k~W2qrO_5d61GUZ#YgmJ@fN>xU`caIyhW^tx8S)S*qI>I`GB2D zwD@sy$KZ#ihr(@sUnqR4h;!&RhQ*db2-vYc{F%NMMJ+2o6;Wm7ZHi1RnpmnojL-SQ z_#CllY@O8BP+E*bJtqgmjp)R#;VnOjZZ4`HBYAG+_%l(}8$&~M{z&nzRQdQ|v6nth z|3~qbHSHbeqnn3j9{-9~#x!*#iwLhe-iA3`i&;MpnNiQg#%`QY*urg5UJhTCg+yQ#{KU4oYIOO*F{mbf)93KGvtJR`{}QOc!dB_PWR z&+rmMuq8y!GHDv&_yX~&!Xq4pBc-2?+LA0|Psd@7-cLttNtMpASSKbf5NXU`jnwp{*KB!CZF*gl=$n=)ASY0%;J>muV2-RwjJL!^h1K# zH8>mEPP_-@NZs@c+jnxmxKAew#?Y+7G&EJ5CNZ+8NM0Xs_;J)Vpf*PC9 zgl3XhA)!22Lx0{e?f8GOvEwf-U>@ybo>+9&lTRKOE_;$f!+$9*#aY*96hp;q6}3}z zjH9CLnWvYu42&Pg;15c%j}@1G?|Wo67O;<_*SY8Md%A6fnHY{1Xxp#~q{6ieKOu!9 zI~Ix-I#35g+e`;VV_&C`iDZuG3-Lu`UQjjE=a=|}B4Nt0@$TCBE4tF3L(CIp+~t+mr@yR@#_ zW!lo(?s2zin`(X(`f=L48=~9@Mw#pX-c1(hQ-iWEtz8st1CPa?|9YVHuA+ zX{OS11RHgzb?SP83HQ^F+gb!txX3+$L_(lOzB)CzN^VFnO3g*)MWvPGFFhzdZtH-# zJRnE5JVWwgmni$YlNV=L0wx(ES0oLQ87B_}y7|w97{C^MuZ%|nWcuj~Y`w~+a%L`_ z8<*Rb<5~#OOE{fe|M1(MF$fi*-1ru#h$brw<5~t<=q)X%B~1Q+<>aHo&@K|rMk?xo zA*zRTp&picedalSA5W+FcAn+~kikNt$vf>PI_u zgnkYu-aQV7l+T-Z)g6UYd?YJ}dQtwPk4PqdAm4s?C=VUWCGYKV=(m=UHXd+aB5R>~ zvLKHlTv9XqYbuaFW?rZ|jRtZl6buGJ;mRDt3p(vIMd24QZHt~+w(Z%etEM$AfA=!f zIQf;iqO5uuU6XA>ZUe(!f#nL4|&z0RcZ^{!l&)PUEplh*AR=#q~ zsSA1{J-5%WFFI@Nx+7oTeCkB>UUkB(o>@Pw`-0i0UQJfhCcK(R72TxD&`b7ph*OOW z*ThZcxc1cc6rD;{BpMTEBvzz)Q+%txEz}k}J9KueOYG9-8(pFA#FmMdYfFqPLs!NI zQtznmhTo0;)&KYK-=lvk`!F?}il(`GqdqW>Yd37}Y@>s_lzX@Af7xTEY6f){<8VBI z0}45q(3ObC8ArVQkMw8QS#a*meg&C|t#m6J6xPwBE3jgeh%13P>Qy_Ac-pr?Xh6iq zhv1v!j6Ijky|Nl_Ipj(fxQo?D!CpeKupU^a_zq-Nqm!vJ;DAh)rUww}MO)BzbOf;} z)Q)B&1`)o5tQ$nuR2lJb1g?jGRYE@EdI(&PMA3c3x8bEB^7T*zgv8KHf}+W(&7+tg z;#Mmi6)&7SfIV|)w6{7Xt#)YOaCYOKl~hl8rPXLnXlzK*L6fSisA2-)lE&0Azvx@J zEjo?ceC7;4! z8qUjYJ&%J=8vj3@_ zG&y!9k4i#ef?t$X@DVP2gbF7<#kB1x`}|k$7XNSMC$~QN=hU|74GV91{E?fM-HC1v zKfMo?A^Dex-ni|d`0~qt`^MYPe-C5M6zoeMI2~W;Is1BojCO)5aDuF9?zfO>*;co;!NRyiJl8=d%SRx=g6){#l*JQ2w*ajyAfCxQS~{6W z%M-m>p16$|b~h}f)jseBAIz`M33M|85T*ijU_U496Fv}z1(x{7Y=IGyuzq0Q6Ozul z0-u3ELWqISM3aS%5~LwXAJEK0`BLa{^u8Ez+77r3GW;u72v{(^?Bq(uj)dBk)VwEMx zSmk?%I~F(^W5@eiqvI2&`)0;YPt5UkM$buHBio#cZ;jSiT)omJomW=60xwFPgk zomKB3K)Ph!6EN=%RwQ7?p@V?T`{*5}D-Sj61Na>FfulX81qUK~cbmQ2hI-oE)@Zjw z5LCM!EI4|jfKU##2vnYf;D-6mp1OZL^GWe@6!`O7NJqyH$vbbpm%}3^fWa&8ffmL@nf4Iv@({WCCxW#fh zbpocvO9C(AxYv|?amwP2IKugL?Z%BLkJsbMMys-^v8m8Zik29s2}}nYgO%3K2Ospu zZd`kIXMAGAIg|G7V}5i;&+@{Q^L;;+r*vO@$MH+?$~&Vthxrt*yd+hNUa-3rg$vXv z*}&P#WNWd0N%kRlRjv>Vyg9 z6KdM)rYKX&C)b=?es0ZD;ga%8YP#z-)V*7EsQe$5pI2Go5FhNPcl1>!`~qynW||rY z8}SBefZ9*tOom=>n_MDc$dfA)svHV7W*cR1vB^#;@(ibrRt4pxY$UQjjLfhd?hbDV zvvqh%=y`R3#$iC?@CX`*0gb~U_#G6hK!D?I{+BN(o zP<`n(rQyug>u-tZXzlht9l7%L?>~F(*2RC?@*kf*uyy_Qk3MObCGwZAiSb zY!fq?*`u*=Dj1Fy!lI>G0fs}Ak>G@YBCFn>t9sK7t<$wY31%g`aYA8OLIV;C!G;^M zp)s`@#sHaEiDa-Aw5UQfFU82~B$wdl@vF#0gHk~u--$G}!t7{O3XEd35D&U zBO!W4XiI2&XgI`%=m1Q00H!nmlOM=Bx?U51t0VZYr_$JaKcrZYAi5;oF*^)s!Fugo zCF7oL95b&spo^%=DzO^to_xDzBS7`zTbxPfG_UudgLcV7t#-HzHaWF z>xX`g(cu<6xoxB`j1tf<>?kdtDDLqv3bMrBj}D zs`;uTbI=`L>O`s5NrqJzHRrsO7{jQ-sKLl`S?(0Jk=w*^VUgnmmZe$FPa#dAnSjb# zoFc$2&3U&};e~`{^x~a59L6Z9Wo5ZnK`EtOnXNFS!NqPSx6o~Pfy7z?PDe>Xwy%=A zsECl~1C}aLf8fdTsVAc-$)($7nWWCsL$%K&sdFCMU`KAK)!4Y%6rHwmT{MlHXv%RU z=|Y@x7STyUJ#vRpGr%YjV+a^lH}w^lR7^-UPv~nr{l4k!C$GQ$)pZZ()9+?GzuvNU z<|2ZTcr7tsLKobNyBSYBaY9?+t(GtB@vyMqX7ey|t_|TFe;&V3Vhrt{+)P*i$B{mK^l@+kDv(F)oA4Q)WjU5_mZsua z<;TeL<*S)B^1IC6cwsA#D*2p{6h=L-v@_3Sm=1JaB9AK16~1N6ggNjEXH6wydm22Q>4(gp#jR9Ds_iDhMNq@!+U8ELG0b7vY3JIWoY z=K^S{>7m?0BR@Q_vmClNc818|{hcPX!F9Zd7{%xTxfER z&lOKp~a^OkkhKD^7oeTcdEOs>b6Z9t(wA+6S&rbd!Q*dJ+ zv?4oE7@xzjd?o$YXDGi5DP~DmhGSTsQRdygglEJ(Bjy=p4$tqth8S_rho1WqG49he8UJz({hR-uB&(OTS0nsx^!PcIsXoW0$fIT4nCHzsEdy6}o zro&>oQi{aW62nv`6MT?_-2&n(V^LGypGCddEm=B?_Z~glYaxpT@&?)#EU1P6c@rQL zX%P?&lgYGbpm1R8v7ioOd2J^pL=Nh*lM%5*d=AbU$@a!k94-(axj-B)5GN#}MJ^DB z7%>i9Uz~sy1gmkCTq^FBT;k*k)fC-W2_IhxU{?uXSD8ioDMW}(Iz}LQ}^jbq57A*?zQc*U3Ap!&5^lQo>$?kg=4^9s-3$&q}9Vxt*Y= zN!l@>8N&@+)qp=2P^~!fX+bY=^%#eL73N^q4}wZJOa>>eh19n+!hNV=>$0`?rM~;} z&mP}Z*?HQEf9spS=}7hXJn&$it|RrF6Uzp(Y5M~3dBcdlF4@uMFMz2oLH z2k}Y@p&hoLV|YLPsM&A+jrrJrggNTxS+Y-7V3)hbME9HfBOgYFBWzj>=z)+A=QD^8 zX|krPy63dIx8ihH5sj@(1ag=N|F{ZOA5mOm01x2TXiI>i5!X0DmZuZ9k-Uac~_0#9IVa1Ynxo zT8dW`1H)}mJOad&+r|jSfURe;g>eF+1XD;03~6X|l>EZ@4=`GhS6o3zN^I-kE>3cw zJBdPuQguR%`2bj;ltU%JJAj6C@dMD33W0yv_;Li8XbK2S3OZ;3dHGVGj;^Zk?m8Z#K)vZZhhfTD0JOt zw|`JP{LIeHn|AKHdGk)%kE-rmTl~w=YoC1&C6V^(E3dx(!YeQ1FT1(8lr6_z;iHo1 zUVF7_jxkR)XP9hzdV88qrEAp6vW8$o*%@Uk(!FW1HQX9MD|}Xbfp~%18SacP6PK$? z%`3vo;{)k80`EoMi@lLN5IB(hFg=_ORkC?AA8cY<%_;0z=0fu$<+HM)saQG_N{~V+ zA4=#7rAIx7h`$(A3oC z2pUxd9Vvq52M>I+{^|7ewUm}W{oZ~M3I=FGbX8eQ$&7D)r1kD4x9nfG=7Z}N-Zj?R zx^~?YzgWF$M{z0lo7?8hxnubLhl^j|es=57*UTfY?S18~S6==jL4#?n6|1Y#2^gChxqw z3lMI#1=DW9gj+EAR^}V^rqcRj2Ji;>O#%2#A>`y}PW7QIc`~!<5}QNcqIOWD;dFIl1_N}Au2 zG>Q?C0t=CnrRrW0i4|!-^3xUW%_+TI|C$#Z$q>G@t&**j2e2F!e>v13Uy_B+@=e|Q zZPefavukkW0is^V_lu~xfzY7Lmp1F`_maD}5_Ne|l2aBC@IH9+&re(0e!+K6JL8N~ zz7t5YxrchDwf>@NYJ2y}p*Qh&ZXZ6x?7(+s9D^etr*Pr~u~8VSJ#Vb?jGSj=JtN~8 zm7Y=I8RedlCV%P;!0}ZCDq5wpq)D0cDi&8dMub z4VfRxKT+?lzOU|{agWH4sSj5@QoSqpLM~M8We^pfQRx{O&v5@<-un}H?~mfWKa(dZ z()+eA*|Jcq%BnIOOXq^DGPW#6N*oo@Iw%lF+oQ9i7e=>5_eFUlnu=Z({UFMwqIX5< z=x?wG1hGFt?a>a9mz&5&w2Ag3+R@LE95fIrKuyxrtpY-0JIgLFqstOOfprvGz&alE zn8ky(pSTg57^|dWD3*!Z{z##LyuAr(TM=g@t2G)To)}G&cSh6XT~XjzqEI~~zr}OE zhrWOkhQHVi%v7cpf6!Bjmi@J;mRys(zt(Hat@SXa*6Hm4V{kY5l-d}4gYv4{LU+SJ z1Kr-Rp@D87<<$%oaZ(fT_q22KX>bzwi@;k_-mQjW6dEjvCh#VlKfH35Cj!godq_>RB#}8x6chN^ez*rDU?d+W z{f6Y=h`?M87>-lzsxiq*E>M@VOrPmD8NNbG$0?~=h$C(c9+Cn4v|O)@Qx%n(D%Qwx zR9z*>e4dR{DYJ}3w0S}%IRm6XwfT)3H&P{tNjTsxYLwj8-yCv)u_{+JmToFcX#PeU zBmS49oq#CUzSFq%y6e|9WqwmM*+ODozdi}Ccs6KwvbN8LM^u_i2 z-a)4(makkq>D07&Oa&w$7!5BUC<0m(3s`)hg4UvgZcXYIB3T6?ec%kATn3oC~$ zxpd0Li=Sj;Yhl+Hw7+8m1W4X)aNE;=S1*K_#RzG}nPwQWuDM?kTZPn8^lE#})?`4~L+3(})I2roJF7P6upG5x zH|@-#9cAgg%%W+PmFoUpuR}@53Xr`45*Qn14O`46qv2y=IxqZicw4wD%!jQof)#?WLJjVy z)NM#50#ZaeD78tvls2SNw;{EoeX<$jGgI!(xSly1mCVqZG&Xe7!kQgF`~I}kGOA{B zUD7h9W@irbs@J1<7#z$gIz*g$^6nh)h?*50=->Zl3-n=U#S|BrL4knT70mzvH+0Ec zKiX8Mx>{V?)#JzibV$q3T1H$|SG|zl-PwG{fZ^jOti7EkPP~gP8fI}+Y*CrkSQ+oG z9vmHfA8JXn?ZwZIbl*B=m|cOYy>jsa4iRM0Nu1q)%0j06j(Ds$uF`~6?y7bWD9{oz zGie?zA!X~iRU#`WzO)&)#NNtm)lKS~Uj-#tn3D&oAX)|4Sm55f#?q4VDu`gAxJ#i# zDv}dWEsucV@+2~eP8KhbW|3KRjyOlU9!rKo zC*e`~H?T{1O5P8@li!86j^z&OK+cdN)F1_}zrVrM z@@P2JS>IV74jyfG&M)qT*y)A-OylQ#m4y5{)%e@?XkIY( z>F(6kd{O6$*-aC#rMI(KpoPrYg_@>2E@L=7Thpw0y%~D%$ULycMHCwnwHjSmQp%G+v_LU7xuA|2@%aEH}Zv;zCYk#wN#h!t+oBGzf!0v%2Vo)0(obfMj#v-y;1 zAgtVZr#+iK)Z+F~>uD~=X%V+|VsDGriUjX9;*8S_b6?gt0&aA~iBmz9@i4d(y~wQ* z%FDba+pEo%Ez;1-IB-CH`+#~VcAy8OYN|lmF|P?{rBnuc)iwErh7h zUyOOHpjsF#4)LDL4R;#C7;&`g9A&sRN}sA+s9!0}6ld#;oi_-J#NEz4%1-?&=LxCA zrIkR5r`TJf6zg&SAgI-^6K@mObN9P9lPBmCu3xx!z)t5L@5}t#&Ob><_#?{S^bY4g zq+FMi)!1#8s?!2PSl*nu>Sp)t9NDYzI%uLGMg=A6Wy=#@f%6b|)YIDawo%J$f(N^3 ze{&7OL;OCc?9z(lm^P8WP`*@a)Rt(gG+C2*?69mktcLk69ylKBz<;){wzHq*?cslp z;pa@8u;7qnSwwY~RgI;Oj&62p#~gy+;Sjvo&QXuo@9}s= zoTSHO(T}$?@UT6c040LXi;CvTa0J@CgBNp)nox^+EJw@t=)E z^}$mEhwY(BGs}MhH!OJ7B%_r!jA`_?ya|`Icp~lyeWt4o=Q?fR?K;>36-osAaT^(A z|4kmft!lz0%=#UCN~j>_f&2-hw^f=+%wkvDQ$oZ#s^ihV=23jmPMihcvvDLixLv4V zPuvcJ=pO4$-4E}+FJRuMb+t9i5k3NgY*4ZpO7(Uf+Nmd?42i{CeLc7~D+b59-~9UY zBI9YmZYM*U%@NrbF!9V>F-JzHp5C)%C||i{_r~focWzC!JiVp#k2pj>*si@oukKv` z`vY{=iFfIe9pAo&W3_@_!GGddtx~cZJn6Ao?am=E_3e)NL(b+ImGNNJsDn#r$Mpvg;D>{baqo-nEOix?gs^;{AjAF87x7y5~LhV_DaGK*PGG1U+gR zG|V`~1P#kFb$%Z-Jj?0iEZDG9GJ(U2qB7jDqIlG9(6A~y6{^VUi}0dE)o3?t_(c!# zM0+ELovMi(mg{t)kMt~c7s$$Vr?k|N(F*S}oa3C!ot(3ko@00;+)`Rlhr%AIEiqSH z>N_mMjCNW5SnW9aJ&C9EhbOd$`y@>KuB%VN zT@XlcNNj(5enMrYLe5H%g8YPJFG4B<%)-~Q$U9d|6tW_<;m@1O zbIYR5e@xv)R)6sB;M6CygrvS1UU7EiiIltZRWfRFs-9W>{M2~vGt{Ioy%OisK`XJ% zLLQj&0kc$iJM`LN#H}TNGw^DbZtDsw2+%7l$a35DZe?`$R>nTEU*TL_Zb;FcE~n4X zm59r5M{K1G#be7?zv9i^rG;EYgZ5;l;d9!H+A`b%{eIXTR9<{qRus*M|L@@rd_X>KF@7*a`8Yq zx_IN9Tub&YxduNH;C||bxi3>32Cjqim`J*rk~aoc23HlsKd9#{FKqie8Pp}mX;0+# zSiYdi(@&?SyoDu^OM*9&gweV#JrEAU-WwA(72<@UtJ9_bOwQ;Mr>CB5Q#l(*b+Kzb zJ|M3mUkOpHhQFG-9l?%2_zlVi0DsTSEbRTV``q+mif zF+Lk58AgH%GX?qJ$dKTVfTwjmD|K{n>j2R^;)0xPB9~e+Rppd|;C%KH@Tty31^2<* zsG~CiLu&X?Qyxm@8abVa`M~C_J?{(!2#|m(KxRS*=*)mVsQv)&RL7>*cM z2lQc4unmL?l>96N9{AL69VunZqlUdG`evPU87oSYb(3Fz+SbRGYyX^&h$dzcW~L!} zdFFW6Wc+!68_x(K(e3h$^IA{<$SV2@DC zp8m0pOT|a!Gn)Jxq4ahy`XfC|i&+%5yD$mZC@dGTAdE|CJCSnGa zErB*JT=~zcJly3*IpBr`h4EOAwDP4t^;ysMZRFmv8~(ETW7{2oq`P7sOZs{Qdnk;6 zbvPn11qN)tblrmjc9#&23!wSd)V$iPU9(y!JDy<|q1OR2+bDH)_;^hihqq(L5R8ZX zUngJlxnc@37j<1E)H;)=neN9YK8}ve%#((IsXXJfkno3AG>}O@>wTE@wY6hbE=#Lo z4sk`-mfGHwYMcErDueEScNQ~Qfz7p_&jY~f( zY?oG5kJY>(TuY2nIfyc3%}s{QJU3vriVkGh*8=uTmJUo}^T)(v$31PM!)h|37icWB^<#Xi^hV%aTO)HH>5i%DMH?R zrsUjz!oq6R`xxc=muUHB)!=ECYG}-fskHdNs3Ms&@{oQ$w7%h!1Qq+CaL>V?e}(C z3>-xge2!bq!IV##mtPBG(^paIDEhmvWc8-|Lh<57oUKe(lLu>rt!MNgXVz;lEw9P; z>=>;W|4(MWgZuX=C)LccFJ~xp3a2`xr{%J~!ujw@(HKvr55paU+-ttQH9bdY_6z>S z$`+cP>bq%F(yB*|skeXacs4r93gbD&3q+yQ&+wvEv}7_ys(bbQGjMPHuKPm8Obp>w zF|I?ct2|sgw4eGD8qYP;LF-f)C01YMe*!!Ti!GE0{>nfZm&^fv1`^JL806x@eCd%L zAvJ#v;=W(&bX|R>chq#a>KwLruPoAczQlT{+I>@Ibg9yJo^3yCs9$z?uc`S+Eb%uR z4Tx&grakAJS)KTCKl_9DzNRN=+>pRjX%iM@)jTlBYjlF%wimbm?uje$txKLIy25<`i}p zBNfIbhNc?$oQ06vZ@v=f$-6V?Z*oUQx$BE+_`UP^)H{=Gt#LxOGy60)>058(?xB8PjS>mWkb=H99E9}3WOQ{t;*@1`Thg0Dp?DuxARBim4fXO?~xr%1%d4{ z+;B*wvuN?^>D+*L`tnEE&iDh|7_Ix(khUf03wc&x*E|H^_eiUYiG_8zFT+2nSwdJs z;Hh=LwE(d4wldBRy%~5aVN?)TjlK*#RWT}y%SKjwwJcaYEm0k$_C3eg@;!fv5b3RI z^;hk_bJ|g=3H#wb4ZS$N7KIqIOYx^W|Q(zuE7iwTihO&5ayBEC`YIVd5nLblthnen`Ay} z5Hdj}A$(Qe?ctGpYbo@)nF;rx&}!Y*P;E9vpfEYf($YSFkvrL@@9VwIN`*KrdzR zS1th#0LuVz+JaA{ji^*e(uJgS4=!|OFq__Yl7bC#WDT-!1_Gu5_(=~^I_za_4f3M_ z(85(a;~R8Vc)S_{Fjk73PBVi>Md8@`mg&j4>U+1d+px zzVlrG>OV2dnf=Amdvx+I`@YGJam|RjvHd{Hg^}F!`0Wc2uqM7Xh;udQB-oXx9FY$L z@$JOhUDg1x>p?PH%Hrtqv(nyrL1VjPYdqf(b;pC$e5dKn@&dV*`4TJ;$JqLG_V-d6 zzNn44nVM1xWl73|H=`289{>L~zqmnR2M4v^0!;8=NV)f*zPZQ%LT+t+6{Q*159Vf2 zfq4!Jc7&r*yJP+TqPw)^x8@r5(Oo0}jJBfO+6sys5=lCH%uWz@n*Ziwk5nQS$EPo? z&h=o}fXirKJdvT>^ggL9b$P(7#B(n;Yl{9BtvBNa&tNK2t)#|cpbTk7p7lY&nGos5 zkQKETt8#L00NdNTGQ1!kI~#Dm3?J}XVd^{xJmRK!6Oh(ALBOPvEU_5t%>PJpC=y9M zuk%4LdW;-%^L=S#o+K!)b)p8cZ5-DLG~$_I_o zSxazsum{{%{*4_uNz+I=NH>}d?}640ANh6g8D*H)w&K45*$LF9Gv7}hh|dXnskc5! zTalC0HWjd^(04|+!|YA|T?zB+)Be1koTb*d*MD@77Kg+nquNfp@wdL{;ooA2hYSok z1GbX0^ZU`-;o;E`=U)bO7Dk8tp&+oMjsudzq4a62U3V^>T4Kj^(QjjLT^S&_*K_DZ455St)nL4{TnHkysXW1KD!Ll%OvJo*6{b%y=G0K_Q znY&mp6R~r#uyZgD&|96$e_NJGm;ZO19 z=d$*en=3J*DGU)%m$3L+vIMMvS{Qs#I}8IPLl`C?f+1Pn>J z?1FT`$5+t0`Et+R@R3-My{OgQ=tfxTV3M>DNh^c18+K-RaisJ%hIz&rot<0L`t#E zeTF>bj$TgnoN=cW<2hzW@?&n5+qi_~PdVp)>Pq-Xml(|LOOzLnl%k-|9^?a>@-=bf zd_rPb%Gea?>L_%=PGLQR)wLNTu$Orb1?BAL?c;Zy^^`E4MBn<@G7v2dR1==i+AJ~j zM7At)!;AJFQGERZ;N#{=JjFMyKSR}V=inDtvg)ZfJePRHuPm~=dz%E$#kHk2f{kJt zhn3;1q>EUVfTO@bmC|taImpo@8k(mHssy{D^hQm#rERfOW+3ZQIL|#K{YVoS{ZkRK z3)Gf)QDf{j27*|(b@7bdghND4tVe zgQo8x&Vb92>I?TH%N#FrDt`v(MQ(3{SW?b8!5T_5&vSTUn>=uq=hujnCJdIIxOQH^1k2`80}CFhER>mO8)>n#J$iih7ezm* zlcG?%2({}n+-9LlKT(0vi1lB&Uv|c&tteG;$PGgzcb&gQJ1M&*8Kpm)leSFl8+r7% zBOEh`36rB{fdn7d$xw&p_q8A5&X)8|3hRW8=8gX9c~?u_bNrr>zGc4Y+XjT?I%(;0 zE6LTu)lJn;)jh4gZ0)!O(LWA&okQPp-)okx$^~okGV0g^GMrAUaWmK;Nb922MQ%8a z5VwcJyWt`#PR%DpI;GI6h}|(0XzvG`_IVt;u;_nVkZO6rrr6&ZXiQiAo!hyK6>U9r#gv}nb| z_{2q6&z>Ux6gp}7`ULVy8ELzy+$p>OU)EQCu7-w|Gfwl#?g4RyI+S1bRp}OXVVf>> zVHATgdgYXPDxPWT&MhWTmGG)vSyYpE||4Fc;vS!rkFzAv0V+S7t(5v*D@# zB6#$ns9Wj)T-e|&o2Y8WZ$$GqN@LZ&YDpmZz(i zCEkU#L1P4nB<8&y`9Enk(=v;bB=Cvs%}4d6TE37HQq?@dtjYpDtRLOx&>TXukG3bcwMnRt0{RV zA}nU&G6=$!%7f){<~gx!Wyg{sJ7#s@h4p&oUD;siUGlw^L$O!o^bEDUto??5xBMVD zC)bV?464DRZ6shXVW?&dDQwK}RX68W@WS?r4tYdh?fP*Fdj}i zo)B6dDf}fFO>ul~neM7OW8)Ox6VZ8pg)*}%;$scsE1SRibi2wrby^FbS2x>3OX6L2 zVL@f1$##KK5;q25~4NmDq3Jn?e$U-BMVCihj7CtqlDU5r(%<5x5&0n8-uj0s)(2CbI8n zFA}b1sbVK&N71ngiUswjvdEp_c|wVWe^}-U!;}DPg^DXI(%0?CG{`xN9g4Y@D)uQv zx#Ta{0yOc0xwVQn!GnYOkB$DL*y(H}LegEJ%w^@!N2R|&B@}vpPQ4C0+FMlD&{fsD z=!b+!pp`6RC$m|-K7{~mf1|W03!+~=BeJF6t8Jc9(b&hUa?$c}3e#Spa!!xT&ChFf z3my6Q-)@S1>HG}l>7`9{j*@krd*p*R-XQXe7J1XF+5k(xrc44FYqod)(j?hv)+nsnGpmfKw$qddI!4af*JP#+6_7ox$F5g19Mm<$3 ztVWSSz{<)3+^#gmC;t_pO)vBI@fQ{-G)5Jq$q5LdL*wq5n+KxWn@4UbDel2%s%{sc z#XuLJ(AFIZW2B(}^!QjQ3t>1bm{FD78BV7YjF*`)Dg;v4lehtKp*E?TzK!|n5ZKGp|dO90+a&a+onyHu{8tfW9;TG}?haI+t+(-y8s0 z@If|6=`TRjp}9mQ2(AgEi>M1%2r}S*fd>y--`4=A8OCF`?}_&L$Bx;30o@|Xe9loV!QxXnEKl+1&p@QlGDX$_SC-k-Q^p|snH@WcV@fEE1=?w}dyo4I9jdpOBtNlgUhwJm7FAkl6>Y3g{eX3C?|-3@Eq8 zw{*&K#cL!+M!JN=sP6_031Kn>Jr^hoXrIx#gt{g^1-TA9rOYo0({*e?HDMg{SRcFH zB7tRq+D0R|bOmuxO&qWWx~8nimf9`TFQ+4`@%U8Czh`YKE|)R2kE%(7X+kbBxX_Au zY~^ixrR{I_v+x&Psrnd90x|OW7%Hth2|VPv*aRzUET?g#!TjKgE@p?25n{yYw?q~5 zriq6|PS1?aO9*p)Z2wrfz1TV zD<*W$b1LlB@20XoISy^hI$^qGZf(mGWi;LxF~Oyc4{0p@55D%m9=C}Vz8^tGUK{;b!8(&3Z&RzL9hc?L08u|YT25?Y)_NF$l-qy z1Xf#B*0vx@zv&&*j!nA1OgW0lu8?g=*Z&Y2`GK_h&RP#Vp2BBEEfygooLQ5ZWf^tA zorC^cCf%L3$ct^7esBmpm7j&On$VCcyWED}|5I-%! zE=x^FdckPEv9j;u3Bh|1{WO0c<%qQ<+u+`Q7daxe#QHX=#V4fY?aU12xoD@42Q*6J zZ{YLR%U9I;4&!`IzxJ;GdTwmCwAa~)rmSK=u3A%7R_RfWb+q|=le9pAu7B1M|;(N+2x^>zSMNq-197E54R8e&hN$sYPZV^O2H9% zMK{kWtUxIXHb($WgA))lr{7X7;C_AyeR9b!Z;*6ixWFuN%4OJmgm_eL(Ok*$#x3ZI zp23{zdHEoIuBl0c@M&x!t<8C6o)B0IZ1ZQOWPsxfNGYCC&YJ)AUc&l0|D#B8QpYZ9 z_bYv?G(uMNyA{5due#{adHBGQ9V6K)nn0B&x^9;z1}V8mxcZZ-=kK+%)+DwIA;3X<0hy zDu^e1LjnkLlyfU^)bf1q$xKT<{aE0WWQ3jc9p=_lLwr-fhTBZG;ghD0Eim5uJgQ&< zx66V{BY=mj`R0l8N^6-!P^g>{;(&LH^@!qK z4U2P*10#4Lv3MZl2C9g5gzJoAXK%afR35WasTt~vWJc4?M5QHan642z_JQT;D)<<1 zB+jL)pVFkRAUW(jRstM*3RFyf!!&`QZX%dE@nO@L4DLa*Dd-(xHrs%sCd_Vfv8s5eA29NJq&Kx)8@sK?%} z+jKGk;wP@P_3zDOX+10!1P=bINj^1SY}eVFQ2goIW|qb=v?-=@Wt|0i+auvZB!Gd~ z=2B?(>`1|-&^!ahLWqA(bgkoK*b6foVQ$?wr~pENK(VI5S*PGP<^3mb?}q}Io%%sf zAcGpuBfhuOf~UMq39k4*jhE+5!&S#fjf1x6^RQ!>vj#QK!4F{#p1U;3V$#hllt{_x zi=q8ecU>+KPvpRKY2VNc#*PoSetx0kJZdC%}# zfv?7gexg;_O?RMH6T9{B(Y8OpOuCYZkyJ=Tal<365T7u<4B0&^?; z!*&;ZK>SES3|!HtwQgp;^Uv4BC=JMYTv0EPpLbPfNNt(=sdEJZj#9W#kz1#x=>6oQ z@5rkNm9wa)b|W=5k-rHuTRdY_4eF<6yeW6D{$83sD z7SFu}C`^G|`TrIG@!@(R*yjhid@pGS4FehVfVG<}d)_sGl>6m(h<7sk&A>nNc3@ES zj1RJv>#Z<~>k;~zYH1Xh|c8(_MMrw&v$jdf)Ow?We-!9vNAGGxc!tir$yCn@ z{P?9~h7$v6jNf~$j5ywjUV0t9A?(+u&ut?DU>q>aO?w_l@><29u9)i2FuC;D(qW z=r_ELW1zAG{j0ucRu8SC@8=Jg^mx}&_fwVpWLk_6t3%i_0dFSz_L{ke(Eiuk_kh+|`_3ipKH-yKC5QcwD3C-arH z^H$1x$Q4%n@QHS#A)(VWvIy59c@2wpmQ{*BJM^Z&F2@_tu0gZicAhyY4SPDXfc%Al z(G`m!-X$Kjd8)XGtZl_nPz20+v~bbTzC7kV{M8u$QZd^dSy#h7vPHOK)(qRR@Y5&u z5hlqy%TS|3lXhSO;jYb@i39A)UUZuO9l{59F%hB=Ob~46?|b*c`qieFg8TDpruECm zs29-8rEFWh$$V$bc*bo>nBO(&(khzN45lf>u}mO`5$#_7FE7p4G{?Xj|wmvx0MazWNISJ%8tUk6ekvE9U5^267Q}AJQ^~#!!}<}`s_>dj z@aN9$FP=15e!YV3bbNJpBe0k!kR4uaHe{2hDN94!rGB7p)-TQ;qP=&W`^PBoS|V+Yc2^I4lWR5O-A(PiN>Qg~r3 z9OYuf8NBAO*NuM_Xt(YPc~Fcz!H<7Z_Nl67SN3huZt!giWIQ`CqI;oknnhh#yRAX& zMnc>dH@(sxN%n}!J;A!)CKH7oxfLiNGtf{tvwwR4=PO2)(_#u_y%UW-WbxB%+&>gN zz5f}F8f5X?7kEy8Yc}5wxePpI$l|l_4dM^HpD4sZ&!jQq85w^_&Aj6~{k8wA^Y5gJ zfk?7Pim1z=5U*AdHvpfjpnLS4^7*_&_)UHrJ@+606M4981?OPUo#$vc8}eIIAF2x= zAZsGn91Efd2Br|SQIErWgOlY}se>W_=~=Xe@vv;uu%Yg-Ua5633jA?OYvh=MZ@Yrm zxS?t*8kw-h)g)sfK z%-THjQ*|%;NTy@U>Mv?lu~2)W;CrK9ZWb5iHoOaj9^G*P@rDua||8hvAN9%C4zDuH}Drd`9@!% zJ|#*LD|ao-U$G@0vCuewB6<$)TG$qy3+{V7XJd}hE~{o#tM7P@TAg-F4CJ}5f8VE9 zlu^H%A7hcody!@SGL6kAjDo_S(H>XMKqq5{C(8% z_X==y6FAXYxO8|za{HvY(eLnP-=O(|qO+>wf{&+>Opdc8>$o7Y3b|!`(!s77h5>}o+O!d^A&~y^by3-{#pTF+TjhVN1V>&JVz0|?`)J5P%BR1pfx4SvEq%y)7 z=N;ypApk0PUjUFThBCB&ar{XE5An4=;WSl&-ekzFQv zJUM?ae71y4sMqx(-5?A2H65KX4@1zE>~R=CUN23JaoysSeE6ol_8{&U)&p90zfjlT zP=71rW}!wBm0qf`0}WWW&P6m?2f;zZmE3n zpW%vbdMtBhcw6-C2;-SfdLt%(;XEZZ)HfIHD$+8?cXq%#0J7h5HY+23?RRPcV_ju~ z5SeCU!5UG^phoby9N#}<)NH*?t~hI&1rA($?ftMWWwWfkC+C*EX8UF)M}&7EX6VoV zmGChA{9@ggryCBF?$P%K*}*o7`|u1F1n+QGap8I09}F-8X8cdb!`v?w z9bq{_wq(Y-u#b|9nRCLhVM?((OCaa+v7ZW-{&SI#r)uXuF`vh&J3wCm9@q`a>)#;7 zo~k{bRYKDqsrMfT(3$yFgV>na4b8AFwFr7(U6|&Nyn6+{u?`>>0goxU?f`evzo0x2 zSdcaj^WReG)e@x>AxvQAmOc&g>?gWa)5~5q76Od{E^i#;{8IRb%!PUoVqiY_4(V67 zcU(28G^x}`c`?>h+OiJ)+@ri8tyd2n?HXLihauySJbJ^fNlpcr10`0_lXcDQ^;K2- z$W60wub=U1n7wk#w;reDBXRv!O+c`T*RQ8acR=3FA)@P5Aw#t!)k1g?c6D)5Sc6bA zN{~-${Ce-#?fXUFb9!m$jGM_DKXVWH^7Gou_Vi~fEUwqtJk{&TDCNmiUA_~4@3*LZ z?KP<=AvWRcBmfXXX`L3*h=zz#gcUDI=@H}P>{5=0UcwL3@9U&P) z`+<+DCQP^%p~<~Q?oA%|BIN+i=?y`fuBWZO{#7T$4Weg7rBego6Hqpdr61#&8sg)( zJ{j)aaQ6*-S3i6yd+=dwU)_j~>RRHW{o?H@6YJh;UDb(=8kzw@Iw#zi{mYRm&a*f# zOV|DmEDP)+AQ(Zqtch#`PE|<8`HB1nGd|JY2c++L-1A|i?7`ocq`u|PcIY|;UQfo( zr;~4vW&6K!26SJmO;#C~nzd^hvQ%%7rMI-65jrZSs=G?Agu#-kLgZbqtxg`e<=1j8 zAcC&oH;C>?#lZ&MB(`J~#Q5f#W${uH>u?A}xCq~TY&;!We_6c9$8X zxHkH@8JE))7)?M|?-#4`XR&iEuDTb&y2xsRbqW@Y)ORra3sa$=Lg;+NFSfLsA?%ND zSgemOD)D*On#7+}AW>;860if1cAm{~Ulj_0o(UKSBqUI^oRwGag z%QPrz{ju8(&DI8+T$6v+D`AMV8+cwRa!}FR2J1>6*3f_wzb-fe^p0 ziWU?z6Sa<+>b1Dar$w;9w2*T(M^NA`q$1RjLIa4f!VI(}mPVU_D>f(&Wvbz8*Dr=T zIuSW-LpEi|&<*Gj8TtfC&C%IrN~!Q8!csN3`(#d=>qAWP+GlxShILPzm9TbK`r*-3 zmP;=r8OQ;JpBIzgE~DV*5l!jLvwjh=&)+n>h_SY|8pbN@Wqp^F8z~RF+^~_3X0yP6 z%0E4~|1$xrioq4c)%q9H!o^1M+tTg~LtK0CQ6~N)+qAb&VYIq!IA5QnffnDOa6G=S%Q9WzCs)WrR;g`#Cp|6j#b?C zT*n*^ggi@`Gg%axp~__AVi{)DBII(kb;)(S!%5-v=UW5W-4+Ir6w*nnC9ZJ5Rz7Ie zx~bhHUynpk!`X&0mW>TAAW*=Zm7i#3`?0=+k`(`dH zxRO`#F$7@C1S+khHc>n;`ZK4L9GX>OLk2<>w=I*buHue9lT1fmk`wQwczMJhLOBsC zwrmD*Ex6;gZ{*#qFP0~HU5OL#m}@8h8`y{$xBHVb=M-GHNnua`&x99`P8aeaW|x

  • Ng6aLX6 zd)tvEFbm3AQhAySbscs`S8pVM7FoK@0ay2v})3u_r+AkR(w z2uvGJq+(zal-2w~s|oaJwURhJ@__Yos6apfBo+FzkBX-s$N^giGulHoH%!-zw6{2& zUIr9j5v4}|E$;&5QI6AlU({_n9pg(($TWCHUNz;?CzRDUYnx-haCYwzzsJ7qzTLi% zMpMVTn+0D-#cr=J^zi(?@p2axmlv`(XtMlo`$Om6pEq!y-)6pkxkF)f?JR{WM%0CB zhdZU}H*48vZ@gU{`#IIYgczbVv=lbsQJvD+^RRcsMyoOrRI*`9WXsSHajnh*)u7uHDjB-g z60}pPVD#qF)X7&;rHci$DSQV-5P#|~R7dP7oF$Z`b>3SM-`V7D zD%l4Kpv8JE{{WS%GA-q*^Qvg@&!|~a@}rqblxz(qF3_7?t@Sgl{m$6DS*thA`mtKM zx#fylWmVCT^=7s%YOXh$A*NFZu~TYFTO1F)X)`Lj#U&$mxP&53YfCz>Id~3@RbqZ# zz1>+N&_cNtNbLvKDA8Vgyc!&;vzBesbG^6(i+Psnm#Z-|>ps;n1gg@d2Rmmynd!1x zhwi~k%p^sF<#c0YX{69FbmAo}gKnY;oz{9xIKOHE|1y9Ff4AC|!T`)filAX~uyuzx zAnBepu)H}2l*~E6uNm%-v21}~Z{}aYzIobcSd2b;#exomiej26X9X z&%02+CNa=23Sc$=vsr#nK|}j%rkEx{fS#=Z?;%Tz30f{H&2(+uO0Wv8g-EfT22jPth6XX13VDnfP_MtV zL9_KNl(yej!c)u(VMq{* zBZN2bk5^@krp$gWeq$@w&Jdj4gxe`Hye?luZ)=u(7|t-gyYmqo^%R!?RV5_MVY)rJNx43#CGK571-pRx>v9-P$51Fu_`#$I-;v zXy1rpc0nbI^J@5zG%DI!*zf{mr+7x!&QzH3Lqa^a8Sf&$eNH{ppBy?ftn14~Ml%7= ziWHzD;O5olUTw)~gLF6K`T;hWIePl=qzHXYeCk$sb@Tg3j!Dqw+K~20N zC9DH~`#1|%L#*G|VaBHzz063*dhil!?S<^xQLB57v!d&~mXM55|5BK00uhwh{WZ}i zGw5U|(yiE5sHiy_2?b4|0ABmJ-iR_T4?$<=lB>#(ew2apn{FgM3BCV!Y)3#8s-=Or zYopGHJ$nsGC07t{OzGMNGx$8n&OEfH$prSf!C#cBD426U# zt~UBRBrZ301#r}v^?~8g4+UgIn9$>YLyBdSSEu6?{XxVH^WclDZ%0pbPN}9~M7Mk3e$G z_Ux;NG3CvQynZ3#`E0qfDp12dUgmF)q5$uS8b9goY%OTssK@N3SIlQbXq_w8nV`5y{i-@L7`m&P8IUGmy~=PSuX3 zWvMb?T2cXJ7faDz%~rd^=z|V=yq3SMMFgjo=MqoYovh-cse&J@Uu` z3IV}CPZ_doQm9j}Zi&!ikI{1(yHKWGd(A;+WYAJBU1S9d3p_2PuE^CH8`~P=Ns2lu z-I4@#!rB$`z-&3*m|0jHFbKd2Q;!9B0qIWI9Gm4;ipq#+bX1!f7P_oPXWQZ8G(5Rf z@SQa*1m#+g8e~I_bKbww&k`%|W)9Y@{Mb40j9Q^AVEitaLKhywesdwJl4DXGF_zm) z4OO=)0!s@jQZ}rhQKeDb^E&^U&}F&O@G%g5Z5pnOF-Hd_M!IwtcI!7gYHW z4(wjSxlLR!OI=wJlw#WcItZ|bL@2?(1$t*lk2|q^Cpkbk!mii61(lKzoaVbofbLT7Y}L?-%A;LrmW-6 zYvi`Y?X6o{G;NE@X>r5I6xSuBCaI)DbQLw#-8hIj*KL1R+=0^^#;S7QOiD#c{8 z&*pzbY?!ga>zw!c*jdf4;LfhpAd^ylbmb(2ugI&q`CbCW{y6r8o@`b{#^t~9Y25!p z_Zf!5rB3K|9)n$34KD0z709l-mWAZq5!zCxd-c2&dBOf*D_ovo&?5tTJXvbpElj6N zRW2Uh?**U2T2k@gSiPwdJLm(^OY?gcC2-`h|(Bc`ug zoci0{6UU~yLq_^ZW0sH90+;B8S1UgLW~b80!a`$;Sr3Y2d`r7Bj+?J9$cmh#@fDhy81FL zQnk*~{_I>GBp0>?%bH;7o>dnhS6rodjUKnUAeQ0!0xNu62b~t5m@bGldNW)O!*dD{ za<0V`w2Uk5nbHT|)p3#CfLlSRKdw5pbr@C2m*_f1+$(cij%gmr>v`YnTQQxmWMh2D zChg2zL~gUPsiEyK-Lwx)i4A01X{fYr!hCoik)Uw(+(pLe#!vEPv6|^+``93|nyR(d za5f=x6(z6D>!zA2Y((CAEIEojw9ntWIzn~^(6u))ZBRv;cp<~Y(t|GbsEel#@r923 z8}!#adI#3l6y=r3swoCG<2To1nNbj9=0|RftV|;tq1*NbOzySS&7znoSO?d| ztz{CfZ|fiBZN1M?KLj>!z>0G%$H2X3IPOmYWw@1bwU2j=OKp5Nl4FYKg<~Z*O`GJW4x1>^sh&Si?0(!9 z*N*jw=+_h{3*Oo_bMo95TKVQw`G)zlb|9ceO@Rt%L}T8P4aQ%M{LzV2_XctA= z6-jXgQVF@nP-UDM8Xy*u14eyesef)omoMvXf3PJ^!^LTEUK?0xbAIjbXubOgOEo!t zP+bz;3sR9XJ(x|71y0t%VZ9AN$gx^rKVt3`lN=}SephBYW?8t{jIqMif;N$Fyjx-{-Jq&AfzfA%fCx5g;vx63z++%OLu z>)~7A9%mbhw|xy;HA}}aWWL$|OpEvut@&!$lSzWfz7>wU}o z(CA4+X+e;6j>+(A zkQCLXQ;B`T@Y_OGjL!3q27N0ODzVG2RIE#}dqtNNc&l@ar?Kj3OiyH~Y2OH~#cEVE z?qI7~9%4a4v&;OV?^yIVJaeh|er3voSv+Z1W@k}H zBWF6K%Q~(olwuXwUVU}QHnu2iE>0xQ0g6}Ur!}BZT>mjT4Q$}2rW+1%wg6=MRu`Zit5_dd4?}PnifOsk zl~!KdWB%R`?&v8gSRaezacoft!fR^P$xiDy;$VqjguzV5;8h(QI|m%>?3bUzU~e2Y z^|Uq`?MI2J>|(ee1>a?xRt)+Ad)#JT@y+UfU52-*P~YL4+b6{j3;?E_eK0%_a0Izn zP(TUTn&5;>4S^EK0sm_-V4kFFJ*=AGpx<)ID1V=U3mm+;64$ zY#B6jIuOpa$)v&%;U!^VNVgexUu`O4*0&fY?T2LEHqfxxxHcjv{g^LLS|3nmYthYc zniaq)@rRP}i$`7rmYbD#2z+(eUL%paT_I!41y)fh?QI@B&R=m-g?Pmf;ByphV_c9i>MD$r%8re?%3Irc zoyxdGno|*QYE^h4A8>T85j-dHLTS<;x(G(D?Jl!~7|++pxDff-oJ#|gy|ZrJz|loB z6dP9pQkR1XPqTUcsKE)EIj_`~ie#DJg=-;LxhK-Yh`TN0O5}?y z9n@6uWY9U7Z0}!oB3ABGmMd0PFDh1kC~vKFH@Lw+kI2EBNp*p5yrWJvlIZjpdSz>ybhL`22&C+WL6O`KOfBUmo52 zO-cQxr2acnQomIk|00~|-`@-Wix%>qKF#k0ekbrdf!_)IPT+R}|NaF2A1J9mxSGE+ zG=HU}{@A_$110qb4)q@l(0`aX*#A!^4vzoD#KFP%$4UQ7D5*bB{rAiJms3)#j7)T# z|A9%dGO_=0^iMdcKiB&o8QIu7DLWV%oAU6`3p=wB{5?>5Q4be!Wfw!2KVBDCW+eDC zKt4Wt1t)uBWm6YzdIb?NdKFU-7hQTWdpnn3?^01=Az=JllqxE}Y#V>3__t3um^!&w znmYe_ldLd18^dG0 z8DLzJtfHtD&k!p(4PYQ_>r5;NrSU3wn?9f3h?tt-@TMAzZ=hgZRMqqxd&7C!+h09? zK2BA2y`6PkqjhcjdG@sXJ}hiJ{eq1W%gRCTl0v<|9u<(U`0TC&-PAQY@`O`U*leX_nae80-nM}1S@5~K!NXZw0Wa^_q6q88t>8!Ay^@0Ojt{^MQRMA zYWW#M_~GW^Vv0tZik(5OSrM15A7Y^8vjEWPBjQt1n#!88qFhO?tv9leRf$6h9;J}T zGSuZjR%xn|kkSr&t5S;!#fKIf|3=nHP=&r7bQqZPy(zIUV^W~Ioy!S&@+Ug%=ji~r z{&16qZ~NQB@yhje)ri@tZu!^VPsXHQ9*!eZYr$6k;g)I@3)k`^q&rHZ3;LgmJz*j3q#jNQUHegN zr_ip&jR`t#RyrV4p5gB!+&n4vMUui`RUJ465GB!gObphC&}1nm#BX#ITqza`JSr9? zrGy~S2O%E~J)=GlZR1!qZ zF|!=Af{6&)s1ma^NIL%2+N6?%P`ne=EWwWz1pU}7IW{^wZ&_=3p_yP{6q8UZ!lLKP zAmd&vA|yD%>pCKYNQSgA_KBt1HJU64q%g*KyFxVDNHxcegr1`Fpip}7QfkketSOQU z3Ry>v-Nk`p78U-Xa6wr*KI}A5sbzxd>J!7@IOr57lHE`To>;RWBbg#=#f@?;Tq!mW z@<{E(mR@-vcA7hx#WFb5sGaJBaUgipkUGt9NrF3AIBr1Z?lN1xBUssY9-0{@zCa5U&6#pJ~VNUg!i0`djBi(viiaHE)oCz7?=|={kR)|c)tQRl229u!bo~JfWb3S zcONxyidqCx`R7X4N=ozlx>_4P-}imhr6pK0*N$;+kZiWaiqOIMDt_s{8oWO=S5-aP^9`d5d97wX~3L)hPxnIu0xDf45 z>I;cnK_=I>D}^L&?pS1(4)X}Ce-yh zG9(Qt5R}j9oCs~?me;lI@t7#l#*6ci&e0YExp!Sm8|szK!SA!6ATs(KEqEa_&19;= zQYZm%2#MP?_ZIcF=^5PtpoN_j3skIQ?~KSsyonAb0k%qjcQ7CFRk1}!s-aEP2hbZM z8kuA^sT4UPn+qj?O|!POv}nZ1`>w=SeU_$v3i$|hQUss`0c|CMaTu-uF9rv#gm4BC zAO-CPz73BT9!aMv-dx3Q5Wt~%@-CAnn;Db9>&&9CK1df%K4jSw8i#i>Im`&jRqR)l zby>Olt>TI#0D{fs>!wppYCX&<(#hb^K|l*8ybj2dQx=HZ15k~72+ZIO;e(w)4T0dg zoa5^+7R4w5hQzt7F(FkB+=n55v4OqqyN7Bha2IcripMx<7OzG<9@a?*^Eq4V;R zOEV|fnvfL`RAqh*Ey~6QV`0D1rl0iQ4#K^7rx)&Ss-yy+HK=0FXNPtotz(UPxfjuD*{su39FhA z3Ne>;Ad(j#T8O=-Rog|Cb;>irX#`CQpp;@F&rS)k{f0n6=!NtgmKC^BK^)l{aLu5~ zkXa9g{|N#=6RD(my6X)R87e^ENf0A#liazozK#Tby8>hAQs{kp>fv)AjYZh-CIXlF zvhj?U$?|S-ZG>jP!RKt@S^rw>SJ_k1KyH%aU~vzrLE@QkOH3k@M4XndwJyW%coYC@ z<0*F7(YJ{`raDTjawoT$OdPSJ&>``sQ(U@|k}4TlJ5I_5pi0+s64>yQErq!h5} zu5uIa)|E0qBBh#+Uok{_aA?k48O0CUy-e^M!%!*^&4G8xV=NVZke_0&9_Hz>9lWbk z`_)%*uD^Ya--VaSGu7O-Nd-L>?WUn*O*X|B#HJa_bYw zlAvi0zA`@MM%*aI5%1Fg@oZUVsVu9PQ?WDEhFZ`7)V9QC}TB-EVaSqC*ZU4wSB87;Sm6W?qhlx$lL*H6`$OoSk#xa zMIZ;U&}HCiTyi?n*?4elwIn2mHUL~tpVOeVfDp9jpV0nrkZYmrVarU0=3*3_%W8Sh zQq!Yo1;9%jsKaXz+^FRXfRELlZEhDiWRp%!MuE#SkPSv<#Qbb<8Sm$FSB#ihmJKHO z#RIi>E=a|MMuNfybT31&C;>B*`ywG6(^eV?cwt^GYYgx7l-ARW?F}P5GTaQK?{sh7 zl6h^M;2f>Ix3Q}kcol@0c+qCbl~5a1o&(fTs1*V$lT%#qRnrFF0-NZBGV#poU-8Ms zG{>ZWO!9eY&m+gUhP8zmE0x-CDw}oXDm%2W2+uX-9q6^DbQZ!`MI(P9u3jgTTEKhF zPMrvxhtYDS@~%H4fm@uLNK#%n3mrQ}uY*ffkkkq}R3vcO53^nwl@tyQ0S`f&qrj!y z$elTc7%t2WvIJx}bhF6+VN@}Y*ef#1(yidtD6eV)$a7NJ+&+jwF@r6**W z%*In$Lydo``0x@(Tsx()Fqjp|Ar1Eu_%mBX=I%pK82p@HiC{w?Qg6#@bp|K084gc9 zFLRn&qwnBFh2SPHeWoOoA?zktGb>Ia)y(JpvCXA(U1QiiT%}gYzzFsHGy$ zp(v$ssBB@7cSDiegmxvvERMis^ikUSNbb3If$ggAp~JSlne8#zWOf`IrjA)rdvXi1 z2yLj_@$HxD$Zg6C!2?s!G*KPI{crax8n9s~2`8p~apYG1YVWu-sS&`7lBQga9`|72fv9iTgH%zJ8g> z_ggK`JmpvOW@R)du|8hbF9J+7QR^QsFF}_`YfOEvTUNeu)}r5ON~^)526z>X&ul9- zFYDyL*%|;T=^t%TELid9z7;HfFGJnyWo+IB82!lwW#+5^) z-ci=dQkyp$0Mdx4MFABXzlf1)I?-tcz#$O9X$)Z;1-n-aDF0)>ycd`Mk^E!zOE12) zVd+al>Qyv8zFp=aFY{#9kGE#}K3UaC^0K4elOZiLb9RmMSk?SU-`a6Dn5i-LdYoTd zZHYIQsR}rDiUm*E9&=(`WtX+4B$lsDTLI?hv-Xe3$1aVkZ@zn9*qsi)M@@F??~Q0m zjL!M`E4yhudOq!MrF^CrUX0Rso>L|stoAU!YOd41K5;a@0*OyIc3phc6!;QMjJ=+W zd(}P=ce;w*Th%Fe8oUg{W)j*ZSFf+%^>GS3fW)&phZ|_J9_H3N;a&E_=YO#GRzZ<0 zh`KKB4vjYM?(XjHPSdzMjW^J^ySux)ySux)yESlGYbIu|b?(GIb9RjEhmPQ-9x5aA z&xoobzh8I}(wzbb2oY~(DyY-E(`y_gXty5cCoS8uW}kOz>h`#2q{`X2(8rAGWU{Oz znRt1HW%|9P#xckScTw>iTe%Tw1XL2lf%CQz5?7MGX@wFIL7! zQ2{FtU9c|7(c!QcytA5Rkm6A&ZgXW`mSGPZCSno`kO3y@XfVbh>Y7|spO-_SWiB}! zi_zD++&+y_B*ct+{`pBTC8kkLhM^+kvMF!%hJNh1X|S`=I(e{Y=1m)q z{>ldK6Egg}bs@SJEyXiEMKgIJI!RWx@0|3|4}>pw#sK=Fru!y0T$ah!3#5gOsmLzE zJp6ozaD$b=5d@;qFDcX;yBM@zr=^hXu=gKsvZ#j@S^baqtlTgikkoZ!p@^5z!Cw}P zuZ5Aqwk^o6yHF8WLI$QlgVq6FcC4+25?)}}gbKKGxOwA`Hjl2@rW`!fFt(s?61M!l zvHMa}-Ax3bIsaW1s|SCQFs}hg^Qh)*PAR{a_n#}(~>(4u^)`8B+CZPLVB7g&uDHKw3f66m#)+Fvw`t;LL)}mrF$Kjb=b`(PZ{F>uEcjq=W>bwrO^m5oS znt0=;#~>N0Fh`t(O&rDRdrWSIj}+UOy~LHZCFb7kP}&k;h)AJ$*YxDwOa(3#_nO=3 zPBTrTa0dXA)FWaUnl#SaFbTX&sFxmK8PX3ctSAqUiFz+-azA$R{1CSpafxux4ZF%F z1)90l$>LNR;`q51)dFpv3S^ZD9j&;#d3tU%ZJH4uEg2lSS#1mT>KaL^(|gJ!f=nNn zSjT`ADbx9px5(a0aImm|HScgP;<|Z(Uzuxt9?bh7 z^_G=KOO)aoFe|XyjePP#1KIZ_vqn-ztpjgFtz{C+(~b-^V*}mwg2y@!NESmoOc=S= zKvJrnS86bB;w(rS8CNW2kur@mw@wG{czXuHdNM6JLbh-uYcB5F4>7Ux3`G<;VyKC0 ziE&4*DVD21|m{2Wy)%5crydv4y-d(+&rKot-Py}!9VV>oSQ zs74D;8X>CfSY~z6j^yphItWj>Ebn$#4}m;4F{$Qs@KJvj7CDx6-UwK;_xOG>-0rDV zbVv$)rA3V1(31|%XpXN*Ix!(tR8t2(8xWh5R>G>L>Icr20~;G~$T&6SF=;;+OD&jo zAkuLA(#dve=|5*MJD*We@8c!pYUQAj&P{~HpzkchQi&OH6(C~I){(25{cyj1=xJt! z0Q>45FeYN}8|=Akvbwl$=S9bUEX4|3$bX%&T-Z%g-tfCKlHzf{QqP9D8FCre~$ZqtdM+g|G(3Z^7}3NzjA+OMpl+TaewoOmB#3g zew3_;YoPciPXJ%Q<};arl_MG1l_YR5G!d2*nCd|y`Q7S2ruHw7MSU?N3JSPdg0@H!lettsd{!H!JWL9k2IxO^Krw3)Rni zGrM+-N!Bd+(R2G_L@ZOvK<|(JPa;jjZZjs77IjaK1jV`}VF;LUx>I2abNgPTybB*Y z9OB;fL4SVfK29kO+Po^fCWg<-PYnxRXrF+xq;v@X;o%db8s9P)&gR z?mf(QcPMJ0)5o3ATm5XQ$HHBC)bv( zAM>bFLv{xR|N5b;QGp20l~@u{H>W{+uRmF7G8ifv#4=m?u{Dh3079ekrn>00S7bf|Hoi{f#MZXtpwC-|4#-3YUf?sFH)p>h5-n zm3*ZDWJ`Ih>1P~k!5#4qsBIUs54fNIJKVQ+q*yw}&9xOhzU47pt?p+oN)q{ST3Gw7 z&U|CbwQV%7^=B%l?^W43jXHAEt%5aP)ng&uk_AZ%zGyr&82sd|j7V4R4+xz>l$EU_ zUY4s2YoqxLm$m~8J#q6xE$;gXC~TsVcs$@Xa7h{<`c!>hz%c1f6=ET}-4!<4*J?(2 znH*u)0*?j*?dR%PU@Q^&6G%{{>Uv*D-v}l08p}8fdcnmQElp$zCVK*jB%RA>B?2x+ zWWC`>=3U4}ij}JIxicUy`m9bqp?JnON(%65fHl{ZZ)rx-RsjW4Q6JUe95X=nLduTk zP7Pe-rzCq^SQFQ~q5igWUHD@qTzJf8iv!Q)yU z+HLL+Pj>@F=K8NViqYk|(=7{gDI4-D3m3ZbSJe4u&w{R0r)!vX!JRb!tkqqJ5i?R_l`{VBsVb)` z$~BXoVT)lG1Am>Fx;jK#K%+4FeLw>aON5AirYm-t$vsr6Z~cL5ZgMg9+6{JBhx!DI z18#S86V#;j2gluW!JWemFERrD{v{Y(jP9{P*jR8V$%!VrAK{!mv#jCKev`8Jjmm*w z98W9`ZldeksV5XZ%6HS_IcmCqOfrvU1dq)TRKV$c*$f^j7p0U>uF`Velf_fQc5!~1 zx}}9Axk))Rn?+w<`1JGP0u7t9lWvoeGza(PLN+0o3j{FXr1Om-_b}Cu`s3>vU1w|r zU-c#GJI8vI*fHH#Kz%~B;UAH9W0^gmbG|@$V>6BrVy$8Mj@D)1dfHazu$blM3Z zuHg;Tg)B@<#5Si>1S+6E2mx~B@O7H_t~*K#hh#?m?Ys{&P~;MXTcHvZ&{)CVo!Z$c z-!!vCe!)yhgN*Ssl#@^E2vj9yZ@%d269&O-!=y1DOhSd>1j;&!Fn~SEo8&0pBv=;Y z^7lkxzM&~u*`Ot;5X$MSVl<3{;t=wCy1J=f(Rcs zPFaxCedx#Fl8Qpenfov{!6@u-hjIm4*YtFqQUj;j$rCx$(5SpDUi6LJmTn<4Eo@qv zXpZk9UHSd2{)MIPh5EQ8eP;k7oss&la0r46y!P-XZUnW-d}5=}VYAdR^1Ew}v8DBB zr>!T@PKbI!e%65gs)Rao&xLn@4Ime+4nIJrGQDAfR$)y5KT!Uhd0St-U7w?jWn z(N3w_K>sW)il1t$FoQXzW@1=qQm90{R9_f0iC@)&lM?F~wC`SM@}JH@D?VvcJpqX? z%7J+#@N0YtJ{6DdvValZ1BjbWfre1ZYLQbdPlomi@E)l zhRt=fc5D2^!lMSTIC5Gmro?%bNX1B;+ZC#}Pa9~*G&ambIUGS@*8T=qllmo^<&N6^ z#VlAei8_+U37mB3DHeiaa<@R!aml{G1IN9@U`cgNoy>317m_F^up4va@aFFLiKEX+ zHKhQ_0LXBjHH`Bdxav9Nd`}D1u)%wHQZ%!tz?v^X(Ajp;3(=dH?QA%twi~y zHeTm>t9;950;gS>X*ArD>4`~~*Q4p)BC6=4MEE;SzRS^`f z6Yg*p)zLy$WW5I&^y0BHcxS>~(7_q$+Wwp_5MILR6gK85#CSrtaLVq2Tb(EEPkwD5 z7r%}&XGvXI;r{d~_F_+c7@mWim!-OcSAi{#)Ea0hz9s@%E;@(J``ShNv!;|@KL}e7 z2>(wnI&Vx?ZwCLLIB{GD3Qa5o9Dr^h6eqb@Uyk+}nB4>oYf8MppCO_&d94P^fe0BA zhrvMmDuKc>-5q4TCB({&1E6Bl_#*QC_(JqnObcnPRh&mXR#JQ6_nS&x=ypAHT9%Mc zh$>_0BJRu_r%RenW+EjppUm;*id?8%BD-xx=9DaX8JXEytubC{EO~{rF6B%6+S&60OE?5nU z&tj7%?>QOzh8YYnN|;qB%TMp<0frLy{J=NmkU1EYn7MZZcRJh*Rss0YiZ(fKie$2r zx@WcQhXIsNpP0sL@8}3c%MNWPk^#>C^a*c(A>BV!d=Mt=k`vMR)zs0gD+!J|@n$-5 z&F|0`G-&(kc4`llmN~c;DN_?zuQ&XJf2kH~J?0T67xPt>pbqBfZJ9xVxl}OHb}DaG z!^-98F*x4)YSVi=Y*Ue%G*>bzV5WVwNbUT`QcI0|f^oU~*50|gRfV~!`9yUsV?nL}e}GJC2OqOKR?lsaa&dTR2O@%bTkKkW^6W#@XxV#T&A+;;zJDj{BL` zNNUERDcks}rd#w5$0(uiC8aVi>%Hd5@$UC$NQ0j9k*}^!?A5pN0okXA?Q?r!yK!68 zOAOS!$*K1JV9VnXOaN*}M+Z0iDqbV)o8?56U}{fWaW@ux+?X zVK@36xcxB3Z8X?c(pk{Pi@A%&Q1lzSe;((@#KlPOT26=)|jGmz%La}^Dwax5>2{Jr7zdw z-I)=o%SRMhN{2k$Nm#v5YFIVyyS99bSJAL0LtZ9N5M}P#~1XDGphigveqn{nu^hx^OTmi;&XE{vqQ$$ zS4X{vJa+shYZ;wLw|!0_35S6IyyKNf68{pr%r7D@8jOT4nw8*$)W9yNK35Q^d`uWU z{EIv6I{ILYp0kkbr8OAl!-E^~lPxK?XvQ6oJfc%sH$oT9taiyP9-$z;UdEv$R!s^*Y_0~?`! z+2CEh7+9gv+tuscPHzxQk+S)_%Kidk5TkcuV#)oA3e804-la5>7Kl3~wiEcLyV@lM zFlit;FK+8-R&nc9@=zA(9gC+yvFh|CHvse`L7%n3m=s9(G}tmdQv2$?O0$In9n;FV zIGEeNIVma_JF>kE3y`Es3gBcn6Yb{c$9jiiBrJH!eiv|7_W=$~Abvy|zBy1#zi~s9 zW~anWy@S%*F3&EfyeN zPH9LU7OjQ)NEwy7lt#SFyL_e1jyYhnx5@Bsmz}Cgj%fRiB0Myj*lcwTq`29)jsd85 zrxm7GD~+R$27WCneL&0Z^%<$~w6{~wCr)DY=?6S-X+r|jm0*zVEUyGWQmEZ`iWuV0 zWcr6Vd%KA8#Ms$d78m8yi1>4BmIV&A6A*R{NCJ0XJsKJ@kt;y5#M^;wP2w*?`5aWj zt6*T>xF;BRiBUB}A)|8?;&%`J;#TJ%`HY0IB6E$t<1&)pYbtNUEk*k* z)=4p>Yk*Z5pJVB3Sz~9Umv?={%_G#a(o%S^)N^zN?|@>Vt#Ws}xU8Tq6+I0xy3yJc zi1C?~;~F29RBH8%b9jx%$l8UtXzO-3CcG8eqR5XQVQV=jhLMLjiG~u&&AqIiOCYTq zi(s*rIQn?>o|ru?MCA1VHP()|0C{FL%Kqg?-f|BS=m~+d2ZzH@DS-eWhgkC6X$?7=r%?E@)q)wj ze1A&6>ag8wGX(h`XE@R9)$L5fW2&ZlBs0FYq=#J)j#d)p2oRLcEW)J)1R2+@Nx@x7 zon2XuJcoiuwOXII|`|Y65&jm zze*GYwl5_AT#OWeX;bKK@xw8e$1;IuhyEEP%K^!F6NHbuHzU42Zelz|o78&!<&%$9 z&A$3`7>CVWD|iW&+B&tEkBjJl-vcOP_{=1&4(qM1+u9_yI_v2upy}uUiFde%gsB6P zo}xz?J6{}bvfsHBFI(4yl5Ntcxea~yRrq^*m}dF@*5_?AkRg8V@KWQpKrs{sZHc!g zTwgEy#u#e+6tjs-Qbr;xf2 zmb1}Gg_#2hayeXG%dpDjss@aG;V}mvl+(y<4;&uw60KY;g(R%+*^D5tAs#5&veGsx zHvlYJiL`JKb=Ji_@GI<+`~Fk7^x&nG6s|J;#lDnO#NTN=UMi$o^z*(_zI~#7?uxz7 zBtl{~ju%32fiIr^M#*@Hp#6aES4;S9tu-2wn~;c%jdqu(WBa=?)|)Zhj<$4Ox2J)C z4PLL8vpqX{NS|;Av7k+$YRo+{HgB(iL`L8s@^~{c7~g`gI>o2+e8_kXrkkY6$=?Md z+Q2KzR@>kWCdS=3#LRc19%MSt!doMvFd21HxM?Bmacs?Ky|; ztky||6Qb9`#{^RHhJS4wmzOK#xP(4?NKALbor#e9VLp`vdXP!}AlpICrsEs#no$Ud zdp?KWDq^zkHTL!6oWt$1!EU`;!Oj-b3%xhR6LXlm$sOh4JhH(^j&|A*0H^hPw=h+5 zr<~-!xcU?CKl1{D0l-M@TmD@?%daL2J7ZdUc6N4JItJQbewP1@ewJTN7XKvU$A6yS z{gW=_e|(r<3j9*wmjb^O_@%%v1^)92{6F|v{(h4M+yBvI@nMztt#aXSc8$LoTG;$h2xMa z@Y@wUF2`93f`#$wX7TIGoZM@*S<4r6{kjf~Dfr4)^SkEtvy^$KdLC5sW0o+B5y*b8e$I8D(>|fM4+|42KzJpq2~07ilk%BT_h{ zik{We7rHO6B+_xM-pDU-G38jRhq*6OF?dZ%mn65dCERBGC}7i+eMuiW@j0T52SvMr z%~@d8Z5I+{79@Nj>dXYXIs1C$+IBJM;7>0#^ZfIbqa3arw=ulm?_uB2-;SIp?tIR&#&*Uz`dljDp6q_i6OKaPu zl`l(8(TTj=iRx;5{OC?o=;BnHSW@z6ZPt8+vX3NIic>gn-?a?2o11golLjgJfuJ?A<)rFCGGNlD_p ztGK{^tB@x$S2~Bo&~Wq=Mn2(pITM@0!Qwd9MI~=hX)3%nR17BCPn?D(L4bO8t>n2m ztchd_g3{AHg67M}UE4rM?7h7|r=A6n;(b*7Kv>|L1UnApmyW@i$g2_VngSUD$JbRv-?gE@GZW*1M%q$fyM#TRR(j!X{ zM+gErG4Y^GKM(yf(-{jOl7b*$p~+yWw;bJu^aLbC$2bg&Ujrqb?!N+4fsBF(SOV!C zgcGtNwLU)NeP-Hq3@z=7_dOC6I7wBol@EHka49CUY*IudOs@Pn4CZ_&89%TK8*4Q( zPW)@x&ZE6t1_l=nMzkDA| z9MU9-X-vA7HU`6B{363no9Y*SiY8qG4H0N(1qO)%J1uPDRHi~`0O1;Jj0F_5^#g)} z6b&X?+`rQWlFaZ?BN&(q@M(y>;K2N+u((N{+D?}KDMGD;AP8YyvJdCJekL9mnlCg; zW7gZHT$=4Q4u$R&!LXnTk=!mR?^Vz8CN6_H@Q}PwkxeETq0pzeJJOKQTjY<&&gps!L3|%?$2eO-86EhGloP*%tj~%RXB4dS z_bRBt8$;8H{GGTJRLSR$u&Aj(NR0vuQemjDGOU(wBp{68SXKS_DV;+VL-_Sm_1+NY zDIO;kL=y6G(Lpjfk~2!X@MgRsrG08gCXYxCPy>!T(Y%%Iw3Fw&(&@>$7gc-qLQmPC%)q_Rtn4*Xc-3p=`_ZUo zofXbL^T1ha-#fk_xmGVebdmwsifgNt%0g7l_ICb2)5I7?b%y?NSb7!XuXTggNRquH z^i$te(sJ$I?@K#V&z}5EOJNjM@RK+8P2!VwWYZvrq%y)0)#%aNQi?rX@R>@kRZ~6R zIo@^*FaPR2VY=qyWB+RmwGljwn4r+~y7p(D`iezgAFa3n@U)6rP#99%lEyZGX<=DUh z@4XYJhFnhwPu!7U4F!OLq4VFxY}tE(!J6l7%igNrKfWa&_tx^ZKB@w39XW?~x1FZ8 zvH3@iFO_-VH@3yP45Aj=q`ti#4yQ`djQ7R_+d)x@gE4*41FknAqvmd_zW!XxB|SQU z-*Mn#?+Y$lP6^qoW>yeE9C`Td+$)2B7AXz%^5ixA)|rhq@Y!YCq@aSTWNxSM-AZ-3 z&_K>X?Jz411pwCww9^jou@ov$p5eAeI-HO9Xj}=${uU4_BpSqn!?avdEXRztD>83f zz`9*CW3-Pqa>)F=yISrv@~Z$K_I;-;FmT0s=|t3*?`}$$15`TJu~}bYB_I4wNeSXi z5Tn9{p+g+dsTFbFd9#h0qX(T4Kl1Gm{^9*#qREN*JbAb5z|F2!D)-WvBR&nWaMG_2 zD<=~8kl+-Hx7j6y=(?+3eEZqZ-{Uosj!RwLUOJneHS#?g-A1%rx|xwjpTi40np>i; zQeIjje!dkXgO~q!PiOAfk+`+7e-KA~d@9I4EDQlg$`i~kn=SyPyF+GO*29mWj3RZdARoAycc6~LTwOO#Cw)XV|1-W^Tc68a zGJ)1M611QpT*W59-ovvcRgzU;9%no(5bo1~&-9+)2^p3@Re^!H{BVi5CyLCmlsI(` z9Gc1`9AwQX$z4{G474W?WsH?Zzy#`GOY`R=r8w+xix8ih7h6$!5P*VWB3jH*@5+tn z8I-!lAcmZCKNTKQ@y~1drJ|wi9)$p4nh%GG_#mKBWeo?bWMp6R4DL;EJnyT&l-;k` z$7KO!Ay(GcN~$-mL9!}G<_~D$&38W7N^NRGNlukkF0J#kM5s&9#Q#8W41Mzz$ck6Z zw>Lav!$GhE7i;f~NC?Z{@xg95%+{HGRgf3=jc<-xlrv|T$E%sl`iz#&%GNvMh!09x zrSQ$HnOn)x`dei~6NYAabf zpm_S9&=Ha{7lrqTn&!+wi-7P42jMB`Jkk=JZqaLh!${Q$z$eFTv(O&j04k>U&^~r~^AuEGjz* zwdWp)b;?5`ZNkh~(fYzjYs*IW0V(N>QW5s2t7}GmnstyWFBVq4GNzH7R#()6>2LDJ z>Q41tUEHdb*(bM=azVfDnl8eRH(Zw(WD{}^7+NuZ@6Y-SL6ukCT{trxPJ9Z`FWr+6 z$B5VO;vQe_KmMhd1VLfnPxzQVM!f?j)}me#ZhZ|h0D>;tb;v|x7t4_&5IMt{sV#Hx z=BVXB(=`=7j{3>_%K;tXZHNfr=nWH5f-jK*nTHNu1N(VLp9^_bBHSuQTRL0{PIz}d zDVvn#U1B9X+$2kUZ6KZ=8c4ik)WG2mL=)enpX~x|IgALtJ&|yKqOg#*01rEm1hf=HlLw`lpR)?C_!nS>5ZKPr9~&A6;bi$)7r=Tk+fETCK=Ew__dP z?TwP{YIm4T4QC|9;Q12j7DATVL^&!CbNa9#Lq~o|og*dnr1JpBc*q~dU zf4Y~EyNoeJcyY}hG6mNhst%8y136{&Nxz-$nV}~HsuGWW=aS{V=U-;qGU(dSf#DCS ziCdhIpXgPmEGPl~`Z8ta9#p+Ga4zt9j@PqC?+hYC#S{oZlVDtaC!xVky$|h$vG4AB ztVUaXl^PA#INH=PEux(R*JFb#ZlUG#roMAY!XeFT7iQ=BZe|}pLL`-T7)4xlR?Xh> zeL}3=;mQJ!fuNr#?A(RtW)A?d>2o-_Gv#AZHTaJuT`nV{Op#)8y1h;;!FZ!fyYe#SD{^<>0ORhLr`5k;E<60wL6|)vXzC+WLc!;U#=&u7|Wg88Sy(r|16UAA)xkh$3)KllLk|=aIUA%iQSzNi&eN zO*!Xl(u9v?0d^L%y52v)7Ne(6YkN6JwUE+jg9cw896Vj19n6K|8+nA?5e6eiA0Q|% zb!b=WCCuQPO}rO;Om>R5U~O@yMR<+^wrKUww1#{&eplaoTG_bZF?)wKa-N@{OuLiUiuzWHv=?a!+0j2pO8II_>A? zjTb`Vr1E9JH))5pcbme*j%yfcT#7sS zDRPH5!cKs53kIFP$GJXA4gdCk@vq$WFV6M9k#qgZZU2wC&Y$1-pMSFV=kxjZzxt)X zF9m)n@JoST3j9*wKd-<)&bfZaB7a37f5>hB{_Fiux$WOF*MCDe|Ao2!63tfn`<}AyEGwPiJ6$02c%GnyJNL#H59!rEa> z%<7&Xfv-TbMorpT z?R?Icah>EdD!H*REOtpRDk?MMl8(t2W7Nto;SheFiKo?DNZMM7$6jJfih25Hs zw!QX3SV$9G;4BIIEP)VT9ST=EGX&Zs#G*A52cj`JdMd0zq(L>3MO^3v1FS|XalN2eZwb1#WOIRY3P%OzTcdBOnT1GnA8GN)uQn+q z7teG4pc0FBmTCKlm(26tyCq#ZnShqFr4qAcvtjPc3if@x;@j!HkjMr>obiqL^fRG# z;o4R54MaE>(QKp4?2<^ViTb3r^JUkAX-#PkJ;*c2=N3;y*bmP!m!qY5LQ0XDLm!}G_Jy7w!lY~S>J1u>iTJ1FR+bRN{vHHoOKqEk zLAj8pNdSud6~vOAiY@6*IMxe~5#ND8qiVFS-}5hRP;DBz=DNgYcWv1WSdb)x%}g9VPBdg|cD%{1Y{LQb;r5HE=M zw(|<-Z}lbj@8E#jB|z0H5gJ5|8y3o-KNBd!0;pAoM;IfD$N;cgx{z&HJHg?qw6v9T zbIn76`h1y>RAS)yXl2{jW;u_Xo?5fX$dqbyq_egLmaiB!TWW$C^1?LESPvDfN{9;r z@r)U)nVUl1LQ5U$CYYL02);6zY^4(X`GlThV&cGzN?F$Ij4P}>Q zXLv~;M@b2il77ive+@u6=v}SkF0dW^L?Aq)r<^0PeBxm2hIzm=ItXxp#+kIhj-;Y& zrMY#OV`vwgDs2zEPc}xeFdMQ-GZ)ti?sRDZu{jWIxdK5d1_743$Q6gic|~hHQKELP z*`9_i)iOu5n<|tO&Me_M>1cxvwX&3BHuS_F<=?AF4s55Xeb*>x>{y%`{{!kMpY7mC zaI#uF?qtBcN^#c-!{T<%xKU56mlz0uo8^bBAjM9>xU8VAVv>3Nr%|!2Gb()0VLnOO zHisnh-T*Zcu?K3#6GvxhupocTNN)`#GrdGln4q|$Tgl~hC24k`ptH&-?qr;x zM&VA3x&;FpSkoW^v}YX0oSh!N(A{g3t>rof(PTb?0k6&^>~wV(l<*&9^cNF#XD|BB zB!RwP#dp=vL3fQ>n)<{agh2vJ8!OOGRkpOK#^Q!wf=^j$`^`j2qD7a!cm`)LIki}E zQ&)RQ%Il#6IGy%ynsJj+6xs1c?B(@c^yTcb^9mK3kFwP=yv_fO_GhtKTg6y`WF{0OMQsTCyWV}Avrp?@#Wr9 z3qHFx@qZUC7ZWIoDZqs&L~MX^0s3lFdMhox&wzlxhl)VJ-HA#JlfwDkoCA0z8rj$9 zvezqGT91~a5{ozc&f;B&N(7t6Pm7kj2#Ylc(qS4LtVh^zSew$5Q;JGTq<60QI?@bo zJcuY!gdTA`RNEuuYxI>t;5ToClP+R)0*)Uvx3FWyb>SI}S9ho|7R?R(}j{ySn02j9VxiPp66vzZ-^+@9n%2^TUuQouz`g zHGM4~7|+Nb^%K(rw5zg{ujOsjHilU_GA$K*gRH3{0My9ZxOS?0h`DTX;}}_vh>n`% zhZCZUyM{cl+}rXYQ;#MU4Vj^bhT zXq6=?b1Epz)>dS)@iThpv+4-XRD4;Mr7Q--U_(}iT6t@AeQPebX+secY8Dw4c_h^p z@1}PXi3^)6`m^y-hRVQu@fMuq+vZWmj*N@B#-|Rd*qAWKy)(H8 zJqZZ{ymvcV!M&X=7&hNXkV=>g0irfN<5DiK`xCHi2@Jw#&m&J{i%JxmN+hG2vvDdobBXu3{G$NH;L6lLiwBTN+04P92oWVY?6K7bGR`>}J20SF66 zi18Cz0rVhfSn0Q>r0}^-16wU8hN0VMD)Yt@qzIl+7pREtJwKhZKu^k@rBz0fsWyjz zR-6G8zG_E@w9;1d!1N5T!6OKlKfS|82=ms`ueeGh&f58mBo105$B^KPhb86;#&?q% z5E9~u4jp*oOcZ3&n^&nL=+5g$YHdSGxWqUF?TIpx~nO{ z@nL*IK9w5e9USl1l%~0lf71RYas0!H>hah2;(0U z7_z4zWiCX``zMcX3-*uRsMx2M(jwWW{08=rVxz>A7kF;Vr+e7G%n?zH$W0bV!!=#E zfUMHSzLncBc+H7)^zQ(HR~Xq0G3QUoYc-rowRcnsi)Vpu;<=(-1#Zv%_r8mk^D>ul z{M?JG%}3NbX;d%c8E-@dei4jzRX-*s9oYF zhMiEia0`;gq>tm%eu{#xJxlECDL{02@SjKOI_LvV1L)?Y`D96VD7cQgVrg&kvG-%h zM4HXe1gX@_kz{2a;O!I!ep$DbyxJq-ui^pz%tu<%wDs{_Qv;qBH3Ti7aX`*|uh_j0 zIV3aq`&&q0^2CXEWiidJ|}1HahwA80yCwsh#Iq_u7m!L>Nf=Fp$?u)R#$! z@dJOZ)#i~TuqB2{h*>l{HO->cJCPE=as*f@x0bCerp-=4g}~9pW@OVt;}X*4jD2Tn zi{{j|?xVL@gsh9i!AKbMez$vIZ6di;Di0_tXiSzozv-3L);Wg6ypdzM$1?bOBx(5d zd8V44F)l2tO2RUCT6Cwsq@gME$VCE$nUvXwU45_eJYB&&o8 zhZgq+iB#erG?Ea6Z2jLbKT}KHJFw-GW7^w{63O`Gq-WEE4@m7Ou{D24XCt*m`829R zvtUQM7k!a?X$Zq^>lj2+06`UVdI=aGRB_IbUB9h^O6U|qig-LxOptr za*~v)=(V=n`Xy!txb?PtuC4IV$!#}d{PNW{V)cZ5-N`>*hPn19XTX%B!7{voprpMM z$qjec_&0@uox;L znvU%-7EeUTUh?rm>!f)!y1eY~m85L9T&TS8dU>@qyFMLQd#$?v{(y$y+PW+bsuRzh zMk&<2Q)HK@JI^lFe3Dro3&lE(1(RAp;t3Cwkjdn`Q2PBGHEMwjy#F9mUoWL$Cmb;3k9LN3O3DYlc`Le1W?) zxU%@SOlzzm23E28ohK7$gcT1$RnB1^lB+ASu@WEL^Gm)yc+?-SPYg2nXSf)>qE5kR zR?a?CA7)zQ`#ITDmu8r6^=O5l8*5DZ+`KI*=&bg-X|gdd0KM7g3p1={_r%yN_kcWg zzN6^@P~De@{9VJtAA$hC3=h8y5C0nt55I!F|0HL?e;)DtlP=_ce3)Mf{8HeT0>2da zrNA!*{__g_KNueV(7*FX!^2;f(|`MA|C`N$k@XLb0>O{zbVDnLkLWHl-G7toWv2Uc zu9unaUzY3r%c1}3$M~lk9{#~x?_aL>Z>ErcyXAq6?zbEA|IhXQ&;#SkF>5JaUb4#0 zin&5zL@SV?fc_TuIq3z(&EjL_JnL;9H`jC8cWcfyV;3Fm>1*vjpUz$qoUM6V-``%q zL!J!oZKz8!c%^YHNNUFVKSt_XlX`z2ski>Gk@^%}lI&*}2Fu?@>RXd1Ve?;|uOD37 zO!M=Z?~0R_Rpyg5s#GG}o9Ua@#2nsq&8U8R#AS4B<1!d#wk9QLegfOyUYP8%qif18>v5o?}Yjosc#3hdmsF1Kpa;VE2TtUps6c7g-QPTqtjnL zib{~>nk30+DiU=e%u1R16J~pSSj0DyCj8<9E}&y?oHs@BDJerdbuDZcp=Vz6OWB*U zz4!J)@f)`I%ED300B+YgFF`16;GP43_6EOYi4@0Hl0+fwpziS1REJKWgLi{HeJqYw*YzuCQxdDLIh3f8uq9W;d z|0Sl19b#rK6-iha2esAR{T5HfN*>t!=4ldk04*VY*<)qBi5VXfvU;Lrk~+uZxgx`o z_sDK+)0vlCn-QpmT*GL!qRBNVm(0tLCyUvRcwm0!VvFRdTZ#EE!PIPfch&SmFG7<= zPvI#t{#*#@6hOUZOWL5I5I=CrqE*C;Fv~4X(?LIizG%Dla150zuKd^0BtvwU51ksu z4vWQ@b+rRBQ(v)%B!Z15u3M^= zaH0_`Cv~YMA)We+Fg4r-nwE>S#ZJ>Dx#R)61)$pabDV9Vg#C?>xRVEkyx^y<5?mG# z|0E&XNo<7+wm1fVu7Mo0BDIFLs`7=E^9Z7cD%>uIUh)VKRcJ|lpivVJI??BE^fQZ0 z>2?E>xrn)`UafB0LzA5&C-u#*msPf6W6rYyqphVnb`zY#i?KnlB<(WDyzQd#Q+FdR z3tYLbH!Cly?xM^v&K}vpd~?_f#Wt|*CM+sd3?us z9Z7p(OkFZI*L>HBLVt!NzD&0@QiLlZcAZ;)g@!0onnxcD2kBFBRwDc$*{l{@bTA(I z-91u0C6_kVfolKEPCf(>o9JLB(?Xs1S}T@!pEraaxt*ugq`3z5a!koogaO7r^YL8C zh1SyC*_o80ng5Tyvka?a&(=JI5ZnU6-CZ`ian}TQcMBHWLvRi5?(XjHuEE{iU50b| zbl=l+d+zj|xp#U#z!%`Ds{gKf_okjj{nq;~`8jE!9g~7$BdI#O!Gmx!6Ke?>5F4D~ zEqTkyO_5Qo0napq(n)#g3ETKDA*Wf0Q-Rn{Blm&Zv(5qCNO??)7(znL>U*TtpSn;e zoGv7`lmb|Z4Ofe*4bu9ZU9A&2pSu+r_?tkU z$xTptJTd8o?FfdvqC10Oy}1L~4c>RQpP(Xi2`Qxzbxu?H^F|EWw&e7Z%4`^?knCfa z8yXQuuE}a<)+|=W1_+?qie8|y9td?#%PyI`Qz=B~==*pY@KTa42ANBC9uW zRClBlON_uWMFZ7G**+*`ve+`V|A08n$ND|Cw1klsbwjyMU+7@NkEpW7^g1H&QM)wO z=$sY{=PZUMKh!N4bK&lYBi_{yuD5)XpvBtRayHlZ@hLP=rqYXxDA`ceJ4q@%Xl1WM z>aAag)Nf3uNOv#Rgihd>HD${c;X5@09PmO0L<6a{D!^G`(avgB}S1Jiw>`HU2Z(+1V4SJoAIvE4XZd>|3=^@-h&lsJ@} zBvBpAFb-a9e2n%oqNZ8fyachc^v1Vt;n#Y6T!Q`%gjeQqGQaLWF$t}bdN;Ma?5;9T_-{^_zp5@M< z|7=g~y)>qv8~=@y5ZXK@Pa68;d%p8(4dt3n>)b4| zj%FPR3ed0*as3pOyvq&+CXAUFR0nZG&m|!+{ir4b>3OVcMxGak#;Jvkl;;LGW@+hOl1k z`q6WOyp~!?>0GH(sc8UzdHE}Fc%56%9<0D4Q>02JIcdT{t2zk&g??9Vn1{YeY;Uj&&8h@ zH5WK*B5+vX-V$w~g#4Myz^;>}j^Q=WsMM0$%Tk+~q*(GG zrwyd@vj(zTe9;vWry$Y|$H0b8!}p_;u)Qw8f~U`?m%G9)xVqwxXV8t=Y}5+)JRA`O zmv5(IH9{@~qHci(096PbhfZgV8|gjItjak4>DKUzH%H>iS5Vb#a#(KJECY-b`~jCHcIsICN2{ioK^E+3<>+hv!!?+bd%6r%*XGg{G*w8oZH6rpVKcX zD5%>E&8(B2d`#345Eya6k~?!^t}>vkfht5!OJMeHA9O@RGr!C90km`Ybk){kakmyePL zk5vV#Yi|;%@oI#0Dkcd*tjIlPMRJ0_79~6zQ|H#U&40b}oi7fNJ-ars=?soEm6#=i z7D=kQweV6-g$YtmU-D0kfJG99&JaX)BE$DDM%}^crHjY_c^-Z8&Tg;B1eR}0ai4~f zP^hx9Gs|hJO-7O|L|;M&Liq019P59Wwn_59k4g0FDJl_m!%DMQrzJ0eN^+mg#%Lr! zZivtq8bCuHppd3)ora~JGoAZ#MR8f*un%P(?BUAQ3&q* zBC~Y^bhOcX53_u%;GW|M++IiT_pBGR#SAAHC?^_|%etcDPvJmz+FISm z-QA=6vCJI0iqW(4R21^iCMTch$M_@H$@0n0b@ z?Z`Xa%WNJn2lwD*l{$&{bVVWE==GKKy_=kF&J#oq%B@?>qh6@Cd#%w$h9AZ%WDY$l zHq$XwYrJCS`40G055Ms%vp!SIL_z7uC9s*pK22WI0Tr9yM)T~Yn6zSl8dAnziKI_O*EF3GbS8y;-PY@ zoed@~*oq^6wd>pOpO*(pL8z3eU|ohyAAVsi1h(5Q+}`hbk?Ao>#$@^QZQYIk4C7FV zK(!8U>70cTtQickz4l(b^&p>{$NtE!5kboMg5h))tWosTK$5>0on^Fv`s@yGA>hfn z%3M%w-PO7Uc4bF&4*H^F+^>}h20iPFJ4k`tvxw}L_jK1`V;GK5*9+}I4-JkosXt9j1`x`>A_39gA>05YD@6Xp7gMs7n%zK&}xR z(%pN}1Yh4e7DUH;41-ttc>$Dv7mUw&`DuV$5?Fy!rIS-iIlijGa`=D(90%^xuPw-k zaBAT^$r0ag^&TTzhhzvV^?Z1?lQ=;>-`qVRO&+}{Zrl2D*H%vso=7FChh2r%>-POH zyEr|zM(x>o7pV8#`?%zFqzQ4|%0pac>an|T;_mXpI#$awNA43=Pm67S>PuYU=lh@B z#d8!|C791l+VwcDB>UH`YWRD?&cWCLHiL}s943Mdzj!u=F{qgRW3K( z;x|yHv3E}cr@{CJRa<#zTdPVvdI{XhPfVA4wSZJGx9=F2$Q(v->V}RY=qJW+!1|VAK@Tp<;IJeL~j>tnUGi;*}(ePxPm?XzuW-8c1M788&U4T26PsfCBPmXfjPuhGh%aeC_ z@{$y49SG=UC#X9bUCb;3A3$+R0=VgGA8|bqB%|USu!_URaqRXQK5{>Qw!J(%FSnpv z&>qn%l$&uV=UKRHG|AL{I^CdpV;J-g^#=Hcv=1 z6Lf;dSZho9NTOXgp=ol>$V7-olEGH%Q;@ee+V8Q-c{~dak%^?ElF@&VyXtyZ<_f=e z(DnW#_Bu5U05+QDc=Pf)nx0GFHQ*l*e`fl(h=aE%?=P16CWcOeI<^K}|34D{w}^xP zW5WIS2mb3B$KRjN|NGzG6nInMO@TKB-V}IK;9sx6KTZ7qLWuvM!T(15|5CmGUBtnk zIs^Vp{QrZ917`Zak2qka|8I*p_{-A&UBv&Nia7Yo)&2{4KjUw_|KCR(Fw_525eJMw zW`@7#{gzn$(N7~<<9Ll|+Lg-)_>gJo)QP@8MQZ0rd}T<@Qm)euJ+d0I#Mgj>9Ywp! z#0+9eMtfM$edk}zJKTj0_3RIqTT2T{rL9b@4@;&uqpd9}8ZGyGOMXgP%WjXS4=XQL zEt*BRT6^2Mqd|D~LDLpHm`jJtwAQHtnWtnvh%U$p=o*&I=flT4KBn%fm*Oh0?!%r8 z!^bbE-%aRN+;&}07Ph}H+qOJCV!m)UKNK>#?Zq^((p*0+(u7P8T$eP@n{)iiok<`= z(o4RBc(zmwO{6Uw&G5zb^Lv6wXndLH(%_t@;SB?P=@M{Ry6o9bN?h(vlDpU&UO&o^ zRfnicyEiiggRaf7R#Pr^HJs)sem6%Pv}qNvaQ6_^Ro6Dh6}~z8SSy@3(~gY4xZM_`9&gvR>Et% zL8LkPPAiS=MTtrs_PuwrdMW`|q;q0I_H{E$(nIfyF*k2r{~m789qfIAL2)@&bK%v_ zSkp6Xn{%<=DgIdFqO1Fp&KYZ)7_r?3T$X9&p zexl}`{J~h{vSFy|yZ1#~=%C&zU)Ox6Tvl4G3dFDU&>B&K4} zPnpU`OQ_?UqMhH(3g9r>b{LwNwdY{kT)IOSw4-1KQ%5_S*L!=mHJL&0&wBpwF)b70 z_9Vwp3)njtb(`B(=Ksauu`t((KoVaRqSzEIdO4st^kK>RC=(6MV zQfl2EX>V`(7I_p$ue_je@~T#iM;%hrNfF*;M#ltk%UsKY37HmA1Y&){AdQNGW#Wc9%<#bY>cWzs>`lh6%{;yDlD|!8)R44X zFk!S&wJi`2oQj0(vAW6tFLL4;hw>9M%nLFL!L{>k$Tg_Sj!`}f$WyrSl;qFIa3syk z-!07~Sv7eSWDl+R`Y0m*!(*2^_FRh@bmZMJ%RTq}M|K08fW(6LQ8{X=KIr32krOTC zd^&jXUqv-%AVYl41eHIiJzTY`1`MW~l^&3xO9nJe?ota*hogJO6fhdf)cOv>C zMA*C(OidO;$W5eH8oJ$rfR;YO77v6* z2i3TrMFZeO}_|Y74(YA569+ftXq;P$6isDsS zw8*l$1~yN5leXUu3M%@h3RFKw9q(JqRY`VMg_U@`n=za22E|IHE&QfQ+jABAO~jkmDM9>=!dl; zt`@&Fn<>f`>=D~CHa)Zd2Yez!ZF63@C#-5H{#h_K$VYMMMijNBxDJSMxlhpnvAWxw zYX-z81zx;3S1|3Q9z*zKl^F=A29p7*uRcTDeI7~@A;Vd_D_Kc9Bb;sRFLXsj55^nX z{MT*X9}L)5WPhU9e{!Gksuid?-c6=Da2j<+ozclkA5`#Q`Yt*iJTf=hM}r~q%|TRl zFZ6WkQbdL`2MsM9#u{7Z%if0-yY%;?l5!1)WdjoBIhB&AOq!^I0A@$F%pJ|CkR$}f zYVgTH6*HhMjLwv1O2WJi8;9vHa1E1=5((%Fsq9J+|INzqFopnUX633xKAiCD*Hri2 zW{GjsZ!t!XB)@F)x-u`nT%IG)ZLx10s^jXKrY{B465-~xXwPYy{qo9Hx^vGOLdhoz zf)Dot*|T@!ws!7pexiya-0jWu$7E=IPofHK+}$`Daw2Lr>5Thy4zf9Sr+^leBPYXJ zo44VQP%2x~r>rAbMvy;IyWiZmj=ivtkb2TrZGy+BiYeyQ*K>u;E)V@}mTib-#wqS~ z&O~l=OC9F>b$D#uJk*O|+62XKEuoQPDDZ zU2~k0bp=~4q*>###eT&$DqdwoQBaEEiIKD3c7ROu8RlbPh$j4AKzBXSeJ67~g-d^w zP-!e|NeTxn;3rvp>`AqG9N+L$SL$A233T?BFBZaA8imMQNjbVYku7ye%UYg^Zg5;K zA+^NZim~TQOu&g^c|rnq&(Y=SJ<1MOBGc00$Im9EIZ~-|`D#k31=k1nOm^yf4(wF) z?(Q1cH!vw2#n2!+HIB4WBtgG843{3O#xzUp`8x;*4}9|riqYCF2Q_+v%fpaR51+x? z#*J+>py@8dLo$AJe4XnfCbbt~fi}Qr!XMFMj&j45aeukn6*)WUn=1lQLFpX*q-Pa1 zs%77_Y8XhSJ6cayo3FwNnrAmXMa!YRJ)i4X2PwfQ4?9U4E1@suspC_DPX#D@G`f6l z&FLe@Qd}okXGr#UR74P3#Gc!I-zE2Gfyk-__RY-wd#>uFY${}R6>3k^#}(7$Tz>3B!=p_T1BmXBpXp+-7*N)trKe^w|cM4p)573c((Q8&{3&`5upY5CZR9*?!Em=4X_>t}X zmECMVbF+RP$A6L1A)$}v;v16FsZBT)YhY5Ut$&}2W6|sBxAqm+tf+1d_CFnMP0CB* zDYjj)47#R)-*Al>)g-lSrfID;UZx{ob(2{;vv(xpSe3$?V!NN>M7y+- z-7dC^co-yUmTlNRLOWcS+T?^Kqw+arNh$Z0#T92W3Yh^IixND)S3rTr%L=@IRVy#U z?gdqW$%}G3BQQfOpq`OVm(7Vo-S(9JJBmCoVav>`hBe)Hjq;gFb94)16OeEb2|Ua$8q1HCFED*TNRt zmv;3Gv539=X9*3GLD#ocQy9j_wzTSob6*41@2*D)6)**f6YP-d$q~K8-G|wPEM5q$ zHny%E$|AiumN1!WMhAmt3x`h?yYWJ&5kf}Rx(3OxE(D^7Uq7Mm4T4^wBgH0YFFghEl1$&qPi` z*njX^{tmaB2}HWz#D#9=di@h0BYUb&hY)*AbGF;)l^E50y4ax88bFARaLq1RqjAdL zLPUN%RHC2v5+Sp+6PU8DP<%}OyiO;j|6Y+dX$HQW#s+*4JXc~0wEsp->cfyT9M9E2 z?X{;1Pohg%qXHZHE{DC5 zSJgM*;k)A3NdK#Y9nW>-)pcGB$cz{;Bf`zK?SxnwA7<5du;XwuV*Oa)R9nV^6MpFG zoyLOGUO4I^jQ)KE673jE#vRGwmw(hTMmx%(g$2fqLW7O>ubUA6?G_|98mq26X`hJz z$+4@aRuC|dm5dCxe~3@t4AXD;^#5~w`evB^FXD{<`Ul4UMHljayv&;dZwkCA@TS0< z0&fcZ>lOG<;L|^0(LdwUe`lEfQ#t=9e9G`28KxQjC&M(uKVz6?X87ImODAV*ZKr1| ztz-SWHJceoCu?c@+J=V@q^J90pl_nXZ|SJ^+lw;;nP~w4d^RS4#-DQ0Up>zMmT3Pk zb5Q>-z`u~Fvb+*ihTqy)|9&zu^Y8E4ALsSIc2F}iG5u=Ky0> ze~|*}2?8LQEBc}awU@&u4O#LPi}q2}jKuueZM2?I;8-?_8p7@?ttLaIm&gQb> zUn~X0%kNHgudNlsD8Y*G?z0dew0(9TtQOv7zuKpZNHnUV6sC+V@5i#zYfVq(5m0pV z&6S#ysI*5%o)nu(qbB3saz_*ak)U(uOR2nPH(dK!~cY??a zRJ;nCBB7rcg6X!nq>aIIyLcpee;f_H49aCBcX4*tI7jE>d^;}ca7LHW?-qc zA~Y%VzIGP(+^G;Wfx?x-x!1pV9gmz&1e-n)m!9FTs8*KWfnA;YZsK6bD<)gF6gC!J z`?DkqxPw`H+YobC7PjW04>}tf`3_>_Q0Rj7o zf%w<#A9pno_(2XdsVD{Ygx!36>A8`?^u2N_LlBI=10O7kOlGs~GpraDtM<(0Gejgv z*U$$(eH0|r8pyhW8`nP%3DfL;g=Fl4 z{?o5f{ji8O-Vn($BzYflj@U-qxiBB$tAGd?U9Yo|odSqIfw_cwHpFRl%>N8w*Ix>N z7wzG1K>`>sGcI2m_PLP;Q|w#}iHnQ}ZST)PiUL^yuZHP?2osrNMUKeyJqiqSV`AZV zgn1v;$HYW^@xMVSQf`0UgK+HFycqzh(VYcFfjrU6j%+y?bMk|GYFoL{1GNU(11WU+ z=F;}I4S7k86UG;w6$sf`<#kaL5SY!iu}e4t|O?%Rq-X<#V(FQ+i}Ssem|t zEuet2Amle|^pKw67RcaVzkf(X3&CZtlUZOzJK?d#jXwx<6MaHRG@7{ZB>|Od?(RZ! zTK97h)yr|SzvB00qWeA!H)_MGf_hH`gRo=>yiq}S>_puo5~ZZ4^_j()fV@FEL_1NL z?Yi!are1g6WY}aEag9%4>V2L{u`SEHZknWtD7%vMnnSimUa;v#rJ~uvJYflTQ6UzJ zbWy?g$$BU|uuJ0DJhOusW#KZ_%QqbS5Po9V#K#|WU9k(4cwcy~ehO}oR;3-8YLVWz zqiw%I59^u{LrtSC4`D;!3&jsYKQUPeHPeu@^jNo7^OD4;0iA>3*PpCt@K{r?t8V8{ zpRZ9UInIyPz!^lpvp@i^bNT&QnHFb6(9#{gbP(c?2;oF|vAHuGZjGsj`P^Vp5181)kp)9K_ht1t*^s4VskR^Z2b zq+s^vT*8)ASf6qAj@=ma)@C}<>;oB*r3>SDsmFN(Z4+Adujjej=H>&!Fb|7h$iz)E zk*L!B>p2flyf!N0s43fZQHe4a)Qc*nDe5ry3BJ)UCFlly!a~b^y!C|3@?NGPionz_ z>2>epJ5pht$LthY&Jb8qYtkigUZns(CW2cU880Y3)TUKzr4LeohjccfGWO!8A0gb3 zGak8Nz{<6B*tq&3t-uTt-`=I&liLdx$tc zZODZA?-2{;1!Ie#C^e8esF2g*22g3(DsSaGPKuGin3BOHxRw1f#S}V{Jl|J1$j zo|)27KIJ&r$}i&5IJ*ZmiAiVDRmxXxZSmWK9+sj%zkB;S$6lXiSE8kUJhn*%4%2*Q|PI8u24rZ?M>8qS0 zV15;3(lf(HKOLAo;QwlCXUTjwcfy&>tD5i5-0l%IVFfRg=H%2Yo!%t`2h+1Ln^N-Y zVpQaunuj!_hd$#K>iyubCr#f>=I#U2_7O^)_2J^yfzP z(t(P5U-Zhbf}n6iJR|4gTpyY7vybP2p_)_YU8{m07uOPsqLSqYbbnb>+AXHF zTNN?DQRSH=-G-N&MgoV?ZXAu1Qk$_wsyWzx%7VBZi6(^BA`GszrkHZUrpvIU%#~?% z@1Ete931MnuSy$x!XG7xaB`#>P+53H2um*2Q+o{1mFqORrrkOYwF~!WX6 zr)!QhAhGL5CF-Fhig6_dAaZa=}tkT-pgA-N~QT5A8Ocgv%!TTDlbYsw(xUOU7v5VPSi z=m7oWESQz=26x+LM-Ss?vO`v@0|xA9pxKt}ae^q5Q-)RS7%eK@U$b%NHLOUbV{ zpKNu^v(Mgy*N~NbJEdM7=E1BsSgZLa9Y^%+tLlM7D}z4Ioj>iK1F_|6n|rMC9%I&T z`&CPDXss0@peyr)^sekz5D4@`Q|nw&HzzGJ@1~D07D=)B4ks<-5FfM};7kE(OF0(< zvJmI=$u$W&fj>lju$^SxMX@8u^=~6P<(?J51kh}_{H+l4m?}D#5JkWRy6&zF0v(&J z+@eZtf825<6jM0_O@f$e-uSBv>zxDrmbQ%I z$SpTH5EcH>ue|TOO$3rM=qI0!IesL?gSu76004f+&uQbfETxNOo~2osy(RtQ_G3fd zqil=0WD(*W3oOKdT^cZIgn(KoV~wU{u|&=jMTquQ7lI_|k&H_@7O5t#z!OUZ0fE(i75gL*sMOS-zYp3cM-srofv5ZwkCA@UK_kpJ!~pBbYyM%bytA z@74RCEQ$;)zwxZU;iA8V5;Oe8h4>GrFf%j!Zb|&x6lP|I-wkxXai8A_-s=y5-x1ya zW-jwTrfw|sf2D5!By{@|O#Cm0ZmdkStStC!thB&a+6VmYXCNEx|4jY{}$>ou>t-J^}5u|EjRkYpG&mH zwcbhlAq~6hMYb9KC^BNL4fVt6g~`i)&~5s|T!Nmf^$1 zg}LdYVmZ~N<>7&%FdescQ^QOXb(0a)6cZY zCp)~WrY)zp&o@(wtQSH*c4%5CQ+X5Uc%z90MQgw(K=F%Oo0EUo^U|-T!$f1M#bk?X zkPq_X6wWPCPbe-oa!N=rI@6gkgwu3H_Jsi?l9AmJaXw2RZusD{GkOk1N9Xn)+v!w^ zxxMyvP6H0->g`CpSv+=g}0k{OUG#zGdN=uh<6?ZhMdGZS#ex*+Z z5+DDHSFBE`2S~wWGl~!zLifeIdyq9Z-v9obI4>{&4KaZ>5Q_+3?a$>LQmgdqONk;J zWP~Y>HNs^Rl|tqGs5^MQzpSbgSPtK36O5qRLY!8R?$0szLllH@iVL%~;^bm(k%le) zPd?@OG+fT$ki5-+O~)K%@kd+6no!p4MG$=mK0JsSL9S+JpiDWqB1 z$YDOUi*&sEHK7;{ZMs6NoH;EbXAm80?}KdWb$ueK0*Mt8y5c9iW@BIb&xD#Nv?_#!jey-O2=z}p5n)^*@G zH2f?bvD9=l$!%Qzu0a?8dHD|1)<;J%<0Q*+t2jDE2|@7Nd<7IA`EmSuOy6e?mYm&$ zcz6CY-N1Eer9Ku&i*$FKb`=5xXX&91@Xnw`;eZkV z``5ErA{|&@UASZ8&0Dow&^>ASdAUv?2-oQQtK1BW$CLZQc1@n=Bf@yeg>*CQ+9e3Z zP-p40F)Hb7vLGEh7H@{`u9ujfLtTLkVoCK?8NKD;-ttWl!12e4>x9?9fK zV7;~D*>pZ(s7C3mROBRn<1l=jTQv#QFpM=7ZCtzsADAA(Ajv_N>JwyIBeeAN;_4UE z$Z4I{5(-fhRS`js#)f*WV~PkTho>jCXfY7R>#)o=p~&=}pD?rK84HDbTm}?uk0!0f z+bFb5A?!)qq7S#Pxna|bHo21f*x^g zZQGmFA2H;6fu|X>e(!=BDeZ5loSI|4y|ZKQ^G?mpPvfBUjyYV!XE&YasG_mVWYLaa zTpY6ee#b;T*^W6m9#Lpd#BM0qNsQy^%rJ!=l#PVMAj55qfMq&H957pW-0^vVmfbEyfIBkh5nQ-E}9?eyk4h383>D&VI5HO40oW7Lu-f9M1;z|{L!(Hfv63C1!r=$OtVe| zGvMsm{UJ{j!^a+@zP{qu9DKe1f;A%8MMmqpKuVig?;*}vm?+P zA)LuQlZz0(;j%`%OOCA$r2$pB_BDspE0cyTos^jusw~!1IEy!ueJkfMl&)MX+Gx`b zf<+*B3?Y)GPiii0kuXpJ2tMUiDO=;2osm^iSGB=IEOkZ-?_5~p%#;e$*r1samQ5zH4}eM(XAI%Qe*}8*- z(=kx<*FoH>mj94GTcWfq2+z`~HUg{UEh=569W8)IwCcsMxx1ti|eVTA7WqkzkDsP z%w7Iz95NQfFkhIn9FV#IFuo<#M%I|U-^cfbCAGNX>w(w3tbzFE5jsA7S{1E4ZNTK^ zMP6GuKj#yw*Hc>&eYfiqJ46RaW0r^#n>o)jxgu1d$#yANUpQ_gH~SzLf3um$u+|J~ zVc?v7yt(va8HbP>GFE~xZXEqx26*&@?9~_b+P#@*ZPa*P3y73*A{%|q-GQp`kGNb) zHi`5~g9J*0n_plZR?qBFQqwVr2gV?6^l7`la<)W$`>EoHKT+Sk)4>s=0gKv?t(WAf zo1|x_grk>ay$fE1WU{nmS?GQ$A3hqx%DYWodYnnm5)HX4CbpQi){|$(5PU_1D>Kd` zZ|bM)(M8*17L38qYd1RTyT}3#D#G_JYfT4HLYT6waan<>ZITdYeL#<$v$&pxtgG!% z5Z|%mmtFUhpDJYu84@}Qk}?$ zQ;ZbC3!HD*gYahKXrE!j8amG+9|GKm@k=0cq@c%=ASyybZ7PUvlJZ7E%2m!~`O~zL zk?JA}%(Y1U%;fq~y39mA+u}O~KhM5%yymZt|6HXFLbpqhk6SIUrFmQgbsfOy3$>9xvcX$-Mmt~StYJVcvh5}>z8jNUb-M?Q+b;g?f# zo0WgzD=sYHp=A&arzO>KIDNd&@gx=o{N$n)qUSh=O$29KO12c$uosq%DXpGs9v0n( zdJE)Y+(K#c9JTWW(TadiY#s{p3|cHT@}H&yWO=7-mKyjo(=onRJmWVOJ({)e%-EbP z%dP4>C1^7;NwAA7qgJ4$E2}j3$2zFtk$87%wVG!P6FXqim9yT$E^F1>zmNjK@+pEQ z)FZ)Y$vsSYKfH-f#FD8YACK}*)szS10lhL(xVW*>QeXCp)V↱geLvozx(=*^HG zj*Wyhp=pLK?SOn!vRuE2HD&A~0(3GJ zg#{s{jeOg~(!xO;>%gtJVNn*gvw8{c#(^K($!CQjA~gQ|ZM%Z3B}KVH=IM>F467Mq zSqZ;NjhWd`{`0oJ1a~ZSaGkKE#9rPkqRns&Zl7HQtk*e>*9#5%eVE=Gb+JCL9+RgY zW)&@vMj&+uwY9)dn8Q-UmK%x&d=5UV*4B~@)>)w|?;AD+@vdG?cl=bry=iUhR z3_^1-vM{SPU$b_g8_mqpsZfr+c+XySXq{5>yo6c;8JC+cZ?|Rtd6x^V5KVm`XYI?C zu-jwXu=uiK!R6vh2>W9Bizdwb5?$F$8N3+4M?L}Z#wdTJ#;|i*y^vWXEx6Qr=>Wcn zXV1joEyZQl5ER)E6xiS<&PyAGD=LSPJ~BxNVdm{5Uz-PJKuBbpDv!6dsP8P^Ng2# zdH8`KTy9YkeUG|K&z`HEK#Ag7)>OWE zf!n@U#(UXwCd}r;nZjmHo<=XjH@QK#A_)657aup(&ag>C0GV0D+JNLf^6}nxGQ^p! z4KSeil0tWh1p}~i(0&bk2qe+fU_p5UWuJSM6W!W2|oky=hTzYp} zpy<;nC!k|E14LHUDG5_xO~n)*nlp%ZTT;-}XX~}Z`Aqu3^O4_YdCY}u6z7;p25O z@#BJ(TeJWRlFlF@CmSt~T}mSs|ByXiXJ@+vbc|WiJA~1#t)}LPd=$UD*Q8g75Vih< zj{_~S?N=~?5v@h!F|_v~qF31{B%E?8e7el)=R!ghq&gVlMZRUe3F|ooEr%i+t8K=? zJ1@!#whmYU$XDd)-tOaH>wcBbfL88qO9f(ZTo=6M4Kny!|L&+f2RpkMr(P= zY$k_zZ4IFb%4CJ!a|RIVqqJ<2SkCQI6--x~Z-DBiHI4$=ycy6}`VaZo->D23SNJhRD+G)v+8(9EYDwCq4Qs z@ad%FrAt^11$#4Alw!l>r$Ic{c)h~n^VRU?wPnc*WY_n$-d6H5qP)<7e20Cy@11=K z?&sROWC)#00*~SitS~+ZPUsdZiBo5vzClES1}$A#@`k1wM)3udTeO>^Lefi4x;bX{ z1!DO5QK{uW%DQB>_5Nhdwej|hBE-tv>)@ubEF*HhIxJWA8o_y;J?q*#gS25%Z`BH^(oi^5bD zR7%sk_xKL3C-sRzU5s4{jiurIDhz=pRk4lYGmkJ^4-Zcs*|MU#_(o zmX2sVtr}k5>qhQ$N}bcw%jkg-^F-a|GxI6R?HvKJ1Z7fPOuekvC(+9IeoF4TjvW4= zk4?4o%m5$IcFAydNJ?X5|KjTb-=t&8(|K7JT=dC2zD5xbYvzo0cal3MX#FU3m>WJY?1bOOaeI#pU0-~lrjtp& zQ036G4b&uHXtj|HoM662@lwERt+3m1dHvnKZq;UnkpAgKz;~PlUvCQ8ue%PyX`fYo zLPm;ow8?<=FZR|v8dabNGHbWPkfeGg$CDu-V(~{lck414G5FS^(^eb*LUU#sk|MuG z_R`boXjcJhLSGIREAz)!Fj+&!Z3CZVJ3fqpcHew=H8tbKKq$@gicB?x4G!7XYBhU7 znA_~P98>66)p>W7i(_!(1hRd8VlYa|L-fslqB8tfxK_|3(}N}G2ar&)9ie~JE&+H; zbbhlW|9@^t)@5R0Hek_bp<$!fW20eW00L-qbpT8>x(0ecR(%6~z^k+6_kM=gzJxDd z*u^YtY;`R348#Pv2<_}l^x5?cnVA@Y^lUT$Rwlsf@oWGZ9VS)-8dg09dH|5ckeQK* z_4niT_1Fz9-`XYq`TVPbl%%yn4lnQ8R(S?F1rbPQP8 zbpOM8o{5Ep4amf#PoqoEZ18$Kz<>tGW&ogJ)YE5v^=C7)>H(PF>iK`J9{s=D>+K%? zrofv5ZwkCA@TS0<0{@wM{!dXnf32u~Yia$hdjI=+{JVN59>}-xPRL;7x%y1>O{R zQ{X>S&;MpV`v=eGf43?ADTDKOGu~PfBP8xuR}!HfB?onjb)s2`D%$H z60zm*9C%;RwpCRab<(R#??K%^xuoat^>eignzbIE+%JF;xr~6U{S89mOYM%JA(!Pe z$=1|(_xoJB zR2~ke^HHM)Cl{CVt-?sMQq6c_)>3!Q{*aE=#W?N8S^a5XuGz)@Qh@1lN>#G#|6uPe zfZ|x!?q7mC1PJaL+y{3J?(Q(SyIXJx1lQnBa0%}2Zo%DxyX2DWy^rp5?m2b8@BVMq z4Mi0_%scaTukPu3x@Y~K1vx@DNI%znzrA(!?JgN`&(b}5T*GPQmFO~3|B#V71#pzt z**9|hc67SHTY3BCqTm)^_WSw44QabFXd^Bx!lR$Ow5fq(x^y6-l%)r(B@J3y%l*!@ zK8=Mp4xaaR`d!K6-Eypt_31={%ahoHYBQ8FZ*H@PU2R)*y$hRQXs!0-tiP}77zb8< zpXH-GMU#<38&{k8U{nUf=M ze3JD$K0ZEF)ord${$$is!jBV0`w=4?Z0X?~1v|z*=bP_<@9w_&A5^J(i+u-Qk!_^N zyR7lJl8i~#<6n)>}Hwj`{2<7TAOHUiiAf|(nl@w0f9 zOumglyDnN!qYGc<3TMk-D2O#X2q zUH*KHvN#3DU_9r0D4yWMd1eLR$WdMv_QZ$#Zf;*jEF_j1hj-Z&Zeg}6>VvRgftfDB zS@b)#zBBEG{ZS2l1T~lrQ-|G}(}dE<^P~Rq;g)uxG+wti7IWvr>AiL8SQO^G!VxO? z;|zMXss!cvQ4+WNR9@|;H9fX75K@pqnz*fg>-M;kH}n07bxbm|;qeX^rNOl{N&}oXbCNQmk~;gOS{P?Tm`fLVp}-R( zq}!x}&3Nves*!27bmeWF;o+@;;K^zqnJW6A91Nnh*c;T3wtGhRCJP!lP#?4Q%*?DP zNs$MM8I>E>NYt~l_sGPHLdrKe+I2T6QZF`AO>W!Xo|;Qley-BaXUqM-X>L8F9*h>@d&4P`1S z#nfba*>c%UQvfaq!^hyAjr*OTz3$`ddIA}@2$#8&)pk8ae`lAuk!9J3ou@t*z9Wn3 zo%=q~!gljoW;cHLHGW_rO3=4fkLTt)+q>sFj_-Gm=Le6$C0-6sI=v6J-e)JfHaB<( z#!FG}10msF73EwVkzA23Mw`NUpL_zi%&9)4Uff`Kpj@O<(7s(_6@7}@L5bDYI^z3! zRo$|X7^tP?^JwdI_iW{0r=#N=Fw|sGX6CZQ0}{TEtS1#b!fICWSne`5%C`dZ=~eGz zMmQd*aX;OOQ<7}Y642CB%U zmbkfp!L_K!G9IAEZ?osmOfou2E!(`#)4qA4dGMo^b`rrD>K|Q6mIXV2^UG`EI0+7hkqGGCyI5l z@dyUKBsb_=?rd*l+ijh?l(F@6MSqfdK`=O$aii@}O!T%%btC??cqTLY=4Cgbp> zB}uBlrbGHIp45$793nZ_$ykQnP*yNmd!nsi#ZPp&vY&bmb!B*-TIgloA=YT#&FeKh zo~`dRKE}_o6>OJcb1Jxan2X)HOLA81&TZS_Zf`!YZL99PdE6N@vBj^BpKn*wSJoKo zLOs6q(X%$1tG(K!@7K8~5w!g)Rp*VSsok+6>Zcmk9=y;!5npciNaXN zeCZrsuVK1#1Y1o#ioZ_sI2n=7qg8@@KYBd>5HTDU$f(=}++z~wZl^{o4vb_Cg56#o z=ue$%qW``vOE0@`d7M&pVGG-w_69$0`Q+JEb9wbc8Jjqk0CV(PxldLvz}Q}Bsy`Bu zT$Z+9nGcU&$(yXz9Upd{*D66Rm9Jf255C)65bP3l#pciKL<3XdH(=TEMmQ8I|NMLh zS8vGC-GmDFI(u`ftpR(5eeA7JXKL%*?T+(%pHrM_s4W*QBSh;_zE?svWvl6%-Kqeq z@_6f-z7TFCWR1oO_tt5VSQm=X*CLTNWkGB#E~6UilKZT!3u@tkiLoqN>akh)czXAq zw6d!iy4r1Cd-nXUAnmrVo1G#nqo3mj5g}FQm~Ae;bx(PX)%n=5HlL~swch2QFt;u& zVp-Z`nq9!StEO&`ayPXu%+<22o^(G7wVb_0djrZIDb!I7-FgqcQdHH{Wa9I9Eg}LZ ziReBbLvzew5GCI-OyJf)dg7c77&R;0tI=GzEd0`7+2jZAV^I7}Pkn7} z?P5EW%|_0o2rSl)I_u1YP5**wc_-ajD@k$Aiey*+%R8h^Bbw%%|)~3}t*iO!J z)y`>Z@xn<78%2D-7iBdjlet7{%aKMoVO-6#m+C5t%cx~F`N0Y>oa+$blN|D?IGi$n zn|FVJcOqxxBHjJ^TvnHbfc!BY0pzwiZ@I!skxq76#K^OMHm?H_Gy~kU4rH!@&ZYNG0@JM9LnC{A+x*+VUH|lkzoqt!HTZP2WZPy+|Oq9Gvev zw;)6F_qlqS4y$Y4r3PSFfrcV%Q=BG=YTHrtp;}s`hy}Gf%fHZbXL4cbIEQX7n;uSkOu3_C1C@1p3Yg2#261R;=As z)2HmpW?VIPl$${gL!CJ&j)1(X^;X8k+PFt?&{VkLJl%5~#N>>qC@{vQwvG&C z-5;8C7(W>khW&oYk3wjO)@hBI@C|a9J#f%gmULmy$|zi881?w#fjuNlj~g=# zuTO)7O&7Ha1Z5+CJt5r zIILN>aWdM6$Os70UPrgW_l&@;-LW?B=f2M!ZWSPOb_}(X>-a$d;BSlvxc!l80ypo` zdi8FKZ`Poq+=O_rWO;k+HbJ(&d_1^q70?%(2Q?*S|GLiZ(4qKd0pJ{@Ufk(lpq#HF zUbUxBPE2F4@r?u~Enk$$SITzhQ9g#IGVAsGV%&VAB^zDOtJEuLMl2nnOt1r6y;45% z{7ZoHH9QZO-ow#D( zTTOMmpRSHO>{*?tYrUXL!(R#b7MvI0!ypkQtQlBgXq%DlJ1Yko+`=vu5ruCuFshaz zNMm-@x^aqIIXD}jPSLbt%h84`HO!D4prvkQnR$#mb6{$xH>S+NTXmRr#Dh4#^+Vt| zH$@07BI<H_`;UsImvz}cRvyaN<5lmiN$R>&-t8ja02J*H5{BsK_U-j zrt3{*)~;*iMLlq_&u+yhM~~*IQ0p}k1&0sGcB$dHH4)>*bphti1Vp-omye7qlVwcx zmYGXigsHT!_{5M?WzxAi=C4py!`G+iA3r_K5Mz1?e%YS3^<^V=L5g_~T$qkCE~QdK zBVWSmBk$#aySB_O1tWzb6WSDVFH>^I)L~~dj9Fh@>Oh3J3k=Vji29)+hK;1s`6_mi zH(zEx=PK@X37`#ikPxYhq0LR2gTy<9e%r|h)kJjH^5bCNG0Sf4PB^s9xCgc2!dW&c zXv3#pc7Onk-`%p#8rX9A8*Hl>%GA<2Tg-OIG;jp=*ix>qWcrjGh# z`(Jw>_m77B=d0n6Lcd-gGTGiuQ@QJ$PpCn^;~o^-jO;@|kGWWsZ5nQx*!5F(i{6CE zS-eGy-gs99gk<;UY`ekXixOI*xtR&a0Zs+=0H7r?`5ari2)0ToX>@+Cd)lnRSH618 z{XKd6iR|)(R+@WU!&3u%4-)m^0?x0Vee+&5^Y7S}2F%T%sF&zO)xf?Whv6O(HlE0Y zkwvgdslu;*l_Bo|8d@9$T*6XQ`S1Iu`eT`<#NMmPh1FPlU@H3bYe%1XaUD+31zAuq zNAjN$A>T~U>xDW|cSyK!G+Oyn_olA(wUPALi88)EBpTymPQkEDc#kYhM*Tgx;?5!S z&Kb{U>~d78M>2>VEt5~B4oz0SN0QRq+}OcQKx~9R>Hu}j;5KdT<$b zNU@}1!_ktXB5kz~=d8;5wNbaeR*N6T3}75;XJd!q=@_Q~1N(lYO`nX|C>D6qwj8xS zd!e(?6CZHH(+r@zzex&wV9s${c1K%|E&0Y_&Li5V%DcZ|CF@Q@+u@goCDl2Zm3H%$ z>OE!{Mad^zCqQ`bT(eM6Au0()7=&$GF9)u(Gb2z61xam4up+&8j zhdJ%FDsd2Bv-Z;tCsVJafEnOoQZTp+w^acrKH2+FR3&>-hD{X5HZERxF!bhTFC$D1 zy}V9}ZkJ1Qhzj|1Z}DmJBxq+J!D(Zr07<-Zn#)%$1D6q{ST3cey@L3laFqBcyAyhv zQpQ-jmCg|tmVFUo49(D;;#+L1J~EXg;B0wq84k&?R0M;zqYBn)8)$7G8iL9^H*-!5 zv;ypyK78QdF79<1y@K$(lkc;GzeSaJ9=7m7^4Tyi%!wK*XXu|BdTjy)g8{K{2Y=f& z#7-qfxyCC0J%xQPQ*h zv9S6KWBAY`!U?(lIqt5Qyu}$tJ?kgC(@4kCi%~F#sy85*6W0$@bk}9+R+dAwvR-ZH z?OvsPLx)9QYaBjODPF}?paon>arMv9DSlALjQ&6=B{V|A#t7>9PB|>kLV4evmR8YS zuW)n3qAgublU_`+Q;ni0>;pkPWlnszQ4v~FUQMQDv0!}Cl>N*;Dy4x_NF=|*D^AVB z9H%Pb2KlaMs5fc^=yh)osM$dcFNkmj!i0v~4PbFOQ5l$CBOp$fl6yyBiVqDyL{46= z6uav1+J4y18b^~q1WGT})3@I9=|1n5M0$Wi-ukK1B6N*TQLBY6x!NMv!?j_}iyOC` zdSF`=VGw+?P zJBy9643amy$M%~!_%^2|)s-zJ+154I;S-Lu(meu>DZ^epH`RQ{`UxO}* z#crSsDEUatBf8f-DwZ0xFMcmL5npAddJ>7)=ZzzS*rW#MQI9r-o`x%di4U(;I5KSF zcetmDtut`z_|@L@kjzEGE|4_WZ3NOc?B<)CmiktYq&V^VH%12uy5Vzs5{rv-OU2q( z71F`sa9ES;!tl*k!KV-ohm`8hq~W9w&vuOo+pxh9_r{##MsDt?wWaLqDnqq1vT{SC z#xPx_#oM1#C9j0t`$~lElF#vz8_LwwQMO>IYVOYlhJ9a?vsA+(J+}_{cDQ8;RB3Qv zDlD18?wvbx_F^{>CHeBIv+P*1settm3d7$#@fqLr_}q8VDb%W(oB%()j=J_RuXMa* zAg{9Ius#t(GTIf|<*?Q;(+PEQT3JbZ@(dr1>+4-thf?#+b2OI^cTAB^!@&vaXt~B6 zO-C%R){-hOqY_TN8eD1C6tbRmFiK(86uJ%AUNy}o*v42Xo#y9-qhVJUb7xgOLeA4N zbdt8_04*Nw)qoGGKoj}WtUQzCqC?F(Aw|%)y~6j9G^YhnQnJPX&m=^?nj}P={4S>Z zS6LZ|9=>-Sd_8Jxj!~(Cx3inw>^z|Y<-!M8!+rq}D^qEZl9gl|lml5B^KYpK*q>=l zWfrf+>K=h;VV%lIP8?;Zx$L1T@qO83xnsuu=!ZGYdQ&;+Z?PFA*VRCG$2r}4E40>= z;i@Yw;csw>_COD51JW@x)+BHd5(KEpRh|2)KsV>#SXw{>ltXa>wZcBuBNnWOGLtPQ zc$&Fi@A6_hAj^Ebtiy3!b&tOvPb&_X*}>jj7(E2k8mthlY=&NcNmf-?l!3#+nzb~7 z7~0TNzbQQSK}ceV<8?@+lDh{_W65PmKQ_jaIyeVj7E|5I6k&N_wvM}hbWNzUE`&?j z87nlwxLWAdfYl1kmd>#{B#L`JjzPH}b%P*bUorVs;*3uOxdMGDF}?auYj_^T-Mi%> z1;e(DXU4SF-XEN*CjejXM+LLgr@gpEK+y(4s3S}QBZHr9vQYXk62HaGPTOlTxasl>!84JFO}>3xDXxskZ{%=R&3vS=WiYW_rqhC6ThF^KX8U$j5i?- zj(17)R@i+Hcs=OY?TbX)&HEq(_CbJ{8sw-_;2wSH{bk2#3^`(KDuc1xlD%nI8|7_& zZ~ao`vGErIJj`0>AU4iP1EDu=&+a$NiL$aJAG*FN$3J9JJb0W<-Hj{_-PoKoBybo7 zsC3#?e7^N6z}~Sa!>E zhGnwwL(_an&@zA*dmRS}e$9^EnTJf}?~giJhVQ*ANj`_;v${_&y)H$`2Xb#ni7w5& zRt289Ttf``iOD9qNMsu$iPjCCyfYt$qCF!t@fsVNPjcBOh?7>ijMIHUQsR`fVzAbf znyXoV^}U2V0)!w*hnNt zGZV?7aRIORFVk=7-8->lyvEG(7L2Tf40l44wljJlccs({h7+5r90p^QQfZlTSm;p^ zB|ox~E7^ItfC_V>Q*)lZIYsM4fgKD)r^@73=g0D{oFoMym&%dYmdBfxGENXM%@2F> znxeLN#-A!bYrwGn0@TO<$Wl>EHP*Y^pwj)!A)S7@Z%1I}XE;Wao3V0l6`NEC!3;9% z^=|gz?k?urdI%bdW0w=E6$7pPe6*mC6fA|A8(}3OHn-GMKwF|Is-~&#(W9f-E8QwS zy$`npk`%c!wqBkq=+^F5?4O#UF$&(zepfhKQKKpMI7Q6V)+z`HQ+Ia^qFG;1vOhD- zkNPU_1&*bJbsyrwI3;xT(e3n;KxKZ+W{P{o_GtO5UbY>*Ig}E)s$_h<6wG9#UR&Py+hlGCa07V}ue1;;? z*gSNeZPkXKm7y>n`dS@wDS)|FImOfFDu+g5_$*2lhEI{oN8*lg6n}u=%j3(t%?ozT z>+EjB=82h&%MN|nq&H4}fCm!5Xjc6ED%f2OrNL5=pE6pBYe&Je!R}!H5WzYEDBj4j zBkKx>ea{G~3R=hCS|=RaonAJ2IS8Ln>4n#mT+=YhZ}adC8w@Jh!gP7|m4-iXX@^Y(rH>VZ$VG-OUV?ZP=zCydsd8wz zM8sPckl+@Loc>O0a*%vvg#6n0c)^i%t&iXIg_mgbC)B-=UnleMV$jm%f_-CDA!z<2 zGaILT&?tJM9w~i6hv{nx0v2>d(1}64P)^=?BR>@`aE$G#pF0s|SY9AKcPc3flC!Hk zb!-RHwU*_FfoJPm#pEE~i9IDPZs6AeUF1hZCU{FIZUDe8lPAa7n|ljZ!|9(=Su4kS z7hT~TSyk6JVqCJ1ZG~)r`}nnm@>db9eUa2R+A_=8cNbPP_0z;xpM3ag1`xB_% z9>O?eDzWz@i>4!bBINE7T0-?_sQ$Ea$qD$fYST@tClO{non9oWhGNfEnW=8>n^6ga z4my3}sHnh?ezhcat^Kx?UkxynF$@UOIpju!2Va-HZWem1t)6= zOgNo{Q)h~V5|Aitj+|B>Uqs1`hoWA2|MMv3YBbhf26s1#Pir2Uh%XcR*jTc?o%dDSx%@3jqtvPan>;%5VN|ZDsd_zy6 z4nsGl49W;9eb)T2zv#xS0B`7*Z_AQ52A_P0Xc9$_^#nJ_|*vHc#uY!xfn8ldxK9VRRJYyq#j;s3W znBj&?N?(K_&sC*Y?k~M4mp{hgRptlzmhlegP6C=wW1MJPTotuPRi5mKbS7`Jv@gw( zf~UdaVKdbS*%UIT^^CykVp>df|Tf1Aupn=+v_RAzV$H@2pT%mR=WvvkFthOf( z(G!Eo8Km#tF&SbFqb*UhxqToPDn~H=3*YRZ&KEjXKYpT_&hq($)`zCTLatv;ny3q8PlQdnjCn~wQL=TD$MN=2Z4i6u;CIlKO>Ha3pftuv}5E|FY ziGiM*=aKlY6FAgf+}8lMQL6S)LnrSy1n;19R*d2e7r!KF_XeOJXQv`0-+L@DLF)nF zk31u4FuTsIgLK=zYD4N*W?b}9X340Y&A(j;Q`W=*F2kA^ZBy3s<8#$%m-M+#Pt#Ai z(!|u+cktj>!bDL--t_Oc@Q7b&s)6E*B_3^>oER3k6z9${krWGKf?miX6%rR+2|F|Q zH~Ir}NQFT?BiVcAG+TRpl)J||pJjI2Nw z04)oU)BvGO_{LUiuBOG0<`t z88Fkb16kM^jal>!SlE8?4F4IB@c(esFAwW41%4^;OMzbs{8HeT0{;ci@YC!5&pgA= z>izHdWahsCAO5VKXXgOW|HK;ppq&3=eE)0nBLkp`nKci^NmCmIp_vg6g(|BwgS4#> z(9}%a-5#juE~8}VZehq_M8WqGKlzvRNkUgEOKS&C*OzF^AHkKJFUwXhp_KH*gg=Kk zTKth{sU|H)C}d*~Bm~eg&>AwlY zzZCeTz%K=UDe&Kv@jn>VKPlsXkIMXUgZ{^}j6Xv-|3cmVC1sWQM>v4OZ}q#Jz6p?C z0q9`kWN!#`_`$#a>{awvESdQy>-^)1pD^=}g+Fpvng7ULeW|Tq-ta#}mlYlDoeUl2 z^zC1IM>-G!nE$)n)t{^L`zHO5v&`%-xvT7i>@QiXF9D}N7JtO9vTOe>3jA|Zf1m%4 z3nM8rYYTc|po60(BinE4si|ua0st?+9E8?RmX_MTX)R!FZR1EUXk%{#wAcJ08H4tV z^oEX_%#3V5LS7k}*0Xjl8DIWzu>9TRKljaF_UHc+Mg3o8zW!Uf`eln) zS^g64%J#BJtS_7QvlG_eO8WC~{C%tbHC@dLVBz@7MW*S>mZrMRR{uxux$=Io1ebne z9qtG}zx}QitS?qkWH;`NR+uwn65678_R2+3fj*Dky23Fc2@(fbOBWSt&~l7O#=^aL z9|ufUj}V7a?>6*WpYIoDcALH}5bV?sjXYL-wf4R$*`?Rj;(i#(W37J(-&MsJD=YJ_ z+&S3Erd=G2yNwMlrqco*aH6$Rwlrwy#_e2Zzm+5ynb{!jQ?%VV*z7@CC{eCwA2wL8 zTDE8G6Y5tnKEp?{0<4<#86;pR=T~#Ott~8H0S2tFu-*gN=+g~jQA_O{= zL5Ql-L+Ek%(xL8s_vT972B>`Q2}@M1=3aZ6do*Jj%b;@ju6`&aew++ZBfEI(3<>^e zq59Z6BTs>nzg%rgR~*d80utZ(n|)c48mc46pv!+Y5ZacAKWL%I~6?Ar;( zEX|0BX-PICe+=;sc7MXF2iU{g1D~f(OG2PD$UY9LCUo$CVt5y(*R_Feat}T@W$EV< z=t~3B5%0=|TdsZ)33XJwBuGXw%&3VT4M=`QRrE^uAnGYmB z6XyINb&HLiHm}+tm7wnPx`t-ak@?;5cz8{bbd9*w%v7xxNi{GwKQ(rimxKfMVx%u8 zt@#gHBiSs$^hUpikWbACKloZv*$Of}N$oqnFUF&5VL|WMsyoq0mhGd-m zYO+vs?u}a9`cA(j{W#SXXZ%BKIA+Z{9!^3Cx|O4Ac?GG#IyOq9Z5|8p_D~EFqRnO0 z8uE@sPWMW?xw1dX6C1{=tlDuHw&J3StL;`zu&{={5n)1Wp;df}X-#)2p>Jj>T{Fg_ zZ$flLGp+xdjhMRH8ZemI7%bE2O%jZ3(u5h5~V2jGtXHn^FR8SJ{(g_Agoql-(Wx&)1TI)2U zZj@%_B-?{CiKm^GcA)QPOfllur!j|1of;-T$Z7TD;}>#TB0kATn?XD#t`;*t8|^yY zFU(gr>4C&Sr^VavyDhdNlC@donz281%2ub@4P@f0Jd{McWiMYibg{V+?ne`W;Ak(8 z=#1?Z%Q~p}RTdz%;%vpq+;T04C1cf!_ulY`y_4L{(s2UL87Tt`5FtPOd;XL)A6Es~)^e5>k7d*+_Vt znadzbi4AaLcB1_;8b2G)b15}Or8N7`uMi!DW}qB`0&|Fai%G|XSg-nAlDg#M_EM_q z8L0;02;(>VF#M-0Sl|I9OCvhoCvy^7rSc+Nau~(gnc~L&3SY(4PzSxw@W&c`P2`A# zV=3}9+aV7&Az8jkT`CrHhzDFidwE`uG(9;x`Ron5KbtkQ>ZankerZ^~5kRXwU)Wyu z?0Wln6>g)OqVWF2%+W;#C=}4%@Cu1f+=O^*TYt_E*Q#!{U{xJaVPy5)`$h4IcOy?K z-YCWA@SbOya8QNA{Mz?OaZDNdsK$YN%}oh9&`oZhiq6eN2Ve6&1DB<)9V0gO7z#XDZ21fBs5I! zjYpN!yUlEZ!gQ}IcA^c8g{2|O!cRLkhux3V7MhFJKr6}Fx=;D1XOSvVr(KYc>!@qG z23Ak=3a<^&$t4j)rCd{w>KxjXm_;t8t-5LOP=s=rYDS|yT{sOXL$@$)=RH40l^LkH zRLScbVa9AE#cY%hdxDW*R~=GZ);$p$;!Tr#CzpS3Tva~fWP)nj7S0ETN?Wh#Jyc{!dKD@T7K0ic3ny}9 z9xT71mV|*J4qM%N<8g2$2_w}G-CNd44br16A}YJ#tN(sIkPfS`%D+we4XnSRN3O3_qwznB9V9pT`FN^rb^NRjq^E-wW=Q*TczPpmC)e9 z!|K@4FThIB(W4u0Bl_lJ$gtGOXP{%E=m3-37oOHTk~*hYPUpeE65S9Yn^^Ez0>Mj} z4HrwnZ0E`?Y3JqOi=3eLmQ*u;QXaHU39Xu;x;dsQjM~)IVcg^Ik#rTlC=5*p!!led zLw8NvVfyF+`xm9glQH(Fs>C**KVWsOxd}=wOb*FtMU>X-e7lLO=DK3i%+*bIOD#fe zYyu166hW(zIml(X)UnR16>!c)niCXs+xliu=ficl&9=@Wop^+NLK+Ol5pz_La5N6I zXwT>Z@l+!DV#I6bYSZd*rNBkVcl*85vw*&((G$y@==|p9V&>eqA;}^^AQmtBlmM>X z%I332zaHz2|DFzPeSAm-Dp=&PS`|Y!P?;ES5%3UZFIcByuq5(9lXZbqAnu*^cYXzn zvW7%Ap8lqq>;pRcG39!;i|J%3o0XF_(pArB_)*p&l3+n|8dd1Ey9)oLi4DIcN*t~5 zN@DlBXoPE(=kQt|HBT;5K2LG z>R}ZNS9C+{+)cUW$GM7jh2Kp=9#TPKjT6seaC{I$ziNKZWnxwYOC#ymphoG@H6Wrq zqp(?X;Pc6c*#cBcjd-#$WJkm3V%{1AYh7MUxhCyFH+}kR(7vDg!QI0AGl!P?o3fVB z+Ufj*KHCwURwIhVQnG59nVQMfvCoSGTQ)|3FTP1rkSf?t_w`K6GYTH*We6&evtWw5 zx~rxIX{SIj^qo+dxv+ z@8rPj)ZVSr?=|c!ByBFte|+Qxbx8=!0ejOG2ZqkuYQNRFeF_TQKbcjlg3PCqN3AG8zbL*c9fe&J>Ig^hhthoFL+4iTy?8!>!AIZ&tq)<5fnKoz zu?8d@kCD4^Yi_+JTtC;dg-?Vc56~R^ena9>WMV6Bi^IiOR#cEULz#wsXD!@}Oy%QQ zP^bxG;Tof))G8fyD{Dko6S&qX+EwIgnGK!K+kdt*o*q@OI~9NaIzlV!*>fXg*_}$V zw8UQwE$0|u*2X<7`OuT|ocOenh||unYj0S?bx*y7=YDZ^^452yjNrqXOEsw}ipK2o%P^25;Y%NGy5=sa7*UMOx zsHJa#(3f9XBnm?#V?H0%2-wCtH2L7ug&2k1ACj}P)M1Y#nOXn(u)Ct|Qyn=RptOngw<#OJi6 zeyBz$z)Scij{%`9PATE6HF04IjOy%?`+?kA8~rCmp@YBfAwuN!tqiZPAn+a3zK zjfS<5kL6#tw20w%QHp|GSxfSssjf0zN=Dl)lGv&jtH1PR&xBDFC$(=~eXT zWQk#LmP`drmoFIKX^a|@F_t`l`g7mbZHoYOm8Y7_td;m(Q`Jk=jBQMw7Mvtz91a4s zilUMI=1?!I_{XP+HBTL(VN<=THIlD98yH=dqL%62h_4b0ZTl;)#~YuoN%R>KDa_-W zp@V0|(aF@WxoX1_VQv^|;v@M&1Rqh8G#tP{>ySZ5`QPrq!&mC@!9ZTl^UVj9pu(Sg zcf5Oq$0f(%LqLT0x&xCH9Cg~u17g>lXdaBWO3UU^ z-MUIJ?0F?`qc&~yC-0L^d%*T24TaVZ(AZUhEaCxCtz{h)4C)o|#1xa~)%u4^*4Q^(aJF}Vt;UAeH& zN2+-b#B|i4N^H)V2~ZMkdu;YDV0}C;ZsUuouLUYUMJep1JKP8$in*52Isonmk5`)U zucA*7Y?iAwI84l1v&u)GiIIA#^9+x-Rh-eYnYL zmf(0v$VGZC9mecOZbPx5wDx-j8f%k%zBIQf{L;(Osu_`j0emA-r?R7we1?6rsf*t5qXf| z)NII7iVpRSb;0KD^zj6J(ljWfoy&DpUCz#bi^c>C6%ap}^cuwQ#B~1bmiFr4PCiixOqGFG< z3ruZhpADt?ifonEQxF{E5>hAjtXJb)#(s4#CncQ2hQl)^2 z*FzS~SNg4uC)Yh#smCeq2Gv$4^;d&(*T*~Gp7o|dT!>j_?566F67EyqEJfa3&FJ!Z z-FM?aI`uMuDr^>lE@0(+6%OrAB9Gc?DUy8}mY0TVF}|FYs=#RAEw7t_$>D!(PM81A zPFNw?Te9l=(Utwhb(TM*tCDaBJYFgk(cH9OfW!w_w|iFDI`4=gIH{+xE^!0F;10<& zRB0qD$`o%zy^~60(wdeo_fABJ6WKc^R|h|x3ov4OafYyr%nqdY#W04w56-g3O|wWA z`IDz2s014G(TcvIL(TA+!Cs%x2;2_1-_g2U5@e0z$ZdnYMu?};Az|m^Eag3NPjySu z#I)cZp}_^Yy6y%M8?t~#f>18{)FlsoC)!Tl#_Wm7dM_HuS*Xi>l~PE{p_0+S)HE5s zp=w_McF=dLT_E?AQtU_fNwICqx>#WH6XJ!Z=EU$6UnFYC7NNaeP}T=0^caj%D1!be z^ZXu@42ulOWscn#!MDizcX$>;Be@!O zmlSk81meX-j#l*zg%J~_Dmuw#VK3vY=chMi@unwOMGQN&(-hierj9r;67eVS+ zI1Ckoz-hW840lQS_vaO}TP<~a?%JtLFlwl2ED7=())~ukgy6RgV}sj7&m9q%plqmw z>DXc;UA-zkHA6WRhN8Akwa2#(2R5gwhZ>1k)$GN^m z7H`f_Uk_<>vugeL8!ky!_GXA@WF4VWT9t9>vI%3<%J}{9=1Un}P64O{8RNH8IwQTd|(*W4N{yu#8$O{GeIh&1%H>87`jcBqnid;&J zM@r1$+DW8+0TrA)Ct+?eRZJ}2wIIjn@jEO7$dz2W(4R30EdMtiCXN?-&@T^@kum4X zR~Lr%X10!IHr9kcd{O!aHcpN_#6L|mf7r3CZ2w}AvUZ^RY0aWDw6UUh)wiW*q+_7} zhr!a)|9-fY)!)6s$nY=2ZJq2bf10n14C#TEz#j=?4lgq>{@r-nzwvAR-RitN@iLhC zx4|#D!JPl>VPc|XU{Yda;ACLuWMHCZVEp%)Y5#8YpE;TS&G$XO33u9o+tc`Yxw_HJ^x2$8uLp@&-hOmjb^O_@%%v1%4^;OM(9aPWWl@{*!wCCv@;v-#0V+4+s43- zqxk#WLN@;mi}G6#pP?eqQIlRySd?A~=<29VFKT1$$jwc!r1anLCrV1}gp7;~zuzjL zm$SF|yL`VF8t3I>|NFClJ@vfYT=;R4`F-X8HFClNU}OCobbliDWkm% zVg#?fNU0c?D>Y}Dd}2|mPNt_o0U6?51f_yxblszh$I7YaG7pFV%A|3<7SQe+kJnQp z6h=AQQjZn$YH@jMW0IGfC4E^+Q%~^%-E2vD+w;zGYIXR@3XaoUx)(E7Q_@H&lex2p z3U#DUZPmn^yke*I7H9Ko+x(g31-Go)o|=ZobMx!Fqq(DF4?}7Ep-vOg-QBE0=Bu)& z-17^yxUWDei-S&tuJulR1~`u1p+_H+Rn%9!ujQuYO*0ARXGE0~T5aRU{oZTK`cVh^ z-&7OWN42CqolI`3rY}%DJGh;tcV@=6dXMvD5hw+!%`DUpIghq1At-KW$z$#Ew;$2s z1#Q}4KZys^n!5L=tX*kX3chUz9uZcEi$>}X;y*Gdd zKD=9=_x07xTI!n08&O3{`r6ua#iu}RRr9G&%b&;+`oBaq79O9m&#JCHnY!iGV$mnA z>tA`_@?#m$!#%(Dr?YH#2ENUHW5(|n!nT4E%fbjPIDU8J(m2qwbme(7URHOo5zMBH zg=V(QS7DqrDq#y*U@FVC1iPV(EUr)U@$81L=#6tpGo@{bTySUc#dNlQgiiB*HYi^$ zRRpDByYl;TWj5XPC$MhR3DFs+S6CO6i0?0;zWK0o)i**fQcn`)BorNgv1+h_!z-TV ztuM26;xFmkE>cc>?E{xqk{Obzqe%n!qEPC_;hvU=uOV(_^9Ao%KenF}=O*s8kCpng zPF<=0rZYs*l~pOj8{N+*9a)eT!`?6{6R-#g^~JE8rf}MEM5kGqAKV$_3wv4j@jpsO zxFQR_yO~xVIix0dAYafmlV0sMPpiw&0;fkXDbf!a&kkzWe;1UbHXnwmtu_+LUu-T; zh)#W<5skbfDYR)FUGs$^@_DJcEs{)IFufVtlg1Qk89*Z@E8JO-9Gzl1EvzQ+;PKIM z9k&{?G{7KjE|6_l)4*!LO7^k%9lB}Urph=_gUTv~rF+LUV^S`s+j_FSJ7mPmoUTuL zDC#NnULZGr9(>g(0&ECGB+$h>{ZW@3uPA zqp+%>MYbby+NDCs9sY9Bijcddg;quTt=$8Yugj%+v`nr_DqS*VG{cV6Ag4>y4nJHySIR#g{E{SN>NG|Jsk3J0&?vT$&oM44Bw!k~Q z$F1nxf$NS|Z1<4pTYBTfr-i&Ugt2v!&_auLO7Y^RL8FG*tVJ&3 zVAL`xy^GPfXn~VU3^LE&jQ?Agyu??$L8Aq_My5AHK`2MH)*FFJiis&7?dq;en;t|R z)R#LA*)4RdZykv*c3Hq|9VQ$v81!vmlgipo2YP#;`RhZcd?P>8>@B{>t$a%Gr#p3f zm9enxI`<~Tm!z4f0)7sn8EhzvI9iF|atnFKf@v@uf~0R+0_S+e5(9I&K>A>-4pHw! z&7rL!X65<_0);6fIE>(;jix0uF6;^hgs(t#*qUjO>Jn^{uiXfowyQA^*f?0~8$9tn2m>wNfn+|mHJXFuQM8kf^M^NBXM1_h zh}>%0(W9RfN%(4&+n-7jMv}G&`S_<1Qi;B3A=!g_%1?_)XD+{@jclaWtDCE#tBAge zw_eg{I?fG6z^mV3^%0j1i}(ESrpn_p8=9#u@xU0qwYN+N9&Kmp4e)&u4GFaM37Swe z{LBG|_e1U}TEWDDit&kUBeLY&wm0xw1M!PvXK0@loTU7RMF>q}?h6AF)6o&JS=HDP z8wpyl5VyyBu?37rMil!9)X;_*#8CmG&ux)#T@J)S-iaOZ4dK|{wqC@81L-Xu;uArD z2Mb=!Tr+a?^*P*Nf9ln;hp6o2KcIYCL84gU*FxThU34Dc|IjP#qr8n9Jbe*Ey8tbMsw zBFRzTJo@}iWfr*z+u@5D3uLh^Xzm{*s(iXD`QMd{O@JAcqnHnlJ&9nDO1l_6&mcp# zo8i`Z?ZBKsV?~T+SVW9kAMaeRNTkSvVB@59?VGw$$4??hdkaLZF&1Mu)JJ`F4f@I; zj3o!G(nrgPFX;aK6#H&R4^dh`ecB@{Y%w%mYI%is=kYA~TU=$jOFC$YK{;{k0C<%* zvghZ={`KJw2x$NQDCWV@;m@DeuiabJYA-0W3`43hFC&j)lVXwun!rB#)G8I2rPq~$ zrK8;8_v%q+ru#k>qYUd2Wi~8vZ1Na8)FI@HKz``HWh(ws$8y@n)v4VLik#nVVqrbj z>8qdTf_3gZ+JNfZ`8|z>4v>B~J=vnnDcpXgNP+5)b+!Y4qc{$7@2d_=rUvbTuNhk{ zsQqcFsG48lEu?@kWO-3PT8W*lf?K)RGLR+M>w-(y`T%uQY9cBnPi8vv@i8_{TZQBt zhmut{Y4vE|R0Iwa^f`$8`7gt2L$9af!mRo;r;W&gcu0ji7O0eP($C5RM}Xz#gn^De zJ(zdbnSQU#3M0Wp*%A>RsdOtL&G0S#t|h7UDk1PJ6xg}^Nu`~^d(pLft_jW3cmCsT zD5MB^fGFbIp#HkV73mNd)p=m36n6j5diWe&w0Q4n-{)ZaZtN(5)%HWtbqEsJkV1!u zCVb=JkFpUnLUl)gD+&>N7JiQr#e$SL*8BdVLKaDtusX4txU;zJ-+WRNPFMcV>AGlJb59gsrAr(1GFa7RQFNeuue5! zK!8c&p4yhi^}E>JIIuJbiQCOhf=Gkw9jr?zwfSdNPJ#=_v|gCBm2hf425e3Lrn}H@ zClRbzf@qogBB$fht4#5zs->)AL?jeoqQr1u-Bn(ASCUYX6x={pH=8jTY|5XxvB{*V z*|o8YPR~*a=i@Ms#6CG5q-q%5&pz|?fmY25cVxbXxfRjKc-8lyHh5YJgTI21*@r8z z6jg#;@&=+D-f=MpHr{WRlmE^7ZV@{zSpN*WZOS&8>DDC?V4i_tD+N`SuOl<;ASSCq zaULMXdec^}G_V$UDLK4#m`{}f{U3>xzVWNc6{Mu0^0k+;lKd| zSr>0f?Uhp;J9o{hxyv7~FZ|j`ygzo-Q-WZfiK!rq4pan*SfJiULn7CpAr?zwTboR- z;T`ZDc>X3tzJ2ZSn*{@G!YMb;pnN+jC z$`o7jm6#8d>eNOvx%M4fq8=cOIjb=I$wb3Z=gZAiFHu2)Xjt%F4hoeQJh{8U`d?LCi9Wl>vF1GDlGC9jOu9AyaexYk?; zZu5$`x!PeipxAS^6$=J~@!xaTMntgSs8C%=#XoYoBi!0nId5jpq27S*@Rn<-CefL8 zf6Vs3h={DHljbouV zP4R_MF~)WGEx6cm8(PMU_?-k$1rNKWkTiF;a-6ExLfA4IQeEYCTLu!9b!K9ka^JjR zNu1rzwWRB#>GndM*Q@m;yL!!`FKKCDmU~ceaQ#z?&K-DB)w(-)Wjq=K{9dxQjLe4t z5YH2SZ(Tb})@c(+uagG2a>?_)(Fu4)*260hRyP^ozth_m6^RS8Pi>miUZw1i7BlgT zDa%g*TAM(&MNiqPnhVQ&5W+fH#*gmxj4+zQIhWiQm7NlW!lL`ot3D$C`gT-NYrJ~V zJjsau+3Y!9bc(h%{ zb)r|w%$vS09))BVF{2VRM!Jz>oi_hf5XZ=7nL-*Tq+WLMIj*ZYN&02lVlWD5@4*J9 z#lGBQvn(FJ_HK3vQF|a4SxNoB zq#UkbpQEMjozX*|cpzr;tKSD?s$Bug$UtBx0PzKk<~VbrU`EIWgpz|S89-HKMPR#| zY84m<*l?P^V|TI0zRrbSI*2dzJ48ZqJ)c`SiAu0PB9{8Zz`G@IH_Rh8i>F19vpiCbjmOjCn+1CwHvZ=b zB$ysMRq)211l_=)QPBuYhzcBhB%*5qW9^G@u0aGJ7D~n^HM&^q4m62Z5#|{Zjj#?p z_^_Uh`Ky+Vv4`;$Q84L5sajWvS+j^ zG&IG?LSw{hO;b*ZfRbc}xg{frFU@H zT`DN0w>M1lsU;G(=DPaitM2T$uq?w{Rv`z>z+Rjds~MytCb@^~-8M@c>;=i{CChmm zp)Y$A6YNqE3)xjEgyQzclPDkNtqnpn>M(qL4sbU3i%7cL1N@_B2Z^5(^`iPEB4cB1 z$kwB9zG#IVeNdfQveHsk_tTMal2Z9cXLzGdG@G} zo)o!B05yOj53e+kxonm4ve@OG&iznECDmHn!JXvYrbDz%iJ&V(L?3nnDPH^p-# zDzpI~au4Ox7AlUcLmHI5d~QdhusGYl#dIu8Zu=23yJ`K~_rr6UEYFe z?{wEr0_}7WL|L@VJLMlqPFUZSy35|}2nl`&1l+~)vd$XV6FF(5j?@I7UwvC!8Ks@8 zk>dkZ_R6yB*wnnL=^0H22v~T(_mAio#-C8jPovHM%OUlj#`*sy4Gp z6>0(C8UWWsKs}~kp%$hWsO5ixWqGOo%S`|6EX$uT*nFAvFZKVxVDqKHe_Hiecz(B(az&M!bX#ork11$h=0Q`r6o{I7J5Y0=Q|7hy;v@d=CD?dZe@(Vu$KpB2F z#usYo@7jDaFfs#1LB|N-X8>#mD;2<=m5zxXfVKP%ta#bS|AV7oVg$?^myUt)2TucF zM1GJhFJt=sD1I!kKf4>~m>B-lZlDJMGz>5PrU0zvhv_MRDxzol?^w%^1LBw3|0%TO zFObUbX%YZy!uVpT3y8}2Elu)rbpJBme?XJa(9rybCK*yYvRV*{e>}-ANZ*tYsOA!d zdk0J4*u>0hoDx3u7KSL)=l#T|H&vTao82x^E}vYwIrFWe-V+jX(zolOL6ndwO_i!& zr`;=V-d+q_*{~;{Ou}=gNVjp-?0hhG3Z1g3S1I>=IP2N!nY$gGQCqSc)sh$;$(PTs zNm@>06hu}CZkv6jsOwuqraaqJW9I6mRP?1fi=tF{;&u*<(s=T6a8A4G^PpqvpoebN zupSqGm!UZ0v%gTxFw?nCnsi8He+g3^b7-kO+69Y#F_%GdGnxIgr_!yLq;|TgGP?dd zYlfCEjQGcC!}+^WoOAaq4-*CwE$NHkt~=(3Li)k<$i(M@oHaS_nb{*zwsKn<>ojSO z?dPGorV(OB&-{cbOxXeANHHZsu{!*5WZW6*eB($g^pewTb4Bz8hHx^CDTdnl8smCn z(K@xIE;KXYUaJVT{|01LeJY4wf~f?v5^{;Um0# z`e`u5PO(=6D5yB$H|1y6?Vg(Pu6?aA*NZ1Xqtr7Oz4wl_@;e`>%!csRpB(e1_);5* zP-@3>@w+8pEl3N>zXggvU$WPN446TV%?vOD1tsNR2hqBER>GRK2Jk?a7O!@sIfc)U zC>wkEHIR^&Hv5bN$y@2uvb9BkMkbKH)@Bp*F|C#GC>Dr5OwPJ=*q08YwAdIc#sj)a zB3rF*{|YS!d7s;%SNa-=hN>6&U=s?h>csvKlKwclw0bRuY;{IKaKqo=$ntOo!C|Hs zT2sHe$;(%gaeDU=$=(kUTY^9lZ9ZB+RbjbTvI8q!C(Alq{~XijYbgon33*y|NpeH0 zW99*pMckMsT5;uqr|nv*eTlAc%vjbL!~wJ<-kZFgSEqQ$4qcK-_pLCHQ(MWj@UqZscUXum&L|&*aZg7N$mVm?pl*E_2%#hY%noaV zDy%v_M2D83ZyA$p+%Ef``rF#^i+y^+5&YyLq~LoJ8*$o;?yr*`)swu@6iWAFN-4`qarJLZymp3G*Buk%cprCbp4B_;( zQ=9V0AT!zxcgWWKxT#Qhk5ULrnZJDGZU^o=bWWHQ8V<2A?97V&{mdm?MU|z(MV*|A zIro+(RT`IBF%>4hS$lh z6TtY?rU|HrP8UgE;;LfgX1~U-s)i?Ft;HmM(oF!;O{pbyTT@vIP)hcvA0|(5VB+T( z=Au$J)Htr@JL562Hy(H^wtu5Gh)sY}2WPe)!%49R5(@ z)v!njkGq}-abQ{7vX=o0WGW$D%vX9F7j}IHV(#nG8b=*7x!Zv(kDjpH{yyTbk!f3k z1`2(P{qPL$!>7U@s0^myK!)Ee&At%^^5?mfd10mJC?=agkpIrdFsGUuCE z-f@4E_do$>p}0=feX4OwLrlyv+4gMSo_Wq|8n{4R`b)XG1|bgMe#1oQfm`|#gEEN3 z5Xcd%n@e%9PmmD|4mv@OKx^0=pqyPi1+JtaK*R{j zf_M{i@8Gas*M&5z62N`uDU((4%G7xqP^CS7GWC%&Tp|RnW1iNCU3oQ{yumYvK=SIe z0tLwPC}%-nZ=XbS#AND7O$`Ip((JlW5n#j$JYEQ1yins5yl-2l4mzvUWsf=HMB)+J zqu| ztNx)N86P%KHN#VEM#C@5bmH41gzJ02ZN-hfV&eI+UvD9Bs4at_4?{Ad9qv- zKFp^I2!O0DzWL-Z>O00cP2y_4LF(D!N&7WP2o_jLjDT&cy@b1~_#)S@yfF|wyk@2{v#zTYU8oj3eTT+XT_u?}m zpfY^pEBq2Ufgzo8Vo7U|n+8W_EeF$X!{0Tq1~#U?qLt8rAdl=bx8&+a?NL^RW#~O& znWR$g{aP{hUM%iE~@-F7r( zb21S~{D_K-%xU-fsj2Kn1xQ5kPVwmj_hlymzGr&zz2 z#K@56T&+C|-j39qNS$&xZ*$JGUNsiGx$3MpJV-puLE^;V<7j=$7Xwu+p3vA3A}$+g zI68Un)QOjg1GQ?igt$9Pdk;e$iWKx|)s?k8nMf)xY`&TciY%uCnMrm6s;Ncvu;s9r z`_p-lcgCkg-B>0k-qOJ?t<)Wb$vD@ze1?xmF3yX=@|oGepw(ZnkJ7%)_YHW0JPbU6_G@?uHu_5D*egI zM**tSCm=gsGlaJq^SjrCoM9ss+5)e_$#rwOY)I*~H8dsn&Skp!R7}8Qd34I70wUk= zL-UF65O1@e;vC6h|~YzU?} zL8=)){B(C|pA)nzBQuOmRQ6m#l|@Da>r>lnhocp#Ue1LHie5|7m0$fGs@yXty`3D2 zx!^I&lWi@4gyY@D7*&B&Co>ZO=;}S|VkBCIO8w;KA>hHv$`~LS!^wemL92vHkAW@O0hz#(U+X_@Rc1tv~5|M%z=I zSYZudsYj>-D8|=fy*VB3cM#tt~A0^l0Ma!*A)uSk1f5GjCq*9xQ_^BinCy^H9yhse`?S*Yei%y>m{mb z%aD%p6a4~qaI|3wXID2zDZWCc&QX}bRi8`=?R6HS7AAtWe6mrtw_YYL(UQnXnUHvJ z_#|6Y<@UWIe)_Xxw$+=3qjlYQ=sE_677(Zu=!hGvY9G0aMZ4 zUl}OpHgKUIH~8L5CcRQ_gik{%jRqy?YLoGntQ)b}rH%=zwHGcq2UaC?6MdK6lu`0} z6Q9xdhD&qCuX~Gs8z@EALFUYCY1%EFqX6#dSWi=i&Vf`s57Z?XJNk`^bodI6c>?|8N#W8$mg95MyvN+mQCqHKa$4}brdXR?*kf9K;VQ3$ z;0NUEey#LN+P;gxR%K=nsHpL&nTTT6lc&vP0UCm%aE&Nn>1 zb3;s+HBG)k*owm&+RSUI`YET7!U{annPP4%q;u7hf0ruRG91~^Y)ct8iu9zeGn=4V zcsr0Tih6*v?7mF2HxeJZq&(8-mcq-zKEgY-u$(dfSS9zRmO>6`5G4Ea?mO5}dx@Dd z<6ZGURUjk^Ts$}BknjTJpxUnjCZD9qma3%S;)VuF)Nkc{Hw zj|-}$&%)_OoCfc4vbL~I`msOLe>Wwfwy$T&y(6)70WLLyhaUtt;2A!rT?9LdsXDb5 zwn5Q5`fy)ilvr?7A%WB{rgBW>@s6!RtfCT%$>Ay{0mL2#^}}W~+wzA~KOiLG z{;XCC$Ml?1TPxyv{L;IchI_EYLz1szAs8UwriFZP$o(lG;gx3)9k@7e7Yo0%MCB1U zz##Fb>LE6i!4H%=*U$_pz%y-rkG*{g9F;!&2;H0HWjEM_f|O0UmDIX`R7hGio^46F zVvBV&zf!J18c0Jg=y3X!7(FPhf#=GFB(85n5I#-)&JSq65Odm)51v) z{@rPt*Wxi7!qh5@(F&HCTboAS{EmLH)ux)G&$_8N?lMZDFMlKrKM;23GU4vr;glHV zj5g6;E@-KEk|s=;%F3}3yH@)dI}rLMZGyc%enRsBvQn@FF-lR?lo?4Zcr>f?1mlCX zz_2lS0Ds-NXs>)pjT0p~*OhLJ%A3;9Ht_u$S%<*iR3zZEDsKi!(C`>2S!stQ`xM_qqA5%an!4AzdS0?lQCF8A z^rpItp{^U2Y^6#)zzC^w_$+)(?=CGLG0JJWM05? zz#xM2p{PpR(%i`Oz6TRW&Ulz({@&VG7)fPjCE0r*k@gEW#<06H2di5F{;Y;Io#kn2 zOc>NZTLJ;L+4EMeBYUvjP7rP+dsVE;efVpqQ zIR<`7dH22f{$?30U-X$Bc}VB-)cHYbW1-~i9Wegm+?BS919CH1#s*YyvrfM9kT(yX zmLIM{?`U~oHkMg&Lz`d~&SFAG4M*QoFG{p*!%4>bj$<&pn#N~D9iZ~7d7(d&>VJE0 z@7KFNKm8|Mtn782DXr}Af5dA3Z}gw|2aa!S`~a&Hd&A%QN&KVV{`JQ4pZxtl@}KzW zC-+mT|Ji|`9r)RSpB?zwfu9}tf2IR}UaJ3X=;g0hR6m5fzx9&?XqLZG8UGrN`SSMP z3&#XV&Hy3)zx*cv4gtRi^DmnHpVZ^!f1iNK{Ldv|zSR69efVVvFB&x?z!QOm`7e^} zKPOTDDYJ=}F8oXSEVZ!wkHp9qc;R2O0RTwWFI!U!(E_Y2UiOC2UqdJX?g9VM4}g{R z$3l3aDySv-Sy%uc!3c=x1nk1!3%b8u8vj7hrDdf1ji9@!rv3q=7Zu{JPn(t+Jl@iY z@p_Il3@Df&A8Nfj7(G8A1~N&lUxHMQh*bNo?fm&JmA)EHE{B4dL?#0PcVsS+lYYlD zk@MEQB~@F}Sp96XzkjpK((c^dl~Y@)9uUt|J=)5`j+N@}lG**;=(5Mao-WZOvwPDF zC7@dyWj>kgBMG^AE@Dh_6v|9?RkWP`97T9ZLNi7S#Ryfbl0FWZIteS4ChF@m{tKlr zacywV9J5kA!=^oJJsQ;p+U>5J&;2v@K*{hjg6ShXpgL1p{yOCH`F1+`6KU=K_P(M0 z)s^5>+0Sn%get$C-0l>|P=gamx=u?aT|0l0XVvaqcOM(N*>fBwrp+sgqh)E|3}foL znhl3=sb-~g>aoWgUuC30o%kN>^A_mA0yrT{wB5B;^whl$QD6H(gQf;sxyfYt+P>f(ayoik6^Wq7UfT!pTg3HxhD z?v`wr);MtZl$bb29yEUqY4#j6Pvv6Rk1*4PqB{%X}1;fd} zu0w@%Y}9wQf`ojW5D)*1DsmpB-HWV0f$SQkQ;dG)ik71v9w_bGTMnmK17&yw)5VxG z-RaCfC82f?mQ2>1eWwiMnmUs4R#B6bpTqz)Wkb@2&DA$xZxT0V_tZkK8*~R`jP#)I zWM;Y^cTW3T5%?LC;{lrT2vNHU2ntqB2m%X*C?YbsCUQ18u zs2A}n80dA~>NJ_qZS9$1k~aR$!xqEnGa47Xc@ zZXBSGY$s^J`x2_qwNe0F0aTO0sL(GDw+zM=RQ*aqrWB*SNQzDD*U4y6%ib1+ULy#c z`WE+*`q{A+T1E;`+t8t}#}y?Wlz1XGU~x|3^?x$t(Mjz9_oEF?e2_FWNa9a;%QKSC ztlnVMXwsP$>y5huL8f~aZKeCBR4H{Ja-USoQbaT(R#d;ru7jXb0OCsgo1BuixTClB z5kx1emt)9tzt4^ibY)e8J%$M7ZNZW^6>Ek$4Wh=zs~vLCp!@a9iyi%&R{|H6)AOjq z_m9u^7$3)j8o{IV-KX93VNKh&4Xd( zw&6v1!id%=qQhHhJ5ntV9&}?JMy5Ej_(7w(RO<2``^L`+B=U{^l2;v4G6e_0_~i1Y zh}BHni@>ek{U*!ua{d~ig}_LDgpc_GdSZU+Ptp`yqGECOyii?xHgLtCx_o@`&*C7N zrgOS^qo|zRr~GI@;SS1bQrJjJBMbf8^vHS~32*vxeX!Z3WrHM|M@SWW z-z3wEM87Y_@Qo+!K5|#}@VBz_x4K~?X?R74nR!q63a5)0C1#`V2=RQ4kQ{u`Cg_d| zCooxblYdZTj;S8DSczg=*{=J z(&YVAga2q*D7cQ;RPu)L86cY$X)kz*6*!r%%Ga-;Q&vI5?ON3|F)qMScx(#CfdoP{ z=i1G96LZR5s>dK7gb+WN4;51h?d0oeE1V~gsYwTtg)`uhecqUUBp}GXw$RGFW{>!c zY2@e%X6J@Oo91=A!&J;Y3OwyR7w07Z%c|-GKZRa=iJ_4R{M*L-NLEr}I`u(Y3)N&Z zr~HWcV0K_bX+lbd&=3ZC4xp)k#4)ne)xC#1t0n8HE)H1^7zJ!_k+lcTu7w(3ei+}u z1WwMI5FcNKzM%GS;`|Ep??mrQ*3hB*`}jx7l#`GQ)A++*j~b9FLzSAFB@)|FD4-sG z6-!x7-fmLW`a~K|{zNuHjmxV3z*C_YLrgr~+$jEf`$K*x5$xJ#r5-txq~o3)LQW{h z7av<*+H-|2b7m6s{6SUuT%PIZ0Y3^m;gnH|oe~~c3$0hyb0X_kBi7s*M}&~^e0e7} zVoQV&bE=^qfu?CJ8!&~fs^9};G^P2TS=XCMB`53kf7*Q4dzqs( zC?2@uG8}?;y4E^VJsyLAxpmpZys(Un!i@%v!n6Hlyc!oQx0zm72FBi|nYprMk}NS{ z>#IER#mLv3Wt)T^>GEX4s)L0+BmOGFlN-m{S*dc2&ArWg9kZ=A`ZIx6i{C4o+VZsP~3dH0*e`@XmQju#jsCuiVNT zZ;Le~OMH|%Zchl`+%}`(%w8`wzXxYD5UCVW%?R0<$RutN^>h%glrF?A>}Ko1m#-*I z_I)6MXboUKikc6BuI0F9Kw&hr6R!&;;YwcKyJg{%Mc5LD6fZ4s+n96%6H1SSIS(X$&Fgt zn!()Zv3rg2UJ`~#xw#8dS^Wq3`bJNN<3mz7y7RIsleDh8yAl}7)zj(PGHga5hTG_X zl)GvXrMbMg2{5D3GW^96? z2AQ^|PDmRgC2|ZgXa#Q^-1hrg?vB4dea*xt56Z>%YE^L7XsvY9MA$fO%Aj;;HcW@* zMk>4~GF`dq%+N$O*n%)G;J@t?2On@wGwKBm52c+W53B`wwB|!O#7eLzKy6X>5ie_J z*QZF+EFdZM$gKACMj4-1N4Z6GHu}FBUC3bnN;De@kk~?@dJD>mF9l zD%Cwy5DFQv&9b<2TG30|8iKTM{aa%(^5Ov>n3~VxvI@eQVDhtD%-$^Y?CNv4phTb8 z4PfaXi21?t;TwoHHJsX`fxefcnjD}r6x>v*0)u>*a$f@~S0Uvz@m9`c(kNCvUT{U% zz@C8rUPb@PFhuC|oDNI74zyKcFa0z7hgi4Wfi)$=WiIA`X93E4>F8JxZd6gS0#4DR z@v=R~E{tKUd%QC?WUmiB9XM&=OYTJgI#U44h)6Xu00;dp{ zp1$C)CVWOpQFat9lc>J8tu6k3SA2}|(EW)5^3Tk$Jtl)_R4h8fGiD_l#}hDH8zM07 zBumx=ZNOL!Z{He_%{M*CuKUp<&YP|hd{jHls6vsJQ6oX0&NlUmZ6F!PloitFMOq?p zm1JEXQB)8Og0lB<8Y>lczRujH$5eytghTHF-LE>)g4KLz%%ObDyLF6u-=(7+oXA6`ztDi=ffk$C@CCo-$H2@eLe@1PLidE*!s1+;OWEFAvR3mfSJYgx{e}$Kxbe|FKdc*&oEuoQ{8}QVH=}a=ANP%f zIhE8oHXIoDJIBYAC5morBs7z69oysrd}H&Xsiq1^oo0#NTsx%ORvnFyvhLO<*$<%^ z>y!15I1b_%q!9=q9aU&vtYe?6T=4O)?97%i52Z$~ABM5>9$jmG1WFTB zedm*2RCzgspwZdFwm%f*8hCRr#oOb^-riZD^5Y}?IUeo$8_y$PCJjlnP|!(ogPTju zg_HNK{!YZ_x*uw;yr)&}7KL{|l0=H`L+dELn+)uk+X<=>Dry;Q!ap3Rq2d14*Io9x zoBD1xb5>9a6{K?)!EG+MWXQ>-^o}8@<|~`o>5_g5p0FwQFpF7{>ZmUC6x`V{x=SsW z4hjyQbWE4~EZe7o0Q<|-Dd3(Pfx8TJTITjoMS+>N%6_S0PDOZ{#qYksI#V2LYr5O; z@Mh$t?sJ#mE@M^Xr}=Sf7WTy+x9Bc5Cg0Lv$ z?idN&kAA$AwbiwSpX=({T(9B(A~I~!iNw~Dx%`<_#mzg4E%zZKmyK8AOB|jD$2|_h zb6+cp)3>Ot3CIK#OH_}?d^?J=#sI$0Ez5NtSkT`r?fQAdWgj^zysZlEUEnUdTn-AW zuYo}FZ;P_IaX-K7q9=lenH-WA%IZ&tQ)Wn~FZ`z__aH5$O@0>M z5I3xfp)3)ib07#TIq#8I5EpNKcBlo|!MglOLi>)9W|?Q7DBFR8{MHx#NN8sMjWg;` zp_z`35)ev8Y4=lT{=X@>?B_G#|Igf7|2IwKU;dc?{BwZ+^3eZH8~*IT&kp?Tz|Ri+ z?7)A%1OJ53{6jhXMF#zi(EM`s4iFT7T-pElDJ>)OA3RI@6CC|te?9-(;4=DuD!A-# zfBVPpdtEDiLs0?F_YMxG25hJMgmuKRfWV13x?PPXw2}fPsH- zJ^u@>_}Ay#G&F9{q~Z{&$-rR)9AaE#pf#-``NNFAMyaG5&oj77!Tr+t92b z^#d!6MpQs(*6L^?$h!+EsY(?cQEvQ!>6(mlGBJFBMnDJn;1hUEbi&K zAB*UzcA;O2EgSDKV@l1?32jVh70jd}D!$ZH`$VptQ~r*s+~`1HB=4a|t(i*6us~s~ z8F{OX>WNOSZd`33)h3U%l; z$-=Hy1Ri93ePV16Pam%p-cS$PbYFmIPq}f%>5JsPY9yX;9CzT+;QoTn>!?GsnweEl zQ+S}Jz%=QAQfy?;I8Y_|eR{BH+V3vsP3ly%eKe}v;J|zie6*hxc$Oy8$7otwJH7D< z-77M)Tyo`xL1JB)R+5=|$|v^;5+8gL_Mo)w3UC zcBIYi1wP)pLX91}tWqDZCW zdwO}j^9p&68SlY(6tFnbP$Aw#vdw;e7amsx#AEe|`D-5YWTZ)P!j-ECl6v-2^6J@S zW|8GS9b1>Ze}VctN`-EnN;f}V)cFESIhxs^B}$GSJ-SyO%EFf3{GsA(mHvfwR_7cdX2du*^}LKH&I{5V$R`0j{Ikgvp^fLB?KZtazJ z2ujvi79}754HqJkonKK5qDXVwyGP3mGfi-JxtS8b(mYs%LY1ia1_nhLt{7ai^^z=L z3pocvm_djtFuJb}hN!PU8(<{V5mY3_0>8f`CFZwEGLd7vG-^oC`1op<67l9+wq0_+ zOL{DYOlR2#(c0|X3#e|_o&~fOrjAYP`HlQIOM^)Vq1CYM#u7&*LSO?yH3H_`w4s!G^ zDFb~9#(e3vT(uT^eXe7ZZXm$2Bj0g#$3*HGij|7t=)gF8v@t-ScoGp}T3(Zh63|uX z7ZDUotI>gRtR2@6xLiGudO}uWni)FmF?~|Em0M^4uEe*N$&|9`Qs#LF0t`ac<7>?P zA!%@M8_CmY$)el9hSciwNwOl`khS{A_jTL87SrR>1S+)TDO;-Lq0bY~xX3(6G)oL9 zr^XWwE@vM?XuB`_2N(K%qhoiZy;xA`wurh`nfpXhZgnIeuqn24{N0t72no0(w|#9R zR2bGBI|CG57a4Rw2(?SZ?G;Ib506 z?3)YUw0_#pM{UnCpZQq8=I||UX^UG=9MhKHW-e#^5Np+?R?U~D2Ubpu z#D0RNcDba-^6Aj5u}PGYx@XC^n0i8)oVL-WD_1p`M2?}*(Jo?t2@$WhJ@z|Lf~8{~ z9ToGiWbjZv?(#0{++r>tKGD#IZ@x{x;n_Y5PU{A~jIDsXHLv(6Qk-}A@y)9yepMS+ zR|VZQbP$y57JAks`6Ns}eYvr_<15D}-e&a?=9mMa_SYICCc~9^409lE2F@DIevOUm z+eTGMk031>>sq0qIJGR~Q2>7j>u>93U@e(FczC7NJyQlYj5dxDJyXIt25Zb~R`;0h zLz}T2^U66a_4qbRcdP-IVYf3^5$pFxW3f!Etgb^n=kc$@XyL<#-^^UQ&^vJFd0^d1 z^p*CKxk7WX2|n87A8#D9Y=TFh7I}{1Xin>)OwEI$&9e#+blDy>r(})zsQ4i05QJ&L zgjfdWI$HRYa72ckn!)XhBr&~-^O?>QW&N-X+pHBJIKxI7&S!ouiWz3r*zAw?s^`8$ zsVW<`xaxJGMh9%3hWj8a(OX~@GyNt(vk3xUv;_fqAgNMQtD|`&UC7rGJBYP)5M{3M z=T!nqitap~-ab_`8l7s*g3dBMbN-&_3!I%WO8XMv z`3k>l&O8M;&2fQS zVS*@r>V;9?pqyTjh@t7%chqT|)RmqjBU+~!aOU)~f?~O}uS|p0(aK+cZ_4SISM3m1 zkaG50(VC2RJLTAUfb-dN6fI*#DF#cJqqb1yAW;$7w2?bZ5&e~2+U!Z-^j zPC{r^QnVTM5l<7D{TY`rrF3MP&UTrz_PQ~x)-s`O%*B56X0^-fb;~DfDI6_56zs_O zWgoDJ5)K1Z!e#>a2Ujm&=tS+Dx9=?vGARPg+jrf)qjgOCH%NBBJH}CjX0yzlU|t2c zPoB7kc2rO3t~lg)H!L`bVcsV$ZBt67T7!^AO8Z1R<=&j4*V(NxjMM_4vqPDn!7sa#aB3A$BEVOKIRyAhMSoDO5Fc~T+yY5;f$;aX$5 zo$xdWs-TGA^O}!J>D>oku?t_^OcgNaFMiXNb#ZuZ*)_$+A6&o87*}mp`2=$lPNc@_ z=%y_X6C8f1W2~6d(j3amBlqfCAbfkQ z3-R9H&sxh#D}pCw^%Zp{M1pAG7KhS}$56M@7HIXkfl?hk`AQBHee^U+%>)U6V|O1!W@AWUE;RFDKvfIdn%U2AS?$2_U12l_xd~ac)~~Tdo2~e zBP0gg`ww+Kr_;S|`>a}UPZv7c^{Mc?&XFSw`yH+$0T#7~wPtYPF}G?|tHIraECt z+HwSz8v?tKR{-rGSJFyl(Br3t3-+h8$4_gvEumOncAOT`?y)onr9)JY5ghHYNR8&o zU$Y;NRcydhm-yY8O{*T`&8W`%$UfT~-uTU)#p}gC&g;c{8!>PTIG03B{SC)>B-xWiPOKQ2ZBOut)w>aN%4QCevyP)M0%t@DtdfGqg zy2SbW$bjxtwiL z&OWT#N;+x!>6WsW=v$>V@EU+ZNe8KVwztgwKK1jme4P4loSwYZ>{(xU@RY*@^hf z*8xRven8Qq#ZuCHLbep1uF+CJS}DeN6l3M%_@eE`zyh^)@d8;nn+vG7-*U?>as%S6 zDomhTy6A=u+)r`@U5wNQ-wiuSshQA(+w32Ew|JoowlBUt5=UK`uO2o2+{A7%Bm`sd zZSD^67cJCYcsD)-gR3}=P+y(u=9BETU~Ak&dTCvSu*t_dMz?piUp`wk@e{Vng}MxM zK$z5Vb3GD-$@G&YTW@k^2==bWF-KF`%X6td+%2tY^)5etY?I=mIAPke0s{xh)Z1iV zVuHpXOiefsw=|@Q=&L1C?j+1-JL1cIsQY9kbzLM078WtB278P1!2*hS!R8jkz3pAb z%$-RseO2i;>(<>-jq^Yx=82^K8B?=Cx|yX&{cK^~0jnafBqWAWuyY|9kElAfb-6Jl zj*fpo`cVDGQAX{Bk1O~5yy$+jWh*m_AbquE%Mn+tQkp9F^!zmA-u>(<(>~sT+3Y*o z;!)QV9MFc^T=B|l7^_LR1Hb8kE7cW@#mP0UY1m0G_=B&>_bSZO*QzTY$_}^=zUY&C zP#+{cW#VCDX~=7EQ8Bd!xuiJ2Dwpa9O>=3pWv}rl(v_Cw9YinoKZBtIS!FVn{}E~l zcm(vX8t$)O`hQBZ|LdjMf8^5h)6+-a(3bN(F92>Z#0BKH<4Tz70T65#z+EFMn)lQI z7bp&D0IBFplt zGz$oV`O7=3zb5mrzKjVlIM$bkV5S9VtiMxLUv}I-DE+cu|5-Jej-Kg9iV!O+6(b-x2N0t}2T1dw1xU-kmy=%> z#6PJ0yJ<54*n>YaZCV;Yf)PMK21E$a0#s#yjtnSH$HYWM$MCy}zbwK(T^x{N^{0ye zQtsE{EI%aeKPvuX;s4u<1H^kkH9&R~fB~STrF#iaq6dg0zn}MyL+#&Rnw5$kAc_OR zs{khwTEGx~^d7MP>3$#F^m2Ir`-=nS4VW<_Efs)x_+{d>fD9+*zuDtIPAUKX;(wVr zAgk(SxdDQ+01yKpIO}hg+si5Rm*W3p^a8;53vdek%OR_v0O-YEGPx8Keq|Z{f84)V zX@9tXvA!I4zqk8(`SbA0@c%y8z`)AD@Eg0YA$4`TMIlGeo$_5_9dghstZ>TGVuG=Q zIC3$}&_M+`O#KGB0&(Z-U!*G>0}AC{WkTjz#P z@~Tr^uMW@eHu&9cmo7b9la99!rj)f?8eCm$Zig|lkL%H>%c!Z!%S-9@?~b!%-#s%9 z2q{k$jm2Hh7anW{C|#q)h?$3u8jYXt-}Q!iQI{>Fn-8ccx6(AF_!p5*ULQd!O6e(H zM2b!`#2w@(iwE_;k4-2ad~SG(2ReQ0T@(xg%2-kS|FQR0!EtPDmbRFgnVFfH*+Pq% znVG?2CX1PwC5xGvnOPQt#r}2to$fw8eWw4OiLayQ!WS92t1_}GbEP7*Yd`B8 z_d$HOf1ozFe>rFX`%<-ZOh?9>^!bbh)C=;@_SRQ1{n-&U_7k68j+~FWhJmFBm%;ot zSm9l*q)11A?dNc~zH5#stfv}IZ@lmmA;~>n7pkIkN>`KPZPSowYK;@CL(P*ZQ%#k@ zUF)6>S@o5?x9+j|t`6F#yXtCszq+Qf!5GQ1x+-%lm8Vwo_|*n)EKiP@ zd&mZSnok<(I}WKAs+Yy$y$IYocEljsD}Lvv@}ku1egKHp?W9EJLxYcnR!hg0=&|Q0;#<4)C$zNZb716VvRs-VzpLm;7}NM)SNqCSe@|{N^;0nuGF~A0 zVTM)zmA+ZQKTAVpaGnuhVH>+Kvy$!|Y#Y)nKdlHT<_RTaWF>y+D>`y{c}@orCX+?n zOY}?fOVcdj!Fzw}C@WwG$bRY4JYpB>qzZzFKs7BIb`A=*PBh|?aS)o~7WRcW_XUy~ zdS4vZ?$V;lp79t8&JX04#rxV`60u6`o?I}?aUaOm87P?KW~n6mhA&m82#@jt;>3^g zIbdl);zp>%SV8j0SzN5`d{4{Hl0PjhTj@UUHM|X}T+P}ia|HubVlm*P8HI)>$%-70 zT3mo2sR+Z=s?D(W5PH$$!mf9lGk(I_b4CBjwC@CKf75$Kn2&3;#(>WY2$^mobdfiQ zpoe;X`DHH2Q3fj(DS*+O$~L7*WHs$2>v#cye!4WIBj>DL`MKY`X5yN!F;^~XqaYBT znC-{6HVhZmxj94g@6SQtxXC$rqw*4H9K?0_6tw z3r6^4Dti_3Nuf}4Ff#*O9Eda!sF=p`li|yYX;-9Di_vDrNJ^Ol)jga3VAizlhIsPq z2NwZtQ8lUGWe*z0dnRB!2uDY&vQy}jUC;@0bRdA^uGEa$`05wabc`hKV0_OdBnT3T z7*|^K;wADdo1|kE(R4p~_~~MUjXyo#OTSWs@o+2EB&`_N6W>n;QW=I+t@NlPAQtGs zqf@Jb-rwJ7dwQ;ImLcsg3>0-(vY%TlDsBbu_IcE%Z#HKx<@M2T@yMv6*tGjRol2R9 zF%8ZP41dFBDg+qq1|95hwdMK&=h%0p)kQCy6#ki4=38xCwsD&!yV-z&CKnVJMN!L9 zDJPiKb?(8W)P(9OPlgGEDGz8d=t2N~&o@{80+@Yg0K0JKOEAFuhM=k5r^`{u22xb$ zJ4y+U0F$PW1Au_oGR9n%OpwHZIlRmL$#WD~7MBK8PeC#d{kav`H}Vy8OU)-?3QitB z`tNEMK^zuR8O+-!*3^|x;GwG?+g-@n^*sE>|n-nZ+nsX<7_lzzu5A0H15Us=Vm zMU&3PB&Fwave66_l(FM2;wf7AfkSKRPj<{mHJ(!YlLRdB?h{5}ISVb|Bi6+huw0A9 zmSC1D!r`pxmP44N_9&D{IKs!oQA}@_YLWL-zul&KN3#9#-e>+Y+f@}!4@QT(digVO zo0>?`;bkx9pNXu@pT-)1^}z&=I|iu0VE7{P-Wn8QPOfn3fP~sDT5idal`{;uytl+Ar5+gw4o|1b z0u$=9wh|M#P^wRKYYxC4@gBbm#Y{5c0g^kg!V=%b0OJTRuXxpC z6<y%%Hm^7Va3MHNT7Bcdf^F( z-?DNx`icAtICbN$QA-TrosZ_2@=m9e{I(+oh=z!8g0AGnx|xBp5E-%x=Iyc(u0*$8 zLIhCkWD&VR9*6?~j4ehuqhFtXAg@mae8{;ng=Xa_Rbyy1sL*dqy_`+G2nbm5u2?G; zLstucwJ% zaxP$k+uWBs{!E;DKT#dK;sL!_q8Gw7_ZfQV{0xAk7C!W(+doDlv zCQwZm^sW#+3Co8aget|wByB81+x(~Apk2&+HlIFMUd|(;BwO5rh@GD6Cr9#41UlhD zqiZvK*_)GfZG}!njGv6RQn(ik-Tz3-Nwq9}zMMk-2vEaT#6awoS2u7)ZKQy9z$>pe z`9x-XrPIe>G$;~UBL?i1^PPZ1oH&6gpm`vkOk&xFsa|Mdx(V5<0$f9_oy*{}EbHjD z*Cjlp`dT^u$SVBSMmwG(g<0!8qz_KdS?BhlgFY~H+=Y^jE_(U--i^Nl(}`l338fKn z8yErq)Pw>kj8)@Ag3X0BVF8;Hb4qnT*D>yv0Kie}ta}7-*$Y-!F0j3DA1o~l!3JO^ zWmprgG=d?y$p}5JZI&ro|7h!YfIgdD0H_l+suPZeD{dO0&~^_jAxgyh0DL#+bUKD| zPFkwBkd^HyK$~iXbgR6Uk^4T;kxsI$X52K*d{NmDleOX|3%XnErRgSABz2?C0o8&8 zF|D=|pps+n;-!j%-qp#Cj39%ODLg2y-I8B1fnjxn#NbHOanYwibpmbyZCjod7oSg` z0};HMQ@1t~c)}rF2N~ALH{C!&=N&*;$2~+ZHb|duzRsFF4vIEG)IN*?C+chW!`BG6 zvYKlJW_Gz}*c`7HrBu0CdzNn|IZY{g(dY|7+39h2>8f~zlI<=rgr|bF{(v;nYFSTc zjv9!Fw;A|}sTbI`Wht5-41`vvExSc)H||wf6!Ar)%03{iilJt$jQ8hfsI805IVR2S zmfqrsCKF)r%5wecXKrh2CVy_IDbRY=FT3nsrqfVyrZRl3*P*@zacUH)zKKs+Ku?~zehut}g((p= z8O#G_ z4I=+}g^3_ouy_|6(M%Tekrs$wTEgKI(*VZ)vHqK38=)pXMl&om)^|X4%zK;KteyIw z29c23<1Grw+qCyt-K7U)8k?bF4^&MjSRpW zo_#ap;%1C+Q}=JIFnV`!D6eglx^GtdrYkq_(%Mk4sqbG&-2P78WB~R zvpZ7kSOzSO(;JTYgx)IY<3N3VR^G&Igm?elyOvKKQ^p`i4L>_>#zhfkF;ACxghCZ= zA<3xt@hM zS?%N}eM)8|2j&ify=e3w6ZW)wEIb&#n(-aLRPs8@+_MT3hg_mkfM!IQ*yiy=d!rl} zg?Yio^PB>+5cCdPzFxXoU!y*wVVG_P>mupVCoC&yY9h8Ux;w085~i@TB$@z)-B5$C zzHRnQ(xF&XRfyAtWK(t8bu92y2rc;LKfoi!@D6N8oR$TQXx`IY$C?anzfsA$zf&;s z#39#Jae@rIi_E{jE!F+V9)lFutL~TjId{*|=^R`U1)Ok72 z;brd2=@#CwgzB0agtx0*U+_Bq#76TP9oKb`p6(pKI(w=ctx9mVB#Yv;Vw2C}hMk7C zmT!3$Wdc7mf7dH`9K4V50bjF@0k*Ut*{*3Q=NIw73bw7C2lHqbr|V-1O39m(k(9?z zNVHJhMyVtY#UV!GWkr(-CByRq8@_Pie|&$oy3B6;67~8q3O3uDfo1# zv)pCgqu^jTIhx`HW|2;No>rs)!L6@XfIZ6nwXQ_7j!uyrhL49C8f=L{DkFE!0%1@j zA#R$>_Czxy81u#jMY##JUVYE6r-iF^1uX_oH7V5v?$s{0#?;n?$1#_MONX9T-tu@g z8-twl8x2ach8nG{N89evAo}%r^|93_LJ9zw5!~S4>kYy)Gnp8cAByn#=7J2y}y zaEV77`4yiBW5gMKnDs!YVyww+D08Sy3mhVlOTb>vl9nfML$%iGry2#DHmj-@=TjQ@ z)5hmE1jB|>>vaJKv7^{0hK0sj3Jd6F{Sf0u1IdE z#|YglS3-e!1V0dh)i~Cl*So1r%r8kKG}A_5s0Q&M52=fdFQ@W6NE7KOj;wTFYf_@r z5Q>h+j|q9-yNG$Z)w4eh_dvvjLvYvp(AK`BUFz!NWcEwhDA*z_9E4z zCr#s#NSB(F^ntZ8egn*tTEL{5w@V!TdFbi?I&1yJkV16bXvA~2Yp+BGr;ZtZ#t)Mb z8@grEI_g6ddWH|xc2@EEdmdh?({VOsumDduM2K)^{%SV!9XJ8;CY;gxn!-8|b@|EM zVG#5rsWY5bmgICv(SO#@(UT z`Rai%btde@XhN^y%3S7zP_kjDhU@K0yY|Sawr=JvG38^@kfzTIU+lX=SfXGp$^;|@ zCWMrD$P7hC*QFtvW-HfLjJ$de+PzP=JY^42^$79&_M=G{n8uO!7*1X< z^vRTj6%KC&i(EF8R@EcWRk(yaFf&?|3kh~j%^f&k{$oSAeYT5(6Q?Qo;mm^~BAAtZ zKiV4e7nb)Tm|#nXn*fd*U3B{mg-(LGeln2)|KJo*rZ%dlJiXmhf#?;5=2<4!{Hz1+ z%)LB*P9k54>>k>6{aGO*XP}Wj2Fzfv_JFyY&k0^}olC*tThk66I|2J>jHHQp-;1JS2ID^t`#w4OfMaOdW-k*0RC~l|XKfKvEORdtdN@fPQsBLVVoZ2`8rpyA zL`x>mb^1gL_SFrq)^zXF>wT*8$;o{U%pKUbY<#)2RUXEZ1M`P(nWhBkla&H7kf{tru z{x3ad|MNKf|MJ5BX{Y^G;I{(575J^dZv}oU@P81S@r$VcNzeb{&H6Qa|7#51hr8!r zr}M(L|LV>9=*jirh5Gy03^s;;F#caQAV*C`HikdVRcs$21;2b(Yz%*ztJoO+G*_`P z{At@_WBAjy#m4Z9`TyV9t^UuNv;E@&{}kh&Znyd{pnT+GaBzIsk3QTdA4ATM;lFb{ z{X?+-ZYRdyc`yF89oyd|N_<$fJ|5jK%iO;=Ui^CY|Nq7d7B;rO7%wWYR&4eMuf03- zbb(@f;08LECkP;Gl3g-o+oShzxgqNO1?@SI_P)6c&s5zc`bzMTWT$YYElChp?g{uU zn>sshsLY|$!&`SyjedT8UP6y}@pitJwO6u@Xxg;)eOaTgqBBL;?M&D$O7*Ty(WXHY zlc-gjxFMMmf%2Un*2-hr$&hUL)Tm}$fhup zO9yS1Vn#cvX|}ImzwOVIMXugoPGy0A8rQ$JI|4r{GM!+ePccpFpM{b>KI% z8xAM)l0Rp6r@J|Ht+h(?72tYEDVHJza#Wn zSAUZm`V_AhdJUB?0A&`i3K2TN37iBxK&?+>3g9__AUOVsXr5En5fLNZR0oH0{Q}K& z@{w#Z*|)TDW=&;1=vxv7l26EnDfklLh1}sK0*IL0uqk64wF+2M%sE4#dFIz>%k><< zByl?K-*o}mjqc>AF!vDSVA}edhr!LbaMIRc9=^>Cc%_YA54g2Tdajg zG=Kf}0AzgKCljtQE|W#7Gd!PjMG3D+?pGV8+;TtFg`yeDZ|g^?vy9U(HZBZbtpBiE zHzKkEP>MvUZ34(^=`fSHwgOJ@v9JSG?w3i>?G_ol;vkGHAe}_U#tKA9Ily(tdXIXq z60d>(>{y7Lsd?;90Px`OwTIn55VQt(T6}W6P)2~ooIBF2kGKW#MNE-jX^t+B6+5N{ zrgN0^n||!3#i=KO^HK=zY@dE7zP~W(_qaZ^W0P=ud5}?ZMdDBv`^DK@KiueUp+T&w zuph(n=b*FQ8X-SqyyadxMq25la+T`NdTltY)iTuja4Tzw5obu6p&m$)!cr(=g5;E? za3gL=;|QT#oMg8VDvso!T!BB=dlWEqroEZ>S|o9(#UJ$t`p;pJC?XNV8k8cF*H3?u zi%ubR^V9!Ku7ParmrQ_p%flES8qwf9&n{7elM0B-ez7wDrE*>TGw>Emk3AAMT#h|B znhC&q(1pjkY*-FOoBGm_=e9nc6Ra`9v?Ii{n`lK)=T7IL`UEfaMeMGd@d1v~I?@1Z zJf>|$;$?cNmw>%*^lnZS!J2>_?CBD621c7eC zHa@4N`H9pCGkc`Wn+%t|tO+;Mr62w1U#8U|YD~{1NMNiKwX@aAjp&&uJmNoFq97qi zC8y|V>mfh9BrTzU` zCvm6`&|BCYT2Of9GX}xD@!U7O_OXFB@7jTq!}Ei5>Np1m=KJIX0x~U>iB4D@=9bZI z7Dq7)du+pPc|thG)+yo0MV_)Imd!l;$Wh!MX&8lcT#BZB&_6IVXZ%XA6+##6HQ|I? zJjEwaFTTVT?LvU3oH9=#%5{e@0*jK5Uz7<)GKvAhVQ1WGe2>65ao(OPvgivS6F9s+ zkH-XU>OJIjThY}DjxbCd7M#174n0)c49_FPnpj|E#G!vl}`jryoGl-_8?nWg{pR)|;z$S|8L~!04pypd)WH9M-UQ<$BX|Q0&SB zq5f1!g=v#^c0#<}2a^CH6|IZpB%uezZWBRUSjqvQaf`N`MCbL*9`|cUG=JI3U?K9v z1MkkcM7{R3Rb&8sXo@jWTbKzqStHSeOUX)0&775Zn(Vxt!VHMn0@A(lNWSbyAi*>N z|I$Ky^A4mlfc<7lX)WUz9<;_X<+rBvI~^ zyyj82Ix8plfYP?m5r*kxKpiATg3=)GG)8J1&YP4Lp88FweWn{I;Q8{9;e>rXzO(`P z_$T=dK4P84nA-V{xS1ZG03Lzp*%4tp6@7p!VMjooZwLMp$jVb$_lDavgo{}@fh%sS zj$B;Tf)}aOX{Id42OhN3m^D`T&5qADE;JjcOh7<^j;HsjWsRlbA!A%>d%U>YV(as+ z3!m@8Md_ef8g>py)0x26=>y83*t*y>&L7wOwW6I8WRv1M*dg_y)zs~xb{$+xHqk=x z1J`p8uY|Bxsg{Wn(6}M;@?Ln+H5n)Pb zC4v0&b#~~SfZ!FFKsBM_i6xuIG=tNHCz8p4)b{dF{6^riKoDmh=_e~_hfSzJ%!bGs zqfc+dKUO#bXhgUj_Jk{;9ag!&rCGxqpJbr2o^AUY_yb-R-728Gbq6O|p*&PR zV(j|Ug3x(dRGrn?5WYe56JgI`$LL|pL4v1NIj5-oq)!|JK4`@{3>b54Cdd*9Kt&R` z&h&>9iQ#EAzN6Br7vu~!qf3^M923Mm_4KbNZIs^pJdjwWSHHt*joXAw0b?$alf3-$ zg7}l278$i}pJonN0l&np5l2XlDW>FWx(7GZryi8B7*SZC8roG!4(cWx7Mm(}3?XFD zY`!YE;!k@P<17&18%bGTkF{Ar7B!y@++uX6Ay5)=f3$i9b5nBx(ok43u_ii%p=j)s zX282*g4W+19H{yVRJBg(DG6gneUYoY8OqB;hPSZ-I(czBPbtv=*R0`U;N`;+&QYo} z>Wsky6D-H@Hg-lhhcoB5%Re}N_0SIUooT98=yyVqm}KDUjnD=VW1Qo3K{du?^3!Vr z&bHmYvimS}%PN-f$YQXgTo~)EAFyxbY8mX;Y$oy7nWJ42A5KDM&Lx_W*S0d(kmF-M z0fVY%em9(W2A5hG#Rp&`??tvTOY+08M5$MBTUvuf{yzAk1|ZA_V26%N{Y)SnPRsgr z_eH#BK}}bsc)1SQ(7g3^uelmeDs=G>F(dKhl)PtB5r>Sk4cN+{Z!`-0B-Zuu4f3?IJ{uG1+7jy>v|akz(3-Ru=8e#N$2Kz zd(Gnv)wdR;C4{OkzvNNGj&|90r=ks>h&W)|&YR920)67df=Bo31;mB-#|)K#jkBGJ1Ey%FjqEaqtZo zdG?#HYe$*qxC((bONKJ@ZafYyvS6xqMRjJFb0dmLJgGlvn^gB5)X@Y`JRYBShjK2|_TD+QNkb z3d85M(JmmxQr9>^B%kEh_zl79%sKb`(IK539{`u``|lhF=Rc<_kaC|%P3slRT<_f! znq7rNT(u2s*leJ&`_moJ&2Oc0Wk?9%N1S=x6md8i+j89C+ugQ0S{~VE@>6e$6^`6J z|3p9ee1hqL4PnO2%6Y|k{rQH5=DFi%kgIo|5y!deq3Z6Kg(hzJJ+f(u>3-iMd0#p1 z0P70^g!2~<7$tYId8CrJ{!dD$%vRY(Yo>hFML#&5!!Jrh6Vxi59SBVCc#G+&`b!zk z%w(Yw@!zzHN4~$a1^}S?>P72xJz@1ZMMzRv48voT9L=B_yV`ahiN+Iuw`-Y4I{*H7 z_mnc5DV&ThCQv85azW(v}!?w1ZAvFb= zOpFW*nW}$T-@q9a;u$&~n})39Djn9Rt>lg{O6CG-n3Ea4Qw^4BF7B9B1$r~~#-}3% z!Jjks01J6E&LKk|xKmg0-Ly`ScQBX{%5*u$hYy>kj*wR$7|lVksQw2r7c>2&0uX*i zA%6nWvw{@I@?myP(7P{0fu{^XF(lDX`K%R5QiAim>naKg!opEmDSrGtZVCuJ>Y4Qm zp^@TBEQz^@iH|POz*}+h6T*uAA>k4NvJ561PB{fR%ePF+@<@u?^ophn@+=c__)Dm- z>y-np_If5FkYE>Bv(R1wfLT~TN3tCU!`_Zs&G&c?<5*%N6s(eu_Z&EZn1w8@+~bk2 zvAyM|INIA^hj!(szrbk4>C=}Nb*_++!^6u}wB6nnz_skW#e$~rL1S1PW!0M!fFAab zDydKqPW^-`S5OuY2nqtay7Ht#WuX)-Isr~fCy*7M*%s(H*z&iby2kU3sl!nwxVIQi zqP$fTs^UqOjxjdesX1mrlV;BwZ+n?=E_tW~L^i+v5pL@zl3vvrQjOfLKGDOs(@mOT zPJH#L!Cc)@zY%uJ28Vj5+g9xfjf54do&i!Yr*J3+&U%$s2)x!G1VG%}`Wo_?t*>JX z)Xe$CN${arMe_QkXT0#E1u&s;%`1oeYyx{)-w*LuD~8+0!;nK%qecf7-mE!4 zc^9AZp0?=2lfnbHO`~PLt}WB!vZ;&aZejNI@Zy(fVV#Gs zJbdaXVuz2DCp%7HE3g6l-0;J^aPPPI5y(;bd0J%TADM&bawj@l)5r3dVq#0LY#5FJ zvZCn2&8XfEIJ?{az#*M({5WlU7th0EX{A|01|&2=KvcZ49b(A`8@v{Q5o}8(Ws2W$tT9e4Fp7nM zJ5`H^K;t8<>RBg zarn)kbQ{eq@%Qt!dOaLu4Q*YMn308SRXNLdO>fBDPLg3cY4%BNs z**J+>do1oLr}p|@ng2CG+AxaGcYpR_-?Z(D2CgCIGTx!ZEPeI2nbUVyutrYdkePp(#H&5DyQWnEiaL$aS8(fM5VX=WkK}2F%Uul{Ep zEeuUqS(rH)IB1#JS(rY~=U}4MXJI#{WjADGVB%ymVP$4v|J~8zpGKemlMnihV}2{} zTY=vS{8r$%0>2gbucP^YFxUTp=KnE!{|lOD{%Z>2zoB`yf2pu{w=<@f*Ecn$S2T9C zb#^c`cKqPEALaOeaQlBn^NjyO~){k}xAHfzJEdMTGf$i5<{CC0i|4Nn#+pl~3^9ufBmdQVKRQyMv2s;7uM<N!;gpl z%Ukj9ApSr8O8&gA|9yG_6DK44Um$*i`bjkQ5aRn(@o~1WaK`xy01oiY2ZOv4ix%a8 z*eA$osn;OmS>z$9%%+M-sV?(`i*GB(2?e2PD{8B%E-P;}rPHzb5wW)7Mc?`UZ18Ni zrS;14QlmEY5HazbZrj<>ilg1`Q{Er4alCeNY{0c36n1h>0U7pF(#-ahAikn9NlZqS zn36A&tT7R5o+qXu#RsdSylRuGa*%JnRndXxy0NT(YC>GFuRBJWMR2KBaZm45xiMns z?uP+SZDTo1Yrv2lQTuda$u?AEHUv0Ggc;|;&ZU`aOQS>c&J?kY_=nqNm7wtWSRSKFh^T$S zHqP6Q|1=&=K6pspFVUbP9QVkBTfa~Lri)i3f;!cIM!HUUe#O|35^9uiHs%K#DKWS4-1Cfk_B(Wq=q z%$-+iUzLw1pmZEe+zpTn5CN-_9#)jom3{%}T7?a`J$**3B!n8I9$d}ffzNCq zicbx&FgxV4aH?}XALc^2H!!M284J9mfI4T zAV+R%o<1hH@5)9+)dgoT_YV;!yVJDUtjyCoPxuq_7G_0c+)4{+D_gW=9Fs%sz`W`U zsc&zkD~RCA>wGY9Ij!)ud%SAe+OW6+RwraT85a*;F*s67JMVmZO`O7DrO9tLeC!|v5d99n6iIdC?^Vn=z z7fH&FO%X3`Lbj%3+9uWB&7httT#j2bE?VWpjXT&na5hmkc)^?Xzzg)Yn`Mgc6unNH zR1*z)=9rM`?{X|~fLBBW^sL&{s7x$UuW>wQJRNw`h90vHIWmh)vnTuhhO49NG&Iis zVRg7J-f=n|`$Ab?DWWop+#90wgqmg|WnqSmB`(26$O*Qu00NcWafE8z%OT$n08nC1 zst~3GXMp7q-sZo0X!|iT^$Egpd(6aTLdS~{@^@EFD-f!h;~yP?l2e<<#qqC|!$)%ecH?D?1yOm93S}J8WxmD4c9EVJwisz`1AvNKiR^iUG$iITHLj}Cy z*zkX^0q&jvu=7kTrQP-baP#lZ5F+?Q$N&s6nm;c{kPWCcz-;a9W{vMpZ~j4qXA}H{ z(_=E(HpFiw(qAqcWxI%Q7qd?7in-=X;ofY|a=bYO?Bs=bFd}zHa~%4+$3{klgh#94 z*G}a}!}w_l_9DZ*a0I!W8l;TM`)6@OHvLt;Js0d|fo{iy!`Jan&Q-#rbG`hm-amPD zTP^ZR0Mwb<6gDLoY|3&ht}V><~2-UYG%PKQkdgcdvIm@XIV3cF1UlG=r^!LB@MkpxWnV27W?F< zB?uj5UC`*3-G7T3Y>G-FUo(r*eF_&q@^xn>L*AOLQf+}y@Q71ZjYeuA`b>DE5D*-` ziK14~iOw0GHHFx&p-0?d-PN0M^b zN>i@m_ClgdMI~MJz_|(a=Fy5tAr3!wG1{?1@V( zd-k=kdoUo|uOUPRyte&hF-s(Hy-;g#?7joloDy`A%UiTA2o08}e13u}53@tARlC9! zI`}4QR(=Dh9p4Zy@%vwGnhHNLm8MPDTkF1N$&$1mhQ(l&B5Jsq7xbD{I zu(f3_f4@xYy)mz~?7!$trME$!kloN0SMTj^4Y+9^$dq9v*`o=jvvfujVAw=IpH`y8d#^Je)^YA3C_ReQuybVvn0KEaYr1k!so4AMNFR65R zJm8Qjf|U2{ny}wvr=!$$1#E&VlX~s7WNrGWIT1TIy(agw!N~B*a%Aq+EJ-Z;YLCZe zjGVCi!~a11zSG{9ylZ7=8dR$n@GAZMcY9!y?hh8U8g|l5ekP^X|~F? zked$R=`=FbXgh1gjya$is2SW8P3(zCAlIZN2qqRxlOJcAZ9RKSF$x3NqG&c4w*iY$?l1hDAcb327HXqIB{?&v1FTY=YbDQ7X=Km#b z!^XkL$ZE{;(S+QXlaZFiL|>m)pF!V*_9JPMk;90?gn^BP?LXr-`UXsfOpKg{w2bV= z>>u2Qoq?9q$bgxa!}y~;xe1HD0Smj~Z*KFS;Ff>dq2FtDzZLkcz;6Y9EAU%^-wOO6 zaGPJt?0+t)|655V+ppRCzvDK4N!tD^xA{l5_}_LS|CmGlFWlx={pEiPuK9~e?7yQH z@&gC`OD*Kb@ITf<{<_ouL14oC0Zf>F6|nvX5t!pz3b{1;%duiH2B zH#@+$D!^^xh|iBjz~SqmzYcxW7nFfQ3a2`&@+4#NI>&EbWj_7yCc*0mQW}|;vpIli z!Zh)Hn3EBGgr-ycYkU<|hY{fSBjiW*%|FI&ZI719CtmfPo1gCHrn33dGko3k=kIN9 zo~#`B(%p^czgx^ETNkpt+vk@_YnHY?6k0YY)vtwpUP@53M4z`}0g&va6S&Z<@7M_Du1PBVB)NPG8w znCO3;)mRJ2Uvbotca=VCx!dwdk(-h!(EtuduRloze}{fHhZ5&k(eElN+%fdEs9Bww zee!?;n=Cd3yzMGzYE8*veazJV>?vUG0al6PT(FN67Y$(lHedy`;opPBU!+jzY`s=C zyJWpqa_->38rF*1Qth;|3aB-1kgwiPHbYQJ*MaUCi&r6yRjWX3qQPxvQ)KK{Vv2fa zFJ}c7AK>9nARlIKCH!_&6HG}L$*QE0$DnaodN~Ydw#dQ~3*+WYrk3A00*Y)$t_siR z>(lJku5E)i{}f?reU9?98-twx*oX&#>o7bq#Md>L3^|JPpa8j*4ggYS!$vT`C8NUvymP+fWX(qIG4_dtKGr65`NaG4eCR^8ZQ^Qi$ zHo#K0Hl%U;zmCNU(l96@qxE{7m<@v|jXg|bbfvu->se?nrpz{31#Zs5;h8VTX0>=FN*}4QPm}WORnT_mAH>^OUU(|1Ne>DXPkIV2A*wtZSu9U5X0FN? zgR!3I8G!Ecxh%P>)(d^Kh@U6tG^+*kjPgSGz92Hqo-7;I`Lz?dBtb`>KW(b|HT>V2$u4=(EvG%vBON(fq#SJr0Scd{rvoH zS*yPq=?ire$@M%JwFYS+*P{yoPX%m{UzBP>pT_l?MnZdmqzLx$C`*O⋘^DFzl*^ z)eu{b4RV|1MU#O^I7i_ekfZY^bdp|?PoGPzh<1jb2cZ$&j=zyowydS>5!1d$uqn3# z5=Wt%Oe7sZyp+>~f9bpx-?=&Q_EPXThi8_^9P$37Y*WWYtT`_^vgKYjm^U?FOq5D^V$qj2pIv1H# zi6~471kSpLO+&3iUUXYq-L1+vqlPOGB`@w`m=TmU&gJdJJ_l%e!DIi`Y}vS)b!$4+ z#GH$M0FDQQ2kO1$9+tRZt9oBKJt31opB*2L0Od;Sl{-8VPDH1MlwAoLw4bqCkGIwS z6mVexF7&-10fJJF=Cq=g`e*7#IOUt4oxcX(01dzoL{$3-zK4a`?W5gt`Ix&d3NEMg z@L~gJZjOzj^xI7a+nCI2T^qdLcKYFYm?=jktUb!{B_y-gxy6$Tz(!Bbt}_+D(?{|c zsCHkJha9VhMtij%P-UGvZUf~Nf=h~&l;rHDd#R`jVsw}LGhf9&RvLURd(YV|vokP@ zXI&k+WS*+^$LUA==`#qCr9~@?w}<$&Es6Fd;X#ilTlcJhmoAJ)ILF;`H#rl=I!OkH znipFyxmd1rTnhhmH{nm09{EYw>hl*k-25b8@^se8^#rTM22~%@bT)pANK(EvqS}=$ z@5$I*_Bvqd-7>?MGw&wspav<>kPH_788>*esq7=5?k1-;h#nWu&Lte7rMmDCU>gBKH8(KE?9Om@yTs4(>eS8U7 zpcI`S|G5kviJ_Iil1oI5J)+hMDrqSD&8)BsI9t1ant^_DmF`Re;#l|sW6@eN7c2Y5 zHSD)8bOI$_ntREz5VF5E#bGsuVkkW}L<$$Sy3iY&-dYrV6ri?bR*rT929pEGF7nyG zQX^xBU%@po<^pOW7s{##Xu0(q*mE|(khURh|JSz(xvT7=R1m>u3GKN0eYLbR* zb82@8OXf66I|7Dub80vi+)*dw<`qiH%v4oAA%jfXt_?v!vLvhCNcAxeB3tYa2=L0X zcv)dL;pnnf7HJl}l?= zhaay(2kgU%k{iAIZ$=V4Y^Cwsc?@7t-m3JBDr}$L=%S4rBTc4v`05=bvLa;=?&baI z&=*gyuQH&|9-2OvY|>-kio}y~reQ{rbiD5>kt@8uA0(=^=M3vr><~yP3lsJLyN2e8 z6*P3_ktxvgih?2Mi~ZB;vJK|f3DP6A8)>+T0^@9tr9BNeYDpZs4d)wRaw#48YaC{sr5ubIt$74 zSg){GS9XPG9}B%PY1Ay+cyp+2)u5#xyVH?|2RH&h@Z+D@+T`VvpcK$?DhES%@hBHX z(=eHu2XNQEwWQZeb`Yi(FceZJJ`0uug>YR7L@bI3r4wSvj2{XTt*+$E^Wzo)a&1|j zBMlfwENj}e6v`WgKfzppkPC&mu*2o0R>{W^y|RzSnN?ap99)#$9(_1VGl96x&?eQB z1%lg7-i%{%!5 zq5OjJ@s>!7+_~Z7w`x;8+ZG+xs|T5LNU!lVWUG(uV^93Y*zMo;$X@-wUjK3IkFj^R z_gajHClLPa0|@rV_OXZfV~qE2dl3BVU$6f-_OZFxZA1sV_~Y>_1Pu7EWR?W1;FkfN z!!3laW|!BxSd|KtQrI&tQa=7v(GUJA&TEAz<#sJpgsZLc zUE`nCR63n3X_)*oY;@S8kE+GA0 z);vqiuKvpKM;mp^7d4V+@1!*?^StMncGt9vjye2J*H(D<%LeO3NV69;%Y55Ks&^tv z6?3=ph zQ>zk}dXXqxo~b4DUsZ&(^l_GK;u!m?SC#Ru^2Ak@6Rk-z`=P?9Pkjoak%uab+ElbV zshao7a&;HPp8HfbdddiKs#X`;n6_$CZ8_{k$AY{E!D!Eo%*&$uqZ;H%rPtJc?jY)t zQW-h}mmsd+IRmFFPTdi*qaw6Vj)E`fJo!1azuf>v(H3)khNdb*YjCMMdCZpO5ZzaioOOOWt)ao0bI(*0hS{jI=n1%4~=TY=vS{8r$9UV;B| zPwao7rT+*Cf6dOI~FXi3w zD!K5rR+7i4&YD(sX@ngOUT41wr=vlo1hDY=vVJNIPWRYsm7-u8+ND{6G^dSb-w$9( zVK-jhf!R~t^Z#S-EraXGy0dMe#Vkt}Gn2(k7Fo>9%*@PeF*7qWGc&VfF*Bp(Pvd8t zZ=Ph{WRjXxQYrt^snbWjdfmHwpIv8N`(CMsCx%1YWo0K5FHY9CMcuhERTk6VzF144 z>R6OSER29goH>dG+ln)ddwVT0Vg+nlqqoKODAaPuUDrh?G%OY+pYK6a0&G-)Z4~DL zw9NT-mh|}+>)xvOO_skCjpwFKep+#4%y_*#0aAQdfrL$5(%)QfF260i^7gBuMr%7M zWt20H{mgQY^M>J|mS1`uldfITSykX+utcu}$_t{8g;}Qq8N*ZvL#9wK#>8+|FfY7M zx#9QB6gBmA8tn71`F-I(-0X+7Ma*~fHYMW8kqow8##E*L!6jc6nPPN+v<6k8fDDTun5LS!0`}I zV0xw`c=3;QIHK{>nHb9wsBEpE4L_IpdTY~Z{%A?dV!#9Vp=?sixn%W&km>=ke`lZ% z8+zBLEcAt3SAHhiEne{8vm)D(hou2n!e`NWJKRd^<;q3~4FE%kkNie6pEfE9`NYi3 zhy|Gy=;1%h!$9-`<+%F$RcZi)weU`Fns$ni_Uo@W^|TVr69;f!mJlfeeAXpBkdp!>7UnYxzZVYh@>>Jx z><$`p2VBsVqUYw6(gmC0Jg9^&A$kwu9L#jHR80uz>iyP^*4yVP_ycxu3K>KXF#Re* z!eRgX-m82HLBPH&^n!S=wpOF>#<)Z$qi+!Qc)7G44LxU%$0?qPfH&`yvdG5vz_HB#n5uNI~SGDf{Gl6CpGQetJYwX zrz)BMX|OV)vrJrtvs zWEm9x9XTrFz|(Jg4t35wi>)>z708n%Yo=eHJsg?WFAj9bC_jeVaYvT;a_vWRp1{S<-ypk*FTA7v56{K#M~7 zoIvHotw)TYu8AZwLXt{8k%?OPZM|i2vJUow(Ycoi7@F=K59t}9IK&Bd@3t-$frz8o z^>cfWvEE@+@dI5h4KpT!rJ~@sxI8Y=4CYtD7mp@{34)*1C^esiPs_>Bte)+<-2*?o z7Y{`sMHRkHBP>zH9uS^mj;%27Ou#?pZ;`k|k@i$=YiutkgOyWkMX_UpVS_zjEGN;2 z06J)tg21tQJ{-qiKf+*3XWbyPY&q#0L?}x-E z#TNODm&>IwLrNYq8(g5Ny-&>1gGC*rgb;>06G=)ex?QS4iw9oL820Z=;ZOT`aRdA{ z#)T!Gj-jOUh_N+AmF%u>YZAH%_m_MR`KVvvkfm|MjXymh3y$>LN|cXlWeuxYLtMZE9(N zJ112(%s#|zBy9EjGN0(#&JR&aA50KB@yQo=NivYZ)}s--J72yJQPU78`6+%#fFC>y zC7cfL9%R*Zsvt{@+VanK>H>Qx0H9eO#-(Fe38)ttT&InWAyUtMjYxQv>>cI0}sJh%3zIfD?2cuY)A0gdJzueB^!o@ zPkdHNDoNGCaya+?jA$}g_lpDnS#Ht*M(>wyZU>A+3&%|hLTX%9(a|N^>ecd5-vb77 zWE8t|3}!9E;mH0)jx{{E8qg*1O_GWDM_EHK6P#2o)%=JFYBi`N8bR{+87wVg864~Z zHMt3oZ`&`^c3qOVgUjJ8Er=JNHuem3Bv0kG0vGjPKe$)grDVtqXfAL@qFm0*weL%m z2a=cx)oCWUI%>j7=}ajC7cXxf7OpnPoXG}@hq>)OZ0hrpJv0#$IE{c)@G4ylSI;Fs z9fuBJ&0PmIq_o*B=)*9A9SENS7MYsoX^c6W(TILUe094dnBXkWg)E?@hD)p#&PqlI zu~uJfz)r^4%S729E5r?C_GT6k4re1)WOrVrdaOzS8|IN~i_+oN%7jQNgeJvVwB-Zt z3wKkB%*MnX9KeH5FC12neGT{LUG@!ul7Gx5_Rd6?3^KZIsAv}wkRVu>pWp(fSvewL zo94r>&2%e0Xxu1LhiR)Wgu1bA3csO(t8$Zj%$D0fZPX}$$%?$gT@!EC!=eZ0w&6U} z$p*PcD78`RR=2rp!Eao~nXjOhQgw%*TMMoG_SpF1F#> z$5Nz2DrIEAL7lVDi7W76zh;TRSq%&F_(xdg3S^d&bj3E@YC-P}d@)H`2j^=VMFx7=l^Q7r>)rR(4%ABtAtL6;~ z*JEYPDc>o>#s`W zy`kAJ5h=-7x9zp|g=5Z(66si=<&mT#RnAr;bNkz}>bZW4_$wF`pBC&R`cO;OOUje< zB97c4d<9G?<4h+XJUIN28x}p-A3%h?w&``_9oFa(kCS>}Bsrw!p{aUA$_!%W z!I3r|t{5GpgpfoBA}101Ua$>=ez4yGKJ#gr~LYCS7? z-u#g{Vx`Q=@msm6NSxp|CG;LkeMm(@;H8o{LcEma3+D1_UXKvb+~V@a*;^TZ;z zTM(tAJ|*(u<_HAq-miuiUEnjZX{gRG`6y2nrwdbCo&J-xo`V7WQH#hONdua_{cG5g z;;6CDvo+oyz$>Y4t?`4S*cg_iaDrNd;`4zUoKrzmjA7%dK5NBlAb_DtO8a_5MP^8- zI(Zxvh9BAxG*jLuK&sF3mQBY}UsD`F0;?zZ<0Uv;Eop5eyW=bn&n_V>aHK`M9zpv8 z6Db5`NTCX1)srNTI@rYu2MR46N*}&Rua25#X!X_xF6-|^4=L*w0WMGRHXDATftS6c zS(BlOo(2||KNx3>i$hQp9jN~D1oRe*RsT_Ag64{z8cB6g11Iqrk{?x<8HRG=_-JO} z(V`uX87b<9(sGU>7TZqg!>R7M1ckHZ1L$n($T-w zYyUTuY=7YRVEYNzqhZG?qBWer2Db2^R2c>|6>c{Z!dl}&!qop)k*)ec_#hO=9%`X51md*?@h-(LJYGsDj_GyFXBn}6k7QTgpN4F7E=pg;2ZU7NqXVEoo$ z^FP{E`a{m&R{0k8{O2aJKc4;nrlkK7`?s9`Ebt$8M*oLNzfCfK>Di+H z$U@EfmhQ*jZZ>*aW@=`pw?7PT&)YxQ>;9PD{%X>+Z+^Xh#oCwQ?Ji?xz@>YW`mGx= z!`mN5CR{qE-yZgV4*rL&@_$?63@mR>!gT)<{B4}yz9ar5_>XD)FK7N&RcB%Rk@?$G z{nq)Ho|WN8=8SaI|7_{}G^nYeb`>QwG&jJ5E z_U{A!Z)5*wrnJ8t`%UtCxD_t;plP<+@})aQ88# zZ-Tj`Z*R}z_KkURzT7%_ITls@_TqH6JGb;WCtql0e>A&G8oqNbXMjGhrz zQGPZqc6+U?`z2y-?sf^YY@@hDbK+IT)4rwk>!{B5(e2nRP?*%Z!ovB~!BL+ISh?YK zewqE8%<^%H(CJsTk&uC-0T)iuu(E^>xti~dPuoc_VYcPKf{Lg>lhB#sfZxu!#|>;T zR>rq_M@p7Bw7yfk?kryyl&y6ToBK^?DDymvv#*bObv~M5SG}6nmy9fNY~MqcG`GbV z*h=L|>=Lfy&|&hFb~LjApL%I^M~umDyDiwuBgri6nzV5|AC^uk?DLr>kDHHCsH$m) zUgdtwr56VuhMVqsOvf*m>K%^;+jE%b|Jn%3IUa1VL$w&13Mg#mkn|18s%Ji7ehH0t zcK)FGi2Vw1tT(GHMO!mjjS@q*0CnbYQ)Bz)vG3dM%$iMI(YR*YflVQ4s3&L5oVYzo zbNx^pqj&c{U2Zv$F2-@=ZQw-l`yCpvk3@=9zKe3#)7uON6Pg_LbGHPa=af>G!Eba9 ziU*G8mNa=kPfsdLd8ff^`CKNpwZ}kAPA3s3OGQQ3H&eOOxRbaqBqoY_p1Eze1Zlhj zTs)QuU4Q3AQ3-qyLvL$}5tMH>5Cq589d-gn@onDRF?fE-jUay$raH(iS=POe%fso-n*MlQss`OVb&`cuKe<+-w-qT`Y3H=I7Vf_dw= zG7jTTigJ9^BPr$QHd;R}UC=1?!0U6?Pl%S`fb8QO8pU8w2+V)mOgk|^8om#?^sb9D zeVxb3IkK5kzPr0ARk2a{l77kbUZJ_nNIWOogsyxpsC7#iv0$7%k39GYZzbv(00RRb zTO+%ZEpA26NmGW-d3x9&t9ei}u!aCkUFItU+~QcCE5(|`l33V`Q;sc{xNFs1nmad? zCZ?n!o)%4i@MjP+8+T2m;}cvuWKN0_PJfV3MToMsP{hKBG5oZQf{TVgI6xlisUP=C z6lpHFM}v(-w2?64(V^av0#Grd#~2xleOZnw_PM&xzcdq1)`0+kxSG;igkRo+E9KhL zk%Hu3(=dYjc+eyUs1=cz=$3IHBE`dwD%`nk`mQvan7*=nsIS> zaIJU2pwpZR`s*v>N~E^{4QA z$Z(U4TEFIs3>*+nIACa6AJ&U@$8qG6r}u@9w;6^`X*Qs*?}8v}^?>9s0#r$JzRP?E z*91MU2_Ru^b7pvV1v>Jvt+ntl^Eq9?PNFkE>;%b{_((;X&Sz|nnwrb08D)q^0J)?7 zl>qhD${k>cHlqO9F=zWw_^$cMy-%Dl`gT?vc_$s-SxfSC%d=zSQzhz|nz9{q9cH1v zL}q-=q%~N>>~?$z)aRX8LYz)&Pauabf#UV;MNpx!d*Ma@)%={rsW^WwOH)3|Cg6&^ z9(yi7qZJ@;*&yN^=AjjvzU_;ZSItX1&h(t~@CD!)f)v2fOxlfaW7J=Za#}DqA9khD zmhn$eQR#=DB8r7EHK^Pq3mKeDTHpp;paY6wD)D2EyYz7vp+5@$%9Gn z9_m#pO@l6=x&;JNfrnhmc4I>o2&o&65}KH`^(GGzmhacobdK z9Sc&YjFV+Fby!&;?ED<*Ht~Rsyh>omOJXpn&D{Y-@xJsvAF$DPGyCl$^BXBxxY)T; znYNw9_(pwH4*=>-oo|uvaj$L(8W+8l%zyxZ=5HYC-B5*i=2&>@5ObiQyc}xj%F>Y@N`fB>eEd$+F5X<_cWTB?-_U}#QT zmeJ^)xTLy!Mh=W!Ah4gGp3z`k+z&zX0cWswprq)tR3;FBKu%YHIeh)LmioQDI19RI zCGY^adW*)d!qfH{vmr~C3=@U)nm{ciKizHInX!X6I1JY}ftit>Q)g8rCVt9fl7%@{S|Tga{j|Xges^ zb{RiE)l^=vVGmb9K*E|Yr2zMOQ*kp;ar2S9v=x#9HBJZs6~T6G6jCpx`Rkwn&~Vxb zrKAq5o*bZ%zFS7wN(0L^aovhq`t;VPxY})ZYG$aszN0l&bB>u5xZC~YXbSwvB&@M# z+IVt{sNGsMCTpR=FOaSXg0uJ#b~}rppsHUQ+EN-0rfe>xuupIU*TQt0t23ITBj&3!Y9RN;e?l?i zw1}^x0JiM_Q`&i0g9+lg7GEsKW7tCPGSldUgfv;&^0c^tFZ_CdG^hR&Jrzcz=T;== zp_9fk*bs3k2PoL61jzfIH3q~Fe;d}ruIL!}zV0$A*-#CtejHv$YZ#Bb}XLYRlT{;8k(E~OhKAhsGh$BITjzc zjd`?3V#p#H&dT}YqJ$l)kjiCM%%Cl@FRLSHv{;QOWG8Dj_O@qW?wMECGst6#pUHO+ zb_ga%6Lpt1+eCGIB!h11k!G0$R-KW-szpMjpn&IxMM9>^)-F1TtQ5CxH=AY(VDweV zi_On!)xf7tYCb$VP{XqwRA*EG32vPvkTp;_A64J)W#;M#esXUmjA5THo&I_^-3rrx z?gL@41EsM=`z|uK{#)Ci8jej6a8Xwl`>-EYP(hN_2gI=W9dQvhRdf~mn?)27 zpgGf-b~&@laQ4B$1+iKr?Vig2?&CWwMS1s41KnpI=HTrh0 zT6edTuVIL+<2VYaEt=m`AC3lm$h;1%M+PBJxHAb897JsHwnc10`QliVJ&Q3(*58^|pkEtM^6B#P54Q7G%WTyCgi317{v zzc)6qP|!fehYXAb#5KsRS72+yYVp<05;N$yy;RD|ELQIbHVFtQQ{s#kDcZ7WTU#oH zwHuMOgvZa_#lf+F+Egiqt{3AR&P)@^(|Z8kxLbqOm$J=Teg)!cMDYKlvK;!H$LTlc zT5JC){b`~kCG0^tJwL!>UO`Q!HI@byy-V%;0@Bo%TRt4fM`I$d2BeIZ_Ls9z#k|?~ zB#dun1kH2n>TRTCya;E*+CGX4cL%EvqFp=M32b2VoW76NcK2Df>Rk}ml9c*@;=PJg(kg^iQ&5SEQtVk!yZ=jNbYxUCuAu*$ENGB<}RV*e=lLfaC?gsHYsQZjl^Ri>DX8n z!N?REN38v|jmj#yQPODaYv2v{hyi&6Q>~OhpH%uWs)1#S_u^eVvsiIrNd6aoHk$ z$ZeS30hY1`vEi46y!Mkp*9u^}#cXIjb%)IMRH7^E$#62yL{$Og9o?Wd)c`wwWUi|V ze8`v{A?d-_L{c-H_OX26Y%TsF93Q|iEI+g7Bu+i{*BTz` z1s!53bwxo{*p1J4Ah8 zK~<)#8*}hy8-TdksY$U!fS1N4l5$h29+U83b`VZFgF@2WJM>bmb21*wl7fngrYbfg zxs84HBzi)@h9C|nX+l>Dc6IBNXi73HJ(SCfGtL&~QlPpZCBcFcxK&wV(VohEmIDII zVDG}*CTo^2Hq>YqO8TgLng(|B5qGg~p>Pn(iaea)q%gEfm0F{~vvYkrN-VGks90VN z>Nbs&9h<2X!lH}^;AG7s)@u73HEiq)NhaGq?cYF?Z-C#@!TbyxdlU0OH4LKsYH4CP?$a)7N)L&ia1ZTzE6UI z6Am}f%V{+vEocGFZaQD-#ckZs8^&X{i7@E=Cmu*sSu!%J6RmXGVMdoQGtTK&1`sAW zHap|#xUKT_^h;k3hrKzpCsHJ4kyjVY<^enZ)e;7k{QYm z8GpZmKLb&I==HO~HPf5d%wM5k=xIMvv$Eha)6xH6L1b&SSj%5P?G{cMiA`8?@swKUMZQo zGM~6-erCX6Z%qPGJ^EJczGS(yz7&%r5Ad03>8jrkd8}Dc;VXTK)i;wBCdU}#lJ=*g zr#ov|&F>$Rm`j9LN)w&O3BBw zB`Ci!K*O^yCaDHV(hag?J4bgja}`6!aLWf#G}9bYOGc>$`q>_(II~74Gd?MH#^ii! zIo)~hxH(8W>g5Wf-TYK&pCVQ|5HYDcqZBlFvVatrHQpy$hysEEEP@A9fkeYKoD0Ib zs$3^@XUP%m(SL7kdzHwV5m7y<&6t8cu+dZ)Y6x{1E<26Kt74+6s{`1$V>Ayqt-^TU zZ2$u^5i|5jcoUm~DCX3ULRRi#CR-;)g?z<;FN7$Xf@P_sXURevSN|E^1@;n^W&115--FUKO!sVJ31<(3zCUdtfDaED8wCm@I zGleBUiCxr5IdS3;SLE=7a{-+XyOJjUb0P(@spJ(`vxU}$(#$RumJS+#8A&2_)NbK9 zd*w1Mhet<_0FpeW^73Rxqb*8y5(%sAt>`I~WAp__g78Ha~>l!8P&rR~Tq zqFku`=p+#iRlSyM7;AHePcbu)?`GbxoT>`GXeh_NI3QM?RwhrF?ldb$=!Z?*2`Dzh zchgfZ(~n9-j}%|ReNlRI z1kxZ!8-FJf8b3Vg;dpzGmzU*lr9%7=If>F*mJ}ZDfnpR1=u?7QSARH%_8#zZhF^c}`NbAOo`M(-lW zdtZ!J8tPn$ofuh=8|%$VV5X{Pr_rsa4WZbqS4#gZuf$#;C<}g?_)dXaZ5cTGoEOB}s-)IP3@bs*R()SHOQ zgI4mB7ev+uWuai6cVAL&a1^w(T(d;*nfz_hB8T6Ly#?{I7 z_f63Dl(j7hCJWu%DaAu30SZ@{h~ylIV($gJ)-t0;4CL~ulk%q&aicXo7sV8Ij@w$C zpH=j?wt~?d6W|X|;G;;m-piKhH<;jahdl^Gry<`H6n+D_*+EBmUjXcdOYxn0Xu@_V zfP%`IFaDr(+_xfdLvsf>2IqozuIn81RWTHFDtxeBmVZm;Ks=~m_)8~kaPr9mkDqc( zDHC1wT9Kr<>xBNlmD`y8IynI{%}whej$3@8B=!GStx(kgk}qDq^tn&3JAVgjA#I1`8B z*VSRKQ;~yqC63}}7up!*(Axs(Gv01w83DE8upm`HYu7|8?JDj?ov}D}9bGYiPs(PQ zN0_TQ<2~j4P=G)(u-|Fi#7JIRWN?mQc?mbiWJm*jKabe8?Z7ZS-$lZGsI~Sp_Zr5N zb>AV20190`M_=+N2dbDI1s@gB^|q#Y)&zUk+)1>#0W=Ov>kGX!%*Nhjh4yh3kAEyB z(2H_TEt--(mhyY7o-*8S_Eo83Wm}9mafX{IZqALs{t4guC)&8$=YgpYT!0&VSmj`_ zHYms|-ZmfrJ_3!2d~X;IrHr zs~8`yx@}rF3%}KVL>zZXi_O*4NDlD$ZX_Z{o}uK?L@L7ppOc4V`m9gVW3J`AO^DW{ zPh3>LdytCqv-Az5vp=+D z#B=MTcAJf*V^pG|)bt1?H7a7UkPF}+b3l+$lgXy)k*Q0`RE9Ls`6PBY30;h`-= zwZevg@D%{~ruKO2I#YRfw00XSqcgCQ_6&&MA2_|>-@)0>MSrc*PICE3V8;L=8AVAl zJvSeCeP*oDaH#>g(kSfbJB>=$4i=uZPNTm=Tng(ePRs(`v>}8%QK{-NXu;I1-SvRD zJk)qKVKxsUZXSeamHl~nkli--7SRT5NL}?B{0^dNHr%IWV~AN$0{$tNDEwU?C zCbTslOH1OjeT#VEqdNN+wZg;j3>-@C0I0! zxoKF=)hu^Gqx1XHjG8fq%#I1SPye56=B0Se##9@q(rK&ZJqA{ zsb`eu7LK=J#9wfgKG(~MzYzTbB%K}Zvb@@bCzv+rDpcBwra)|BN8}ViP*LhwyQ@SA z;1jbRi22pbf51>i9tWpy=p)Mj|Bh~rQGSd>wT2gf-)pD5nDv(>ud;lZuNK>z2oeF% z`;9$}kX(z9^ArI$B4jRoM7%tF?B63%2og%KubSfIzCe+`;|tdRbf~Ko9}gJ@SIz%n zbx_(+dllL+02V4sso^mV0OX5kk3%e7jh{=LrgkXz z_(v5hEN6LInZ_V#N8IV#6xk7KZ__eh5UGKBnSD8wHS^qAdi)i zY0JWo*ra{}Gq*C{>yiYYJ3z-`6WBP*6xyUJoh!Fk5fD#4=){puOCUUQCObl#*Ez5N zt)NTgFJvIG+<>6USXoQu5P?f`8UG9-+g7B{xHbXh|6Y~rH)DGsyfEo4O z^xgu5f+#(G{_LA*DA#Jesf)5Gp}a(Hl=~$|q$Y+=d@j6pdctgUop=Z*r5;(ZI?9J_ zQ0B6CM8mj5`iNUsWwVP^__I^7!O>ZGpW96p#P=-)9>Ob^n-GW_5tO{EwxXS9eAt(c za;RXIOBJ_LBXJ+kRxy`9@EQ0qCvG-t--volRR-_HcE*UbA1u;GI=-zS6Vb>L5on`ksMUhi*F-Qa3W;nOk0bLI zoMamg;0?J*N)rsAm^AHmm$t(z#%d;K)GFJgexIwM+Ce}mwd};?1quL<_Yri6UE)oBX!IbihcO9sv zGx+%xX*$@A3I+w~zP>#+cPxE^NS;W23VO;mSuDhOKT!l-e#c3Yi<){Typ{_okqw@Z zop8{0h`@Zj9F%9YOXY-QfRq`kw=S-+on{U$ht~fv7N&rBn1oN(OJ`Lxus>K1wN!vG z(Gf4vFxk4T&Cl7Dm6BP&p0Xm|k*M3k^WkQ&VZ-3C<+ z1%~ef?jsuLYjA@^(r9rk!sGggI}?#!m~-jIwI%NgQCUkLKtz|UkZ{HMe4Y|q$Acol zgt-EvLP?Zd-?w$-25efYEGE04irdP=wyL386<>d781R+B?G|j*spt<;1Qt?X6DBbn zuougyS?BS-Zb`||5lll`R+x4G6<}=ZF=55qW<#~b1aPHZjbwyUo(cFYT>gINBqzFp z6#g+#hzS|&G{xb0klEm}+gtAFM#Cqj>_G%EYPR}neDtgGw^> znlrobklcwhuJAxa&h9;(ifK}2?lJw4BC}a{2NaJvA7q{gQw-Tj7jOj}w)2CsMcpOL}f)~m762J1b3aM8Fv^Qy-eW_!g%)O-d*Hw zV?V1t?*I?cW0XsaB&g-79P}^#bL0^gt}$>OlYTjUyJRy~)@;1{jEPe)Sa(sEj(yiM2YA)DCi_~ACV|d@%R2K{ zoZYdI6w(pp?3OCiznxp$N*z$P_F`YjR$a_T{y)vA%y|%roX_OjqE}7v*(i<4?y^Z&ouv zZj21lTLv-bbMdZLgac{eixtd@KR{;%ZBs8w=jvT^j<@lQ&07T&)lYkxb{>$yJ4QZB z;WJ^WMa=np=+Ub^Z!%ucvNGRsemr+W`>+-13;`)AX?u+<{7^2Il1?~uFPVyWZw%V7 z3GiH?VG{ApDh!Pphl~eOv81$;c#B2?%;LB_ykU}-Px)Qj+IouYj#l)XsC~}s=oqux zUJsJ86IeY5%M<{p<#z{H7q;e)eypr)8hdPz*2Dylinky@>I&1QJ(~_#?1S;^uNaoj zOcGVBZAj!BD{={2u`ANN_gQ%SkAs)P_$F!8bTw*}`tZ*{4AP=H>VZPY$!o(50rc8K z0tr)I^~puMJ2kyfbaqVz%*+H$OvOZMCPWEcQ@pJa*+DU1x2#4#JDlWQmRCs9|^8C62YZzJ6NgESX?w z*j&RUMLPp47`HT-BoJO;BZt_d=iF|hzFJqUBJP54fbZ^gyFMdO+d*O&&Q9Rk@zS^> z5m?|c#)5K2RCY<~F=Wl{x|<&9xHiW7@E2%6$-HCRRLFJVS)7iRp`?r81M7Z`n-j#% z%PWA$)K`GjEWM>u4? zg8cxJe#6`Lg4IRX8KRvdhk2jxVTa7zN=%~5Hm}khE*-5hW*o>3e$i+5mCo%W{I0+Q z&+GIzyq7c}md^Ext3Dr8a-5?W+9rhY>t}IJy|II_JFA57ojxRAIB4;uM+tqLkB1CS z`mtlM9-2;Ax2m(<)JN`tYF4;? zLl5#RoHT4QtnLPcxaJEoQvIy25!wR`372jC8xRl}h?x`x%*P4{*?OPvyCBr|m@T!2 zN(nNK+E({upjzoohBjt`KiL`0yfg_5-p7-5k4!aE4$(>7@xDWQqyBXp(*xEazsZkd z8Dpo$WNDBc?lyoC<#Zirpuy|k1X&P96mE4ENI|xb!VcosAePz{VtZIt!t*>6tTjTgveMs+JNXiwiU|V!QIeu^L8i((@4Qsx+2Hgag{i zq50)|D`F0~cSR?*SI0gq2CnCKW~;!7!3<}~_TdzS&%Bs9oci8%e#Aut*uz0t+9@BQ zZ|3EN)vfuL8ZN+#_iu=OSSdD{9OD@eY%#y@mh!IKYDIHOH6UurcMcMf(mr?&2ZJ9mNS%wRG)`PS2wt~l_z*E z)mlelxcGkK3)V-I!-MG9&`3Bl@6Ki|(l8?unHjP`Q3Y~PJ_v}Ghg~@BmvqpILhzKa=+}%Fv2BIHkew?G60g{`v9;5+cGom>fluew zQ5d#r#EmDcv{1o~Lv3sgF3VN5x7L+R zy)T;8!&tH?e`0GsK51{0Cc;pXE;*Zt)ADF~9^5hTBJb!=^-&~J=z$?yH z`ZQA7hWa!z`nHz#HoE$@ztJlH;IsY(N@V&$!u;2WL6-jlyyqW$;}s^|y3`Onbyxgk3Ge=-&-ReIxw`Vrjs6-Nm+5Cd!n zaP^ypzsghgeXUN-ixCkfLk0C|`BFvL7dk&I+upq%B{_PC4M6Cy;6Nm8F6^6bI&nCY zuz54Grd^l10CxGfKeIGoPVdgyplLLo8qO&FsD=!;LXT%#fa>Hj#=X zuGB~BVLL)f1c_gn%{Zr8$AMXT%K$&FT4VG`*qg?%_r&9h*ma8O7Vm!gt$jJ?K;Vdq z^!irL@LtQT^u9l|a`N28y+xhmR`DL1>m}35Zr-f&HSdmR#y8{aG=_e;Nh60Lu;NXl zIjnCD4bB=yIOJhi6^726m9HVmiOMFQ3^d{od+cq>t(PZ+o#ObsjWwJ`zbCi%*=z9E z(vKEnpT?r{5K*+GnRN_R0vdnQVFGlJs^rhfiR@R9C(5NLArDcfSC(Kin`wj+Q7~Xg zAJt*2AxyD*R}^bUz2s~4v0kXxF6f}Oc2aGB+7OQh=pr#XIo*Ocx&VPEJ13Y>vm2eQ zWnOUKjz3>MndDW>q0>-UJtsw5-Pxh4FqW%B2_5^)9z9*d;%HmFhAZfB&R<~}9Dn;c z7=?n?T0T<5-kBv86J^WR0l0#5KaPk7eMf2$ziGebGX5=XY8QppC@IC=%+we67axwx`kc z4`-o-@pGfiT$XnHKkf^`N&LRX=P_$dl{slX?`FT^gbUFA7i?pu8>zGlYQ(Kg6UG#2GmkvSCi@|_5CI|qGdZR$riX?A5}gHTNwsPT zkcve|MFW~rx$%w76!Du}t+1IgG$xaLc5z5!yk2`Zk#NCqvLCs(Nte0gu@w`F6N`qh zA7gMsUiLF$bRJQuo!)Gc=li?6S>s_n_IkkJDkN2u5 zvtSTHXHP+hK!N;(rCLF_SiB?Sbn{?BTzwreRP~RaBMYN}W8}=Zb_WqA(LD`PQ58{N zEM3~tDvB^0o1N^*(J-57t$&}wV0GXETLj=W&?}V>v>`6I zMA|Y!mSB!zY|ooWvL57i7ZF@wv?)RHl7#2osUxs>j>O>! z|Glm1wa^m^O3uKVG~e3lj%NDM5BCTRL-KygcO_{&RujAT_2W8LIBT^+z5D!BhF`t@ z=G+atgV52k>>5}lkG-fI37A;S!nH#W4l}C^aLZo&u5L73D=NU|xW2}uixZ=yaLZ+1 z6JP$O-@F&kl={27Yx2pkS+CpkRSfMmlHk*`uod&AJ0{>j$@JrzwbVQZZa<)#DR_=( z&@;`8{gBWn_vD*$@$JxBIMR9hlS8f>>?JR5`>UPUtN3S90HrgK0APVf2IK2_?FM+dib{^t!$SteY$0S>ygkHY&if~xPA(DO6xg|9-;&gHl3))cVJtIfj z*+H%F#LW=MEL;))7IPBRu>;(Hl(d0;l;3?=T|v|SE#Qn{ewaU2BchhB_T)?6R?{Hg z-T%klTSnEDZrj>G2oQq1ySuY+4Hn$pJ-E9Bf&_PW5-hko1b24}PH=bm2vw(c>fYMt z*50kosolOt{$xT}bG~n1nY6i{(MKO^8M%SuS+oasq2-5@7_ zd6RG|jgN}kd7rmhnA&=fr$L+A-VO%E&7fj3IPU5UT>oKruA#rlSuAB5ohy`3edNPB zPU?z@TQb8bl1DfC9T0BPgx3q!JTxqzGbE-#0*YGy>1^pViI6ox-h%>)>Fwa-1;VMWUKus`KDQ=nBZ3|l&YN#qTSh3oS~Kp~5eD`($hMGuS=tR^E9MAEC&u#~-;v%& zr8#6E&W<&zSBbL^aO+|FnOQ6-`$yVqL$e@73QT(Fo0=;tvvwoj#*Dub#g4O2$;;+N zj9|A>e2~a#5!C|PNhB_Ipge5j<$5_*DI&j z11H?#ZW$&{Yg3D^aY^v_bd}?jq~YTa9rnHYsyv5FeVLeN^!y=|CtcMkw+x*jZh|nT zP&TmTvSucqK`YxZ+F z(j5YORkV8&4;9*0wU_jr)XTO*%5;um)ZEj0*EkQo57Yue_VqQ-Ar^<_bw;TreH`VN5z`)lUR3|{OfC4GHe zY*RuXgc}Ye(**YXH=!Xtn|5r2`jHqDFpSf6vb^%`tiBh$fA}$`hExDr_zz+EkDi)e zAI1L5bpH&?pJDlb5-fji;Q#*(9{7tk@^7E!?{C`tx0n7Gz3^FqX9b=Wcvj$9fxlmY ze*u>NG=|}4SpL)z|MQrdpBhvvmq*6Lr#If@}5Jk(5naf#ZVo zp&_|ZTHD2$h3+oR31&~1qLQOYQ}cMtANk!%Bh92MSxNku4ge=-Boo`=O>x)$ifYda zA(1_5!D|v4zHghrz6Y`VUyAlWG}SdIxomfe1x8|PU(ce_5)1&Q*u?+l|B zp>nU zoCMW`U2gX{>|a|GD?^v-hRo)fWCcL)?{0CE1DQrwvE)Fg>E&+UA3Gd#RFD=H<}0-I zxP1gaIrd9i68}87W&A!9uWY++L{l^wajzoq(}>(=Wih5kC>X>uSl& z*KB!bj?_y$DPzKsR)&;$7Ex0Pmb5irtvGvVf-=D&JMTF#3=T7$F+WnkxNew+C2&IW z);DGOk;y2CEZbl=vWhHHCz%Sr1byKZEJ7&Ve*GNsn2We@ut)?t4vz5Ykg-YH9W>v= zP>8o5w;}xrW2n4yHSp6b8N~%ZD!hfUo~EZ-#&4kY^)^4Oh$i>hJRog0bHOlxm@I2! z#omLTkG(?^5glj2#C(+*shhY4epGRungJXQ5o|Ki%1{MrZpaR&8RG^_U@1GYR;6Hk z%rw8DB3UJ%oL0yFb-KGa&WuQ`i8Eq(%*j=}4!!DS_U zj!}WQ4#(XW1aAq86TYk%=CjUXE@upxNz?ZXdeiKp3Xc*)1bnn6Bm!Zn^){Sh=kSC2 zkh)&Y_43twS%S~VsC2IoqH1aI#n{|ltOI+D1WgUHadUn3l(#C1+9gp{rcmBO12(PQ zOU0p{*ketR-I`rYr_3u{UtBb!bfIa_^p7uPm5VbQU=wLpKP5ZM$hwcO(<+YZRVo~e zEhvz}jk`5_Q+Rq}IzhUfP(4m5AS@^7*mGMy=X!tVb|UJ8rte}0>AbnE*m&($V6nd# z$TZ>{Z)hfjhWw#nj3h8J`PN}q$+C{|)P#uonn{AD>E<-TL#|p-%BYh$sG_?)oPnWkB6-Lyx#u_i|Oz$48Bk9Xz@z#}C-B$erH5|c7KT%WKF=zv`%1zHJ40|EFhTbIp& z(nHmIw(=y5!O(&dE2zH0NV@D>FJwTum+@rHP#AwyC1;ElH?yo8yE2jL#`$J$2V8@o z;#=9(k52!}#W({IN8fmJ);K`9e^E}QAU7Zrq;ijd;lY+}wS{d@G%SkS?qS0msV#GEq3Cpmi(OlXZ(#{k^^Ce=O55@eb>>lq9s4j2 z_?mHtLh0X;V5G`!g2cX(b>F;oF?pl6ry`=!#tC#0hx4YJ z-|6K}k}upHUu%1KoXg6v1k-Y`HYI{8Fhp(lXp^AAq-?p{eWD-r3nnSHdK7h|Ylee1s8dHSLy0C(y|)zTE_*93x=c4HCkk9+7;Jz#Iw&ARN6!beU| zuE-6|OXoW*OOx3d*8?RDyJOYef>t7}M<}D~6H&60cjz&JeNO}Ags5!R+ZS4NgMpWd zEHY{Rfxin*IA8>?yDIW!ZR#qwKgr^ShhZ2e|z7M@1VLcBrvHhCu_D-5dmm>+fwS9E5Y^p`i#qpUj4| z?h{S#pzU^d;rs86#qx`~-fw&L2WKk?wd$+M$}tkAfHA+gZST*R)E0D!PM4j`3sT}c z`nEP)prxdA(9K+W!%IUkA!}}-J**dLHr2XqW%d?)o`~osGJDzZg02mIj=H@H1);a@ z16?q+-DVEBIss=9VE`DVHSkSIFPg=b?TbLVurF$FwddUpU)9d=Az(#Yib9W2rS4hT z!8$=rU5XM_7<0$t!pkPS`DC$C6J2xyWnw`N!C9_W<&O_nwv5tCRWB#RO1VHtI}k<{ ziXmnKf$rE=8XxZ8U*zv+!!Y4NS<{bK7qjJ~)JQCX+L+auNkc;$H-#3Av#$u~uDg{t zZC@C-qBd9<1D+yScCyx$qMtr;<8?PCdrPy+|4mh;EHH^oX{|)FYOAe9sM6^&Qm;n* zm_DfaB;A+e9#Nw=q`1+vxTE4G(h|_&H@0&kP~f3C>{vM67>+LQ0x`9;fMB`71XTYZ%3I~Ty;=$IVEoXv1#wK z2%0vKL}4ycJfD3-o=3yCo2}iEsjW1crbTy;aN3bruNDaeN0Dn)@+JK5wp!7}5hyWV z=;jcWI;PQRGCmcemr;+PzA$thQqcQ$(lrvl+6~(|EIhh-1u-4zaEW1g2ir;lv}Y{$xU46;XeH0p!#9e&Bu#&=;Vc=pZUoy3P%j}QP}0k zKI%am<4Q+qt6}7U^$62C`4z;l`!Sc{6xa4m^m+b)zyiWUz`;V(^f6MkB=SYYana~z z$>vNA(Jp+bT3?lgnt0mz%$`H0?z`ebhb7IVw$w&?9`NZp->V#kl#kzj1pi)*lxO}? z-GKEcQ_geU;Ca0L^LTq3a~(S_0v#(WGZQ@>I}=L_S}T1+f*+9K@o)CWOeKALJp&sq z0=~zAvIcn4fIP3w@4qwIKgPfQ<^%evaKQSD zk6!){P4lI6b^nWfBfZzgFoS^f7qD>il_L1Dn#6$g9H=Cey@(?zaZbI1Z+ zLsO#m-iJWOq(+bB`?$$-3=9E7>Dy6m?yj1`t*af~~lRdn3;#|@3u;w}!;)O4$9 zXsW4isJ%bxTJ7lB-8!Amg{>}MUEejXJ?+h1zfsw@DQ3D~%Lq%^QwVOd73HYBWg6RV z`!Y8BvQm9u!|7O&`hm-JjI&X-czGSH-}IsO*4fa_sBoafxHkOdSxrUJ+lN*2xj~7oa^RJ#vJ}^T zo>eJREYIss?x6KnrCMg?J)SpCi(kF69B}CFqldN|Qb=!U=qV2HD{V_g+dr;>g~NL( z@@NdUU_%98(u>+pt|u#O>J5sTPnKVl_nME~Xgbd9Zw0BD*}pv!wHKL8x#+-MYpwH! zS3{>aw=0>i&@h(eIB4yHAAp*F`H2^rjJ}7Y_q9_S(`IL4>{}+GIO}cXJFl*8B@T|Z z2EdH+=&U%a;`nM))pl0L!1n#v^|d5+N(!2Jh%VhdH{-VKfZKk3cJO&HcM_ZfWa?h< z`uvoq>=Z=H+Hy=bztDWHb4;$^`PN#MQh}P)1Uljk(9QdyZ3la<8*K4N!L0Wmc;H*r zJNfFbi6FyG>`^O}vPW|ZC^#YtyQ{|V6`U0dibFKcUoyNTwE>y(2}UQ;DP?ME%>=XUcYthPM8W*R z`)LrszeVGR7xb0AskKFyxvIzgl=I>vmM>hbR7zRDR1>bCpH77#96e@%Ik;mhYO4yQ zpPvJpa{msL=&l*TNXi5OC|G=k0F@zB*dbgeDxaREl|36S#=+9iech_*+#9GK6}OVJ z3IP!e>+GDEqM*;k1is>Z>@?qk3OF`>F|7i-<2MH}@#E%&BDfyYF~NKRG4Dg|O(VXW zUJksHWI`es{^;e+)#8p^{5cbaAHDt#&lXpmYPp0SOh=O_T}n~?)ePzkav`Oxv}d@r z#Z0F%8tm5^!h!kHN)xwn!?2@M=1yyM_&L1!<;xJ?K5weAECkfSm&Gno%Q;b$D=`6$ z*%BK33Z!NQQBEBZ*%C7|UnxZG1NK^+l`#=PU^MkxW)Z4oY@78N*li3VOK6Vbysc?@b0 zYLd@JGqWUi3~n^T_QvQ;fXfe&eovwtpaU#e>lTW>$T2RcPt8dPqb!rX5{nvP5F@6` zj>HIOC}ROt;w?5T&--w(mb=H{k0Gv>TG@0}ES|^_bh({XW(Erowf&k6+H~DV{_!jL zDeBGuwW$__h+fIpOd&_ne*Wf<7-Ng;@?g|SR0k@@K5I~y>dJ$0zrve=v5{CmacfmgF zU&S78New|Kftv3_9o=MSwEz>Q-X>09t}~>?#m1N(jT5*f9iEdosDQfs#)u+M6Q$=A z*SoT1qwE5>JCxVn497daQZAhi7~@XeYaT)$2P6T37eqdWICit2Za<^`g3SGRcRF8- zHSPd14Y&JU@0xgABVbw2WMvNuT1~C$}%0p7>v-DlkHvXgja)oeBkIdOTib6)-^C<+zUt*Qv>~w4~{l zNhU@K599HEHgwccokFA++^+uaB*ZR0lzh84tUc<Tsm_GMmb^<(sYC88mUbuiSE%00bkj|9QNc9z=CIN(YaJS7%)EE& z5eC>M%95w?e&1iYc#5}qB>7#zAMWXUx|Lq<-Iwc%0&~~dm-2*}EJib>buwA{5gv@< z_yZSe^SwE{l-?=j=UO%wq%c^m7Zdp=%*f+9YFv{P8x}`h&}rQh?X)|gN8O*a5f|0r zb~T0o6HFg!u4w|u?t7ONHy?;Ls$fZS5x~0eZGaBWb~oGZ^%Z&wUAcU2Fs2SC(|UM&CRMQK-p3FX zjHMwa)(H+4ux+PLt9^BSET1<(LBb6y+UGg8*wngO9*7}=Dsc+y;8=4w(1=iIpTnB>yeLp5$o-An8;3R7&qGSl3L`D z?De|sLLA>r_%-8nNn@PZy@R{6u-Rgielu$~N`G@Rz$knhijchI-9#WTXe%_T`K=Yl zY<<_=`byuxokndN^X)w!9R9mc%dsI`Z%Wq72HM+im~!bqE~)UHtk#g3hmFz6#P)Cj z$XxuT7&*}K9HaO|C|)#7f^yISZBm`Vf{VvOkc}9=^PG^{rM(HFiLk!(V|_oSHd^K% zAc^Kuz{r-iP01T3rJ*T|0<;!I&gI_DTBU^Yijp&4Qyqyly9Yus`7%{menP z(A9PrJR)$|iT&QOmIvYh4#WT3f~N7Vq$eDPt4or@w~NzG@nzg1i*gFXb&{GY5R&nW*H<^Lz5{O1;c zzo-Q8_rr_7Xe0mjX`U5$R^VBIX9b=Wcvj%=SKwbj`9F2){*3aUto=VTVZaXu{%^3_ z!tQDA9sOhC;1jL?W0Vj0WfIhHC?D`Nm+yZ9*f%%L~KlcDsD63hjb-_RO08Dpr z0oTmmyo3Phj$O?SfSbr%MRLHE_p6!_i{=-#n14k1ah8S`SU8agBPe8`0Y(lE`{j(~ z92_&8U$`}?aoVew1~;@0V#|XHqzcX^+O{3;7943MwImITX+tjUc%13e`ZsJTgX2Au zkur{yd_yFOWh#y}Ip~`cjE_th6jasdwZ_BnHXf22;&S3T6KP10vqu(~a;Z9!)=@_! zvcwwn%cx_AHI92%{8?iXvcd=iz{%`AQyv0O4<%p~bQL~uw=4)n@b23vfJ4@3BF5#D z#GRZB3Vbf-Aqp!d*gLqjWpSnUva>G~u3IXF+2ikj(^RKP<8-FemI%lxvxb4}ml8#5 z7r=05(xIHiS(|tq2a?t*s%c(?rVP|F^w`qLem+Tgstcne=3gtnYDNG!;uGoX4T`3h!?Zo=b`&9&h*JWxKzljbPm^0B#&)d8D3Ji|@ekSa~-^E