diff --git a/zedboard-fpga-design/src/zedboard.xdc b/zedboard-fpga-design/src/zedboard.xdc index 243f889..57a66d3 100644 --- a/zedboard-fpga-design/src/zedboard.xdc +++ b/zedboard-fpga-design/src/zedboard.xdc @@ -69,3 +69,7 @@ set_property PACKAGE_PIN Y11 [get_ports UART_rxd] set_property IOSTANDARD LVCMOS33 [get_ports UART_rxd] set_property PACKAGE_PIN AA11 [get_ports UART_txd] set_property IOSTANDARD LVCMOS33 [get_ports UART_txd] + +# TTC1 Wave Out +set_property PACKAGE_PIN W12 [get_ports {TTC1_WAVEOUT}] +set_property IOSTANDARD LVCMOS33 [get_ports {TTC1_WAVEOUT}] \ No newline at end of file