SoC Calculator #754

Merged
muellerr merged 74 commits from soc-calculator into main 2023-10-11 10:50:48 +02:00
Showing only changes of commit a3ed2791b7 - Show all commits

View File

@ -91,14 +91,13 @@ void PowerController::performControlOperation() {
return;
}
case InternalState::READY: {
// if (mode != MODE_OFF) {
sif::debug << "oh shit, now i gotta do something" << std::endl;
calculateStateOfCharge();
if (mode == MODE_NORMAL) {
watchStateOfCharge();
if (mode != MODE_OFF) {
sif::debug << "oh shit, now i gotta do something" << std::endl;
calculateStateOfCharge();
if (mode == MODE_NORMAL) {
watchStateOfCharge();
}
}
// do something
//}
break;
}
default: