small formatting stuff

This commit is contained in:
Robin Müller 2021-01-08 16:18:08 +01:00
parent a9dba82661
commit a1c394143a
1 changed files with 2 additions and 1 deletions

View File

@ -749,7 +749,8 @@ void DeviceHandlerBase::parseReply(const uint8_t* receivedData,
case IGNORE_FULL_PACKET:
return;
default:
//We need to wait for timeout.. don't know what command failed and who sent it.
// We need to wait for timeout.. don't know what command failed
// and who sent it.
replyRawReplyIfnotWiretapped(receivedData, foundLen);
triggerEvent(DEVICE_READING_REPLY_FAILED, result, foundLen);
break;