added changelog

This commit is contained in:
Robin Müller 2021-12-12 13:52:47 +01:00
parent 4160df667f
commit 58d0eada68
No known key found for this signature in database
GPG Key ID: 71B58F8A3CDFA9AC
1 changed files with 15 additions and 0 deletions

15
CHANGELOG.md Normal file
View File

@ -0,0 +1,15 @@
Change Log
=======
All notable changes to this project will be documented in this file.
The format is based on [Keep a Changelog](http://keepachangelog.com/)
and this project adheres to [Semantic Versioning](http://semver.org/).
## [unreleased]
## [v0.2.3]
- Added basic accelerometer example. Board in not populated so it is not complete, but
it provides a starting point
- Added ADC base library and example building on the new max116xx-10bit device driver crate